-- dump date 20171216_104023 -- class Genbank::CDS -- table cds_translation -- id translation FPSE_11262 GILLTLNIIPFIIDILANRGIVILKVRYYKIESKNKIIILNLIL ISKSLSLLKSLKAPLNTKGL FPSE_07809 MDPVSALGIASASAQFVTFASQLISATADIYDSASDASAYISNL GSVYSQLKRLCQNLNQASQKVSIDQAATSSQTTTTDTYHAAPTFNSFVQGGHTDVLDG TSEVELRMGNALPELQQVYSTLQDVVKSCEQDSALILSLLSKLKLESGVGSLTKSFKV AIKMIWKKDEIQRVDERLKRSQAILIATMARISNIYHIQHSRELAALRSESQLLGARY SEQLSIMQNTLRNIEKGRKFSPSAEAFKDEIDTLEKMMRQSSLSEARVRKELDIIRSL SFKTRQFRYNAICDAHHETFRWVFTPPSDQSTHLSIKQRESQTKLLKWLEHGSGVFWV SGKPGSGKSTFMKFVADHQRTVEALSRWAHPRRVIVSSCYFWSSGSKMQKSLVGLLQT LLYDVFRCCPQLIQSVCPSRWSGEVPHGDKWTESELRATLSRISEQPDRTFRFCFFID GLDEYDSVNGDHSDFCEYLTTISSRDIKICLSSRPWNEFNDAFGQDPASRIFIHELTW DDILLYTQYRLHRHPRWKSLESQTKKAGSLARTVVTRAQGVFLWVFLVTRHLREGLAN DDSFTDLEKRLLSLPTELEAFFKQILESVSSFYHEKMAGALQVALYAKEPLDSMIYSF IGDEYEDPDYFRHPLDLGNDMNPDGHQDQLIARQKQIVRRLRGWCRGLLEEQLGKIQF LHRTVGEFLRTREMSDFLESKRPRYFNTELSILKAYVAWLKLSNLEGGNFMLFEESGL QDLAFCESPLYLGVRTALTYASYLELVDEVPKTALDSLVDEMDLRLAEMARVIKPTLV GHHLETSEHVSGLIRIIALDLPLMGYLSRKLMAEPSFLSIFSQSAISMVLWTPTLSHK IWPTESRQKLEYVLKAGSSPNELTVGSMHMITIWEKFLSEVLPKGSVTRWTRAGPKFQ DAIEQGLVQVFLDFGANPQARIWSVSGNVAPAFTLFVAAAFDMEWHGRAEEMYFQALD SFIKGGAAFDSSENSRNESRPSGLDQTLVEFSMIDFAEFDSFTRTTTAEPAQGHHEVI FGRLEAKLDLVDSFEQKPQRLFLAKLLKKILACAHKASWPLDKYQHLIDRALRDEDLP PGDIVPSLCLKRSGTLDFDEYGRKIKRRLY FPSE_07810 MATVAPQSDPQVKNPTGKTTIPESESRVPICDWTEKAEIKLRRK IDFTVLPILMLGLFALQLDRGNVGYAMTTSFTDDLGITKDIVNYGNQLMLAAVVIFEI PFNMVLSRIGPALWLIIQVFAWGTIATAQAAIHNKSGFYATRFLLGMWEAGYLAAALT IIASFYTRKEMALRATLVYVGNYGSAGVSGLLAGLIFRIPETSGLKRWQWLFLIDGLF TILVGVVFIFIMPRSTTDTLPLAGIKKFNVFTDKERDILAKRVILDDPRKSVKLSGIS PMTALRICLTNFPMWGHFSINAVNITPKGGLVFYTPTIIKNLGFTASTASFLSAVHNF GVCILSIFVSWVSDKTLLRGPICLLSGVYSLVFSGVQYAVVGSSDVWMKYAILTLLNS GVAIAQALNDAWFSINTHDPQIRCIGIALAVTGSNVGGLAGQNIFQESDAPYYPKGFL KILCLYAGSIVLIAGMILYYWNDNRKMKMAGELEDADDAEASNGVQGKRVLNQL FPSE_07811 MAPQDGPVPPKTELGRYRILATTAGVRVSPLVLGAMSIGGAWEF MGIMKEAESHKLLDSYYDFGGNFIDTANNYQDEQSETLIGDWMKLRDNRDMLFIATKY TINYRRYHENSGRAVNYGGNSKKSMFVSLEASLKKLQTSYIDLFYVHLWDWSTSIPEI MDSLDVLVKQGKVLYLGVSDTPAWVVSAANEYARAHGKTPFSVYQGRWNLVDRAFERE IVPMARHYGMALCPWNVLMGGQLQTKAQREARAANSDGGRGDNPSPESVAISDALEKI ASSHGSATIQQIALAYIRSKAPNVFPIIGGRKVSHLEDNIKALDVVLTKEEISSIDSI QPFDLGFPLDMIGDDPRTGAGRPPLFNALLGADLAFTPFPDNGRCIS FPSE_07812 MSTEKNISSVPQDNHFSLHAKMSHEENRLKNKESENGVVDYETP EWKQSEKSLVRKLDMTLMPMVWILYMFNYLDRNNIAQARLDDFEADLGLVNNQFNIAV SILNVGYMLAQLPSNMILTRVRPSIYLPSCVIVWSCVSAATAGVTSFSGLIAVRFVLG VVEAPFFPGAFFMLSSWYTRKELALRTAVLYSGLVIATAFSGLIAAGIFAGLSDTAGL HGWQWLFILEGAGSVVAAILAFTLLPDFPESTTGSQKWLLTDHERQVAIQRIALDRVS LPETDRSVWHGLGLAVKDIRTWIFVIILCANHTAYGFNNFFPTIVRSMNLGNRTITLV LTAPPYLFGAAASFLVAYSSDRFNERGYHISVPMVFAIIGFIISVATLNNAARYAASF FYCAGAFAANAAVYSWAASSLNQTPEKRACATAIVNLLSQLGNIWSPYFFPASDGPRY VMAMLLMMAFSALSIVASLLMKFLLKKDNRKLLAEGEQSGRAVKLYTT FPSE_07813 MSNGTTNGHSNRHNASSISNATKLRQRLESNEILVAPGVYEGFS ARIALEVGFECFYMTGAGTVASKLGQPDLGFASLNDMREHAEMIANLDRSVPLIADAD TGYGGPNMVARTVAQYHRSGVAGLHIEDQIQTKRCGHLGGKAVVDVETFKQRIAAAYN ARKELGSDIAAVKAGADVGFLEGITTEEEAREVCRIMAPTPMLLNMVEHGATPSWTPA EAADFGFKLIIFPFASIGPAYQAIKDVFTQIKETGRTGLDKKFTPKKLFTIVGLEQAT AVDNAVGSSLYSKV FPSE_07814 MAVAARRQKSQPTLLPRLARNVTDLLWKTLRSMSYSISTIRALC LLCTWPFPTSSSTSEPTFMLVGSMLQMSTQMGLHCALDAQDFVKVPLQLDVSERSEWA QTWEACNIVAISVSIGCGLPLFFQMYETPSVESSAADSPFYLQLQIERFKLRVSSSFA RPIPTDAEGALTRERSTMYNLLNSDLDELEKRLSGSCDIETWYCMAARLHLQAFYLFD HSAMEGYKNRTVALFSTAYNLMDLSQRLNERPQGFFDYYPFFCYQTYVNAAFVLLKIL TNGFFNTIIDIQGGKQLLESAITALRQMSVVNNDLPARLGDIIGFFCALPDPAVVGGV TVTDLQLKQVRNRLSMSVVYDCLWTWRRHFRTHQPDTD FPSE_07815 METPRIYSESVGTDSLRLATITINPDQVPSIVLKAFEFSSPTRP EYHALSYTWNPPYGDDAIRYTETEMRSILLNGSKFSVMPNLYDAFFQLHQSCPQMPFW IDALCINQSSLQERKLQVSIMDRIFGGASRVVVWLGKPYPKIELALRAVERMASVAVT MSKSIIREQKYHHTHYLAEMKEVYGLEPLSFDEADALITLFECRWFARQWVIQEVALA GQIDIVCNDMSVPFDKIGSTALFLHLSGLFMACSNLLMVRGKDPELINKTHLFQAERT LIVREWCKGEHSEWKDELPLVDFTAGIVEGTTAVGQAKESMVGIVLLKLLMWLVGFDC TDRRDTIYGLGGIISHVARIHGLDSIPQGLQPNYQLDTVTVLHNAATEILQATGSLAL LGVVKDLALRETSGLPSWVPDYIPRLILNPMAGPNFKSLGKFDTSQSLDTATYKVDFR VDDKVLHARGFLLGRVKAMGETAKAIFDGYLTQCAAMLIDADDTYPFTNQPFAEAFWR TLVFDQDLSDRPAKQPETKHFQDTVLILMIVRMKAALESGGADAVKAFLQTLGHMDAL EAKHPEHSPFHGSTFLTSCCRRFGFLPEDGDEKLWTYEQLMAWLGPVEKNALFMSALL TTTLPSRRPAVTEQGHFACVFETTLVGDEIWVVSGCPTPLLLRHEGQQYSLVGEAYVH GVMHGEAVDANTKWTHLEII FPSE_07816 MSVATVTSTMREAGWNVTFHVGHNPWKFAGLFQAPRSDLVTFCD VIDELRLCFEFNVHDDDDSEKLWDELAFALISRPSSERQIPKLVEGNDRRLAVPSLPN RAPKQPDVIKYHLIRHKSCSLPENSPLKDHLEAKCAEHIPRPTRRRDPRYLDPKKTPS DPRYATMPFRRRARPRGSQSPPKRQASDFVSPVKDSPNDGLDAGSMIAPSSMQVDLEV AKKVMDEFRHACGVYASCCAVSGEGDSWVINPTIGPALQACHIVPQNHYHLYPITQDQ EDDGDTGYSPRRLQEAWQRTWAPGNGILLMSHLHELFDQRLFSIHPETRRIRAFVPYN AIAKHNGEEARMLDHVDPNALRHHWDMCVMENMTAMMPLLELPTGGTNTPFSPASELL MTPGSANGSQPERGRTGDPAKRSRPSSGPGHGKEAAAQETLDGQEVVTLQHGSDETDA PIPKHRRLHDCEVREIHSPEEWIQDDVMDSYITPSNRKVFLADVNWELQRFKSRSSCS FPSE_07817 MSFSSPQVKVPDLYRSSPASSMQIRSASGMWPSQLFADAVPRSW SKNVLVSLAKIISSASTKADTTVDDVVAWFKDNAESCDGLNQEACTQADRSGPVMPAI QKRRAANGAHMSTQNEGLLPAQTSPPYNREELVRSKHISILREKSALAEDRLNEAKTL LDEIESRVVDLQPQIANLNSGALQESIKETTARLATSSALVTKHQRYVDGYQELALPD APTYHVQALETDQSELDKASQDAQAAEGVLASAQKEQREFKRVEEKLVMLGAERATLD QSVRDPRLDKERCDVYLGMV FPSE_07818 MHRAPNVLTAVDKKAHGMRRRILSQGLSDSSTRAFGDTIKKHIE RLCQKIEGHSDPNAQWSESYDMARWFSYLTFDIMADVVFGQPYNLLGNSEYRYVVESI EGSNIRTGVLIQAPEAYIWRLDKRLFPTSIHHRNTFVKFISSLVQERLTTKPLERNDI ISHLLTAKDSETGQGFTKNEVAAESSTLIVAGTDTSSTALAATLFYLTQYPNMYRRAV AEVRSSFAKSQDVKLGRALNECVFTRACIEESMRLSPPAASALWRRVQVGGQIVDGHA IQAGCNIGVCIYAIHHNELYYPDPFVFNPDRWLQNAKLAQSAFNPFSVGPRSCIGKGF AMAELMLTVASILVKFDIRRSPGDQGRIGQGHLEGEDGRRMVDEYQLHDHVTAFKQGP VLQFRRCDAVVQSEAEYTTNTCMMFPGDMESKASSMNGDQPLSPTPSSSTSVTIPTNT PTLMYDQELQFSRSVAKHVYEAAATLRAAFLCGFQPYTDVASFAHDETVSQLHYWSSF ISFVNDPANNQAFTRMDILEVTRALVQCAEDTVLAGNDIHMIVAHLQIPQSEKRRIIK TFVTANSMLGDQPGVEVSNLVRSSLDGESTVYVIFGGQGNGDGYFAELAELYEVYQPL VGDLVRSASELFRHLTDKMDVNDCFSEGMELMAWIDKDNDTPIPSRPYLLSSAISFPL ITLLQLLHFKISFHYSGCSFKDIPRFLAGVTGHSQGIIAASAIAAVDSPASFHELSLQ AMTVSFSMGVRIHQYYGPHVLPRLITEACLAEGKSIPTPMLSVRGLSIETLATTIQDL NKSLPRTKVQMEVGLRNNDSNYVITGDPMSLRGLCTHFDHKKKALDIVYQFLPAAAPY HNSYLSVAASRAIEDCKEIILRGCDLKMPVFSTVDGSDLRNNEGANLVPDLIRMVCCQ VVNWPAALNMPGATHILDFGPGGAQGVGVLANSMKASQGVRVIHATVLNGLNTELGYK PDLFDRSQKASERFSKPQPWVNSFQPTLTRFTENKLVVSTRFTRLFLQPPIMVAAMTP TTTSWDFVAAVMKAGFHAELACGGFHDRDSLSAAITAITNQVEPGTGITCNVIYSSPT SLRWQIDELEKLVAAGYQIDGLSIGAGVPSVEVVQGYVERLQLQHIALKPGSTEAIER TLKIAKVLQPLPVILQWTGGRGGGHHSNQDFHAPLISMYGKIRAQDNVVLVVGSGFGG PSDTLPYITGKWASDMGLPPMPVDGILLGSRVMVAKEAHTSTEAKHLIVATEGVPDDE WSGTCSRPTGGILSVISEMRQPIHKIATRAVRLWHELDQTIFYLGPKERVAEITRRRD EIIRRLNHDYHRVWFGCSGPTRDPVELDEMTYSEVLHRFVELAYVTAEHRWVHLSWKK LFSELLTRTMSRLHRTSDSRSETLVDDLDDPYSTLATLTDASAQLITYEDSIYFLQLF RRGGQKPVPFIAVLDADFETWFKKDSLWQSEDTAAVPNHDAQRVCILHGPVAAQYSTK VDEPVGEILGNIHTAWVTAILQTHYQGQSELVPVFDNSLFHGSQVASSKSNTEHATPP LNHGLWTLEQWIVHVIQSRDKNLNWAKALLASPRVLDGRRLVPNPFITTLSGLRSMDI HVAETTKTGVGAGFTFFKIPLEETHQDLLDLTLQSNNEISIQISHYPTLQSAPITLTH HLSCQLSKLAMNKSLSDRSAMIRDFYHRIWLGTSYESSHKSIYEKFECEPYTVTADAI RKYNDCTRLPTSMPPTSWATSEVPLDFAVVIAWKALVKPLFSRELEADILKLLHVSNE IKLHSDHSPPMVHDVLHTKSQVTEVILQPSGKMVQVEAHIFRGKSCILDLKTRFLLVG NDTHRDHLFRRSILPPSEILLEDEISAMQLVQSSWFQPLGDTSDLVGKLVVFQLEDLM QFNENGQIRCHQITGCAMLDGSIVGNCYLETPDDAYLSLMGNILSQQTGSSSQPAIFE TPLVLFEEQEISFTAPTREQTIAYSAASGDSNPIHVSPVFASLAGLSSPIVHGMHISA EVLQIVYTWLCASSMSRLKKSHVLFAGKVCAGDRLAVSMKHTAMHRGLRVVEVQIRKN MAEELVFVGTYEIEQPPTALVFTGQGSQKKGMGMDLRDKSAAARRIWDTADDHFQHEY GFRITDIVCHDPPSLTVHFGGVHGRRVRSNYMALTYERVASDGQIIEEKLFPTINENT TKYVFTSESGLLSSTQFTQPALGLMELAIIADFEARQLIPSNVTFAGHSLGEYSALMA VGNIMPLEVFISTVFYRGLVMQSTVTYDHHGRSKYAMCAVDPTRVSTDFDGQKLGWLV TQIASEGQWLLEVVNHNVIDSQYVCAGEPMSRQVLTWYIHLRPLHFTA FPSE_07819 MAKRTISKKYSEHDAALREPRLLQSFDEHAAEIYCETIQNRTQP HKSSAPQEPVPKAAPKAGTPVPVATAPLPEPGRQVSTMPINVEDVPIQSRDVVVATVA QKLRKHFLDIDCSKSIAQLCGGRSTMENELVGDLSLIFDPLPDRAEEMGISELSQIVS GSTSTTKLLTAHGKLTASIFTHKMPGGFTITDARKHLEVQWRLGVGRQNAILLRIATE VLPSRLKTREEATMLLDKIAEAYADEQGLKLQPMTEVPMSAPTTSSKTKVISGCSDVE LYTSNDISLPESEALVNAQKMLNIIKTENDTLQEKMDLLTTELGDEFIQGILPAWSPA KIRKYESCWNWALQDLLLLLNSILRGETSLDNSVTRSTCDMIVRRSNDRLIDVMRYML SSNKLAGDELLVSMAKSVLAMMIEDSQNWLSCTQTSRFFGHDLHNPIDAYAQETPGSD SAEVTVKTRSQGTWEYDTSLIDLYRSSLACVREDGLRLKGKTVLLTGAGPSSIGRELL QHLLVSGALVLVATSRFSPTACRELQSLYMKWGSSGSQLVVCPFNQGSRGDCESLVRY IFSGKAKGGLGWDLDFVIPFAAVSEEGQIDELDSKSEKAHRIMLTNVLRLLGSIKQHK QAGPRNTSPAKVLLPLSPNHGVFGRDGLYAESKAGLEMLLNKWYSEDWASYLAICGVT IGWVRGTGLMAVNDAVAAEVEVRTGVKTFSQFEMAQRLAALLVNPFAYEVEIQPVKVD ISGGMADATNLRSILSDIRREIKQYSASTPTHQHLPSHHHVDEPEIGKPLSSVSPMAN LKLNFPQLPDYEDDISPLNTLSGMVDLDRTVVITGISEVGPWGSSRTRWEMEAFGEFS LEGCIEMAWIMGLITHYNGKLGDDVGGEQYTGWVDAKTKAPVADVDVKARYEEQIIEH SGIRLVEPELDNGYDPRKKQLLHEIVLTRDLAPFAAPPELAKQFMQEHGEKVDAMPGS IENEDWTVRLRKGAVILVPKALRFDRSAAGQIPQGWDARRYGVPDWAVDQIGRETLFA LVATAESLLSSGIVDPYELYQYMHVSEVGNCVGSGLGGQQALKKAFRYRYHDKPVQSD VLQEVFSNTAAAWINMLLLSSSGPIRTPVGACATAVESLELGYELITAGKAKIALVGG HDDMTEEVAYEFAKMRATVNTDEEEARGRMYSEMSRPMTTTRDGFVESQGSGIQVLAS ATMAIKMGLPIYGIVSWAGTASDKTGRSVPSPGKGTLTNARETHGTDKNLLLDIHFRK DRITRHQQQIQADLEQDLKSLEQRFAMSGSITKSEADKMSLFLHKEAIERNKQVLKSL GHTFWTCHTDISPIRGALSAWGLSIDDLDFVSLHGTSTVLNDKNETSVIQSQLSHLGR TRGNPAYCITQKYLTGHSKGAAGAWMINGALQALNTGLIPGNRNADDIALELEANDFL FFPHHGVQTNGLRAFSITSFGFGQKGAQAIIVHPRYLYAALSDAEEFHQYRRRLQVRQ RRATKFFQRGLATETLFIAKEKPPYTEKQESRVLLNPEARMEGQHYKDV FPSE_07820 MSTGRWSDPVFVNDPYLRVHGLAPGLQYGQQCYEGLKAFRTPMG RVSIFRPDKHAKRMSHSTSTISIPNIPESLFLASVELAVTSNSSYIPPHTSRAMLYIR PFAFGSSEMIGLVPPSEFKFCVYVKPVPAYHGLSAQDALILMGFDRAAPHGLGHAKVG GNYAPVIKWSEQAKADGFGMTLHLDSKTRTEIDEFSTSGFLGIKVSDEGAVTVVAPSS PCIIDSTTSDCCLQLARHYGWKVEKRPIKYTELPEFSEVVAVGTAASVVSIRSITLED SSETVRYLDATTNQGRYARKLSTSLDDIMHCRVEDVFGWCHQVGEAPVKDVPTRQMGS SNKKAAFVDIMTLSCAGQGARFSCH FPSE_07821 MASNFTSIPVLDYPSSLSPSTKPAFLAELRDALVEVGFFQVRDP PIPHKLQQDALRLSAQFFDLPTEKKLDIENVHSKRFLGYSRINSESTASGTDYLESIL LGPNLPELGPEEPVYLHLQGPSQWPDEVSVPGFRDVLEAYHSQLQDFSIEFAKLIAEA LEMPLDTLTKLLGQPLFSRLKPTRYLPPSLNPAAEDGSHGIGPHKDIAFMTYLLQGGT HNCLEVQNKLGHWVPVPPVPGALVVNIGRLLEVITGGVCVATTHRVILKPQGFVDGDG KSLGPRISLPFFQFVNPRLTIDDVSVDVPHHIKDLVPDQVATTEAETFFSGLFNNCIG DNIFVNHLTTYPRVGKRWYPDLMQLASEKQAESKRLDEQRRATEGHI FPSE_07822 MEQHDIILIVYKRDDEQAQVEPNRSVKLDHRNAVADRCGGAGGP TSRLRDLEI FPSE_07823 MGNLPRVDRKVLTSYAVPKVYERWESNPQSLMLQSLQAYPMTLL RGGLCRLFTALFVTSQPLLLKRTIRWFSEPSTPVSDAEGYGLIGAYLVVYGGRAVFTA LAQHQNYRLITMIRASLVSLIYDRTLTLDLVEAKESAALTLMSTDVERIGQGLQFVHE IWATPAEFGVAIFLLQREVALGSLAPVIIVIVAIVGTVLLSMKIDPHQKEWIGAIERR IGSTTDMLRNMRGVKMSGFEDALTSILQAMRVKEVNISRATKLLFIGCQVFSTATATI SPVLGFTIYVLMQKAQGKPGLASSNAFTSLSLFSILSSSVYIFLTSVPAIFSGISCFA RVENYLVSENITGPTDAEGQDSVASSISAEEISIIAPKIAPSDYILVIRDGSVRWKGQ EKAVLSQINLCIEQGSFCVISGPVGSGKSSLLYAILGEASLKANTFHISTTSIAYCQQ SPWLPDISVKECVLNGRDWDEDLYKRVIHACALSEDLAQLSAGDQTKVGYEGGTLSGG QRQRVALARALYSRRRLLLLDDTFSALDPKTEKSVSGNLFSSSGLLRELGTTVICTTG KPGNSNKYADYTLDLNKEGEAQLRNTQKDMQDDEIEASTYSRGQNGPKKQEEDANHES NQSAETSQEHELAQKSVPTLSSMIFYLRSTGMGFFALSFFLSLVYSFWQNFPTIWVNQ WTQYDAKHPYGDLAKYIGVYILCAVLALVTHSVVTWLVMVLMVQRSAQGLHGILLKTV ARAPMSYFESVDIGTITNHFSQDMEKVDLEIPLTGVQALFAFTSALVQFVMLSIGTKW MAITFPFIIAILAIIQRQYLKTSRQLRLLDLEAKSPLYSHFTETLSGLATIRAFGTHT QCQSINAERLDCSQGPFYLLYCAQRWLTLVLNLVIGAMAILMMGITIKLRGSSGAGYI GLAFVNLTTFSQSIQSLLTWWTMMEASIGAVHRVQQFEKETAQEDVLGQVTSPPHSWP KAGLIELKELSASYLSSVSPVLRNVTFTVQPGQKVGICGRTGSGKTSLILCLQRMIKI NSGSILIDGLNTSHVPAKTLRERLICIPQDALIFNGTVRLNLDPRSSFTDKQLEDNLR RVELWDIISSKGGLDATMQDGLLSHGQLQLFCLSRVLQKKSPIVILDEVSSSADEESQ RLISKIIRDDFKDRTVISIAHRLQQIADFDVILVFSQGKLVEQGSPEDLLGRDVSLFQ ELFSQQDK FPSE_07824 MTETTIFYKSHNPGGESILLIHGGFSDGSEWDGVWPLLAEHNYH LLLPDMPSHGNSVDIHPFEIDDTVRRLAALIKAEARGGLAHVVGISIGGHIAAALASQ HPSYVLSLIVSGFNIFTPNLFTPVLPLFVYGVQRGSGFARQPFAEWDRFCRGLGSLAL TQDVFNILISSRELQTIECRTLVVAATRPGVSADNIDHSRRLFETVVAGNGSQVVQHW GMRHPWNEEEPKVFADMVKRWIITQELPDGFEVIP FPSE_07825 MNNPWELDHYREELVSVNIDAPQPQQLFLSVRGPPRTTPKQPVA IIECGAAATTRWWTVVQRLLCPTLRVYCYDRAGLGRSGHAVVFPRTASSMAFELERLF ETVGVEPPFILITHSYGAIITREFLARLPSPKQSVVGMLFVESNQEKTHQKLIVSSHL TTSLSGLNSLVSTGLYQDHQYSQAEVEAILKYESGTTTNTSDKPSAAISELENMESSA RALADREQLQKHILSPNPITVMRGDITRDLRRLFKTSVVMHQGAEEIESLLEHFACVD RQLQREILQLSHSARFIQAKKSGHHIEATEPELITAEVRRILKLVR FPSE_07826 MSQIIDALVIGGGPAGLGAALGLCRQNHSVVLLDSVSYRNTIDT IPDNRMHMVATWDHRRPDEFRVAARKELQRYERFKYMEAEVVSVRQTTSTQGGKLEAL FNAKAADGTEYAARKLILATGVKDAFPKIEGFSECWAKGIFHCLFCHGYEDRNSQSVG VLAVGECAETLTVARMARAAHQFSRSITIYTNGNSELGDQVYRLLGKEGWCSINNLGI KKVYMPQKTPASPITVQVELSDGTTKTEDFLVHKPATVQASALYTQLGLQLTAEGDIK VKEPMFETSKAGVFAVGDCASPNKFVSSASTSGGFAGAGAAMQLQAGF FPSE_07827 MPKPQSKRSSLPNHIKSRGELIASLQALLANDHIDLEILRSGVP WPSATEIAQLSHVSESNEDAPVAACHSSNEPVVVDPALGDDSHQADIDLDANWLSNLI PYNGDELSEQPDSSLFCSPPERMSASDSSNNTDYLSHFSSSSTCQWDSLLDSIGSQTF HDTINVLSPHCQDSEVSQPSNLADYTLGEGQPVSTVVQPQHPGSTEREESVSSIASSQ VSPRKRQRPHYAIEKRYRAGLQERFEALRDCVASLKKTQHEQRLPGTNEDLAEGDDGG SVSDRATVGRMNKAEVLNQATLYIRQLQEENEVMMEYIKLLINQFRVMKQAMQQALKV DINY FPSE_07828 MSTLAADEHEGEGRADTNRHASTQSNVPTEQSLLPQGDESVPPT CTNCFTQTTPLWRRNPESQPLRNACGLFLKLHGVVRPLSLKTDVIKKRRLGSGASTPV GGTRILSAGTTANIHEPSNAVTTNTMRDSTSSWGAWSEPAEENPVSSTPPTSYYDNAS SPSSLKGVVPIAAAPPHPAKKAFNKDS FPSE_07829 MSSLEEKMFSQSSDLLSYTRTARHLTDLTARFCRYNTTQRWVDS LASFRLPRPGFKEPTFPYVSAVPIGQRAIREKNSRMLYGLTLSRTTPVLRITFLLRFM IGSSINMLALSTVLLALAATAFGQDLNGNDTTNGTLPTRGDYIWKPTCKLPSPKDFMF SMGFDFRDGCVKGTGNPSAFVMFVDFPDAKDEDPDSLKALHDKLVPPTVDWYTKSSYN KLKLNIKADTSQYYRMPKAASEYDWLGRGAGVWRHDRYIQDALDAFTAEGTRPPPAKV DVLYVIPTSQAANYISRSITYYDPVSTRQGKQVAKKTVTLGAADWDLIAMTMVHETGH AFCLPDYYSFDSYTGFYTGGFGVMSQTEGMAPDYFAWDKYRLGWIEDKAVDCVLEAGS TKHVLTPLAVDGGKKAVVIAGSEISALVAEVRTADGLDKKLCAPGVLLTEVGTRTAPG SGPIRVLDATPDSLGCVANFDDKNDAALSLTLEGSNSLAYKPVSSFDVPGWNVTVTLL SVEDSKYTIRVDRQTSVRTDSW FPSE_07830 MTVSESTDIPGQHVRVAVIQAEPKWLDLQGSVEKACALIADTAK NGAQLLAFPECWVTGYPAWIWTRPLDPDLTCRYIKNSLRTDGPEMAKIQQCAADNNIV VVLGFSENSHNTLYISQATINSNGEILALRRKIKATHMERTIFGDASGDALTSVVDTG IGRIGALSCWEHTQPLLKYYLYSHREQIHVAAWPPLYPYQSERELWSMTKEGCRALSQ VYAIESQAFVLHATSVISEEATKLMGTEGGAIMNRPGGGSSAIFGPDGRTITEDLPET EEGVLYATLNMDEILKSKSFIDVCGHYSRPDMLWLGVDKEVKKHISHKEERI FPSE_07831 MASTALSSETSTNKFNLDAVAARGTEITGLSIYQDTNEDITLER AQELMAEMNADVPQGGRDIVFGQKETQRLRFWEPTSNSRNAPVVVFVHGGSWTIGTYL DSVGSLKVKYLNDLGYAFASIDYALIPSVTVKEQVQEVADAVAYIMENSQSLGINPES VVLMGHSSGAHVVSLVGTDSSYAQKAGFDISCLQGVIALDGSNYNAAASIADNTGSIV TNMLHGLGSDPETLDAMSPTLNAAGPNARGFLLLHVQRKGDIRQAVEFSAALKAAGTR ADLHVFEGEGFEGHVALVLRIGDEEYPATVVMKKWLEKYVPV FPSE_07832 MDVFQTLPAEICLLIMENIYSHTTIWRLISASPAMLRCHQQHKD TLLRTYISKLTQAGDDDKGLLEDAMGIMGFNNARRNNRAIKVHFNRWAANTLPDPFKK PKKNRIAKLDRLFSRVGLFIEDYMSKATSPALALEYLSLPKITYTNTNKRVTLDDLTP TERYRLFRAFLKFELLCKVFDPHVKMAICGKTTSSSDEEDESTSSSDEDDKSQRPEHY WNIAQGSWQETDPWLYESIHCVWDYIQTCYGAIFAHVAWADKYPAFLTTDPRRKSLLY PDNMYVKSHEYFKDMRMPRECTFLTIWTACQGLDLLFHLLTHMGKGPEGTRNMRRWIY SIYCHHSTYYRHIRGRFLFKDHPENSILRHTHIRLPAMLIKEEGSPYSDWDISSPIWS DREQQPDYASIPYDQLKIFRQRAWILCDDTRLYPPVDVHFPDTDTLAEQRQQAWDLGP SENNVSKRRRSPEWQDYWAGRTLESPSIYDEEVDEVELSWRNPVHAPSFYDSPHGQGL STFWRHEKKPRFR FPSE_07833 MPSSAPNIVFIMADDHAAKSISCYGAGINNTPNLDRIANEGMRF DHCYVTNSICTPSRAAILCGTHNHVNGVMTLDSKINKRLPNVAKQLKSGPAAYQTAMV GKWHLGEGEDHEPTGFDYWSVVPGQGEYWDPQFIEPSGTSRFPGYATDIITDKCIDWM EKRDKSRPFFLMCHHKAPHRSWEYDQKHKDLYKDPVRLPDTFTDDYKNRANAAKVAKM KVAEDLTYTDLGIVQPEGPRSQTGEKMIDVWWWNDRKIPAPDDVTGLRLICKETAQVF TFKTKQELAEWKFQRYMQRYLRTIQSIDDNVGRMLDWLDKEGLAEDTIVVYTSDQGFF LGEHGWFDKRFMYEESFQMPFMIRYPREITPKSVCGDIICNVDFAPTFLDFANTRIPT YMQGVSFRSLVQGKTPSDWQQVAYHRYWMHRDVIHEAYAHYGVRDQRYKLIYWYNEGF GLEGTREGGQEREWELFDCQEDPLELFNCYKDPEYADVVRRMTQMLEDKMAEIGDEPV HKLLGSV FPSE_07834 MSTEKGSISYMEEQPPKNLKMLSEQDAAANEAQRVDTTLTAKQA FKYYYKAVAWSVAMSMSTIMESYGLLLMNSFFAFPQFQQKYGVQLPNGSYSVPAKWQL ALTITSNIGLIIGVFANGYCADRWGLRKVMLVSHVFFAGFVFINFFAENVETLVVGTL LVSIPCGFFAAATPSYAAEVSPLRLNGYLTVYVNLCWVMGKMISFGVLTSMLRNPTEW SYRIPFAIQWGWPPFMIVATYLAPESPWWLIRNGRVEEAEKNLGRLCSAPVDVIDPKN TIAMMTRTIETERDMNIQGSYLDCFRGENLRRTEIAMVSWGCQILPGFAIQNYITYFF TLAGLSSGDSFKLSLGNAGLAFVGTVSSWFMMTRFGWRTLYMGGLCAMLPIMSLVAFL DFATKDKDNIRWAQSALLLVWFFCYGISIGPIPYGIAANVGASNLRVKTISLARNTYY FLSIINVIVAPYLLNPQEANLKGKAAFPAAGLTIVLLVWTFFRLPEVKGLTAETLDHL FENKVSARKFRDAAKDYQ FPSE_07835 MILFSLILALGLMATADSFKFQSEEYVYSDYFDKRCFKALTGDI ECNDRTRMLGSSAVAGWVGSNATADGACTSTCFDSLQRWNESVTKECTRDMNRAYPPR QLEDTVKLANEVRQMWNATCIRDTKSGRYCFDVVDEIRGSQTYGKKTPFKEPCHPCYG MVVSAMLNSSIEVEVWSLDNDYWKGQLELVHDKCGGPDKIEKNLEEQRIYNASHPREP ELMKNNGMTSRVNHIGVWIAVLIYGCSVFMSQTI FPSE_07836 MDNFSYFSNNNIAAVLIAPHAAFGFIATVFVVLRIYTARYITKH NWAFDEYISIVALIANHIMLISECISVSHGLGSNVTRVSNQYGTANFLKVRLYPAILF QDYDLMTQQQSILAIECAYGVACPLSKSAVLAMYYRIFKSSNIIRYSTYIIASMLAGW GIAVLLVSIFLCNPVDGRLEATITSKCINSRQYYVGITIPNIIFDIVTVVLPVREIWR LKMGRNEKRAITSIFLLGGLVVIASVARLVLFLIFLPGVPPTGNNISQTVIFPHAASA IETCLAIIGACLPPCGPLLKKMLKHAVAKVSSGSGNMDNKEPDNNSVVTIGKISNRGP GVSKKRTINDGLEGGSFERLDDNQSLQGSTDELCTGMGHKKGSKSQIYVQREVRVESS EDRGYRNYDAEGIPLRDL FPSE_07837 MKTWTITTSDGVSLNYNQNGPDAGKPLLFVPGWRQGAAEWKKQV EYFAENGFRVTTYDMRGHGESAKPNFGYRVSRFAADLNDLITQLHLKDLTIVGHSMGC SVTWAFWGQYPASHKLIRRLVFADQSADFVIDPTWPKEKAQEMAAIFTPDAVYQTAAD LAAQGPALVKSMFTDAVSKADYDWVIAQNMKMSDKNAGTLLIDHAFRDWRDVLPNINV PTLVIAGELSIFPHQGVEWVATQIPGAQHYTFTAAEKGSHFMFWENPEKFNSVVRNFI EA FPSE_07838 MKRSLLLALWSTGVLGGPCKPSKPSETSVSDSTPTQSNHISLGL SSTYLDLTKTGQDGEHETTTGTSPHESGQISVSIPFSESDMNTHTQDAGSNTIGLPEG SVTGTGPLPEPTSEGGSVPGTVTGDGESEETSGGAGNSGSPSSPQTEGSFPTGTADVP GEQSGTATNPDASGTATRPEGSENQSGTASGFPEQSSGTLVNTEVSGQPTGTPDVPGE PGTTDVDTATNLPTTIPSGAPGGASDTATNPEGSGPSGTATVPGEPGTTDSPTGISEG VSDSLSGTATVPGEVDITVSGGVPGGASGTSPPGSDVNPTEVATDGTSNGGPAVSHDP TNTPGSPEETVSNSATGTEQGQGTATQTDAAPGTDVAPTGTDTSIAGPDQPATTAPGN TLPNDQGTMTQSNGDEFTSAASGDATEDHSGAITTDANGVPTTLPGNQDETTGSPDQP TGASSDQNSDGNSNETSLGQEDPSATSTTDGNAAQPTTEGEEITTNPVADNTDASSTG SSEVNNGATTTGAGPVETTDLNGVPSTTVPAPSATVNPVTSAATTGTDMPVVTEAPPG FNPSTVSGHPEWTTNTWITTTTSEGSDPTVVPVLVGCNNCGGGGSGIILWGFPPVINT WFQLPNLPKFSFPCIPPASGCTSTPVTEETGDDNDDDDDDDKSSSTTCTDKATVTDCF VACTTYTGPAGASVTPECQTTCTKTHTGCSVTGTTTTSSAAACGPSGDSECTTCNVDL TANDDSESLKRRSLERRGGVDIKKNIAGCDWSNTPVGAPRFPAYPGGNLVLNNEAAIV SQSSPLNQIKRWWRTTRDTNCVPSLNNIGEASYPKTGLTDVEGPSIDHVYEKSMLLDF WRYIIDPAATAVVGMKTGTPNKINCDDIKSYGGINSGSNLIQKVFDTYPGSAEVLMPN NAQFMDDFIGMDQWTNGNAKAQITDPQGTKTQADKKASNGKAVRANTNIANAKRWIED KMLFLEALAIGVEMFNVDEAKNALIRQNLRIYQTLVDMDENAKNCMKDDAVINGVWSF ADKYQTFMADRFTGNQDYSMNQGVVYAKNKLITALTTDVANAANIANIPAGDLTSWQK RLANMQDASRVWEVSVTFVWAGPTTSKRDTDGLSCDRPNPSFTTESTAEPTTDFTTFA TSMRPSSDIVTSDEAPSTTDQVTSSKNPPLLTDLPSLTQQVPDATISTPDGSSCAETA TVTNCNVGIGGGHGGPACVERETCNSWINTKTTSTTPSPTPTLTKPDPGQNEKHCYNS GQQSNYEAITYAAESFCRDVVNDQVQGPVWSNYKLEGKKTPSTGYHFKLAFQVNEGCV WTANYDECMRYMKVPIDSCNCSAKGNKQGGWVENNCIMARIDPNSGT FPSE_07839 MAYNPDFIHCTICGLVLQDDVVAFSGPHWPELCDAPPSLKVTDE QVTRYDAFANNYRGNLTFPHDRDEIHPQWDYDVNEDAEDPSEWVGKMYVGIHKTCEQL FNRVINTSPNAKVRSLGELWLTLERRCARTKMEDSHNIGMHFTPSIPNSEPGQPLSCG LERYFVPSPSLYLYGNEWDGWWDEDPIDIPNLTIELIANLEHAPETSVQLPEDLKQFR NHIEALPQEVKDHICSFFQHSQTSLECTYLMPQSMWKQAFFQIPFLWDLDTQVVYDKT GKETAEIEKWNWEKITRQVMSPAKISSHEAREDNDGAWSHDKVGLRVPGGFTNRRRIW QILEEMYPNDVQH FPSE_07840 MFSWIHLSVIGLAFVTPAIAADECQPSTWQARLMETGGINCRFS TKTGSKVSSDTCDTIAKKYEITLDTFYELNPRLKSDCKNVLPDIRYCVEGFPEPIRAY NGLCGPPHSNATCVGTDKQCCNKNTWTCGDTKKEDDMNFNFHFCAKGNTNNQC FPSE_07841 MVSKLALALFFGNALAGLAGRALPPRCAVADPTAEQVQHAQDLK KIESVSKVAAASITVDTYFHVVSSSSSKYISKAKLTAQLKALNDAYGPNDITFKLIDT TFTTNTNWAAGNGELAMKRQLRQGDYKTLNLYFTDVAKLGGTEALGYCYFPEPNISTG SQKFILDGCVIVAETVPGGTAAPYNLGGTAVHEVGHWFNLFHTFQDGCNGGDLVADTP AQARQTSGCPARKDTCPNQAGDDPIHNYMDYSDDVCYEEFTPGQQTRMHSAWTAYRK FPSE_07842 MVGIAGKSKACHDSHQCDLTTPKCHRCLKARIPCRGYERSTLWV HRTQAQPNVSALTAVKCARLEERIRETTEAVDWLHTLQQMRDQLYSSKSTYQVVTFRL QALSIADSIYYPVPGVGESCQDSTSTPSSWFKAVCHMPNPNQALDHSLLAFCAIQIRV SRESGISYDETVQLYNHALSKIISILDSPSDGSSDESLAAIVILSTCELFLFHTSSSW NAHAQGISEMLRNRVVSGNTTQSWSDLCHRLPALTLSKVIQAMVQKRPLILEPHVWRQ IRPASNPISFGGLLDLAIDIPPIISNAHETLRSSSSSMRFLLYVEPLIQKFRELDHWR NSYSEQIWTQSEALVYWSVPAQASNPTDEDHEEKLFPFALIFSSIASASAWIFASSIM LDILDTILLLHSTNHNDSTMLQYEDETLNSGITPSMLEVFQVDADRLSRLLCQSIEFC YRIENGTFGPQITCYAQATLLNYFTHHGHSRELDWCKAIPQMTGPGTSFGINLMQFKP TPDL FPSE_07843 MAEHKQDPNQLPSLPPSSDESQSQPELPVEKQSADTIGPDKDFG PPPDGGLKAWSVVAGGFCAVFASFGWINCIGIFQDYYQQNQLKSYSSSTIAWIPSVES FMMFFWGPVVGKMTDEFGPRVPILLGSFLHVFGLMMTSISKEYYQILLSQGFCSAIGC SFLFYAPIAAVGTWFLRHRAIAFGIVTAGSSLGGVVLPIMVNHLVVRIGFGWAMRSVA FLFLGLLVIANVTIKSRLPPPRRKFNPKDFISPFQEMPFLFLTIGAFLLYLGSFLPFN FIIVQAKALGVSPNLAEYMVPIVNAASTFGRLLPAYLGDRIGVFNVMIPLTLLGGIFT LTVWLTAHSTASVIAYAALYGFTSGCTLSIVPAMVASFSDVRSIGTRNGSLYGVAAFG VLVGSPIAGAIVGDQGGDFSGLIIFCGVSILLGGAFAIVSRQYLVGFKWRVKV FPSE_07844 MAVKLVIPRAHRVPPAVYVFIIFTFLFALLCSTSWPPTNLSSDD ADRKFAFIVPATSPSPDLCKTITTALALGYPSPVIVNWGLNHRSISGWRGGHMLTKTP GIVRYLDTAMHPNAHPSEKLHEDDIVLVIDGYDVWFQLPAQILLERYHKINREANERL YKQWNRRGPMPMRQTILFGSGKCCYPGTLRAGTDMRCDQWPMSPLRTDLYGPETEKNM TQNEKIRPKWINDGIMIGPAGDVRRYLRHAQEKMERGLGLGIHMYSSQATTGEVFIEQ EILRQWQRENKTPTQNVLEVMNSNLEFHAGLDYGQQISVQTTKTRNRDKSEHGDFVRL GDQSDIDQHSEALGIVPSRIQGLPDDIKAARNPLSDMVGGANWTDMPLYANFYTESVP VMIHYNSLKDRRSSWWHKPWYYQHLRKLVKSGLELRHPDESLVTLKLRNGRIRYWALS AEEEDRYPRQFNGTADERLNKMQFNDICKHEKTAPHGPNQEWWEEVFRDTGGPWK FPSE_07845 METVHGICSPPFQGVRDLLEGYIKSNEELGASITVNINDKIVVD IWGGHKDQERKEPWEENTIVNVFSSTKTVTSLAVLILVDRGMIDVNERVSKYWPEFGQ NGKEDVLVRHLLSFASGVPGWDEPLSIEDVYDLEKSTPMLARQAPWWTPGTASGYHAL NYGHLLGELIRRVSGKSLREFVATEIAGPLDADFQIGALENTWDRITPIVPPEDSGIM ADLDAKSMQGRTFLNPPIDPNLANSEGWKKADIGAANGHGNSRSLARIMSAITLRGET AGKRILKEETVKLIFEEQQSGEDLVMKFPLKFGIGFGLTPCVAIDWMPEGNVCFWCGW GGSFVVMDLDRRMTISYTMNKMDNGLVSSDRAAAYGKAIYDAVGE FPSE_07846 MQFTSILLLASTALAAPWAPQSSAHQARAESIDDMLPPIVPINT RSGDRDLISKIITAPTQVERLKLLNQPGDFVFDFRAVTGAGEAIGKGGRSVSATSLTM PALIGNGASMTVAFLGPCGMNTAHVHNRATELNIVVKGRLVTNFVVENGGKPIANTMD TFQMSVFPQGAIHQEFNPDCEDAVFVAAFDNVDPGVNQIAQNFFSLRGDVLEATLGGV QTIDGKDIESFREHIPANIALGIDACLNKCGIKRNSKRDLKDILN FPSE_07847 MDLSIPSGYESYSNRLDPHDEVDNGHAEKAARLSSDEQTLLKQR RAPPKPKGGALDTIRLALRVLILLVNLSILGLLAHGVNVWQATHSSIDRNDDGWVRTR WPSIKMLSTWLMLAVTIFASVVQLVALVTRLSFLRSMRDGVVHNVTVFVSSGIVIGGW IAATVYLIVDKEVLQNNHWDLWSWSCQNRSRRSYIPWASLCTEMTYAFAASLSVVLLE IVTLVLFIISMRGMNILGKYNRARST FPSE_07848 MSVSSEAYAIIQQKKAKYCRLADTQQWDKFDSIMLPNATYSFHE PNGSVITQDGVTYAWSSRADWTAFFNNANKDLQAIHNIGPAEMEQISPDEIKASWSVI YHVGNKDPNSGAHGTGGGYYHETWRKVGDDWFMETLRMDRLYWKLLTH FPSE_07849 MTVDEFDPLITSVARQASTGQVLRLPWHTRPVALGSFFNTKTAS SSKIDPFSRDSAFDTESLRRSYINFEPFDGDASYKSSESTSSSTSADHLSVGVGVGIS CFVVSASVSCQYDRHVMENKDASKTSVMTTYRAGSVTFTRPPKLSEGALQTLKYNGGL TAFKERWGDYYVAGYRIGGDAGVMMSLGSSSRTVSESVTICIKVEILFFSFEDSWSKS WSSAESDLRVTLSCFSTVEQAKIQEQRQMGDPKLYSFIQEARGIHNRAQGLVDTIQRK LDHLGVSKDKQVTAEQCVGLCQSGVVVELLLFPVESLRELQYWKTDQNVICM FPSE_07850 MALRLAPYNNGMRLGQGFNSYTQQICVDDAVVTDPDRIDNPVTN DGFTMRDLRFAISGAHTTHSPSSEESGDAVLVSPAGEEGNDSTALAARSFAFQFQQRA EQIKKLIEDINAYETELEDVSKAETAERRKKAQILETKRIKLSKLKTLEVTEALKSAE SKGLAEELANRGRSQVVTYTSRFVSKLSEVTDEMNISGSLSIKYGAIGGSGKGSFIDS DKFKESDLNFFISVKVINQSINIKDALIFQALPSVDDKNFVDVFGDCFISGFLEGGEF NALVSMKVLNKDKKTEIEAEAKVAMTIGPVELEAEAKVKVAKNNIQANTETTIQVGWS GGGSIKPMDEPWSIDTLMQTAARFPDLVAKTPQRTYAILTKYEALRSFMALKPEKLLP IMYENAAIYTNALLDAYMDYKNIYRNISSDLFDIDAGTKKFDKPMDSGTLADNTTLTK VDDESKFSASYKGMELGRRACRFQMMKIVTEVDLVTSTPKLATDETRGEQFQSPVSFR ERLPKVVLERTPRKLQLFGDEEQTYHPLLEGSSGSKEEADKVASLVADKNDISEHLRL APPIGSDEGTLFCNLDFVKPTFALLQIIVEISDRGVVSGMRTRYSNGLVTNLGAVGSG KQVTLNLHPEDGERVVACSVEVGRPTDEANSKERVTAVRLYTNRGPDLMGQADNWQAS VEGKGQRGNTSFEGLTLKHYDPLLAGGHLKGFWGRSINTSTSGKRTGLYRMGPIWGDT QDNSVGAAGLESGDDNEQDRPKTGGVRALDIGWKTETNMARSTTVRFEKSLASTPRVI YGLRQFDIISPHGPNIAVETLNLGKEGFDLKLQSWNSLVYNTEANWLTLPNNSNINFQ YGELDSSELPGRAPTQNASFRVYFAKPYKTEPKVQCWFTSIVQPKGWRSLRCTVSNIT RTACTVNMETWAGRQFDRAKVMWLAWDSEYDGKNVRSGTNFFKKDAPINDFPWYNGSF AKQPVAFGALCHIDFPEQATNLRCYANIAEATKDRLKWQAGTWADTTMDTTGMVWIGM D FPSE_07851 MSDPLSISASIAGLISLSTVVYQTLTNFADKVDRAPKSAQDILH AVTEMRFALVSMSDLVDRFSRISARRKVMVQLDHLVISLSRAVMTFTDLELFLSDWPE ISSMQSSAWRRIRWAMKEDKATHLIKRLSENKIAFVLILSILQSESDFEAHTSSQALI EQMDTIIAENREMRIFMDQIGNDLQSIAGSVYIPGDASTIGSPIVPGSLARIPTALHP PVQRPNLVSYFNDQSFDRATGSNNVSETQLLVPSTSEDRFASRSFHAPTHASKRSFSI ILKKSWVYKRVRTYSLDLSLNSSTLQNRAWSMFSGVSLNDVSVISFIRLPLRLSDIPH DAWYCRAPREVSPNYQTLGADDPFVIAVVGENMSGKTSLVDRICSNKRGDKFTPSNNS TIELRRRLESLHEGHQYPVELIDTAGLDCFQPLVDEAIAESHGVIITCPAIDADIGVL SRLYERAKVRGSIASAQDITPVVIAITKHDLATDWLDESTVLRVKDFAKDKGIDLYIT SAMKDSGITDVFGGIFKSLVKNTTRTPCLLGWKE FPSE_07852 MAQTQIEPPMHSKLDNKPLLRHNEDVRCLVSTALFFTVFLITWH NFTSPTAGGWISFSLWLALFQLSFMGAVTTHNAIHLPVFWSKNWNKFYQVCLSLQYGG AVSIFIPGHNLSHHKYPQQARDVMRTTKVRYSWNLLNGLLFFWHVVLSGNKDDKLYFA AQARLNRPIVRQRQIEEIAVWGTTGVLILIDWRRWIWFALLPQFYAKYCILSLNFLQH DGCDMTSKYNFARNFTGITLNYLCFNNGYHTVHHLYPGLHWSVLPEKHQELISPHVAP SLEDPNILLYMWRNFIYPGLRVDYKGKPLIITKEENEMPDEPWFYTESETFSNTKEYL AQGME FPSE_07853 MSNSQPQVALPGGSGRATSRWSWWYLGLGVATLAAFVPVFSYDS ETLCPQAPIQNVQLQDPMTTAMLKNLSSDLFREKSIKRMAGAIQIPTIAYDDMGPIDQ DARWKVFDKFADYLQTTFPKIYEKLTLEKVNSHGLLYTWTGSDTTLKPTVLMAHQDVV PVPESTVSQWNYAPFGGEFDGSSIWGRGALDCKNTLIASLEAIEALINAGFEPRRTII LSFGFDEEISGHHGAAFLASFLLQHYGENSVSLIIDEGAPILPIWGTHFAIPGVSEKG YVDVEIVVRTKGGHSSMPPVHSSAGIAAELVSTIENNPYPPQLYQDNPLLDFLWCGAE HAPGFMMGLKYLLPRRGQTGILETIKQLSFPRLVSRVNKMAGYLISTTQAVDVIHGGV KVNALPERTTVLINHRVNVGESSELVRQKITKLAGVVAKRHNLTLHAFDDTEEKESSI LIRFMGSVLEPAPVTPTQAGDEEKDTPWSVISGTTRSLYGKQVVMAPGIMPANTDTKY YWKLSRHIFRYSPGWDPEVLSIEGIHTVNERVSVVAHIKTVQWYVYFLKNVDNARF FPSE_07854 MGLTEEQKQHFIENGWLKIESAFTPEQAAPMLKDVEERLGVDLN DKTTWKQWRINLKPNRWVQASEFAPKAWEAICELSGGEHRLDPEGCFWSNGFIVNLGD SAYEGKPSPLEGLDQFHTDGQFFVHYLDSPEQGIFCVPLWTDIVPGGGPTALCSGTVG TVAKWLYDHPDGTNPDLLPRGHPRFNDPNGTNLDWSNEVARTNGRFDLGTGKVGDVFL LHPFLVHSPTRNEKKLFRAITNSKTSLKEPNLLHRADGKYSILEQTIRRGLMQNGVTE EGLKNWHITAPREQFQPGLVGRIVPTKADVTPLDKFGVPAVA FPSE_07855 MSRSAVDVSSNTQEGDFNTIVTNLANQDKVPWYQKRNLRNLYFM LIPTCMGIQMTSGFHAQMINAMQILPSWISYFGNPQGSLKGIIAAAYPLGAILSLPFI PIINDRLGRRWSIFIGSFIMVIASLVQGFANSVGSYIAARLILGFGLPSCLVSGSSLI GELGYPKERAVLTSLFSVSHFIGQITAAGICFATNGISSDWGWRIPSLLQIAPPMIQL CFVFFVPESPRWLVARDRSQEALQTLVKFHAEGRESDFVKAEMAQIQSTLRIEMQTTK RSWLDLGATAGMRRRLLTTCMLGLFTQWSGSTLISYYLGDLLQIIGKDSSHFKQTINV SLACWSLVCSFAASMLVRRFKRRHMYLACTASLLICFSSYTISMERTITAKENGGSND AAGAASIFLLFAYSPCYCLALNTVTYTYLVEIWPYAERSRGIAIFQLFARCAEFFTTF ANPIGLKNISWRYFIVYCCILAYEVVFVYFFFPETSGKTLEEATFLFEDEKSLAAETI IAVENSTATELMSRESLERRQAGSGTKPST FPSE_07856 MSTILAGMWLWTQYDAVLTMMRMKEYNHPVPLEKPTFPTGTVSI LILTIDTPDDFTNTLQSCVASQPKEIIVVTIPRDLARVKSLASPVLQKSGNVPITILT VPKPGRRTQMALAVREATGDVLCFVDDDTVWPTDNVLPYLLAGAWIPTERRNPDALTP WEVAAIRKLSSNNEKQMVLHASGGGIWCLVGRTMLLRTAALKPGTFLDDLTNEIFAGG LLQTGEDSFITRWLRRNGWELFHQDAREAEVFTEVKCDSTYVGQLIRWRRNGFQAFIN QLFIDPGFRSIYKKDAYFARKLAEEFGRPLITLIHLIGWGLCIMNSPRIALCFVVWYL YQMASAYRSFLTLFPWVGIKNLWAAVAMDYSYLILDYYGLLTVSREGWLTRNDK FPSE_07857 MVAKTHSLGEKSPKSDLSFENVEHLATVEHAPVVLLNEDISYGR GGIRSVIESPYIFGVAFLASMGGFSFGYDQGVISIVNIMPQFHKAFPRTETAFGKGLM TGMLELGAFIGCLFMPTLADKISRKKALSIVVVIFNIGAIMQTAANSYGLLVAGRAIG GIGVGTLAMGAPIYISEIAPPNLRGTLLVLESVSCVIGVVVSFWITYGTRELAGELSF RLPFGLQMVCSTILGIGIHFFPYSPRWLALVDRSDDAHTNLERLRRLPRTDPRVQQEH AGICNEVTIQKRHPGVTGLKLEILCWGDLFKKSSWHRTSIAVGVAFFQQLSGINAFIY YAPTLFQSLGQSTEMSLIMSGVLNMLQLVAVTVCFFIIDKVGRRPLAIWGGIGGGTAW GIMAVLVGVFNEKWGSNPAAGWTAVAMAFCFILIYGVSYAPLGWALPAEVFPNSSRSK GVALATATVWLFNFVVGVATPPMIESIGFGVYIFFGSWCFLAAVWAFFLVPETKGKTL EQIDEVFNDYAAQEELMILRSLLDDSASLDLGPNDPIWFADITIDNIEQPEAVNSSRF PTEVPGSLFMLPENESLEQQLRGAICQHAHKESKGFLPLDKLNEIVTEDSVSVALREC MPHLSHDQAQFHAKMICPRSQRNHMEPSFRRIFAILAMIQQPQEVFALMDNNITDYDL PLVKVPGEKKGRHELRRKFQPHRPLECLHKWSPFLIDSFEGCQWMMMSPFFSWEDKRA VRTYYLADQTILPFIEDSSRDVDMEDDEDFQGGHGSIFKVKIHPAHHNFHHIAEAHDS DSAFAVKRLHSRSREMFSREVDALKRLSGQKHIVPLLATFEYKNSYHLLFPWANANLR RYWAMFPNPSIDRRYGLWVAKQCKGMAEGLSTIHEPPPDQSDDYAYEVALQPSHRQSQ SSQSYGRHGDIKPENILWFRNGPNTDIGVLEIADFGLTRFHNRRSRSNISPVGMGNSP TYRAPEFDMPDGLLSRSYDIWALGCVYLEFLTWYFMGWKGVTEFSTLREYKRNNLEAD DAYFELTGAPETGNICGKVKPEVVNWVVLLHQHSACSPFFHDFLDLIKNSMLVVETDG EAPVRRATAAEERRLRTRKRTRTEADSNEVVRDTQRWYEMYKIIFPGADIQAISPYND YNHGAYTAVGSSETIADYSKFIDGGIPSEVQNKLQATINRELGCSELDVRRIIDILPI LQQTLLQSFQNQNDN FPSE_07858 MIIFYFLGAGFAALKFENFSWLSPLTDLRHCYLSHTGKRSETLA AEHERRGEAILRIGPNALSFNYPQAVRDIYGHQTKCTKDHKETVLAGTHRNLFDVVDK GEHSRKRRLLSAAFATRNLAKWEFKVRYTTQRLLDAFDPRCTATLKPNTDPDPEDLTV DFNNWINLWTIEAINYIPLSSKMTLLDTGTDEVMAETPDGTLYPARYRQSQNHSAIVK SIFCWEYELYPWLRHISKGDIYYHQAKERLRRHQAGEKLDDFFSFLMHDKNGIANKVK WGEIVTEISAIINAGAETTAIALTHVLQLLISHPTQLQKLRDEIAGVIDEDNVIAPLE KVKDLPYLKACLDEGLRLIPPVSSGLPRRTPPEGASIMETWIPGNTSVSMTIWSAHHN EDIYPESYAFKPERWLDPKERKRMEPFFIPFSTGARGCIGRNISYLEQQIVVSTLVHR YDFAMPTKDFKVSRFEAFNLIMGRLPIKIWRR FPSE_07859 MATKAIVIVAGVGPALLARKPENYEGLVKEINEKGGKAIGFSTD VSSEESVKAAFCNIHEEYGNAPIAAAIFNAPGIFLKKPLLEMSVDEFGASWQVSCKAA FIFSQATLPGLLRQATDINALHPPTLIFTNATAAVKANAQVSGFASAQHGMRALYQSI AREFAPQGIHVAHAIIDGAIDLPRTRELFEDWPDEAMIGTEDIAESYWNLHLQTKRGF TNEIGMRPMLEKWKKIRCEPTLQGCHQCTKYGTVCHFTPIPTKRKPRRPAGSKYIAEL EKRLSEVESWVQNMPLDEARIPDNCSEENRATLVASHNTNSFVKFDDWRNPWMVEGQQ MNSSYTQSNSVQLNLGPYELHSHSQSGLLTLENQKDDYMWPRPALKSHWLPPPPCQSM PASTTAFELIEEAFSSYNRFLPLFDEEEFMREFQLKYSSSNSMDTAWWACLNVVLSIA YRLRAIHKSDSTLENNLAFVHIQNALNVVSDLLVCDQSLSAVRALAGMACVLQGTSNP EPAAMLIAAALRLAHAMNMHRESSNVGLTESQAEKRRRLFWMVYILDKDISLRMERPF GQDDDDMDVLLPSDGNLEPSRQGLFNLRIGLAIIQGQVYKELYSTRAIQKTNTQRAAA AQNLSSLLSYWKSNAEMELPEDHSMSLEPGVPGETVHWVVLRLTYIHCLTMIDRHLPR PTSSFPNQEHNSPEVLLAPGSVCVVESRKAIGLVEVIPQGDCSCVCHSKTEKQSRGEW AKVPSKTPRGIDGMVLEEPGSNSTEDKAAKRLSETPQTPGEMIEVSTDDLIQSESQVA PLDQEPDYRLSDVVSTNMTCKSDACDDARHFELEVPRLALRQPMIMNGLLALASRYDS RCMDTSNDIESTFYHNKCIKLLIKAFAQPPETWDSTLLTAVVIARLYEENENETDSYY HHLSGTQNLLNHEAIARRPIEICLESFERSTVFRRCDDSAYANRAVYNFAKIMRLFLQ VESLDSNQDKWKAAEMEVDRWYDAKPVSFKPVFHNLADLSANRPFPTLYFIASVPAME GQTLKYFKVDIA FPSE_07860 MPSTSPVILILGAGPNIGKALARIFAAGGYKVGLAARSLKEADS TDNQLHITSDFSNPDDVVNAFDKVKKAFGIPSVVVYNCSANTFTPADDPLAIPLANFR SERTINIDSAFVAAQQAILGFDQLPSSAARTFIYTGNVTNVAILPKMLSSGVGKSGAA HMIWAASVGYKDRGYKLYYADERKEDRSPKYRIDGDAHAKLYWELAHAKTQGPWMQTF VAGVGYKKFDTIYTPLA FPSE_07861 MVSLGFLYVLAVAVTALAAPSSASNSLVSSLDARAPKACTNPAN LLQNPSFESSKISPWIYHPYYPKVTTQKIVDGGYKSNHALQVTGNAKLQNDQAFSQMK QNFTTCKVGRYQLSWSMYLAKNAAQGIDYRAPGMNIWVTCPGGAAQVAYFTFRPNVLE SYVSNPRKSSKQKVDQWVNTVVDLGTLQAGNCTLDVNWNVNGPVKGDPVSTLTLKLDN FVIKALS FPSE_07862 MKPSTFFTLALPTALAFDQKCDFRPVNGGNMPGQNAKRWTITGK NIGDEVAGDAFCNGIKDNLKCLNVDWNCKSDGNRGLKLSFVTSDWCGGEKIDEAWWFA TKNGFGPLCCKGGC FPSE_07863 MKLSKHLGAILALNLSLAPSVLASATCSQLSGSIYTGSNGNSFD ILCDTSTVNGNIFAYYSDGDEFQDCVDRCDAVSICVVALYLAGSGDCALINSYQGTRS FNGNDVAVKRAATTETTSAAESVSSSDAASTSIEISSIEATSTVASTTEPSSTDASST EVLSTEIEDSSTETSSIEATSTVASTTETSSATEALTTELSSTESFSTEVPSTKVEDS STDTSSNGAESTGALSTETSSIEAVSTSTEVMSESTSTSEAFTIVTTTTNSHYTSTAG IETSTDDCDDETSTIETSAAEVTSTVTASEILSTTLSTDSLGAPTTTLQTGELSTSAF SETSAFTSSASSLTTTENHNSVSTSLSNSVTDETPSTLSPFSSTTTTEIHATYTQVTF SSWSSLETTKHGSKTRSVSGITTMTTESASRLTTPYPTSDCVWTVYLTMVEYVTCSTG VIPETAITTRYATATNHGESYRPPVVVLPSGCIGGYQVDVSGHSYPVALPTKGPYDNS TYQGYSQPSARPTQGSSGNSHPVYDNNNPHVPAPTVESNGDSRPEYIDGHPSVPESTQ GSHAEYDEDTSSQQSTAGYGSPEPSKNRPKKTEGLAPTTSISAYESDVPANLPVSSAI HHGQAGISSTFAIMSTATKDMYRPSASLSTPEEAPSTPLSNEGASIFSSWSRDDAQLD SNDCMTSRRGAYFTK FPSE_07864 MPPTGVPAFRHWLKHKDTYGPVSSVSVLGQPLIIIHDREAAHYL FDKSSGKSSGRPSANFGGRLCGFDKILSLQQYGDTFKRHRKLVHRQMGTRAGAAKFRQ IQDVESHRFLLRSLDNPGNLMEHIRKEAGGVILKATYGYSIEPHKPDPLVHLVEFMVE GISIVVVPMKFVVDFLPWLEYLPECLPGMSFKGRARQWRNILNNTIEAPYQFVRQQMA KGIQCESYVSSLLTQEKLKGSGNDILDETYEADIKRTAAIMYAGGADTTVSTIQSFIL AMMVYPEVLKKAQAEIDNVIGPDRLPGFEDRENLPYINGMVKESLRWMPAVPMGAAHK ADDDIYYGDLCIPKGSFLLPNVWWFLHNPETYRDPERYDPDRYLEPRNEPDPDSNCWG YGRRICPGRLLADESIFIVIARVVAAFDIEKDVDEQGNTIEPKVEFTTEGALSRPVDY PYRIKPRNAKCVDLIRAVEKEHPWDKGDASLLPQDMVVV FPSE_07865 MLTTLFLSALACSYSVQAHGTITRVIGANGVVMPGLTIRDGTPR SSTSAASGAQADTSVIRDPELGTKKASALGRTSEGPVDTTHVIKIFMHGLKGRSVADD ILGGGEEAVREAASFVTGNAGAVINGVQDGIESSPIEAEHTANGLLDEFFQGAKGVPS PRGYIEDGLDKFAGAGAKTGLPTTGTDGTLKLIYHQVNEDGAGPLMVDVDFTSGGTDP SAFKSVEVVQNIIGVVGFSTVSSTDFPVIVKVPTGKVCSGKVAGMSGVCIARVRNSAT AGPFGGAAAFTHPPMSSAGKKPSAKFRHRHI FPSE_07866 MVALLDLPEEILCEIFAQLPSQKEMNAFVQTNRHLYRLFNSSLY RHNIQHSGGSALAWAAEHGHLSVVQRILNMGKDNIAVKGQVEQAFLLAARHGHLAIVK LLLRNGADADQQTTHGSSALQEAVVQGHEKVVELLLDSGASANLQMPLGGSPLKEALS ARRIGVAKLLISRGAKLKTRNGGFSGALAALAGTGQEDDDMVELAEMLLSMGDVAMIP ENLEGAIMAASRNGSKRMVKLLIERGTNINVVDARHGSLLHAASLKGHLQVVHLLLEN GADVNAQGGDHSSALQAASFAGYEPIVRLLLARGADTNLRGGIYGNPLQAASSMGRRQ LAELLIDRGADVNAEGGRHGSALQAACSLFSGHPKDDTTLVELLLGRGANINAQGGKY GSALQAASAAGREKVVELLISNGANITASSGKYGDALELASGRGHKSVVKLLLTNGAG NDAQSVGKALQAALSACCTEIVKLLVDHGASLDLPGKDWGDTLASMAHAWPETDEIIQ LVKLVLNLGNSTISPPRDFAEALAIASENGGERIAKLLIDKGADINVDAGHFGSFLQA AAWHGRKEMVELFLKNGANVNVSCGHFGSSLQAASCRDGLDIAKLLIENGADVNLQNN KCPALVAACCARYEQMTRFLLENGADINIKDETYGTALYAAAKCGNQSLAQLLIDHGA DLSVRCSYRGDSPLEIASLEGHGQVVELLLAHGADVNAQGGKYGNALQAASHGGHKHL VRALLHAGANVNAQGGRYSHALYAALEGNHMPVARLLIENGASPQGGEYGNALQMASY KGQDQMVKILVENGANVNTQGGEYGNALQAACYGGHEQLATFLISQGADVGAQGGVYG NILQAAAAGGHIQLATLFIDQGVDVNIQGGHHGNPLQAAAYKGHKQLVTLLLDKGAHV NAQGGDDGNALQAAILEGHEEIAKLLLDTGADISLQGGFYGNALQAAAYKGQEQLVIL LLSKGAHVNTQGGYYGNALQAALANGKAHLAELLIAKGANIHAEGGFLRSV FPSE_11166 MYAFKKKSIDESISELEKWQSTFDISWLLILKVANQTLATGDQM IATQERLPNVTEGLISQSHPKPEADRAVFLRPDGIDMSTASLITFCEARHFTKGSESL ILDSLTCSSTSKISRSLVVKDVKSLAQKLYAHQDPGYGLFKCKGVLKRDTRDQNEDQL NFTLVFKVPRYLLEPRSMRKMLLRAECPNSLSEVVRIGQELAKAVSYIHLLDFVHKKI CPESILLLRHIEKDYLAAYLVGFQKFRKDGGMTSLLGSSDWPQMLYCHPKRYGQRPED AYIMQHDIYSLGVCLLEIGLWESLVRYGESGQLVPSQLIKDYLPSSGMGSIESLKQHL VMLARTRLPRRIGSKYAEIVETCLTCLDDGNADFGDESEFLDADGVLVGVRYIEKLR FPSE_11167 MDQSQLPELVRDTELRTRFDQHYTIHEFLDAPHSLVPPRQEVWK KVRAIGRGGFSYVFLEKCVQGQEEGSPHTRAVKVIPLTQGSDTRSYSRELEAVAKFSQ RKFKTYFVKSFGWYSSAEELFISLEYFPLGDLQTYLNSTSRIFDDDAREITRQVLCGL NSMHNEGFAHRDLKPANILIKSCPPSPWWIKLGDFGLSKRTDATQMPSVAGGTSGFMA PDILETIYLGNCNTNGTPDIIPHERWIAADIWSLGEVVVRMLSGKATFRSHEHLMGYS RGTRSFPVSNLKDSGINSATIDFVQKAMTAKAADRITSQAGLAHHWVKVSTDPNTAFL PRSLPELESNANKTVSSTDYRGWTTSKLLTNTPPILMNSVSQVSNSQPKESFQTSIKR KPLPASPVVDYKSLSSTTNEASINVRSSPGTESNIHSDGTTSTEMLAFMNSADSQSSV ALSSIPTGVQPGTRIDIAAYPPDEQHPLDYAVGEEGQVQLGLSPPETVDLKEIIISLR FSPDSQRLFIATATEFISRNQTTQPANEEQHNFPQGILCCPLTPDPRNVVLITIDGEI QLAELESKLTFRTILRLHHHPSCAAVSSDSERIAYVYNDKSIIILGHSPAKLFRKLKP LFGGHKEPVHWVNFDSNGDVISICRGGRLCIWVSAKGYDGTRLNVPLAKEPTHVAFAK SSRAIAVASGGTIKTWSRQPGQPLYRGNWYGISRRSPVVFMEFSSCGSRLYLGLRDGS CELWRIPGDEDPDLVVTLSGKVWLPSWTSNAIALSPDESRLALSTGTHLLTRDIGKAL QVYNILNHFRHAVFADLSADGTKAASFCPVSVGQEVETHHYIVIIWDLQTASIMHVLQ NKSNGVHIVAFSQDSRRLAVVSRDGVTTFWRVSTGKVISAVGEPIQTGCSLSCAAVSP DFRYVVTVERSLNQWRIWIWNTETGSTEHSLGSYELPDTEESKTAKGPPYSPPGTLTF LSNSRDFIGGNEYGILICDVLEGNIQNRSSSTSRASQRAPWDKESRERSTKWNADEYA YHWVDGLQVSPDSATVAYLSKGGKAYLYDLRTSDEPRVFESLTAPGRVAISPDWRLLV TTSWNKMVIWEISTNHIVKVLQDIRECRGNQPIEAVMFSPDSKHVFVLYKTCLLMSDI ESLSDLAVVMDFSNYPGFPRSLIVSADLGRIILGSSWRMVNREEGRIPGEGAPLWRKS QDEIELWDYKAKVAIQVFSKHNLQYNISAI FPSE_10648 MHFSTSILIFTSTVAGLGINCRGSGFCDLTFGSSIGDIQDQIGI LVADGQGDRHFDTGAQIACTQGNQGSICAFYQSGASGLARDAFGHIQQIIDHGCSLCG SVPTQEGNNVDDGQLTVNYVSDPCCEGNCHC FPSE_10647 MHYSTLTTLSALVTMTSALGINCRGSGLCSGGAGNLINLKAIVD NIQPRDRHYETGQQVACTGDTCAFFQSSATGTADDVSWALQALLDHGCKKCGSVPMQD GNNVDDGQLTVNFVSDPSCQGAC FPSE_10646 MYTLLTLTTLLSAASALGINCRGSGWCNINSASLDNVLTKAKQL QARGQGDHHWGEGVQIACSGGQWGSICAFFQSGASGNTDRAVELIQGILDHGCTQCGS IPTEEGNNVDNGQLTVNYVENPCCDGDCYCPI FPSE_10645 MFNNAVISFLQLWLATLAFAAPIADDISATSAKPWHYGTGGGII GFIVLVLDILVWIEVLQSNRPVSHKILWCLVVFLFPIVGMLVYYLFSNRQAHMRSGGY EPVP FPSE_10644 MPCKTVNSENLTNKDVEYLYHHLFLPAKLPDGNDNCSKDDKLLI GFVHQSLESFIAKIDPEAGTAIKACSIMIGRLQESKNIHGFLSAGSVPNVLQQLSPHV APAALFHVPAQNSGIFVYRTVASVTFETFELSPSNKDVMETRGRLVRRFPANATEILY RDLVDVDFEYALATTLAKMSHQTVKETKHKVKKANQEHVEDRETVHPRIVVDLLPAIV QSVGKQVSAMGISKKTHEEVMWSNSKLPWRRSPLWLLIRVGLQLTMVRLSSRGRDIYK EFMVFLLAEVLAVATKHDAGSDELYTMSAKICRRLCKLDHPPDDQWLTHVRRIVSETS QVLAHRWDYIRMENERPLALETIKKFKLDDSIQLSLPETDTFITSISEREETIRPVNF SPVAYVQLLDDNCLPTIETGEGYLPFRLAMLESWVAANLELWLKHHIGEDDACKKLKE LIQSYHHVASRQYSDRPEGASRMLLTIGELWVAMDKAAIHAIHSLALYESEVPIEIWQ ALLLTSGAEAKRLDVLEKYFLNRQCVAQEQGRPSIFTSYGRLRSFSTEYFSASLEHQQ LKARIEAQANSQREAKKMEYRRLKEEHSMLMEKYHDRTECDGFTREAYGTSVWNHSPG CLRCWYLNRAQNLQIDVHEWPLPQHNLDAQSTVFELSVPAVFSEWRDGTLYLINDVLL SEQDGTYNPQSSYPLRDYSPLREFFQTGRGYRIHLLSETKPHMVTHRRTLYVHSCVES DVCVNNGLRYQYFDGSRGWFLGMFLPTEGISDLCTFSLPGRAIKLKRFLKRTWLHPEG EYPNEVIASQFDCPEYMSLSEYKALAELPYGYNIQWQSILSQLAMPRIDLNKMETAIF LLQMSLQAGPRSSAVTRCTHTRISDHEFGRVMLENLTKGVSRIRENWESYTALLSLTS LASRVLSQVPSDLVNPFIELIDKCRDVAYRWLDIVLKRAETATDEAHRRGLLGVVLNI ALVCVDSFNVDDFFLAQVLADSNQASILLECSIIIHNNAPVQVPADDPLQNALFDRWR HTMHRARDVLVAQRDLANSCFNTAVKRCWPAFVPASTWALVEKTCHWLQTTTREGLCV HIDILAGELLVNGSPLARLPREYERHDSYKRLFGGLILEVMPSNILGMRFCTKQQFQG HTVHFGMQDQDLLIRLEAVGSHLDLIPPRTLRELLPHSFVDEYSHWYHVNTGTVEFRL LKDPWTQNPSSWFLSRSKEVWTLRQGSSICLLAPCSEMARYLAAVLSPLEGSLYIYML YDQFVGSLEIHVPRLQLDFFIKAGESIIRSRQFRGMHIDPDQSLGTLVGFTSKIILRN DLDVPVRMLIVPEGPVHIQRLKGHVTAAVAYGTARRIQNYRIDELLQRLAADTKLESK LFLAYIHALTSFCLPDPFLGRTGTEEAIRLLGSASVRAPGPLSPTEHDRLQSIAHLSP VRAFYPEHERVMQQVSWSSDLGFLAQDDRFYTITKGIIDRSAEIGFLYPDTDKADELS QNTIELVERAILRKARQCVSGYGAEDFSIQYDVIYQSRDNGSSDRAARAAEMAIRAHR GDASLLEPVASGLSDHLYTLLSHETITSLEVLPLEDDLLYDSKWLSSPSTFLSAYWCQ IHQAFRCSQSWISKSKIMFWIATMTYSSEYDQQVTQALLSIALSSSVSAVPLPSQNLY ELVKGYEVSKARLDDDARSAAISFDETPASHLIRRLYEKDHQLMNRRSQEYRNKTNHA VELFKSELSLQWPCENPRAPSDTNVTSYINTREAMRSVVGVWRNWYDNREFRGYLARL IEKIKELPVKRDVTNGYFIQPSIQPTKQPLGSISVDDLFHHNQGPTTLTQTSLIKDLL KGRPISSGAITKLNPLLDFLDDKAKLVFERRYMGELRKSLASLKDHMSWGLAQDHASE LLIVFQEHLVQCETNVKSIYEALLEAVNEIQQGVPVAIQQAFQDIRCRPRVCTAFFLE QLKTSQWSKLPKSWQNAIAQYGLAITALQQAKRLVLFSKDEADLIRELENSGHQGWSV HEYPEWLLLECESEIMIRQVQQQIARQMMQPPDGENISLQLNMGEGKSSVIVPIVVSA QGNGSRLVRVIVAKPQSKQMQQMLVSKLAGMLDRPVYQLPFSRDIRLNESQALTIHKL ATSCMQEGGILLVQPEHLLSFQLMELECHSEQKSGVAHKMMEIRRFFDEYSIDVVDEI DENLSVKFELVYTVGQQRPIDHSPDRWRVIQEVLGLVFRFCTEAKGEFLQSLDITDQH PGRVPRVRILGRGVEATIFERVATFICETGMDGFPISHQPPAIRDAVFRYITQLDLPD VEADTVKNSSFWHESTESHLLLLRGLFASGVLAFAFVQKRWRVNYGLDPSRKTGTKLA VPFRAKDNPTPRSEFSHPDVVIVLTCLSYYYGGLDDEALFTIFNLLVRSDDADQEYQD WVKTTTMPHAFRHLQGVNLKDYTQCTLEVFPYIRFSKAAIDYFLSQMVFAKECKEFPF KLSASGWDLGKKKSNVTTGFSGTNDSRYVLPLDMKQLDLPEQKHTNALVLNNLLRPEN TITVMSVDMTGTALNSRYLLTMATKMSSNIRVILDVGAQIVDLTNLEFCKEWLKCYDG DDHTRAVVFFDDSDNIMVLNRSGKIEELQRSPFADQLDQCLVFLDEAHTRGTDLRLPT SYRAAVTLGANLTKDRLVQACMRMRKLGKGQSVEFCIPREIEQKIISLTGRVQTTPCS LTVSDVLCWAISETCQSLRREVLLWLTQGVRFDHQRHLWDELNTSDNHRSRLASAQSF KEEEALSLDRRYNPQQSHPDISSLDRFGSRYGAMMYELCQQFGLSELRTSSLQEEQER ELSPEAEQESQVERPPPAQAARHSLHPDVRKFVQSGVFTRPTEAFQPAFSTLHLTSAA KTFDVREFQDNVWATKDFSKVVEESLDSEDYSDEFQRSVQWVLTSKNEILNERLLIIS PYEAQTLLPDIEKSQHVSLRLYMPWVNRGFQSLDHLNLYNVPQTQNHIDIPQSLIIPL DVFSGQLYLSNYSDYIHLCDFLGLAWKAADGAVGFGPDGWISPAMPTSTCINRSGLSK SPVPFLKILFTKIRQECQSIRKSHMGKILEGVRLHMEDWAES FPSE_10643 MYSRRFIAVATLIGSCVASPCKPHSSFGTTTIATFNTIEATSTT DTTDSWSAIETTTAFTDTAAVGTYETSTWSAEILTFESTTTVEPTTTTAATETTKNVE LTTTTTAVDAPTPLTTFTLKVGNSVQMDLNGETIKIRDRDPYFIFLKTSPAVASQYQT ATFSVEPSTNYLKIGNLYVVAAAAPHSSLSAASSLDRTSYIICTPPVAAGETLACVVQ GTTRTQWMVVTGTGEKALFIRDVGSNDGFDNFDMIVG FPSE_10642 MGFKVIIVGGSVTGLTVANMLECFNIDYVLLEAYPHIAPQVGAS IGILPNGFRILDQLGCYEPIIDLAGECRYPLGCIRGPDGVPLTAASETSLSVHLEKET GYSYTFIDRQMLLQILHNNIKHKDRILPNKRVTLVELTSDGARVHTKDGSTFDGDIVV GADGIHSKIRDEMWRIGKEQSPGYFPQDETSRVPVSTRCIFGISNRPPKYGTRAQQQI IGKGYSYLIVAAPMNRTYWFLFDGLSKTEYGNEISKYSKADEETLVNDRRNDVIAEDV SFGDLYDKRIMSTLVPLEEYVFEKWHYKRIITIGDSAHKIDPASGQGGNGAIEAAALL VNSIMEQLHSSPQGLSETQIEAALAKVHTLRYERSCDLVSGAHTLQKITSQRMPLASL YQYLVPYFGPTSTADLIVPICAAAPKIEGIPVPHRPHCVPFEDELPVKPVKSKLARRV PWILASGLGISAYLTLGKNSLQGATCVSEVLKQWGTGGALAQSVGLESAASLAISLIP TLSTWLVEGSRNRIVLDPLSWTSLQSGIYAMAGPASMPTLFSLSSVLLSTPCITNRAV NTKVASSIVPGIALGYIAPTLGALIAPGTKYMPQLDLIWRAHPVLCIALTRGIAALRA GRDNKGKSDEREKNSEKKPIDFISDDELQMYSGADVSTLKWIHGSAFAASIILPLAAK LASNAGVNIFGNAADTILPMMGSVPAISTINAASTLVYCLYSAWQLRSLGFVKTQQAV IGGFASVTALGLAGPGAAIAGMSYWRESVISDLGKLYS FPSE_10641 MSTYQYTQLPAGCIRILRLQPHQDKQSTIRCQLSSIELRDSEGL CLYEALSYVWGSPNKPHSIDIEGCSLSVGTNLYAALLRLRHTSLERFLWVDAVCINQT NITEKEQQIQLMAQIYAKARSVIVWLGEATTVGSEDALEEIRVAAASACNGVEISKPD EEPILEILKLPWFKRVWVLQEVAAARHVLVKFGRVEIDGYAFCTGIGALELSYHGDLD LQLLISPVLYLIRRAIFRPKQIAERSVRFSLDICPLSELVGMYHNRQATERHDKIYAL FGMCSDDLDDAGLLVDYKIPWDRLLQKLVNYILPRCLSVSTWNDNQVAVIVTLAHVLG KVQSVEAREESQNVIIDWKTPHMEYHETSCWSIKASSKSAEVGDIVCLFDGCSMPTII RLCGLNWVIVFISITPQIKPAEYPSMYFDTWNWANLVESLGKPSAKFELIWDLDMQQD QGNRPPSNVAAMSPTTTNSIQPSMAKDDRERAYVWLRYREKESLSEIEYRLREIVNKL DIATAISELDFHLSSVYFKESIHALQSILTVWEASKATKEVQDLMVKNELEIDQIIDA LLGVCGGWLPLNWAIDDGDMVVAGLLLFYANPNAELGHGLTPLAWASESGNDLVVKLL IDTGKVDLDYQNKQGETPLLLAARRGYDTVVKKLLDTGNVDPNASNVRGDTPLLVAIY RNHVAVVKLLLETGKADPNVKKEHRKAI FPSE_10640 MVVNDSMRHEGKLYEPVPQGWPTDSSTLKDASLPKWQNILLASS LFLIPAPFIVLAVYIVSLHQQPESQLGSRILEAASIAATLWPIAFAAVLSTVLRSVAL YSCERGTTLGTLEILLGSLTLTNTLKSFFWVKLFSVWTPVLIVAWTLSPLGGQSVLRA VALQAETSSFDFPTISYPSINFSAGFDALPALYALPFGLRTIFGAAFSSSATRLMHAN GSSPNFRDTLDQVGGPDEARRLCKQDVWGNVRLPYLHLLDGYDSNDPYNWVHVPNDTI PPYESLVGIPIRGAPTRRAGNSTMIVQSSYISLSCEPWINGTAWLQDNISQLVLADSI ASEGTIGLNYPNNSFFTAYRETRESIFGSPNAPPNFQLDLIKDTYLNETRNGPNSTIL DMSRDRPLKQKLAFFAASVNDSSDRFSWGMTTCTPSTSYVDAEVRCSRSTDFGFLSCS VEKLRHTKGKPTRANTTVFSFAYNLPVLAWTARLLPDPEGAQNDILNLFLRDPTLARP IGEFYKFTEFVTPPPLDKVPLSVFEARLGTILNTVVRSSFEQSIIVGADGISPSSKVM TDRFINTTITPLTDWGNSTGTWSEFTGQVYKVDWLWMSLYGASAFAMIIFTIGHVALQ CKIRSPDILGSVSMLTRDSPYFAVPAGGSTLGGIERIRLLKNERVRIGDVHPQLDVGK IAFSNCAGTAALDRGRRYD FPSE_10639 MYASYLVDQKVCQALKDVVDSDVAGWGETHSRATKDMTEQLPKP QPQTPQKTLLALRVLILGIVNNL FPSE_12033 MSDSRLFQPMKIGNIQVQHRVGMAPMTRLRASVDRIPNALMKEY YSQRASTPGTLIITEGTLVSPAAGGGFARTPGIWNQEQVTAWKTITDEVHSKGSYIFV QLFAMGRAATVEVAKEEGIDIISASPVAFEGSNAQPRAMTLAEIHDMIDAFETASKNA VAAGFDGVEIHGANGYLLDQFLHDVSNHRDDEFGGSIENRSRFISEIMERVVKAIGPE RVGLRLSPWSTFQGMRMENPIPQFTDIINKAKALDLAYLHLVESRICGSVDDINNGQE KLDFAYDIWKSPFLIAGGYKLHGAQDLVECEHPDKEIMVMFGRDFVSNPDLVLKLQHG LDLTRGERNTYYTSDSAGYIDYPCAAKVVVSA FPSE_12032 MTSKNVFITGTTGFIGGDAFYALTKAQPSWNYTILVRSEEKGKD VQKQYPDVKLAIGSLDDSEVIKKAASEADIVIHTADSSDHAGAARAIGAGLQSTRSAS NPGYWIHISGTGILCWYDQDNKRYGEAPLPEQSYDDLEGVDKVTSLPDTAFHRDVDKI VLEEAAKNPDAVKVAIVCPPTIYGTGRGPTNQRSRQIPGLAETTLEKGFGPIIGAGKT EWDNVHVHDLSTLIVLLSQRAASSDNQNEQEIWGPKGYFFAENGTHKWSAISTLLAKE AKKQGLINSDETKVLDVDEAQEKLGFQALSWGLNSRGDAKRARKYLGWKPESPSLEEW LPEAIQVEARRLKMI FPSE_12031 MASKQGIEEAHIQDADANEFQGLVLKDVIPTDRPPWYADWTLWK LNLLLLSGLLTQTATGFDASMLNGAQSLPQWQEYFGEPKGTRLGAMTFGPNGGVLISI LISSQLCDWLGRRIPILMGSLTIIVGAIVQTCSYNYGMFVASRFIIGFGLGIVSTAAP PLLSEVTYPTHRGKVVSFMMVSWPLGAIIAAWVTYGSFTMTSSWAWRLPSLLQATFSV IQASLVMFAPESPRWLIYKGRYKEAQDILVKWHGYGDPNSRLARFEMAEITATLEIEK IQQKSRWAEWVSSRGNWHRFFLALYIPAMLQWSGNALTSYYLAKVLNSINITDHKTQL LLNGGLQMWGFLSAVVFATLVDRFGRRSLFLLGMSGMGASYIIWTICSARNQQENFEH IGFAGAVLAMIFVFSFFYHAISPIGATYIMEATPYSLRAKASMLYQLTGNLAGVYNAF ANPVAMEAISWKYYIVWCVAIGIHLTLIFFFFPETKGHSLEEVAEIFDGPDAPVGSNA IGQKKVDDMAMH FPSE_12030 MTLTTSPKVDPIVVDGTSFALNGKNVSYRFHVDSVTGDLLLDHF GSRVTENPIAQIQSNGGGWSTQAHLRREFPDLGRGDFRTPAVHIKHAKGFTVCDFKYK SYTISKGKPALEKLPCTFGSDDDVSTLVIHLCDEQSSVGADLSYSIFPNSDAIVRNVR VINNSDDVIVVEKLASFSVDFPHDEYDMLHLRGEWTRECSRTRRKVEYGAQGFGSTTG YSSHYHNPFLSLVSPTTTESHGDAWGFSLVYTGSFNVEVEKSHQGLTRAVIGINPCQL SWPLRSGETLQSPECVSIFSDLGIGEMSRKFHRLYRRNLIRSKFVTETRPVLLNSWEG LYFNFDQKTIYKLAQESAKLGAKLFVLDDGWFGDKHPRINDHAGLGDWVVNPKRFPDG LGPLVESVNKLKAAGSEENLQFGIWVEPEMVNQKSELYEQHPEWVLSAGTYTRSETRQ QLVLNVALPEVQDYIIDSVAKILDSAPITYVKWDNNRAMHESPTPGNQHAYMLGIYHV FDVLTTRFPHVLWEGCASGGGRFDPGILQYFPQVWTSDNMDAYDRVHIQFGTSLVYPP STMGAHVCSSPNDVTGRSIPMRFRAHVAMMGGSFGFELDPDHTPEEDKKQIPELIALA ERINPIIISGDMWRLVLPEKSNFPAAIFVSEDGSEAVLFAFQLMSTTVSNFPLLRLAG LDPNARYKLDGGDIYSGATLMNGGIQYRFGTDYDSKVVLLERV FPSE_12029 MATSVQEKRGQVCDNCRFRKVKCDRGLPCKNCHLGDLRCQYRHS IRRKGPKQGQGRRQTQLRQGLEALDAYQFRIVTPGDQPSAAQPSKSEPPSQTQTEVQT QSPSRLDFQSLSAPQDVNLEDTALYIGPEIPTLSNDSIFETEDLCKRMSQSLAAHIQL FQRFLYPIMPVVDDDILADASRLDQLPPSRYALILAISAATRMQLRLDKRVDGSDNDL NAEIPPEPRLDGDILVSLAENSLRQYSVIDDATLDSVLVSFFLFASYGNLNDSRHAWY YLNQSITLAQALDLTRESGYHGLPDEEREKRRRVFWLLFVTERTFALQHRRPVMLRST VNKPQVVDSNCPVVMHDFINHISLFESLPYSLYEWQPENDDRRFEDLKLVHTINEKLC KVKPEQSIIESQRFDTLITQQWLRISMWRIAFGQNPSSASGFGLLLPLALPMDAGKII MSALGSVGTKSKDCHGIGMEQKLFDVGVSLADTAQLPGWTCNALDNGPRDLLSVVIHA LSTVRGSQSHLLPNLLRHSEALFSLSDPSAHIDLQWDMLDEDNCKLDAIVEEISEGSE EFAEPLPWAPETGLDLLDLSTPTVTSTWSEASIACEQFDPEIGLSFD FPSE_12028 MGKEILDASVSHAESYDVEARVPSKGVNDTTDQARLGAEAEHNL PPLQAVRKYPWTVFWCLVVSMCVVMEGYDTNLLSNFYAYPAFAEKYGHWNEETNNYQL SAPWQAGLGNGAGVGAFFGTLLNGLLVAKFGHIRVLIGSLIALTAFIFITFFAPNTTV LLVGQLLCGLPWGVFATTAPAYASEVLPMSLRVYMTSWTNMCFIIGQLISAGVMAGLV NTPAPWAYRIPFALQWFWPVILIPTLCFAPDTPWHLVRMGDHEGALKNLRRLRPSATD DELRDALGLIVYTNNLEEKLSVGTSYWDCFKGFELRRTEIAIVCFAGQILSGLYFAYN STYFFQQVGLGSTTTYYLNVGGNGMALFATILSWICVMPYVGRRTAYLYGMFTMGIIL FIIGILNTRTENDKIGMAQAVLTLIWTFVFQLSAGQLGWALPAEIGSTRLRQKTICLA RNGYAIMAVIAGVLQPYFMNPTEWNLKGYTGFVWGSTAFLVFVWGYFRLPETRNRTFE ELDVMFAKGVSARKFSSYQIDSFHEAQDAVEKTELKA FPSE_12027 MAIISTLSDVRLDMLAYFVAFVFVVSVVRKKLAPKPSAYLLNPR RWYEFTDARAVSEVLHTTRQTLEEWFHKHPTTPVRLTTDFGEMTFLPPTLADEIKSDK RLSFIKAANDSAFHTEIPGFEPFREGGRNEAGLIKEVIHGQLKKTLNKMTFPLAQETQ LAVEHYLGANKEWHKIRLRDALLPLVTRISTRIFLGEDLCQNDKWISITSEYAANSLE VANRLRVWPKYMRYVVSYFSPGCGILRNQVKNARELITPIVERRRSEEKGKEYNDSLG WFEKTAKQAYNPAATQLFLSAVSVHTTTDLICQCLEDIAAHPEIIKPLQEEIRRVIAE EGWNTKAMYKMFLLDSVFKETQRLKPIQVASMVREAQSNITLSDGTFIPKGHQIAVSC HNMRDGRIYENPEKWDGYRFFRERQQSAREDKVQLSSTSVEHMGFGYGEHACPGRFFA AKQVKIVMMYLLLNYEWKIPEGPEPQLMAWCTTWVTDPDYEVLMRRKDKDDPCLRLEL VQDD FPSE_12026 MRVLLFATGLFAANVVVEAGVCKPAHTTASHSDAVSSIESSATS ASATVIETVSLSTTETTSAEATETTAPGTTMATTTSVEIISTSVTEAPTTTTTEEASA TVSSSTAPYFTTGSVVGTGPVAGLTLQGDGQRFIPLSFQQSGSAQTLIFSIVNGKLAT GINNNYLCLNYKDEGVLGPLVLCPFDNFENAPLNCQQSSDGTLACTAPNGSCNSSGTC RRPNAGVPFSQFYVNDAQEGFFGPASGDFAGYTALNLVLAK FPSE_12025 MGVQSTRNIPKENVFHLEDAPKPETGVLLQVRAGKVKERGLGGE ITSAIYKKEQHGPTYVTATGILGDEHAAKSHGGTERAVHQYNPEHYPDWQVENSPEPG LYDIGAFGENLVTTNMSDDNVCIGDIYTLGAEVVLEVSEPRHPCFKLNSRFKWPRALK RTIRTGRSGWNFRVLKTGNICKGDKISLIERPYPKWSVLNVQRILRARNVSLQLLAEC ISLPMPEMWVEIGKEKLRNSPKTYTLVDAHLVTSRVRKLTFKLKDDLKLDNPEFDHYG FAQIIFGPDNKFERSYSVVDGDLYTFSLGVSLDSHSRGGSAYIHKEMKIGDEIQMSPG NNLGAQENDKKADPKLERILIVGGIGITAFLPSIRQWETTGEPYHLHYAVPSPGDAPF LDELPRDKTTLYAKSEGRRLNIPEIIPKPTEDKTYHARIFSCGPAGMMKECQRVAADL GYPDYMVHWEDFGSGGENLGDPFDVEVDEPETNRHETLTVPSNKTLLDVLNEAGFDVL SSCKSGACGACKVTLCKGEVDYKSTSLLEKEKGTALQACVDRGQGNLAIEID FPSE_12024 MKDQKRKLSNSTTESPRRSKRVRKDGAPQQDDNVSSNHKVHFLR APTEEEDAWVSLPILEMMDSVQMCDENLKFECVAGTVWINEEKAFVVRVSECLFLTHF QNLDLSEIDLTDVAMEDIPRTYASFLANRFGISPKSIETFPVPLEDVCPKHQSDLTVV PEQMMEFCHFKLTSFTEYKPGCTDNINHLVLAEAKACELLMKNPHPSIAKYWGCHVIN GEIRALCFGDYIMSLHDRLATGVSLDAKRCLKSIRDGILHMHSLGLMHNNINPYSIVM DASDNPVIIDFDSCTRKGEKIVKGVWYPDSYIKNAKTGSPKNDFYALKKLEEYMSGHE EAVE FPSE_12023 MCTYRVETNHLTGEMRNEKYGDCQRFICKYSVVNEIWRSTNGSP GAMQRARGNAAGGSRITIWHGAAGETPPTAYVNGRPVIDPNTNAEGSVSLETSTTIPA RSAANPRISSRTPPRSPVEILNLTPSPPRTPARESNSRRESQDRKGKKEKMDEKERNR GNERKSGIERKAGKERKKRILTL FPSE_12022 MASQVLTKDEKSLHDQVNLLPRRQLGIALATLSASLLLVIIDQN GISVMLPTIAHDLNAENTISWAGTASLVANTCFQMLYGRLSDVFGRKVVFISAILLLC FADLLCSFSQNAIMLYICRAIAGIGGGGVQNLVNIIISDIVTLEQRGQVQGVIGAAVG FGNVVGPFIAAGVMGQTSWRAFFWLLSPLSFCTAVLSYYFLPSKPATVGFWEGIRKID WMGTIVSGIGIVLLLIPISGGGSYFSWDSPLSISMLSVGSALLIVFIMWEWKMAKLPM MPANPLK FPSE_10091 QYISRRLRYGEVIVAGFALWTLGAGLALIFNRHTSPAVIAVILA IVGTGVGSVFQPTLIALQAHSPKSRRAVIISNRNFYRCMGGACGLAISAAVLQAQLSA TLPANRKDLASSTYVLPEGMRKEAGVLDAYMAASHSVFILQVPLIGACLFGTIFIRDR GLDPVKET FPSE_10090 MMSLSAAGMSVGAFPGALPDWTNLNVLQRNTLPPRAHFYSYPDQ ESALSFNRDQSLFHSLNGTWKFHYDPSPLDAPIWETANTTSWDDIEVPGMWQLQGYGA PHYTNIDYPFSVTPPNVSYVNPTGSYWRQFEVPEDWEGEQIRLRFEGVDSAFHVRVNG EDLGYAQGSRNPHEFDITDYLSSDKANELAVRVYQWSDGSYIEDQDQWWLSGIFRDVY LIPFSESSIIDYQVDSELNDSFDGGSIKVNVTIQGEEGDLAINLLSANGSTIDEWKGS SSEIYKKQISGDDFHLWSAETPYLYTLLITFNGRTISQKAGLRRVEMKGPNFYVNGKP IIIYGVNRHEHHHLTGRTVSYENMRKDLILMKQSNINAIRTAHQPHHPDFFDVADELG FYIIGEADLECHGFRSFEDTEEKAAEWLSNNPDWEDAYIDRARQLVERYKNHASIIIW SLGNECFYGQNHAAMSKWIRERDPSRIIHYEQDHEAKSTDMYSQMYTTPDYVRQFIKD HGDKPVLLCEFAHAMGNGPGGLVEYIDMFRTEPLSQGGLVWEWSNHGILKEEGNVTYY AYGGDFGDQPNDADFIMDGLTLSDHSPMPSLREYAKVIQPVSVLLAKNGSAMTVTNHY DFLDLSHLDVSWHVVADGVKTDPQSLSLPRVPPGENRTLAIPSVQNVTTGDYWITVEF KLKEDTLWAAKGHLIAWDQLHIERPASASKNAASLTRRAATSEFERKGTSLNYKNGDS SFGFDLLQGNVTWTKNGVDIFQRGPELSFYRALTQNDASGSGDGPMWDRARIPMIYPQ VRDVTWKTDEDGATVHYKVWVGVKTQAWGIEADMVYRIPSSGPELQLQASGEFIGKNS SHTIPHIGLMAVLPESFDDVSWFGRGPGESYKDSKQAGRIGEYSSNVPDLFTHYDYPQ ENGNREDLRWLKIGDKDATLDARRAKGETFSFTARRYMPFDLDDAKHPHDLKPLNMTV LHLDYDNNGLGSATVAVRPFEQHRCYMKAFDFTFDFSIVE FPSE_10089 MPFDFKKYDQKCQGLTLEELQREWEHYTRLISGAATSTAVSGCA IPLTLGVSTIGVAMAAPAIHNARKKREIIERHLNRLNATHHTRKRDVLGSMAVSGTIG VVTLGVGSMGADAVATAGAEHGIQSIIANETAIKLVSHAALDGAGMAVEHAHTNHLKK KDAKKAFQKAGVFQAVQDAKAAEAGYSIQPYQNNGQYPVYAAAGPSQALPLPPPPYTA AVVQAPPQPTYTLDPNAYPQDFKSPMLSQPAPQQNGYLAPNMTGQQSFQQPYDYTQQQ HVPISTAPSPMPSVSTQMFSPPQTPATHYPLPQHVPYQQGGNSPYIPGTPQTFDMSTM QQALPVTPAPPATYAPQLQQYHPSSPPQDNSRNYQAQPTQQYYPSQIAQATQAGYPPP PAATPSGYPPAIMTPHCPTPQPVPASQLPQPTPPTSVIQSPVQQIVQHHTGYQPAIPA YQPQTQAQPGYPSPQQSNMYQQHQAHPSVDLNRRESVQSIPAYQPQNQGQSGYPAPQQ SNTYHQHQGHPSVDLNRRESVQSIQAPSTPHQYNPQHYGPISPQEKTNSGPVQSFGNA YVPAPQPNPAPMAYQMPPTPLPTGSTMAQYQYDNKGSYFPAQPQTPQGTGYPVTPAQV FPSE_10088 MRWVSFLTTLSAALVPAASARPRCRPDKQTTTSDAASLSDTATV MSLDTSTATTTSLDIPVTRETTTDSFTTLETTTIAVLETSTDLDSAAITETSTAEATT AEPTTAEVTTTVAATTTQASGPTIYVNNGWFEDQPNTAWTVQDAQIKTDVSKAASGSQ YVQFDAVNEFVTGSKQISQNIKGLSTERLYRLNVASVVFSEPAVVRESSTICVIQPNF GTRSLAQWRLDFDNLDQYKPNFVNFTPDTAYGLLVLRLRCSDGRVVSISAGVDDVSIV DIGPKLVG FPSE_10087 MRISQLFAVATYATTVLSCAEHNNHYSLKNKKRHIDPRADPNSK DWAYDASYNWGRINPDYHLCQTGTQQSPIPIALKQGLSLEHLIKEWNYPNEITGNFFN WGYGPAFTVQNEDAIWTNNSSFSFDNETVYLKGWHIHAPADHTVERHRSRAELHLVHV NEQGKERAVLAIRLDPGNKENKFLAQLPKMIGFNETETTEEATLNHKLLLDSVQYFDE FWTYEGSLTSPPCTEGIRFFIARQIMFTSVQQMRDILGASTYSAREEQLVWRHRINV FPSE_10086 MLSNTAVGLLSLTALWLNPASAAEKSNTGCEVLKLAFPDNTFSP KDAVYEYESQNFWSNNEILNPACVFRPTCPTQVAEAVKLMSLGITSFAVRGGGHMAIT GANNIDDGPLFVMSNLTTLELTEDKESVWVGPGLDWGHVYRYLGQHKLAVAGGRLSPV GVPGLLLAGGVNFHGNQRGWAADNVLEYELVLADGKIVSVTAESYPDLFWALKGGSNN FGIVTKFRLATLPSDQIYAGVYSVADIPGFLKAVANFSAFNTDALAHIVPQVIAVDEE TTIGGAILFYDSDSDPEPECFRPFFDLPSIGNTFQKQTLAQFSDETGKLVTPKINDQF IAGTTTGKTYEEILEGVQIVNDVFLAALPELYKVLPIEDRKLISVDWQPIGSLWEKAS KKYNPGGNALGLDTETKGTYIAWAEVVEWSGDEHNDEIFKWIEDTTWAIGNATQKAGL YDPFYYMGDAAGFQNIYDGYGAENKQRLLDISRKYDPLRVFQKYWPGGFKIGE FPSE_10085 MSVENGVLYPMDPPPGMVRDVGQAAESAPLVVITAVFFPLATVC MVIRVYTRACIVCKLSFDDYLMILSWVCNVFLVSFTLNMTNYGMGKHLWNVPFSPDLF PNFSLNNLLAAIFFCAATGFAKGSILVFYLRIFPMKSARILIWTAFAFIMGYSTASVL VNIFSCNPIRGSWDPAIIATAKCINRPVFYFLQAGLGIFADILTVLIPLPWLKSLMLP KKQKIGVGVLLTMGASVCVISIIRLQSLSVLLHDPDLTYNTVMALMWCVLELNLSIIG GSMATIKPFLRIAIPRMFTTNYGKYGASASAGIHLESKDRSTGHQSRSLQSLRPVHSV KTPQINHFHATSTDELVIA FPSE_10084 MTGHRKTACCWTCKIRHVKCDATPSECLQCTSRQVHCHGYGPMP SWMDGGQNEKEEKQRIKLAIKKNFRERKSRQARQRRGHNRAIRLKQNLSKPAQEGAQL NKISQGDSGSAAASPSALAPQSLQSQVLSGQSFAKPLGYDEADLLMHYLDHVFPYQYP FFDKGQWSRGWLLWLISKNGPLCRAALGLAALHKRSLLGETNNHHIELEFHTKAVRQL QDFLLSIDINELRPDNEILVEIIACGISLVSFEVLRGSAADWQPHLSAMASIAVKIHD QPRLLQSSDKRLIESRTTAAMAFQIPVLLWIDLLACVATREAPKLPYTEWLGPSCNFE LAHIMGCHNSVMKSIGDLAALSQWKANSTLTDSLDIKEFDKARQNIEDDLENIMDSIP MALIEVRGLSPRFVATQSSNQAKSEQPSGQDCVTRIFAASALAQLEAMSVEVSKDATS TRIRRAEIAGLFVTS FPSE_10083 MTHKHNLSLVEASISDLKRLLDTRVITSVELVALYLHRIGKFDC RGPCLNSVCLINPEVFAEAKASDDYRNSGKPPRPLEGIPFTVKDSYKVKGMTVAAASP AFANLIATSDAAIVELLRNAGAVVLGKTNMPPMADGGCQRGLYGRSESPYNQKYMCTA YASGSSYGCGVSTAANLAAFGFAGEAVTSGRSPASNNALIGYAPSRSVIPPRGLWPLY PTCDQVVPHTRTMEDLFHVLNVVATDDDAAGNADFWRSQPYVSLPRVSEIRPTDYFSL ANPDALRNKRLAVPRCFIGYQGAKLENACSPGVKALWERARADMEKLGATIIETDFPI FENYTRQDFPGQSMNVPNISQEWTALERCDMIALSWDDFLRSNGDKDIPDFTFAELHK INPNIAPMDDPSQHTESQNQVRYEDMVDVVKNRGNSRLADLPGCREALQALEAMRKKD LEDWMTENKFDAVVFPTNGDIALADSDENYESMIDALRDGVKYANGGRSLKHLGVPCI TVPMGNLAEEKMPVGLNFCGRAYDDFSIMSYAFAYEAASKRREIPPLAPPLPSDEISI PHSPPSLTMMTPTLEIASVTASSEDGPDSDVRMVKVTGTCKFSSSPKTSISLEVFANG ELGSPVTWDGDNWVWTARLTRPKRDDKYPALTKVPRDQFLVVFIAKADNGRSSGSFIL LD FPSE_10082 MGNTVSVVEDIGRGASEVVKQIETGVGAVGQSIGDVFYPDNPNR RDRVDQLQNDIKAMKNEFEGLKKTMEKQLRSIQDKLDELLKANNFENFDEMDAHMQKT LHPQLLAEWNAFKQTLNRDIAIENMILTATGLITIAAGFIAYGLAFCGAITFASAGTA LEIVGAVALVLVAVFAILAIVDAHQERQHLQDAIRKLAFARVEAKQALEQMRTYTGFL GSFEMFLSSKILSKDPTDFNEFTNGSFITSLEAIVWPKVVQMLRELDDNRKERAWRNE DPDVWSPGSDHIDRVAETLKKYKDNNRATTANSMPMAKMAAMQTLSLVPESFNTAFTE PNLKVPAGDEQPLLEFVHEKMAPDEVVKPHQFRLNNFESFTDCVCEDVNNGETWRIHA KMDMKPSASLNIKDVRFSITNMTKGITFNDARINLLGYA FPSE_10081 MSVYPDNPNRESRVKQLITQTSTLQSSFVDVEKQLKKITDDTEQ VVNELSHRAGYPSVEQYIQASKNALTPAQKANYQAMCDSLVANNSPLKNSQLMFGAVG AIGFIMKMGVPKIVSIYCKFSGFVHGMYGILRGLVLMFKGAFHDGWTMIRTFATLIKG NYSRAIHVGEDITTKTASSLRWLNRAGKVLQWAGIFAEIGMFIYTVVQGAADKEKLQA AIIECCCTRFGVKKIQLLMNQTLTWTSKVAGIVTSMNFLQKKMEKQKQNPPAKASMVI TEDDIAEEMRTGVDELLTKFDDELGHCNPDEVVFGELALLDDDKSSGEKAWTVEDPSL KEMKEWIDKHPLKDDKGNPIPIEGPAFKDIGLKWANFKVTAAKESSQAKFVGENNSDK GQRFKALHISFPVGDAAPTALFTGKNFIQKGNTTGNDVSVEISGVGGVTGLGQDFTPQ FKEEDNCIKINILPAAGAECITLPSKASINVLFTGKTGNAGSTACLVREEWFPFGDKS WEDVIVDKA FPSE_10080 MSATASAKTPAWVKSQGTVKNLHWRGDAQVPNTGMSVGPSAVVF GNSIYCFHQGHGNNGQLWYNVYNGSRWLGDLQVPNTGTSAGPSALIYKGMIYVFHQGH GNNGELWYNIFDGSRWLGDTKVPNTGMTSGPSATIYMDKIYVFHQGSRGNNELWYNVF DGSRWLGDLKVPNVGMSASPTATYVPYTNTIQVLHQGGGDNGELWTNTFDGNRWLGDE RVERVGISAAPSGIAFDDRVFCFHQGTRFNGELWFNGFNGEFWEGDKLVPKTGMSSDP SAVIYRGKIYVFHQGYGDNGELWFNVLE FPSE_10079 MQFGKTLGTACSTFAITNIDDIFILVTFFAEASTSNTLTPLKIT IGQYVGFTIIMVISMIGFGASLALPSEPIGFLGLLPGLLGIWKLLELIIPYEEEEEEE APSFSGTKSVLKVATISVINGGDNIGTYIPLFSQTKGVEIAVYVVTYYILLGLLCLVT YLVMKHKHILRVVEKYAQLVIPFLYMGLCIFIIVDSECYPWFIEWIDNSISSHPGTVI LAVVTVSVILLCGGAMLWHRLRKKTKQASDIDKSPQSPPTRAAHQCPENDEGLRSAEE AGIEDGQEIAEEPESSNGERDRSKYGTFAKDN FPSE_10078 MNSFWARVISTALPVIFLLAVGFKTLFPGNDPYRCRAIQSTGRW IDPPDEAGNRNPFLQWQPNGCIMSKYESDDIRRCMEGRRIVVVGDSTSRNVAHAFSRL IDAKRSQHDRGLDRMPKNGYFNMTYYGQMVQRLPNIWLLPKETAGQEDYAHNMELYAK EKQVTPPIEDQEGPALIYVAGGAWFGIMNATGKADISTIAKQKLTTTNYGRFTTKMYN LPWDRRFSTYKEYMSIIADFVKDNTPDIDPFTAPMDPIDGLGNQIFYAPPAGPVYQGD DPVRIVDSTRRAGETIEMRDWLSEVEPTWKLPLVWSIPMLTYGQNKTWMDPNTSGVHV KHGVADTRANILLNLRCNAKLDRMKSYPYNRTCCTDYGIKPITQLGIVAFGIVYVVAC LIGEILDLYHDRSEPKWRLFNMKVGSFILALLMCYYADRTQMMAKGQKLWQPLDFALL YAPCVAILLLSIRRSGSPISMDMPLSVKELDEAFLSRHQTDEWKGWMQALILICNWTG ATRESKSIYILVRLCVAAYLFQTGYGHTLYFLRKNDFSFRRVGAVLLRLNLLSCSLAY IMDTDYMFYYFSPLVSFWFLVVYATMSVGGERCNSDPQLVVSKIFLSGLVVSAVFMGT PFTEFVFGLLKAIFNIQWSHKEWQYRVTLDILIVYVGMLTAVATNKMERSAVRLGFRI VLVVAGVLATTYYFYTTLHLRKKMYKIWHPLVSFIPILIFIGIRNISTPIRNCHSKAM AWLGRCSLETYILQYHLLMAADTEGVLIIDGFFGDGTVLADRWRTLVIIVPLFLWISN SVAKSTVYLVDLIMGSASEGEKLSTASFAWLDNVPGHERITAPKVRVVCILLAMWILN LFTPGHEEVLAPSGGHRVTSAPLPPW FPSE_10077 MGLTINNIFAVNEDRPTPKAVYNWRVYTCAAIASFASCMIGYDS AFIGTTIALPSFTSEFDFASYEPNDLALLKANIVSVYQAGAFFGSLFAYITSYFIGRR YSLIAFSFVFMLGAGMMLGANGDRGLGLIIGGRVLAGVGVGACSNMVPIYCSELSPPA IRGRLVGIYELGWQIGGLVGFWINYGLAETMAPSHKQWIIPFAVQLIPAGMLLFGAFW IKESPRWLFAKGRREEAMTNLCWLRQLPADDLYIVEEVSYMDQELERYNREVGPGFWK PFSCLKSRNIQWRFFLGGMMFLWQNGSGINAINYYSPTVFKSIGITGTNTGFLTTGIF GVVKTTVTIIFILFLIETVGRRKLLIIGSVGGSLCMWFIGAYIKVANPAGKVAAAEAA GTAAPGMSSGGIAAVFFFYLWTVFYSPTWNPIPWVLNSEMFDEKSRSLGQASAAANNW FWNFIVSRFTPQMFIKMGYGVYFFFASLMIMSATFVFFFIPETKGLPLDTMNRLFEIK PVWKAHGQLSQELRDQEDEFRRNAEGSKISNEKAQALADEREDV FPSE_10076 MSSSQGKADDTPVKGAPQSIGSTQDELPELTKAQANRLLLKTDL VVMPLAIFSMTLAYLDKNALGYAAIFGMKEDANLKAQDYSWLGSIFYFGYLAMEFPSL WLMTKIPIGKYVGVCLVLWGALLCFLALCHNFAGLATIRFLLGVFEAAVLPCMMIINS MWYLRNEQPLRTAFWNNTFAGVFGGILSYAIGKIDGTLSTWKYIFLIYGACTVFLGCL VFFALPDTPSQAWFFTAEEKKLAMIRLAPNQTGVESNKGFKTYQILECLRDPKCYCIW LGALGYAVTNAGVTNFNPLIIAGYGFTKTKTVLMATPQAAVAMVSQALLTTIALYIPN LRCIFWIGGALMGMVGAIIVHSLDVETQRNASLAGVYLMGFYNVPFIFLLSLSSSNTA GATKKSFMGMSIAIMYAVGNIIGPQFFLSTQAPTYVLGIGAMLCAFALMAAAGLAYYF LCIIENKKRDKQYGKTHDVVDAGLEADKSDKTDLQNPNFRYTY FPSE_10075 MTKSESKDSHGGSTHSSVPNAKNASILVGIRDGVTGDFKLVPRP EAVVSVFDSNFLIGDGIWEGIRANKGRLQFARDHINRLFQSAKAMFMDLGLSKGDLLD LIHQTLDANDMGEDEHVHIRLVVSRGLKSTPYQNPSVNIGLPLIVIIPESKAVDPNSK SRGLRLATTWVRRGPPDVKDEQWNHISKATDVQACIHANVMNVDEALMLDLRGFVKTC NSVNFFIVRGDEVWAPTKDNQMQGITRQKTIDVCRANGITVKELDFTLTEVYGADEAF CTGTFPSQIHVTEVDGRVIGDGKRGAVTEKIQQLYADLVKKDVERSRKEIGEQVTSQR DLRWLQSKL FPSE_10074 MATQNSSVVSTNGEDLWIKAFDSLDPDLRSSLGQTTTDKCDILA AVLDAAKNLKTISLRKRWIFKRSNGQEVVIRDVLEKITKWVDRFKAVGDAAVQFDPDR AAIPWAAVRFLLQALVNDFERYAAMVQAIEVVSRIITRYKEFENLYLGRQQPTQPALE GALTVLYSEVLVFLARTITFFSKSTTGIAVWTGDDSVQIILSREDEVLKLAKLQDTSD LRFIETTVLRMKDQINHNTKRIEEEDSIQMISWLSNAPISIHHDTISQSRTPDFGQWL LRDVEYRDWCQSSSSSALWIHGITGSGKTHLFSVVVDSLLADRSANKALSPFAYFYCL NNDSEPERSSVDGILRSILRQLTITESQNDVRDFLYSDFQRRSRLADKRGLELPKLNR KECVARILQVADEDPITILLDSVDQVEEEYCTILLDSLFAIMSKAANVVKVLVTSRNS LDILPFIPVLKEITVSAERTRDDMSRFIAYKIDEAKLISGRLSSKTRDHLQKALLDGA GEMFLWAHRQIQQLRKIRIEDDLLPALQSSILSDLDQLYDIDLQQILRSGDTSRRLAI QIFSWLLYMKAPLTPDALLAAILASSIKISSCTVADISALCSNLVVVDTKSQVVRIAH QSIRDYLLRTRQSLFSAPVSNTLLASTCINANALGSPGGVDLKMYMRHFYVYAAMYWA SHFQGSGVVDAKQELFQEMSLFIFGDEGHDVSLSFEAWLDICKNIASVLPIDHAMKAV LDAIPNERSSPLFLAAIFGFDGLLSLLAEPEMETDWNQRNNLGHSAVYLAASSGHIST VTALIRQGAALNVECGGYGSPLYVSCFRGYHEIVIQLLESGASPKCGTKFKSAIHAAI YGGNEDIVVTLVQHSRTITSEQDYQEVVLMATEYGLIKVINELKKPNFKSFIVKETPD QHMMKLARTIRGGQLGVLKSQLSREGPKASEVFPVDAVAIASLYGHRDVVEFLLEQGL DIEAEGQFGTPLRSASLMNHRATVELLVQSGANIRTNEKKGNPLYVAAIKGYADIVRI LLHEGVDPTRNTPTGSFGSVLEAAAYFGHRNIVETLLDHRMSVPRVLELKNAFHAAAE GGRHEVIMLFLDRGYKFNLPAISRGGARGLRNRCWGVDDSGYSRDVNRGRKTEVIKDL EEMHINEDATVVETGDYLEDFFEGLYDQRRACPPPRRVNWHRSVSRHYALEISAACGR ETVIKVLLAQKNTARVTEDNVHVALDEAVYNGHLGAFQLLLEDISTKSSFLRYFDNHF RKAAQARQENVIECALTRALEIGYTKEEIDELRLRLPRGREKYKAVSITHDLLRSDFL ASCQSGSVSELEDILQCKHEHLLQETDLLEGVQIAVKNGGAPFLKALFKYRSELEELA IPSQALVSAAGKDLETSKLLFLRRENFPYSLHLLGQMMHSACRNGQPEVIEYLVSDFG VDVNTNILEDITDRVEDLESIMDVSGRDNDSSETSQGVRFISPLQVALACFQSFGKTS RKTSNPEVFENECFSGHEKVVQTLLNLGANPNSLGGKADYPLKYALRFCSATVVKILI GAVADIRLASKDDSALVIAIQRERDAMSVTSSVLDAGYPLPDYCEQGKTFIETLLNSL MTYYTWSFYPDKEYHHYRHFHTLTVERIFDQGPGAVLELLLQKYDTGKLDDIRYIRTL QMACYVGKASFVDLLLARGVDVNATAYIIGSAVEAAALAGHTSIVGRLLQAGADINNI HGKWETPLRAATFGGYCDVVQILLQHGAILREQLSTRSRDPASPSLLQLAVKKGYTDI VKTFLAADSTITSHGDCLQPPLIMACKKGDITMVELFLQAGAHVNIHGRKDGRPYIWT SNGSPLHAAILGGYIGLVEKLLSGGADVNFYVDECIFKTPLLAAFYQGDPKIVRLLLA NGANVPRSVSIMMDLGSRPGCRINEESIAVLATEDERSLQEACLRKLQWLRGLTEDMF HSILKA FPSE_10073 MHSAWALFSLLASSAPVLGGKCAEPRDVGENPNCLGGSYIECVA KSNALCTGECFGQPAGGGGAQCHTGCTTRNQQYCAGYCMKVSNCDECIESLKQMGAAG DDEQHKETCSQEGDSYFCNCDS FPSE_10072 MYSRSRLYIEVFVPLVLPWLFYFENRWFNFITQFVYMRRREQRR VALNNLVSQKKEQIWHAAISGGDGKIQKLIESVNFNQTRGIIAADVAGERYFDDIDHP VSGQSRMEAIADELANTSRFVPEQEEQNTIFDRSGTVSILWIAIERNHKDDVNYLLTN RNILLQTRHCSGQDTVLHKAVLRNDYGLTKSILETNSSISPSNCVNITNRLSETPLHN LVHNVRVRKWKKGSEELKEALRIFDLLFEHGASVNAVNQMLRTPLHMLLDSSPDVDIN PLFERLLSAGAEVNSKDISGESPLHLACRQKYQKIIETLVKTGADMRSLDRNGKTPKS YYGDNDFWMRVEVISFVGMAKSRKPVKLPPSRKTSTRSRRAICDRSPVYCRFQQSRQA AGVIPRKSLHWIAEDSYISNVIYATNDENNVTFLDDCELQSTSWWDYVETCLVSLPDP PTGVTHEEIQAPQDKWRWVSLPANNMTWVKMMGINLSNSSGSTNSPQAGSMVSIVIPY LDIEVEENVPDQIEDVYFPFSGLDGAQVPQTLDQTFNSTKSLSGLRSKENQVIYRWSE RQASRQRCRRVAEKGIYSLFQLLIFKLLLYQNWGQYQSREEFQQTREGRYSANPVFEQ QGNSVPIDESGNETRMRSIQLEANSKWLMVRQLWLWKLNDGTILTTIPSRKGMCAADD LLETIRHSDLDEISTADDLMKHIVQQTVTFTERFKLAGLGEHILDIFESELAFEVDQE AVFFNNFTRKDWNSKYANKAINEAAGCTWRVKDIRGELRLINKVLTQQLDVLKEFAMI IAGMSPEEGKKQGDTLIRDSGLELLMERIKRMDEDAATTIEGLSNITQAMLAQASLKE AESARLMNFIILPFTVVTVIFTPLSFMTSLFAVNSDGFPHNDDGELRIPSDWLRDKMI IGEIGTLIPLLIIIVSISYLRTDRKRATKQ FPSE_10071 MKFSVVAQALFAIPGLATAIPAPVEAVEVDKRANCKFILQWTDN WYENALRRYRVQLITEPRNDDHLGLYCGILAKYTVELENQQCYWRDGMYVVDISEVQG PAGHDQYKRQHKLASDRFYSGTGCDIVLNT FPSE_10070 MKLTLFTNAALAIPFLTGALAAPAQDGLEDKRAIDCSFEVKYQK DWVESGLDRYRFQLITNPRNDQHLKTYCDLYLGMVGFTINNQCFWGDAYYLDSSGARG PAGQKAVQDANNKACDDFEVITGCRTIRSF FPSE_10069 MSNNTKVVDGVVVTNTDVAPPRDWTNVYDEIGGDMRWNDDLDDM VRDRGIDGNVKPLYGTCSYMGEALYLMEVGDQSFVLWNALDDSIYRVNGNISLENIVA SLDEGGLSGLDLEEL FPSE_10068 MSGRSSNQHQPAAASKATFTNIYNNPDPRPYFQALTPLEYTTPQ QLLPLVQKLYQLSSLDVVNPKILDLCCSYGINGGILRHDTNMDTWAAHYDGSELNPEE QVMADKKFFAARARPFRPMVVGLDTSEPAIRYALNTGLIDSGWSENLEAQDPSTDLSK ALQDVSLIICTGGASYVGSSTFSRIMRAIERPGNVWVVCTVIKMISYDDIAETLRAHG LETEKLPGVVLRQRKFVSAEEESAVIEKIVARGLDTTGFEDEGYLCAEVFVSRPISEV SRPPVGELVKEISSG FPSE_10067 MQLTVLSTVFFIVSALAADCSGNSNSRLEEFKEAYWSAREKMCS NSDCTYQAACTISTQKSVGSSWAKTTVVAELKRKNTGGKKGFKDCWDATEDIINQCVI GNHQLSGTWESDGQLYQFNGYFA FPSE_10066 MEGNESNRLSPLSPLTPDDHAGKLWIVTILSLIYTLHVAMARVY IKYRMLGVDDALYGVAVLLHLGQSVAMFVGLCNGLGKKNSITTPEQWIVSSKCLVAST VFFIMTLTFAKCSVLALIRRIIYCRPGKSEPFCIGLMVITVLWGVASSVSFLINCNPG SVLTVENLEQCPHQTSRWLSIASLDIVTELLTLALIVQLVWSVNIGHSRKWQVVAAFS FRLPLIGLSGVHVAYANKFPLSDEPQFAVTKALLWQQIMVSWSLLSATSPNLKSFMSS FNIGWGFPVAFDETNLDPSDPLALQELGKVSCPAVTTSTLSSVASVASSGRPGNWRPD VIAHQATITHESDRTSLDDITEEEISRTGSHEMIINKEVTWNVTYEDSRC FPSE_10065 MTNTRDKKSFEVNDAINFSDSDNVDDKKGTPADRADMYRLGKMQ DLRRNFRFLSIFGFSMILMCSWEFSLSVSTIGLVNGDTAGLIWMFFICWIGFLLVNTS MAEMASMAPTTGGQYHWVSEFAPPKYQKLTSYLMGWMCVLGWQVACASSAFIAGTQIQ GLIILNNPTYIPHPWHGTLLAIAVAAFTVVFNTLLARKLPLIESIVLVIHIFAFFGIL VTLWVLSPRANAKDVFTRFNDGGDWGSLGGSALVGILAGILPLLGGDAAVHMSEELRD ASSTLPKAMILTTFVNGLFGWIMVITYCFCIGDLGEVISSPTGQPFMQVFLNSTQSVA SATAMSTFIIAMTVFSNLTMVATASRQLYAFARDHAVPFDTWFSRVPTGYDVPLNAII VTFITSSLLSLLNIGSSVALNSITSLGTTGVLSSYIVSIGCMIWRRCTKSALLPSKFS LGRWGLAINIASEVFLVVVFVLAFMPGNPNPTPSEMNWSIVMYAGVAIFSVVYYVFRG RHRYEGPVAYVRKLEQ FPSE_10064 MSQIALRDATYFELPEVARVMSKAFWNDNLFGDLIHPHREKYPD DPDLYWLRRARVSFWDYRWRCIVAMAKNKSGKDVIAGIAQWERLGDGGKKLECSYLDP RNLLKPLSSLAMNMHARIWPNRAADPENEDIIERTYPYFEHIWSGKRAESWYLSALAV HPDFQGKGVGKRLAQWGIEKAQAEGVCASLVAAHGTDEFYVKLGFDEQFGRAGDAEGN PLADVEGSNMYWYWPRT FPSE_10063 MSRRFFTTSAAAHAIKRIIGYPIEKLEPQWRSAVETYKNNGAKE RTGQDVKAVLINCPADGSSPVHTSRYNPSDKREIISAKMKLADGTIAPGTHHIFVDGK GTSRKGQTPK FPSE_10062 MIHQAQNAAIQTFGDAQPHPAEILAGRAMEKFKTMARNQSDTYE AAVAEYKRRYHIDPPPGFKDWFQFARHHESPIIDEFDMISSSISPFLKLSGQDVAEAM NQLYKTSRSEVWFCEFVGRTSEMKCKHPHRSYDRHYSLLFNRLLHNLPGVLPNVKLLI NHFDEPRMMIPLAKGDGEKHLTLTNMAQQPTWDILTKSCSATKVRTNERIHGLPFVQD HLVNSDLCKHPEYKHLQGAFVSPQTFPLIEGLVPVLSTGAYSTMGDILFPSPAYIEKE FQYDSSRDMLWSKKKDNLYWRGSTTGGHAHDGRWRDFQRQRFVGMTQNLGHQKHSYMR KEDDSITTVESGLLNGRLFDVGFTRIFQCDKKFCRDQSTYFDVKSWADKDKAFGSKLA FDLDGNGISGRYYKLLSSNCLPLKQTLLREWHDERLMPWVHYIPVSQSLKELPELVTY LTTTERGQRLAADIANRGKEWMGKAIREVDMTVYLYRLLLELARLQDPEREAF FPSE_10061 MAGVPSARGCDACRRQKKKCDQAKPTCSRCARLKVPCSGSGVKR FVFKSENLQAAKKSSKTVAVTRRNPAPVSVLSNEKTLISGNLVHILNLDDPAFDISTF GCFVVDLPRHVGSSKSLDAAISAFIAGFGTLQNRTLSKVDALGRYVFALKTLRETMND PVQANTKDNMCAIYLVAICQEWLGHCSGYTKHYEALGHLIQNTVQQSSVDPADRPFMT TIFAAVVLESFNNPNIQPGPWFWQAFSIFSDGARPLKSGDGMSFASLDMGTMAEMSFF LRDTEKYLYQIRCHYAVLRLEHPRLMQIASRAVARARELSSTSQQRRLGIRFHSANAA MLTMGTTLNRIIRVYDDDPALIGEAKCYVDEIIELAKDASSNRPIAAASVSTPLTMAL ASMEDYRYAEVELLLLEYQTDFIGLHYFEDVERIRGQFDNVEKRNQKTKILLLQREEN LEESSTIETETDIGPGCTIL FPSE_10060 MTTYPTYADQKEWKEIQAFLPKRLHFTPDHSPTEEVWHHRGHAL HLDRWRNPSAEVRLIMHHGMGTNGRMMSMLLGVPLHEAGFELVAIDMPGYGCTVPAKN YVWDFDDWVKISSEFVDHEYENDKRPIALYGLSAGGGLTFHSAGLNGKVKGIIGMCFM DMRIQSVVDAACRNLLMSRVGAPSSGFMTSIGLGSLSMPMWLTGRMSALVNNPQALQA CYRDNTSANAWVTMKFLASFTSYKPAKEPAEFDVCPILWTQPAEDKWTPLWVSEAFLK GVTKVPVKTVMLEGAGHYPLEDPGLKQMSEAIVSFLKDIGANS FPSE_10059 MFLEMTTGKKRLFSMSDTDKGEMVSSAHPEIIDEVPMSALLEKS IDEQSASQGNSEDDLSEVESEISDIETFKDLPADSESSKSLLSRPFGLSRPWGFSNYD DHDVITVHGLRDDHNTAWIAQNGTPWLRDQLFDELSIRQLDYLYATDETSEIFGPEGI NLEAKNLLRLYCQRRQSLPDIEVNRPIIWICHDIGGTIVKQVLMEAAKAVMPDDYEDV VAWELAKKTHHQIAMLSSTIIFLGCPHKSESLDVLKDEVLNLMSLPGPEVTNGRLAKV NNIAKQVDKINVNFLNSRFFHRLTCINAMHLVDIPEEGSEDGPRDELIVVPASPFSRY TMTAFSIMETQNHFRLKDIDHSSLDHLYPTGQDPSRTSMLSQYLYVRNEYDNAPIWGN GPKGQSFYFEFIKSDHRYNNIRSMLLTFIAHASWHYSVGLNLYHTNKIMTQMENYHSW SLPQLFQAYSNFRSWTNAASLVIFLACFDNCIDDDRHWFLAALKEDQARGDINYRVII TTTGPDEICKNFIDDSNVISLEDCPVPILGYNVDEKGIGAHGLKVALEHTIKRRPVLR ALEETLSRILDECQGAPHLGYVILQWLSNFGRGVSVAMITATVERLRPVTEGNLVGIF LMLPSQERRAWALRVYYWVKYAKEPLTVKALGQSLALSKDPEDISTALMECDDEHITH QLQACFSGIIVVDRGEVKFSHDSFYHAIVPTFDGREFEQPSYVHGLIAKSCLEYMMQD CMQQQYSRLSADNYGGDDLKSPLDISERDLLEYAVQFWVHHYQLAEGHKPFDLALTFF RTKRFRDRWAEAAYLLSNPFTRIQRSYRSPLPLMASVGLDDVVSSQIQLDKSTHFFQQ DVWLAITEAARNNHQSIVIGLLTLADADEASLKDAVAWAATTQNEDIMTELLHQVDSL ERFEWTQSLLSCAAVTGSKGLAMAIAKAGFDLNSVDDETGQTALHAAILWGKRAVVGQ LLSSNIDLSILDHRDMTPMELAVEMADPEIVQMLLDRGARVDQVTKYEELLTTWAAEL GHHVVLERLILTGLYLEMEDTDDWKAEAIIRAAQNLRKQCVLVLLKQGATPLAQSIDG SLLYQCCTNRDTLDICRILLERGADANESYSDKEMILIASLRSDNIEIVPLLIDHGAR IDALDPWEDGDSRTPLSFAAANCSIEMIEFLLDKGADVNYGPEGACPALFNAALRQFN PKKLEILLGRGAKVDWRRYDGWQALHAAYDAPKSVQVLLKHGADVNAMCDKGTLTMMA AQWGHKKTLEVLVMNQQSRPDLDAKFTYYPDHRDYGTTAVRLAVGGGHYHCASFLLES GASLDEEIKDVKFLMVHASVSRGMPREQRQQFVKLIEKCFELGIKASTLDEEYNTALH RISRDTPASFVSIVLGRGAPLDNTNTDGWTPLAVALRKRNLPVAKLLLSRGARADIHS SSFGSLLHVACNWESYGSWPTPAQTLPVLRLLIQRKANPEVSGPAPESESLLYTTLRW ITVESVCEMASRYLIEEAQADVNFGGPNNKYPLIAAVCMIRPRLVQYLIRRGADVEVV GDQGLKPCHHSAMRLGRNSSMLRLLTKSGVDLEARDLFDRTPLHFASSSGDWSSTRRL IRQLPLDFDVDIKDSDGWTPLMWACRSGHSEDIIRRLIQDYGADIWTVSNDGQWSPLK LANFADYQRDLTMMLEPPEDKMERIIENASKQVWDASFHLIHSGKHDHYIYCKGCMVV RPIYTCVECGDSFQLCFKCFPYRKRMHDPTHELKELANIWGVIEEGNEQSDSSDEVDD AEDDEDEEDEVGTEEDDEESSTG FPSE_10058 MADYYEASSNDIEQIIFPMFECGTEEAQFHIKNSPDNPFQRFHV IQRIGHGVSIQCNLVDVVHGAISADSDYWATILVFQFRFDPEKNARRIAGANIILSFD SAEVHGRIPEVDSISFDGSYSFSPSNASVTTTKSGEGGIGASFGANVNTSLKWERTLG HEKSYAASMSGRKLVDGNVGPTRIAQWSLLENDNQKKGVPASIQLAVRVKRMDEAEFS CRVTLTCKADKWTAMRNFFGGSPKDDPILLKPTKPSDNRLMDYDVEELGAVDLDQLGA VVPTKMLRDAQHMPI FPSE_10057 MSDIEERDLCLVESDKGERTENQVYVEEEDEDEEEDEDHDTTLN EAMEDMVARFNKPNPSQCDIDAFIRQHFDVVTQPLKNHGSFLHEIISKVSKRTKSTWG DPDHISPLVKTLVSRYPRLLETKNEENQTSLYLAIKSKKWTHRLIDCILLGSNEEHIQ KALEFTCGEGPSMRTCLTMAFETLGDPKITGKANTLSNLIVKSSNKALAMCDGTGKSS LHYAVKYSQCSNGRVALIEKFLQKDSVMMEELRVNSKQGPLKTFLDLQYTQKDNGVAY SVYTEHERTRLIFQAEEARNEQELKSQQPIDRTAAKFLTTVLPAKDKDTPKSCLREKG PKGFEDRERGGKKPDRDRDLRKQSDPKTMTENERKRQQLKEEERRQREGIQSNGGNEY QKDLTLQPIKDREGDNTEETMVKVRTAQLKTSHAPNSPVKRVSTGSKGVERGKANVSS TKKLSSTVLETNSKKIRELLKLHYMRTRGIKEVTCFLYGKNPKDVHISFDCCGLLSEI YDDVFLRQFGADSDSGIILDNVLMYARFPVVRVERSGRRAPARRARGRQDMEFFAEWL KRKGVDRILNFQVQEDRQEPHSDESIQMVLRHFTVEHLDWQKPDLDPLVICERADIGS IDQESWDIDGTNTLIKPRKDLRQLTLKWSGSNAALRAWSEPAGLPQLFELKQINLHIP AVLELPDHRDWIKRNLDLFKVRLNQSYRTNHPSKTPDGQTKDLGQVDQRGIEVIESWD DRKFESSTARKVVPKSTGMPDAAAEHEWINQMEKFSTPMRVLWEETLKESINPLHSSL EEARLLSSADSQILSTLRKDVTVALIDDGVDTLDPAFSNQFVEGKTFDYQGDHGVGQY YISANGHGTDMARMILKVCPMARIYSIKLKTQPSKGGQHLTIDESSIAPAIEAALEKN ADVISMSWTIPIPVTGSDNQKRIDNVLKLACSKDVLMFCSSPDQRTQTKHYPSHYNRD KIFLIGAADDSGTAFNHSGLDNDFIFPGVNVNTGNNIGRYHSNNPMSAVQMATGSSIA TALAAGLAAMVTYCFKASALAAVTTRISQGRPPASSGTELVKPQDVSRIAHHDGLKKV FDRIGTMDGGKFIAVWRIFRPATECLTDGKMTYEQRVTHIMELCRDLMDRMDR FPSE_10056 MATPSSSTPRTLLPEASESGSVDIDPSIPIRGPEHISDDEGHWA KKTILTFDGGGIRGYASLLVLKRIMTTIRTLERQHEDPAPSSSYYSWMRPSNQRTTEA NADSDRVDEYLPCHYFDYIAGTSTGGLSAIMLGRLRFSVDEALDTYKSFGNAVFGKPR WFHVRSILWYPRTKFSCRKTREAFQDAIKKALKRDHDPAIGHTRDRLPQRADIEPLKY REDRTRTIAVSFVTKKQGGVSKQFLWRSYDHYWSPRSNDSPSWTPPNTGPAHTTAIWE VARATTAAPVYFGSIEIGESDFRDGGMVANNPSLATLREIDGLHGHPDFFVSIGTGLK VTDDTPNATSEVASGNTRTSRRAKTIDDVRNKQFLRKHVELLGDWKKFMVDCEGENGT NGWLKECEYVGLTEKDRYRLNVEGDLHTIPLDDWRPSETGESTLRFIQEQTEAYLNKT TVIRDIDNIANRLVDMRRQRAATEQWERFAVDVTYRCDNCEKKEYDTRAKLREHLQKG IKHRREKVVDGKELELRLNAMRTIHWIRQGSSEA FPSE_10055 MGIMNFTKRSSAEKPATEPPMTNNDTSASDNDTEQPKDDTDFQE VPAGTVEKGNIFDQGGKTYRTLGRWDTVLILFTNQLGLGILSLPATIKTLGIVPGIIA ILGIGGISWYAAYCLLQFYRKHPNVVSIVEMTRIVGGPWFESLAGFVMMIQVVFIVAS ATVTLSVALNTLSSHATCTVIWILVACVACYILSIPRTMKFVSKAGVPNAVSVVAACL IVLVSLAVSGPATAPSDWHRELKVVGNPTFRDGLNACLRVVFSYAGTFTFPSYMAEMK APEKDFKFALAVLEIGSTLFYIVMAVALYCLAGDLTTSPVLSAASSIPAKVAYGIVLP AVVATALSIGHTGCKYVYVTLMRQMRSTHQVTDNSVKSWVTWMVAVTGFWALIFVIAN VIPIFDSILSITSATTIPWFTYGFAAIFWLHLNKGLYFSSWQKMLLTVLNVTMIGVTL FLNAGGLWAAITELLDLFEQNKDGIGGVFSCGDNSIF FPSE_10054 MQIYAILTFLFGFSLAQDDYDPSTNYRPRNITGLGDFYSWVGSY YNATAIVELEFLFGREFGKPLCPQLENQTYTTKFDVVLSILERGHWNSGGNSVIFLLT LLPQISPPFNVSNLTFENMWEWRQLGLSHPIYSASLVDHKSSGPWRPESGSSPDLFNF TTSQVSGGAYNISGTLYSHRDPAGPSYFGILSGLPVCDSSKQADGALVTMLRDPEGEA LGEFQYPHTSIQFDNKTVNFTLDASFHSTSNVHENSTSEHITVSGLLRVRFSGVLDAY HSDTLSLKDNTPTWLRTVGFSNDSSNIGYLESSAGKLYLGIALPSIVTILAVVTTAL FPSE_10053 MRRSDVYKRRIAQVKKLPTKSIPQYPTTLPVYRACLGVLYTTAF NILCTLGCALLETSSTEIFLAKIEVEHGVARDAEADTIGCHVCVRDVFPGTRILWERG GGTFADGMRCDKVEEETLPDYLPARYYPVRIGQVFIDRYQVVGKLGFGASSTVWLAND LSKKRHVALKVFIRSQALGEHVENEMNMYKRMEQCASNHPGRSAVRTLLDSFQIKGPD GDHLVLAHPPLLNSIEATIRHSSPRRLHPFGARYVLKDLFMALHYLHYDCQIIHTDIK ADNIMFSITDPSVFTEFEEEEMRDPCPRKEVDGRTIYTSRTMKPTDNIGPPVLCDFGS AVLGDSENVECVQPNVYRSPEVTLENPWDYKIDIWNVGCMVWDIFEGNQLFHGIDPEH HEYRRRAHLAEIVALLGPPPKELLARGKLAHKFFSDEGTFIGGIDLPASKSLDEIETL LEGDEKKQFLEFMRKMLQWAPERRSTARELFQDAWLQQ FPSE_10052 MPAINSGQQQNDTSNQSNGGSSNALYTLLQAASNDNDAMHNLGS SWETLKTMVNVPFPDDENKTSLHLAAEKGFQRVVKKLLEDGAADSSMGDKDGWRPLHF ACSAGHEAVVKELLSHKANPELTDSRGWNVLHIAITYGQSDTFQLIVERYPQLLEQST TKSGMTPLHIAIWWSNEKIVKVCLKNKADISVRDNDGWTVLMMAAYKKEKGIMDTLIE HTKKKKKDTNISDHLDISDNEGKTCLMVACEEGWEQGVEDLSNEEASFDLLDNLGRTA LHYAVMSGHLAIIETATTKMKYEFLLMVDANGRSAFDDFDFNQAADQQQQTHLDKIMN HFVKDVSTENPQREMLEWAAKGPNRSKIFRMLVKRLTRDDIFDNIDAKDPTIFEQAVH SRMPWVLWILIDNFSVTSETLESVQRAQQLANKLLQSDGKSKKLARTEHGDEKDESKQ DPDRLILFDMQNYLSDFAVAETYRRSLYKPIKPQEGVDKVLRGFNVTITQFFDRRIDN SQHKTKWIKQWRPVQDVIYGKGPKRIAEDAMKTRLPYPQDGSKSSDDSNDNIEITTQL KWIHLPVTNIVWMEDLTKRILKERKLSTEQTQSFASFLRSSWVQVPDRTSASRFMRPL YVSKSSDETRSLAVSAAYMPYLSMSKYIPASSASEGSKGESLYKQLLEAYKDDVVHQS ATLDESYYHFGSDEASRADQDARNRTQVVTKYLNGKKEEEEVKNEKKEKKKKNRQKKE DEQPSGPFNVVRVKQLWVWTILDVDEVQEDDLPKDFLNHPAIQEQITEAPSQPEFTAR IATLIANYCVDAYERKRTSEQVDPSQRSDDSSSNSHIVREELSTRSIRQLFSDIVNEN AREEKRLFSDCHNLTRLRKEGNDGKLEGILTQASQLACDIKDLRDELNMLRSIVNFQL TVQKEMPGNTKGSSSITGQYFSNDLEELENVAKRTQESVDTILTLAETEIANDQARQA TRQGRTMMVFTVVTILFLPLSFLSSLFALSDKPFAETPSWVHVIIWTVSFTFRVPVAS IALFSDAAAKQWNRIWSPMEQVVTKHWCDFQEKQEDKKELKELRKEQDEKKKKKEARE KKKDRLKRTLAEASRALDEMDREEEGLMNNRPDISPV FPSE_10051 MTDLNCNDLNATECLLRVTASILEQLKEDSSAFNWDPASFVVTL VIGIIAAAFAFFAIIQGFLAAGPGRHKCSKYAIGTWATLSKRKFDWSELRYRSIAQTP VIRIQNLLDKMKPPRDGASTRLEWNEDRFHPDLVFSKLQPIKKETSDYFPATWLRLLT HAGLHHPELWETNPQGTDYLPSDLAAAPAYSTIADLIIVASITAGRIQFNSTGQDIAN KASIQGEYLDLNFRSHPLLGVYAAIDQARPFKNDLFKDYMRGMYDRPPANKLLAKVAY GQGCLQSVRHLTVPSFIHTPPIQSVRWSAERMEIISVSLNRAIYRSRCGCETRRISQE EVVRNHRKVMFECCYAERLGAFDCDYEEYDPLKIGDGDISILAAAVPRTRINLFPKRL ANIGDKFIFLVIQSRYCMKADVSLRENMIGGGGPGTNTAPGLGRQSRRGFRVDKNLKD YSFKYTISLGRPESIRTTRMYFIDDNMIRGEIDRIDSWIEDNEQNDLMVCRIKALAFT TATCKQMYQGTQGVRRWPTECDITREERGNIDETINSHGEITPNSLSSITNLISLQVR KLQDYDHNRQSEMLSVDEYFTNSCKGKEGLSEWAPGGRTFRFLEYMENIMELWEKDGD SFKYPTEQVQHYLDDLLVYRATLIYMLLSQAADNSHILSNPEYYKLIPVI FPSE_10050 MATTTATEARPWEIKLRETAALYRSSLEENNLDAYFEVQRSAFG VDLKSLNTWSFQKPEKAYSLKLESDDERERLKSLGKQLIEDHQTAANDVKATSDAIKN GSTGKENARVRMEKAREEAKKKSAEIIDQQFDRAQTLIDQLPDDKQEAATDFWIQLSN GFLAFWKIAMDAIYAVLKAVIDWLENMWETVKQRWEDVKATFKDAWEWFQALFN FPSE_10049 MNLPKGASVAFVTAIRTQRASPTPSIPLTTIFTPNKDCTGDDAF KTRTVGSSVYYEFDDGAIKPTCYPLSYKSYQYYSVNWYSPGVCPLSYVYVSTSISTRG NAPEITFAACCPSNVAIISGFDSSCRSVSTSRVETVDGSVVTSTTVYYANPILVAWQE SDISRFSPQSEARAALRSAGVTLPPELSTASSTTDKSATSTSNSNNRDDDEDPGSSGG GLSSGAAAGIGVAVGLMVIMLLVALWWFVKRRKATRGVNNADESGQLWNQQIYKVPDT TETSDKVVEIPRNGLRG FPSE_10048 MKSDWYSPGVCPNRYVYAATAVSTHTNAPATTFAICCLSDVPTA VYNLQPVVCYRSMTGDIADSFTFTAAYPGISPTTDIYVPAIMIAWQHSDLSRFDPKSA LVYDLKRAKISLRPDPFTETASEFASSTLPSDRSSISYTNEFPTSTSKTKDQDESSEG GSHGLSTGATAGIGVGAAIAGMAVVGFLWWFTKRYRVLRKDKNTAETGQTWDEQMYKP PGIVDKPVNAGVTPRTELP FPSE_10047 MSHQHENNLTEKDLKRDLENEPGLNNTPSIAGAQVHDDVFGDIT DEGPNYRAVGWIGTVALMMKTQIGLGVLSIPAVFDVLGIVPGIICLIVIAAITTWSGY MVGVFKRNHPQVYGIDDAGHLIFGRIGREIFGVAFCLYWIFVGGSGMLGLSIGLNAVS VHGTCTAVFVAVAAICGFLLASVRTLGRISMLAWLGLVCILTAIFTVTIAVGVQDRPS DAPKGGEWKSDWKVVGNPSFTQAISSIASLIFAYAGTPGFFSIAAEMKNPAQYTRSLI VCQSGVTLTYLVIGIVVYLYCGSYVASPALGSAGPLIKRIAYGISLPGLLATTVLVIH FAAKYVFVRLLRGTKHLASNSAVHWGTWIACTLTTTVIAYLIASGIPVFGGLVSLVGA LLGTLMSFQLYGCMWLYDNYAKGKRDPTTKWYLMVAFSIFVIVSGTFLMIGGTYGSIV SIMDSYKKDGGSSAFSCADNSNST FPSE_06877 MAPQELTGILVALITPFKADGSVDYEALDAHIQRQIKAGVHGFV PGGSTGEFTALTVQERKDVLAQVVKSAAGRVPVVAGIGDLTTSGVVDLAKHAADVGAA ATMIVPPFYDAPNLKQLRGMFEEIYKESGLPIMYYNIPSASGVSLSPAEIAGLSEVGV KYLKDTSGNAPALTDLLFHHHNTITALNGWDTLTFYGLCAGAKGSVWGTTNVLPELSV QLWNAIAVDGDIKKGRELWSKIFPVCKFLEAHHYGAGIKTGMELQGFKTGGLRKPFEL LEGEERAELAQLLKDAGVTLA FPSE_06878 MTSIFLTGASGYVGGQLLRELTKTHPEYNIATLVRGSHAAETIA QAYPKVRTVVGDLDDSELVEQEAGKAEIVLNVASNKHIGSLQAIHRALKNKSSAYLIQ ISGASLLPVKDLASPSFKPGEPSDTVWDDLDAVSSINDLIRSHGSRVVDNYILKIANE TPSIKTANVFPSIIYGKGQGPVKQRSVQIPALAKVALERGHAVRAGRGLAAWTNVHVA DLAKLFILLTENAVKGNNDEKIWGENGIYFPGSNEITWADISDKVAQAAKDQGHINTL EVEELWKPEVDSALPAGSVFFGSNARSKPRRATEVLGWKPSETGLDVEIPRAVAQEAD ATKAKI FPSE_06879 MSPPSISLTAPNGVKWSQPTGLFINNEFVSSSSSQTLTSIDPAT ENEIATVQAADAQDIDKAVKAAKAALRHSSWKDLPASDRGQLMARLADLIESKRELFA TIDAWDNGKTYIETLENDLVEAVGVIRYYSGWADKTFGQTINTTPQKFAYTIRQPIGV IAQIIPWNYPLSMATWKLGPALACGNAVVIKAAEQTPLSLLVLGELIKEAGFPPGVVN IVNGLGKDTGAALVQHPLVDKIAFTGSTATAANIMGVAAKTLKNITLETGGKSPLIVF DDAEMDQAVKWSHFGIMSNQGQICTATSRILVQETIYEEFIKKFIDTLNTVSKVGDQW DKTTYQGPQVSKVQYERILEYIEIGKKEGATLAAGGGPLKINNSDKGFYISPTVFTDV KPSMRVFREEIFGPVVVVSTFKTEEEAIELANDTTYGLGAAAFTTNLEKAHRVAAAIE AGMVWINSSQDCDPRVPFGGVKQSGIGRELGEAGLEAYTQIKSVHINMGNRL FPSE_06880 MTTQIAPLGPAPAIPATDKEYSFFEALALGPRESFSLVSNEALG LPSSPTSPTRPTAISEQQQQKSRSPLLSSLPELTPSGRPATPPHTKKRLAQVSAQAYV PASPSRSATVLPTPKSATAPPSSSLKQAPSVTTSSVPSNTAPRSLNSKKSNVMANTVK AQGACLELCHKTTALGDRISVRMLEYLTMVTKQPHGLDVLAHDFLDTCEILFSIEAGL GECIRNQQTFPADVISELSKKFRVTQADFQLLDQMLGRFLENERKGTMGRMRRGWGRI FGDNDIEKMINALGRTRESLRMSALMFQWSLGNEKIENELGIGYTGLAAALDRMDSRA GVAPRSKVSDAGGSQYRPSSASAHRGMEGHQMSINSQPPLPPLPWAGGGTASLHTDTM AASIHNDARSFSGHHQPNGASSVHSNERYHSGTTATFDRMSTFDEHHETRSQHTQISD SEVSLEELAGLDLNGGTKTVRIKADPFSMPRWNPRNTVGADAANLKTALISAVRGKNH KLIEQLLDRGVSPNTGPDHLALKEAVLNTDSEAVRLLLLFGADPNGIDRDGVTPLFAA VERSFIAGAVPLLKYGADPRFQIGPDNETALAAACMANKVNFAHLLLIYGGDPNQLTA TGETLLSSAINKKTPKRFIDLILDYGADPDGKSREGKTALFEAIQNSRVDIVQSLLDH GADPNLPGPKHMLWPSTYQSACLQVLLNHGADPKKAPGIMELAVSVNNIESVKILINA KVDPNLKKDGVYTPLCTAIRDNRPDIFHLLLANKADPNVPASEYPTFKCITHNRLQYL PRLVEAGANLSSPKGIVETAVSVNNMEALTWLLEKGMNPNDKNPKGHSPLTTAIRENR IEMVDYLLNNGADPNVRGQDWPVCMAVRNPPILKRILSVLAEPRAFKGVMEMAVVANQ LESVKLLLNAGVSVEDKNGGVFSPLTSAIREDRKDIVWYLINEGGADINAPGEHLPVV KALRRYRGDGEILEFLLEHGADPNKLYRGWNGVMQAVENGDEQILRLLGEKAGFDLDV KDELDRSATEIAASRGWEEAVDILQQYAITKSP FPSE_06881 MATPAMSPILISTLPDLLSFISSISQSSTLYLDLEGNNLSRNGN LTIITVLIHPTRVTGLIDVQTLGNSAFTTPTSSGNTLKSILEDTRTTKRLWDVRNDAD ALWAHHQIRLAGVTDIQLLENASRAGDKTYVRGLDICVERDLGLNAMEVQRWTRTKRE VKALMQNDIFTRRPLDTKTMQYCVNDVLYLPALYSAYAKRTDGQRMKKVMDESARRVV DACGPSYEPQYKANKLGPCGTGSGKRLLTLEEGLDMWEDQQVDEMEQELFGRGDMDDA SYWSNY FPSE_06882 MGFWKRLTEFLFVGGGYNDQFRFTSDHSLIEPVNSVVDVFDPAS VVGGSCNTPANRSCWAPGLDIYTDYEEVIPEGEVRKFHLVVGNHQISPDGYLVERMLF NGSYPGPTLEGNWGDTFEITVHNNLTNFNGTSIHWHGIRQLNTNWMDGVSGVTECPIP PGETMTYRWKATQYGTSWYHSHFSLQYADGLLGAIKINGPSSMNYDYDLGPVLITDHF HKTAFSQVMLEYLGRPPAPDSILMNGNGTYYCCPTPNKNCDKNCVGKSKLTSFNFEKN KKYKMSLVNTGVSTHMTFWIDNHNFSVVATDFVPITPYNTSILNIAIGQRYDIIIEAN ATLHDSANSKRTNFWMHARDCNNGGARSNLGIIRYDAKNQKVPWTPPPDEKHLCYGCL DELGINLKPIVKRTVPLAANVNYQNESFKVHLVGYPDDTAESSTLHKWVLKDSSFYLD WAEPSLSLVKVAYEKDWKHPKWPKGYEPVNLEYTNGSWVYFLIEGKFKESLHNMPGHP KIYKTQAPVAHPMHIHGHDFVVLASGDKEFDASTVKLNTINPPRRDVALLPVNGYLVV AFQMNNPGVWLMHCHIAWHASGGLALQFVESPREIGPQFQKSGILPHYSEQCNNWAAY YTMFNKNENATQEDSGI FPSE_06883 MSVPHDPLNFIPADLFFPTVPSFLKPKDVVSVESTDSIKPGDPK RYGAHITLAGVNGGSQTHCLVQFVTPLIWRIRYDPKYTSLEDFEDINTRTIVRDKFSE LVDGLQQEYRDSDAWNAYPAKDEWYWRTSFEKKSDTHWVLTSIEYKNKVATATPKTSL HIFSSPFRLVATRKLKLLQESDPSLLQSVGVDTTSEVEQIIWQTTDQTFSYQQNKEID AINNVVLNIKKPGPAEYLGFGEQGGKTVLKKPTYLNYFCYDNFNYLKVYGKGALDGRE PLYQSSPFYLEMNGTPTHQNVTGVLVDNYSQVAIDLGKNDSNIISIATRQYTSIVGRP KLKPRYILGHHQGCYGYANEGTVNNVVDAYRGSNIPLDGMHLDVDFQERYRTFTASTV NFGDTKAFFSNLKQKGIKACTNITPILTLRTEVSGDYKHLDAFWDHQNPRNPGSKCML VTDNRNLNGLKDYPPTYWRYDGPNNNGPLAKGVNPTCYGNDDYDQPQRLSFADYPGGE VYVDNYDFHENYNSGYPFHGGVSYGQTLGTPGYYPDLNRADARKVWGEQYQDLFDDGL EFVWQDMTTPAVGRSYGDMLGFPSRLKMTDDSPVGEPKTKTAIELWSLYSYNLHKATF HGLNNLKGRDNKRNFIIGRGSQTGMHRFAGLWTGDNGSSWDFWKISVAQVLALGYSGL TIAGVDMGGFTSDPTSNAPYPRWCNPELLIRWYSGAFLLPWYRNHYMQHWDQASNPPA KVFQEPWAFNDVLSGPYAHLIPQDQRVLYEAVVPICRYYVQLRYSLIQVMYDYMFANL IDGLPIARAMLITDPLDTNLFNNNEDFIDTQYLLGHNILVCPIVDPQVFNRDIYLPGT DLWYPSNLEVDNGRNLGHDPILKHAANLKEPAQGGRIISYGCSIPATFGNLGQIPFVT PVYIRGGAIIPQIDVRMSIDENNLNPPTIHFYPGGKKPTYYSMYLDDGVSKDSAPSNL PQYKYQDPSKSKKVKGYYKELKMSQESTGTSRTLTLHHPWDGFDATATVGDTYNLAVW TVKTDNSPQVQVSFEDENGKTISDPGLQYNYNGARGVLTVNVPVALVPSYDHPATING TSTNGITNGVMNGTSVQKPLIAVKITGLS FPSE_06884 MEAKNEKPITVDEIKALAQKILPAYVWRYYADGADDQVTTLRNQ EVYRTLVIRPRILRNISSIDTSTRIFGKYYDIPIAIAPSAYQRLAGYNGEIDVAQAAF ARRTNICLSSNATTSLEDVAQALPKRDGKYPKPWFQLYFVRSRDITKELIERAERAGY EALVLTVDTTTMGNRLHERKNPLKLPADLSMANMTTIKGGGASKGRLILNAETAEEAA KIEREHSGLLIDSALTWAETIPWLRSQTNMKIILKGILTAEDALLSVEAGVDAIIVSN HGGRQLDSVPATLEALPEVSDAVKGRIPVLYDGGIKTQRKLMSDELSQSLETRTAASL LADIDSAGEKMAVDNSGSALIRGPFGVFQSIGDYVSPDTVEYSPDTNTDDTFTSMYPE TDGFIEDIQRSDWPDQLPTDELDIFTASLDPHFEIIDDPSIVDQVHDCSMTSFFMDDH TGAEGLIFSPGFMSQVIGPPEPGPEENLDSEPVTDIIPYPRETPHSRASIPEEAGHLL RHYRQNMARRTTMQAKRKSPWEIIFLPCALETFAELSLWNEASHTRSSIFYTLLAHSA LQLHISGSDSNWKEIGLKNHERAQSHLRQALQHEVFGPKQASYKELLMAILAMAMTSL HNGTRAFRIFLLDAERLIRLRGLVNQNPFETRLLHHMYTHLRVIAESMSLCTEAITES STSENQVQELSRTFRITEEGLNIGLDPAQEKTDDIGYNDIHLEVQGRWNHTLYPVIYG IPESLMTLLSQTVSLSNEKARLENIARCNPGISEALAKHTKTLENRIWAWPSTLDPTG PVEVSSRTTDLVTQPQIRSMTLAIHQALVIYFYRRVYDMNAMILQDLVRKTLDYLEPC LSDLIDEQDFATSLAWPAFVAGCEAVTPELQERALVCLGVTDERGVYFTREPARVVVP LIWEGRRRSGDWSVSWQSLVVDGLG FPSE_06885 MSEQRLFACKRCSRRKQRCDRTIPVCQPCQEAQAECTGSASEGT VISGNDRIIARKGPVTRLLEQIEALEEKLRGHDRGEPGSGNASAAVEHDRLSPDAAAG PSEHQDNPSMNMRFLSLSAMAEPPSRRGEFLKHLSTPRLIAGITKTYGGDPESTARPD SLWEGISTYLHHPQGSKHRLRIPPADMNKALEIYLAVVDFRFPRLAVDKVLVGIDAIS HPEEDHFRRVVATDPAHVFMAYAVIAIVPLVSDAYPISQGSWVAVHVLGKCMELLDRV FNQEDGIDIIQCLQLLVILAVHCSAAGSAWHLSSFAMNKCIALGYHREDLKSASVSLL DVQQRRWAFWGCYFLDVLICAALDRPPSIDVRHITTPLPDSASNSPSQCAQHGQIILH LRTSPSATHRLIARDVYHKQLFQYARLLSQVISETTDGGDDFEHQLGQAISWRISSLP HNEPDRCNIYLFQTSLYNTLMLRLSIRELLVLFSFGPDTMFLGDINIEESRMKRLKIA QICAAVARSLDRVHMAGRSYLSLITGYSSLTMAFACLYLMAVRTIVRNRVGENTTWHA PQSHLGSDASSMRWHTPPPSAPFQNSADTVTSHVPNSSLSYHLSPEGEAWADGLEVGG VDDAFHIAIAKVDVVGRQFPRLNHYGRMALDIRKLLISMSSPASFFSSAEVIGDQLGA IKDGAQDTGPMYLRQLTAAILCIISQAGPN FPSE_06886 MANSTTKPELYILSDFHPEAVKYAQRSFDCILYGDPRTNNWHSH ATAILIKDYYITEDDLASAPQLRVIGKQGVGLDKIDVEACKRHNVKVCNTPGVNASAV AEMALCLTLTVAREVPDMIIRQKIQGEAIRKETVAGMLLSRKIIGVVGMGHIGQAVAQ MFVGGLQADIIAFDPYFHDNQGPWDTIPHKRVETLTELLEVADVVTLHVPLTHSTKNM IAAPQLKQMKKTAILINTARGGIVNEEDLADALDKGEIWGAGFDCHCEEPPTLAKYER LWNCPRFVGTPHIAAATDETQIATINGATDGILQFLHDNKKSAVR FPSE_06887 MSQPPKKRARQTSEVDNGPASAAACERCRSRKVKCGAEFPICKG CLKANTPCIIADPSTQRPYTREEVFALEQRLKDLQERAAAIDTSVAVQDDPLTCRQAN IGRITRPPDVVRYVGQDTGVSFLLSRLHSASDRLQHGLSRPSDDVPTPLTRIEYHSPH PFPAPHIAVQAIDHYLTDRSFKEFHVAHPFLKREVLQSCLERVPDWSTQERINLTVEQ RHDIFQLYMAIAIGSIRLFREKTLDQHPFGFFSAALEMNPPAESRYNTLGNIENLILI ARFGVYYNIGMYEVHFTRPAADAIDRMLTVGAESSLYEKLHRAGST FPSE_06888 MADVVNSKPDFFHSEGLGQKEPSIKLAKSQTNDHPIEDEQFKQI SRRIVRKLDFTLMPIIWLLYLFNYLDRTAIAQAKLNGIEEDLNLTGAQFSTAVSILNV GYMVMQIPSNMILTRVRPSIYLPIWACVWSAVSASTAAADNFGHLITVRCLLGIAEAP FFPGVFFLLSCWYTRGELGLRMAILYSGLVVATAFSGLIAAGVFSNLDQVRGLAGWRW LYIIIGSVNFLLALCAVVLLPDFPESNTGSQKWLFTEEELRVANQRLAIDRIPQESNR SVWWGFKRAVTDYRTWVFIFMLICNHAAYGFNYFYPSIVSGFGLGSRTITLLCTAPPF LIGAIASLFISWSSDKRNERSYHIAIPMSISVVGFVISVSTLNGPARYVASFLYVTGC FAANGLVYSWAAGVLNQTPEKKAVATSMINVIAQLGNIMSPYFFRDQDEPRYLMAMIL LIVFATLSGLTCLFLKWDLTRANNKILAEAANGTEPRLFSH FPSE_06889 MVQNKTLVYKKIPTGLPVAGEHLVTEDRPIDIESAPEGGLVLEV QYASFDPYLRGKMRDTTVKSYAPAFEINDPIVNGTLSKVIKTDNSDFVEGDLVTAYLP IAEYVRVEKETIPKVSLRKVQNPHNLDLALFLGPLGMPGLTAWSGLHEIGQPKKGETI FISSAAGAVGQIVGQIAKREGLTVIGSVGDDAKLDFITKELGFDAGFNYKKEGPKDAL PRLAPNGIDIYFENVGGDHLEAALNSLNAGGRIPVCGLISEYNTPAEKCEGVKGLINL IAKQIRMEGFLVGHPRFGPKYYKDHQESLQKWLGDGSVKAKLFVTEGIDNAADGFIGM LEGKNFGKAVLKLR FPSE_06890 MSNWLLPSETTLFKRKLLRRMKWIGMVRPAAAVRTIYLDHEGLP RQEGMPREKEDFLPINTTIGELEAWGVILDGLDKFLTEPGNARDNRRLAHRLFGIFSW IMLARRQCKKELHVLAEFSSGPEITGTILDQMDLVTILNRVALEEHLNASTTPEITIG PAAMSFIRNVLKKQQNEHLLRMVDDVIWEIEVELLTTPNCPATQYTRIV FPSE_06891 MAPSRNNSSNAAARRAMAVERTASSGSFHAGAPIPTLSNLRRQS HRYQTFPTTPPKTPLEQPYAGSNASDSEDEDDGEETPLPVRQLLLLAFLSLAEQTALN SISPYLPEMVLNMPGIPDEKAGLYVGILASSFALAQLSTNFLWGYASDVIGRKPVLIM GTIALMGCFCIFGFCKEYWQIVVIHVLMGLLNGNAACVPTVLGEVTDRSNQSRAFTYL PVIYSLGSITGPALGGILVGTMGKKYPYLAPNILSAGLLAISVIVVSIWFEETLDKTQ VAFEKPAWVDKILSWFPSRPPPPRRPSWSARWPRSHSQNQPLLSSGRALESDSDEDED DTDDSDNLDPALSAWKDLSSTTILVLFTYLVFQLSNISFNSLYPIFAATPAPAGRDLL PSRIGVSLSIAGLASCIFQAFLFQQLKSKLGNLGTYQISLLGLGISMLFMPWVGYADD KPLFGVGSGKIWLYVELGLVLILKNLCAVGGLSSVMLLITNCAPSHASLGSLNGMAQT LSALGRSFGPFVSGGLFSLSINIRPKGEALAWSIFGGLAILGWVSSLFIRRDGLESDD WQGSDENLADQEAEEA FPSE_06892 MASEQSQPRKTRRTANACVACRHSKIKCSGEDPCQNCQRRSVTC RFAEGVTKVMVSEKYLQDLQKQVEEYQRSPSNTALDGRQNTETPFIYRSPPSATISSA PPPYHSSIEVSSAQSHAPYCSPSISQPHSFSPSLKRTSDAAFSDVNGECGVGTSLASP ALTVEGSHSHQQSESNPANKGSSSSYQQQGESPLSIWPSAFTIPSKIIKNTRKNRRTW IWLAPWSTWSFTLRLMLMLGDKLQPGGNLIPPQLIEDDVYTLSWNIRPPHERPDVTGL PSLDHAIYLFHTFKFHLGQTYRLFDEVEFVNEIRDFYSDAQSKAEESRLWYVKFLLIL AFGTAFHSSQWMPSTEPPGSKFFVRAMGLMPDHTALWKDSLLAIEVLAMVGLYLYSID ERESAHVYLGQAIRIAQLEGLHTQLPDSELGSETVTRCRDLWWTLYIMDRHFSSSLGL PMSVQDSDISTPVNPPNVGSQDDSARSLQVNLSHLLSVILTTLYKPEKTPLGQFLEQT KAILHTLAHHAQEIEKIISMKFRNSVDTMPRGTKYITLLYHQCVIVATRPLLLSVLKE RLDILGQPGAENSEAFLGQTAAVISTGIKSAVKTLQILTSEYNLLEVFLPYDIEFTFG AALHLNMATALFPGVADDQNSRHLVHQLLDNMIARGNRLAKVRKQELVYLESQCQELV TQVQQQGLQTLSLAVTDEATSESVGKVDEERLLNTDMEEGTSDLDFLDNIGISSEEFM AIVQQIGDPDIMPENMLTLE FPSE_06893 MAPITGIIDIHKNWRCDCGTINSIAEKYCTNCKEPRKKGSHALT HNNLKIGTLGTVFTDGREHWLYDKYSVDAYNLVVAEGC FPSE_06894 MPSPPPKPSSPIPFRRNRAQTMDEVWPPANHPHQGYLPLDAFGL NSVPLGAIADNSSSSSAEASTEQNDDPFYTTSPAKPANGPLHVVDTMRLQVVRDQKDN TLGMRVTDPNNTMGKDANVCAPLPLGKTTTGIAFSVGSPQAMNEAYKRAVQITDSQRI VGVMFAPTKEQGRVRDVDWSVLETAKDREKDTVPALSTARTHGMGHRRSRLRHTTANA FGEPPVSPSPKRAEMSEDSEIDGAGIDLRQMVKEMALEDKKSNK FPSE_06895 MPQKTTEPRDDHILWECKRLKDATSTTCCGKFNGMRDEKCGWCK TNRDVGSMAVDRTRKTIGKLVEKNADGLDVWKYDDDAPSTKL FPSE_06896 MSETTENTTTESPKTEKPTAENPTTENPTTENPTTENPTTETVD ASTTDTGKEPPLQLNYNVWCPKCEMLVSQGKCSRCGRMNMIGGLEKVDSVT FPSE_06897 MPIPLGSDSWFGIDQLGSGQLDSSQLDPDEREFAVATLAAINWE SLLTIASNLRTASCTFTESFTMGQSNMVRRIQFSDGISWVARVRITQPNKYIPTGREL LSFADVVGIEVASMRFLKAKTSIPVPEVHYYNTDPENEVGAPYILMDYIHGTTADKLS HAKCDPDMYGTPDQDRRFREQMAEIQVTISTFKFDKIGSLHYDETTSEFFIGPELETG KGPWNSPMEYYNDLADHVLQVCEKNASSKLRESPSFENPNLFKHLMSLYSSSNKGPFS LVDRDFGANNLLVDDEFRIIGVIDFDGVMAAPIEVIAQFPSLTGLDRQIPRHIETSSI SKECIKPKLEEYKEMIHSAESKLGSGVIADLLLSDATYVYYGLNAYKCHQACVNDSFM VAYQNLLRDHEK FPSE_06898 MSSTQEAEQFIQSLSSYSILRRNHVEKSSKRLLFELLRYINGKT FATAKAVKATPFLTLKVYPGAIIAECNSDDLTKEDMHAICQPVDTEQNKAANFKTIVA ATKRTHIESGNLSVEFQRSIFDLDNSLTMRRVWITPAQTVSTSTTRITLYLHDQGSKA EIQNLRNIIVSQFEDLEQESILFLGNIQSLKVEFREDDKMLRSRHFEKQTIDKYRVSV KTTTVDLEHNVIGANLILAFPLTDDFKVEVGSISTKVLNLLPLAQGSLGFHIHSLLEV DDTTGVIVMSTHNIGIQNEIANVFFKAILQFCEHSILRYYWPLFIKNKPTEEDPFWGH LRIDVLNWISQNPVLVSRHVKQWRLISHLASPGRVAQDKAGNPLLDDEINDPFLSNRY PPGVIDILKYHGLATLSTAQFIDLLEIHFSNPSLRSRMMGQPFKLQDSFARLLSKLLA NDEYANRIKSLPLLPTQGGIMVSAASGLVYTFRAGSPDIPRTLGLKLINESDNLAYFH KSLYRQLGVVEASVPQVRDLILQKFSTSKCSLDLDSIKDCLVYLYLTHLSHDPKHERE YQTVQVMTKSGQIVTPWRTAVYWPGKGHRYTPESLLSTMSHVAKCPISILHPGILGGD PKPKYIQAYHPNWMSWLELCLGTKTRLSLIQPNPLLEQESDTGGSSTFSKANVLSEQC KHVLENCPDRFLGLIQHLWQDDGPHITKSPSLLSEIQQLPAKDLCGVSRPVKLRQTWF PLPHLQDIAKTYLEYPSEFPFLKIEEEKNMTVTVTTKWGFLTEYLGVKDKNSLEFLLQ LLECIKHSWDRESIWQMQKIFELYSTIRKQFSTSSREEKKRAQEFFEASGILYMDETG PIWTGTSSCLWAAPPDMICRYSLKMFYEDKVVNTSALQGIRGLFCDALGIQNATAEDV VEEMCLLRDEGCEDLARITNNYAYLDNSIESSCSIRAAFGEQAVIFIQHDDISTWFSV KECSWSETETTPMECSLKRCYPGFKNFFLEKLGVRTSSYDKLVDFTSTDVEEAKKCLL SFMDESDALYEYDPELMAKVKIFPVQYPTSEAQPRPSIELCSLDTEFCIGDRDYLVKS LQSKVKMLSFSITEIRRLQPLFFWLSMEDRYLSECVEEMITGIPSSNFMPGDATAIEM ALMNKAYQIARVAETFNSYGPYGNALSLYNMLRKLKVAKVDNMSIDLLLYQDDEVFTS RSSQKTIAYISEHIDSMAIYVNYDDNNEKLCLFSTLPRKLQQWLMQDLGSLCFEPFEV TNALTSIFACDVGILDDILDDQGMAQLSFENLDKVQSEPYTGAQQPRVDQDKALTLPV RER FPSE_06899 MERKPSVASLLTVTDSKDRPDHVYWQCVPDIKPTLMDKLRGKKP CKRENPIRYDICSGCCSRRRVGAIAKNRESETIGELITWDVHGNEIWRYTVPYPGPQK YEFWAEDFP FPSE_06900 MSKAIEARKRRVMVPAIAGLTVLGYGVYYMGNRSQNNPNATGAN GVIGAGGASKGNISSSLQETFGTGGSTAGTTTDYETKDTRAITSMSGIYTKKEAGKEE GRQFRNPLVPKGENKKQEDIVGVTGSPGK FPSE_06901 MGFSFNQKSQATSQATSAGEVSDSDSVIRDGELAFVRQTAGNGT SGYQEAVGAPVETRSPLGYNVNWITIIFLNLNHMVGTGIFSTPATILRLTGSIGLALV YWVIGFILAIAGLAVHMEFTSYFPNRSGSEVVWLEQGYPRPKHFFPVAFAVQSVLLSF SSSNAIVLSNYLWRIVGRAPDAWELKGVAIAAYTLAVITVVAHNRYSLWAINVFGALK LLLLLFIAISGLVILGGNFGSIPDPSLNFRHGFEGTTSSGYNLSQAMVNISFAFSGWQ NAFSMANEIKNPIPTLKKNASASLLIVFILYFLCNIAYFAAVPKDIFLESSELAAAVF FRTAFGKSAESALNFCVLLSSFGNLLAVLVSQSRQIREIARQGVLPWTEFWVSTKPFG TPVGPYLLKWAFTFLMIVAPPAGDAFQFVVSLKTYPDAIFFAAMGVGLLLIRRRRSRS NTPPSDFRAWYALVILYILSQIYLLVMPWIPPVGGIYGGTVSFLWCTYMIVGIAILGV CGLYYYVWMKLLPRWGNYKIRSQVISVDDNGANTHRLLKVPNDEVAEWDATHDDLGRD LPTGQRVTRVISETSK FPSE_06902 MATAAILTPVAPPSAPTFGTTPAAQPPPGQPDISYHPNWETYQA RVARRTQTENLSKEIPEGFPKELKGDLVWEGETVAKEYKWTYTFTAEQLEDIDNALAH FKSLNIAKGYISQETFPLPKTHDELRRLSRELHFGHGFFVLRGLDVDRYTREENIIIY AGISSHIGSIRGRQDAYYDGKRADVVLGHVKDVRSTTKIKIGSPAYTADKQVFHTDSG DIVSLFALGTAAEGGASRLASAWRVYNYIAETRPDLIRTLAEDWEAELFTKTVNDTDK VSRRPLIHHQPASADRKTPERVVLQYARRYYVGFGALPRGNHIPPITEAQAEALDTLH FLGERFSVSTDFQKGDIQYVNNVAVFHARDGFRDTEDQQRHLLRLWLRDPEYAWETPA ALSERWERVYGGVTPELQTFPLEPYVRSESAGVVQT FPSE_06903 MFRLIHLAQTALAFSIHSKSLYKVMIFVGGQNICSYPRGGHEDA DEIQDYFVTPSPSLEIHGVFVKKGLVRQLVALESERSGYSIEHQMTGQDQHLKIRMEF FPERRRLEGQFYFTIVTKKSVFAVYMNDGDATIHDIKDRIYDTKKIPQDQQRFIYRGK QLEDGRNWSEYSLQRGSIVLLVLRLAGGGGGTIHDLIEEQDTVDDKITLEPTTAIAPG GFISHEIIQDPTSGEWYDEPAYTVNLQVVNYEQFTNITGLSPKTPSPDEVAKISLEKC INKELENLAGEEIHLQGIGEMYEQTGIDITGPYNVNRAREDRAPVRLCITVFADIRDY RVTSIDTKDNVSVMGHRSGVLSILQFDLYFL FPSE_06904 MPSILPVVDKGLSPQSGHGRHVAAIFHRVYQERGGTIRSYFLWL A FPSE_06905 MSSALVNKTTHKVRKDHTKWDCDTCDEINIMKDEICVKCNESRL GQSRALTYTKGCDSWFLVLEMEKPDVLMADMGS FPSE_06906 MPPSQVKKVTETVRSDHTQWQCSSCQTLNSMTEKICSNCSRSRI GQSLAVTASGEIIGGNAGNNSAGKEEWYYNVTVNEGDKGMESIWSSILAWGGGEVHIE LFLNREAWYELNLHSNTMPTMLNAIGPDCRVIETTDIHPNHSHWKCKNCGDRQALFAR NCRECGTEIYRNAWVVTDGGQIFGQMHQMDHLGRVIWRYVVKHDGHVPEETEHFCGEC YKCMWTRKWNIILGYEKP FPSE_06907 MPTHVHSIASQDVHSQIQQLIHELVNIKDTTGEFLMTLADGQII DTKGWNDWEWTHGIGLYGIWKYYEMTGEDKYLQIIEDWFSARFKEGHKGKNINTMAVF LTLAFVYEKTKNPTYLPWLDAWAEWAMHDLPRTKYGGFQHITYLDVNEQQLWDDTLMM TVMPLAKIGLVLNRPHYVAEAKKQFLLHVQYLFDTKTGLFFHGWTFKDGGHNFADARW ARGNSWVTIVIPEFLELIGLNDSDPIGSHLIATLDSQCAALAKTQCANGLWRTLLDVP EEEGSYVEASATAGFAYGILKAERKRYIGKEYSDVAVKAVKAVLENISPEGELLNTSF GTGMGHDLQHYKDIPRTSMPYGQAMAMMALVEFLRAYN FPSE_06908 MDPSRENLLNGADHGSDTESDIDATDYLHKNVTTTQSQASVNSQ RRLRPTGPFRRWRTKRCCLTIIGTIVVGWILLSAIGAFAWKKIKTPPADGQSPPWYPS PKGGTAKNWEKSYELAAKLVGKMTLAEKVNITTGTGWSMGLAVGTTGAATNVGFPALA LQDGPLGIRFADNATAWPAGITVGATFNKKLMYERGNAHGKEAKGKGVNVILGPAIGP LGRAPAGGRNWEGFGPDPYLQGIAGAETIRGIQDAGVMATIKHFIGNEQEHFRQAWEW GLPNAISSNIDDRTLHEIYGWPFQNAVKAGVASVMCSYNMVNNSYACQNSKLLNGILK DEMGFQGFVMSDWLAQRSGVASALAGLDMSMPGDGLKWQDGDSIWGPRLTQAVLNGSL PVDRLNDMALRIVASFYQFGQDKDENKGPNFSSWTYEEKGVYAPGSPSPQEKQIVNHY VNVQEDHAELARHIAIEGTVLLKNEGVLPLSREGDLANSKSEKKDEKIKVGIFGEDAG PGNGVNFCPDQGCNDGTLGSGWGSGAADFPFLITPVEALRKNFNKEKVQVSEFLSNKV KDIKKIKEQDVCLVFANSDAGEGYIGWSSVRGDRNDLFLQKGGDALITKVAENCGDGS GTTIVVIHAVGPVVMESWIDHPRIKAVLTANLPGEESGNAIASILFGDESPSGKLPYT IGRSLADYGEGGQVLYLPNGVIPQQDFNEGLYIDYRHFDKYNVDVRYEFGYGLSYTTF EYKDLKISLKDAVSQLPASRVSPSSAKPPKLDDKIPDVKEGLWPKNIRKLKKWIYPYI DSADDIKQGDYPYPEGYDVEQPPSQAGGEEGGNPDLWKTVVTVSVVVTNSGSVAGKVV PQLYLSYPETSKVDHPVRVLRGFEKVSLKKSESKTVEFELTRRDLSYWDVEEQNWRVT DDGEFTISVGESSRDLKLTGTFKASTAL FPSE_06909 MADLDGPSPRPTPDNLTQHVHDTFQRAVNRCINSFSPELRTEVR RFNSARGILEHMRGLDQNVGLGVPRNVEVFCNAVSRLSDVLDPYSACITSFMQVKPEI CGLLCKNYTSFLNKLTDMLETITQDLELDRMIVLTAIDKAKAVGQSKKARDEPPWRLI DAMTVLHCDLLDLFCKVCELFPTKTREGHWRSALRMAKVFWVPFDEHFMHFRQKVSAH RQVLVAELKLLNDRTDITSYESFRKFHEQTFEQFKYIQSELKCTRIDRLKQWVAAESW TTPFEEVKRKRFPNTSTWILQHPQYKWWISPSGGNQESSGPVEAPGGPRILSIQAKPG YGKSVLCGVVIQEHREMITRQRSSQDVCTAMAYYFFDKQRPNQNDCVSALRAIVTQLL YQHQDKSELIDLAVLLKDSTGTGEPNASLVELKNLLILIMQYIQDSSLIFDGLDECQD LDSFLGDLEEISKSSTIKIFFASRPSVDVEKRFQSSVRNILLAERSNFQDIEAYLEPE INNLVQIGLLELDDSVQNVVQSIAKKSRSMFLWANLLIQYLKSEMVTPQERLDALNDM SLFPELDALYARILEKLYTWYSHKSIRRKIQMIFDWTCVAARALSVSELQIALGIQIG RATRKSNQIAGLRDRLIRMTGALIEVSQDGIVRFIHTSVLEFFMDAMDDANDGQNFRI DAGRVHGAFAATCLSYILFDVPKQRLVPAGAQSVSLPTITTRHPLLLYSTQFWAYHAC MSLRERGVGSSTADPIHDPSKHTLSQMLAAFLSDRHAVTVWTEAAWLFGEEPCVNDLA NVSRQLSSDTYPSLQKDTLQFCKDLDNINAKFAHVLHDDPGEIWEPSIPAFCQSRFWV ETQDSRVIDLNSPDAETLFRNSGGGEPILVASQCCSKGQHIGIITLWPSAAFEREMTP REPQVDRLLFMTDELASGWQMSYEVKVLRSQSTIHKLSLELPSLQMSRLLEKAFRSKD PKAFAFPVAFSPNIRQITVLNCLITIVPPTTNDQAESYLAPDFHLQELDIPRPVEPQF CTSSDHHLTSLYSVYCQCAFDWYHIMFSPSSAYVMVLRGPDKFAPLVLWVSREFIIYK DMSDDHRQPDFQAVTRIRSRVFQGPDVFKFHPREPVLVISQMSVTVLWFFEDPVKSSP ITIHQTPLYGLAFSQCGNYVHGETQSRGLIIVNISKHIEKYRARCSSWSSCSTTSVVS KYSQTVDVQQGKGKLTEVGNYEKAPETLDSGDISFYKQGERLQISAIRQLNGTGEVLR KEISSDGNVADQCLTRIPMCSNVYQSYTTVLNSGEAENISMVLNKSIQDTYSVGSTAQ NELRLPLLITRKTSSIPQHSRKRQSQIELPGRDSKRILN FPSE_06910 MSMFRAKKLDLGCFVNVRTLRDHTKRKVFEAHETERQALRYIIR NTTLPPRVRAEAQLQLTQMHCYTRPTQIRNRCIMGGQGRGILSDFKLSRYNFRMEAMA GNLPGVKRASW FPSE_06911 MGGGDLNLKKSFHPALRRNQQAVWDEEQKALAERKRTQQRLDEI KEERAKEEVQRQLEAAGGKKKVDRVEWMYQGPNDGQTGTTEETEAYLLGKRRIDNLIK GTEHKKLEKDAGTESFMALQNANTARDTAAKIREDPLLAIKRQEQAAYEAMMNDPARR RQLLASRGIDEEKKDKSRRKEDRHRRRHRHRSADGEDRHHRRRRSYSRSQSPRRRDGS REDRHRRRRDDSRDRHSRRRDGSDEGGRRDRDNRSGGDRHRHDGDRRHSDEPRDERRD NRRDERPRDNNRQYSRRSYPSDRRPRHNEEDDKAKEEERQRKLAAMQSAATELDVDRQ ERLADLEKREQAAREADNKARERGGDRGFVNKLHQQAEHKGLAERMGGARRGYQKDDD FPSE_06912 MPALCGGSKTVQRKLVLLGDGACGKTSLLNVFTRGYFPTVYEPT VFENYVHDIFVDNVHIELSLWDTAGQEEFDRLRSLSYDDTDLIMLCYSVDSKDSLENV ESKWVGEIADNCPGTKLVLVALKCDLREQGEDDENAAAGGESEQPREKKPMINYDQGL EVARRIGAQRYLECSAMKNRGVNEAFTEAARVALSVKKDREEGKCSVM FPSE_06913 MPLFGRREPSPEPIREEPRKHGLFSSNKHTEPTPVRSSTTSRHN RHSRGSASSHDRYSSSSSRSPSRRRSTGGGFLSKFGNNRNEHDPAVLEARERVRSAEM AEREADRALEEARLSVRDAREHVKRLEVEAKEDSRRAKMKQSQAKEMSKMGRSLGRHG H FPSE_06914 MGEDRLATIRADNLHNNSGGQVLPQEWYTQLQTVRQDAKKLANS KQKEKQFTKKLADAIAKSGSKAISDNMPLTGQMEVSKLWVPVFDKQIFGGARLADSKA QELELQGAFDILELQRPEVDNGMARERGDYEVLLQRGKLLKRCQSLIHYAKQAHLPRI VVAATLAYARVSLLGIWFGQKWEKREVSNVRLKDFVIFDALINATRQLLKEALDQCLQ VEDATELLRRTNAMLQLLETQRDDVTPSQLASITLAMVRDGIVLETLSGQCLRMETPF CLRKGYDVQIVERILKVKTTGPLQTLNLTR FPSE_06915 MGSQPQADITLYTSHKCPFAHRAHITLTELNIPFKEEIIDLDKP RSPEYLQINPRGLVPTIIHNGETITESAIVAQFLVDAYPAQSKSLLPASSDPNGALIR ARISFFADTYSSKVQGHLSRAIYRGTTDEEISSAVDDAVAGIVKEVEPLLKNAAPFFG GSDKLTFAEVLTAPFVIRALSLSKHGLLPTNLSSRLEKETPNFYKWAQAISNHASIMG IYNEDDIVQGTKKKRAQLRGQA FPSE_06916 MLSRYILPAAALFGLAVAQTTSDCNPLNQTDCDPNPAWGTTYTW AFNDTPSSDFWDGHVGRVTYDKELGATFTVAKQGDSPTLRTNFYFFFGRTELHMKAAP GTGIISSMMWLSDDLDEVDWEILGANDTHASTNYYGKGVEDFTKAGWHFVEGGMQEDY VNYTTTWTKEELTWYINGQEARKVVAKDDMDSYPQTPMRLSIGIWAAGDPRMPEGTRA WAGGDTDYEKGPYTMHVKDVTVEDYSTGKEYVYGDKTGSWESIEIVKGNSTAFEALHK EPEKSSGEKWEALPTGTKTGIYAGGIGAAAISLGALGFYFWRQRAAGASEAKQAAAEN SDQDLMSYRQGEDTANQGFEYSAAKYSKVPAQAAP FPSE_06917 MSLTFRPATTLDIPPLLSLIVSAYRGPESRAGWTTEADLLADER ISPSALEAKITDPEGLVLVAFKSTPSSTGTSTPESGSDDASSGLVACCEIVRKDDERA YFGLFAVSPKLQAGGIGRQVLQQAEEYAKKEWGTKIMTMTVIWTREELIAWYMRRGYT RTGEKSPFPYAELYNGKALRDDLYFDHLEKAL FPSE_06918 MALNLTTSRRALSSLKPLTRAAFVGARGYATAEPDLKATLREAI PAKRELLKKVKAHSNKVLGEVKVENTLGGMRGLKAMVWEGSVLDANEGIRFHGRTIKD CQKELPKGKTGTEMLPEAMFWLLLTGQVPSVNQVRGFSRELAEKAQIPEFVSKMLNDF PKDLHPMTQFAMAVSALNYESKFAKAYEQGINKADYWEPTFDDCISLLAKLPTIAAKI YQNSYRGGGALPAEVDLEQDWSYNFAAMLGKGGKENENFQDLLRLYLALHGDHEGGNV SAHATHLVGSALSDPFLSYSAGLQGLAGPLHGLAAQEVLRWIIQMKEAIPSNYTEQDV NDYLWSTLNSGRVVPGYGHAVLRKPDPRFEALMDYAAARPEIANDPVFQLVEKNSRIA PEVLKKHGKTKNPYPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQLIWDRAL GLPIERPKSINLEGILKQVEGQ FPSE_06919 MMRRLSTRAPQRLISAASKSRSCAPLLAIRAISSTSVAKMSSLS THATQPADAFQLLPESQKPGEAEDRLYEATVHEIQAWWASPRFAGIKRPYSAEDVATK RGTQKVQYPSSVMAQKLFNLIREREAKGEPIHTMGAIDPVQMTQQAPHQEVLYISGWA CSSLLTSTNEVSPDFGDYPYNTVPNQVQRLAKAQNMHDRKQWDLRRKMTAEERTKTPY TDYLRPIIADGDTGHGGLSAVLKLAKLFAENGAAAVHFEDQLHGGKKCGHLAGKVLVP IGEHINRLVATRFQWDVMGCENLVIARTDSESGKLLSSAIDVRDHEFILGVADPSIEP LAETIQAMEAKGAAGAEIDAFEAQWVKNTKLITFDEAAVAHMKKEGVEQSKIDEYLAE TDKDHDMGISRRRGLANKYTKEPVYFNWDVPRTREGFYHYRAGMQAATKRALAFGPYA DLLWVETGDPNVQVASKLGRAVREVYPGKGLVYNLSPSFNWMAHGFTDETLKSFIWDI AREGFVLQLISLAGLHSNATITTELSREFKKDGMKAYVDIVQRREKDLKCDVLTHQKW SGASYIDGILGAIQSGSSSSKSMGEGNTEGQFH FPSE_06920 MSAPRGRLAGKNAVITGAGGGIGLETSILFAKEGASILMSDISQ PALEKAAAKVKQLVPDAPRVEILKVDVSKESEVQAMIESLDSWGGIDVLFNNAGIMHA QDDDAVNTPENIWDLTQNINVKGVWFGCKHAVLSLRRNKKSKASIINTASVVALVGAA TPQLAYTASKGAVLALTRELAMVHAREGFRFNNLCPAPLNTPLLQDWLGDDQAKRHRR EVHFPMGRFGEAIEQAHAVVFLASDESSFVNGHDFAVDGGMTKGYVTAEGAAQPPPQN NASKDSL FPSE_06921 MASSQTVTVDNLAQVLENDNMVKLAGVDVDGILRGKLVSKKKFL SIAEAGFGFCSVIFGWDMHDRTYVRELKISNAENGYHDLLAIPDLSTFRRIPWEDNVP LFLVDFLDPDTQKPICACPRGLVKTQLAKLKEHGYGAMAGAEYEFYQFKTPDPSSSSP AAYLQDNPPHQLPALTEGMFGYSLTRPVHNQDYYYDVFNTCAKFSCNIEGWHTESGPG VFEAALEFGEIAQMADRAALFKYVVKSVSTKYGITPCFMAKPKQGLPGNSGHMHVSIV DKEGKNLFARETKDENPKWRDIANLSDMGRHFLAGILVGLPDIMPILAPTINSYKRLV ENFWAPVTVSWGLEHRAASIRLICPKPSATRFEVRVPGADTNPHLVLSAILGCGWRGV EKKLEIPTPPLAMGQDVGGDADQGERLAKSLKEATARFMAKDSIAREVFGDDFVEHFG GTREHEVRLYDEAVTDWEMKRYIETV FPSE_06922 MSVYIAENTASEPLSNEDARWVGLKKITYTDQTGVQRTWESAER LTRPKDALIDGVGIVAILAHSHSPKIVLQKQFRPPVNKVVIEVPAGLIDEGETAEECA VRELREETGYVGVATETSPIMFNDPGFCNTNLKMVHVSIDMTLEENKNPKPNLEPGEF IETFTVELSDLWKECERLEAQGHVIDARVATIAEGILLAQRFKL FPSE_06923 MTTSLKSQNIPLILPSDLSEEQLSSFKPFNNWVDTLTSSLRLQS TPSHPFHKDPYALRSVTIQSFDLFGKRLGFVKLTATVSNSAGETLPAAALLRGPSVAM LFMLIPDDAPEERYVVLTVQPRVPAGSLSFVELPAGMVDDAGSFKGAAAQEIQEELGV TIHEDELTNLSELAGMDKNEEGLAQAMFPSAGGCDEHITIFSYEKRLPRDKIQEWSGR LTGLREHGEKITLKVVPMGEAWREGARDAKCLAALALWRGLKDDGKLS FPSE_06924 MGQGPGILQLRKGLAWKLIFCPNLHCHETRLLVHVRSPAPPCRS FVPIQARYERYSKYNNNNCSPTIYDLNQLAADDMAKQNDTIKRLPVDNVSQDDQTSES DFSDTTSNYSTISEEALPLIYEYGHTYHGTGQLLIPNDASEAHRLAVQHELYQLCLDG GLVDAKLPLDQYTPQDPFEILDVGAGSGVWACDMAKRYPQVNILGIDLSSALLPEDVP PNVTFEIADATDPWPARTYDFIHMRSLAGAGVRDWDSLLAEAYEHLKPGGQLEVTEIR PHFFDVDPEQADLPCEDRKPEIGAACIEYERIFVEMCDKFGIDCDPIPRVPGRLSGLG AEVICERVDWLPIQNWGSDPVTQQKRKALAEMVECGIENWTLRLFGLGGWEEKATRAL LDRVVGEMQDPTLRSLAKVTFVSARKGMGNGKHI FPSE_06925 MFQPQPTPFASSSFASYGLASNLDFGFPVSAGGGGANTAATTTT NATTTATSTAGYYNPSAPSQQQQQQQLAQSHSQLQSQSQSHTHQPQQQLPLHHQHQNQ HQHQHPPQPHLVHHQHISPRQRQLSTSAAPSASSPYSNSASASASVSTPSQTSNSNPS SSPSLAQLQNHTRLHQHQHRHQQYPTQVVLKMEDQGQHGMAAQQDAARHYQPVLEGLK VGNKILSDAITNEYAKADPVYVEKTIALPQTYSHYRPIMGDGNCGWRAIGFSYVEKLI ESGDQAKVEGEVARLMSMNPLLTTVGNYPYWEEMADEVLDLLREVAQNVSSNPQYAHQ LNQDKWNEPGTSDSMIYYLRLLVGTFIKNDPATYEPFLTDGVAGYCAQQIDIPNREID EISLSALVQILLKPVGFVLEVAMLDRSLGSEVNHFRWPQEANGQNEADLGPIIYLLYR PGHYDILYKLMTTQVLRVSGFTHNTNITTDQANLGQYTTMNFDALSIIPGFSSSAGMG GLAQFAPPPPSSAAESFSPIQQSPWISSFPEPLPAPAPRAAPPPLPAIMASPQPPTPP ASISGSSAIAPSPAMIAPSGPPNSLPLRTAASSYHIRFSPVQLEYDEGKNSFPDSTFQ VTTNTFKNSIWNRAHYCNPNFHPEEWNPDDDHAEGRGGGKRRVKKEMS FPSE_06926 MSDDEDFMQESDEEQYDFEYEEDDDEETGDVDIENKYYNAKQLK LSDPEDAISEFLGIPPLEDEKGEWGFKGIKQAIKLEFKLGQYDKAAEHYAELLTYVKS AVTRNYSEKSINNMLDYIEKGADGPEAVKCMEQFYSLTLQSFQSTNNERLWLKTNIKL AKLLLDRKEYTAVSKKLRELHKTCQREDGTDDPSKGTYSLEIYALEIQMFAETKNNKQ LKALYQRALKVKSAVPHPRIMGIIRECGGKMHMSEENWKEAQSDFFESFRNYDEAGSL QRIQVLKYLLLTTMLMKSDINPFDSQETKPYKTDPRISAMTDLVDAYQRDDVHMYEKV LQRNQDILDDSFIAENIDEVTRNMRTKGVVKLIAPYTRMKLSWIAKQLKISEPEVQDI LGFLIIDGKINGRINQQEGLLEITSDADAERIAALQGLTTSISELFGAVFRDGDGFRN IEHSAADEQNIEGGLLLGKVGRPGGQHRGKKGKIAAAAAWA FPSE_06927 MATRDYVDPETQVASEESPLLSNPSPQQDGPSKAFRRRALGMCM LALLMVEVSQFIMTPPTKKIIEDIICRQHYPDHDIKSYWVEDARCKDSPVQKTLAMVQ GWAQAFEMGVPILTQFPYGIVADKYGRRLVLFLAMLGCCLSTFWVMIVLLFPDIFSIW AILGDSVFFLIGGGGQMAVAMVYTIVADVVPVAERTDMFFRLVALVLVFNVIFNPISA WLLEYDPWLSMWIGFGFMVFGTLCILLIPETMHLRRKDDDERHDENEQVDGDRKGVLK QAWFSIKNDMQHVWRFIFASKSIMMLMFSVALFVPTRITLTGVMLQYMSKRFDWSWSK ATYISTIGIIATVVCYLIILPVTSESLNKSPRYKSRPIARDLLLARVTIAIMTVGLLI MGVAGSPWLFIISLIIVSVGNSFVALSRALLNALVEPHTIATLNTTVSLVEVMMGMTA PAMSWLLAKGIELGDAWMGLPFVITGVLAVMTTAMLFAVRLPSSGIAQAHVG FPSE_06928 MPSKSIFTSLLAIAPLALAQNPSCDCYMTEGQYYKDHSFFDFRS LSQHAGVPALIDSIQGNAEAGFTSDFFNWESDFSKTWGAQKWNNGNEEFPMQNTYNNL YIEENNDESPASDTWLTMRTARHNGFQTASEFESMVKHQYVSLRMYARTKGSPGACTA MFTYRAGEDLASVQEADIEVLTKDPPNVIHYTNQPSYTSEGGEVDGAHLGAALPDGIT WSTWAKHTLDWTPDTTIWRVNDKELWRNSFQVPRDPATLSFNAWSNGDTWTGTIPEGG AAYQQIQWIELLSGRTDGATCSSVCSVDKGEPGKAVQV FPSE_06929 MAQSIPQNVIHGLQSMLPPYERSEDRNGKEPASPEDELRPIDVV IARVMLTKGTKLPPDVVDIIFDFAEYWAHSSNEVDYILQQQSPLTVNGGRPLHNKFML RSYPVGLTGLQGRQALAEILSYDTTKAKPEKLEKEHEKDYFEALANYPTPRLQYPVRK VVFTIRSHDQGWCSEPENRGTFNGSWTWFEAGLERFDAEQTCDPVCNYDVRFKSNTSE AVPLPVCALRPLYPEIEPGTEKKMSYHHPLQPQDKWVIQHNKTASRTWQDHVVTWTWQ DDIKPESEAAEKMRKETGRGRDTADGSFVRDLKMGDVITIWAKARFTAWVNHVESVKI DVYWAV FPSE_06930 MMRSSRSLAASTRQLRSAFNPHSLPRLALKPQSIPCLALGTRKL HCSAIRKSGVASNPAMSFPCLDALESRSAKLTDDDTEPSYTSGATLNYHHKEPFLLDW GGILPEFNIAYETWGELNADRSNAILLHTGLSASSHAHSTETNPKPGWWEKFIGPGGP LDTNKYHVICTNVIGGCNGSTGPSSVDPGNGERYATRFPILTMDDMVRAQFKLLDHLG IDKLYASVGSSMGGMQSLAAGVQSPSRVGRIVSISGCARSHPYSIAMRHTQRQVLMMD PNWNRGFYYGKVPPHAGMKLAREIATVTYRSGPEWEQRFGRRRADSSKPPALCPDFLI ETYLDHAGEKWCLNYDPNSLLYVSKAMDLFDLGIEHQRATHARRQDREKKLASGEASP LSSDAACSLTLPNKPYEEQPGSHPDPSDPNVVPGSRPPEDLIHGLTPLRDTPTLVMGV ASDILFPAWQQREVAEAIRLAGNRNVTHVELSEEMSMFGHDTFLLDLKYIGNNLRMFL G FPSE_06931 MVSFFGLRLGGDRKKSQDKKLATKQIQHLHRNDTYSNNDSGYET ITTITAREIKRQSNFSRPNLARPGTSHSQLEPPTRVPYMNSAGGASSMVDLGAPRAPG MGNPRFHSSDMQLNTRFGNGSSTSLVAPSPITADGNRPGTPTRPGTAVSTREPPNPFN IHFGKDSVSSIPSTPHDDLANHPETFEFNLGTEIKEVRTRSPVPTTNNGYPSPPPSIV NAERPFSPDNRPSSSRQNVPTAPTLQKPSAAGPMSLPSPAVSVARSEDTWESPVIRNV SAKRDTFTFHMPRKSSFTMETDPRDTPKPMAEGLAGNFAGFDFGETVRKGSIGGKSID TASEGRVSPIDTNVKPKPLVNTRTASPLGNTRTASPLRTMQSSPSLSMLDTALTRTRT ASDAMSPLSLVSSLASAAAEEIPRIQTPQPSQPSKPMHQAPKILQPGQLSEPLQDTRP VSPSRSPMPSPLSQPPQQNSPGPSPILSSFPGREGSPPLDIEFPRSPPRGYQQSLQPL QYPTKQYKAYQPPNSSPPTKPLPSPYRSPTSPLPPVPPPRLNPGNSRGNSRSNTPDIA SRSATPDTIASPGFINLPLQPPPRGLRPRTDSEPKLGRPVRVPAPLAERSATIPLVGS GPRSAVGPGFDDNGFVRAARIPEPPRTASPFLQQACEPSRAASPFRAHSPQPPQTASP FLQQTAQPPRTTSPFLQQSPMEGEFPIQKGLPRGRRPQQPEPQTPDKGYGLEPRKQRR QPPMYPPPRNESPVAPDSFDAPSTPNWNDFDRAEAHKSAIPAALSPFRAQFARSPGQA TDSSLSPRLAQYASSPISDRSPSLPSPSFPSLQKSISNSSENLARMFDIDGPYDQRPE PIQGRPLISPVMADFVQPRDRDRSRTRIEAKRAPPRPNPITVPAPHTTEAVKVRTPNP VVDANNPGFI FPSE_06932 MVSSPLSHQARNSEKAQSMLFRFREAQAADLGIIDAGRTRRPKL ITEVTTIPACEKWRGQVLKEISRKMSRIQEPILSDYQIRDLNDEINKLMREKHMWEIQ IRNLGGPNYMRGGGKIYDDQGREIPGSGKGYKYFGRARELPGVKELFEAAKNQGDEKP LEERHDMRRNVDAAYYGYAPDEEDEELLAYEAEKERQAVDHMLKTGPRDVPDGWEALP GDTGDGNTWVLPTLEEVQEELIERRRRKLLEQL FPSE_06933 MRSLLSLVLFLFAALVSAVSTAGNRMLVVTDSPADKEAYTTFFR DLSERGYQITFESPKTESLALFLHGERTYDHLIFLPTKIKGLGPNLTPQLILEFVTAG GNLLVTMSSTHVVPSALDAALMELEIMVPEERTGKVVDHFTYDTISASEDHDVLVVDA PRNILPGRKDYFEMPGAFLSVPHALGHRLGNGELITPVLRAPPTAYMYNPKDQNKTIE TDELFAAGTQVNLVTFFQARNSARITVIGSAEMLQDKTFDTKVARQGGKPQFPANREF VTNVAAWTFQELGVLRVNSIEHRLDGSNETNPAGYRVKNDVSYNISLSEYAWNTWQPY HTPEDDEVQLEFTMLSPFWRLPLKPILSNDHETIYSTNFTLPDQHGIFNFKVNYKRAF LTYIEKKNTVSVRHLAHDEYDRSYSINGAWTGIAGTWATIIGFISFCFIWLYSKPVPK TFLDKKTQ FPSE_06934 MSAAPFFWRAPLKYCRWAARERPALFWSVIIGAAGPVAMPIVPP IRHYFGDIDAPPVPVTYPIPNTPRKQLTGYDD FPSE_06935 MASIPRRYRSSTSASNPPQTKSFEILKGLLEALSIDTTLHQQDG FPQTPPLLRKLRHIIQHISATRSSVHQDDFRHADGFETILNLLRAFSGYYDPDKRTDT DMLSLFKLIGDCLNLFSVALRGHAGNRRYFQYKVEGGGWEALEQVIASIGLGGAEPDA WISCHIFGKLLAFSLDDEALDLLCQSIGQSLRPDDENSPKEDESDSAEEQWDLVLAKS AENITPGVREVVNAKTIIRHPEILRAVVSFWTAIPRMKDGLANPASLLVLETIHCAIS VSIYNRAAVHSTGALSQFLRVAFNADSALSPPEREKVLAICKILMHLGVNEPADTQFL LSTPGSEASEFCLQMTNKHTGPPFFQFDLSLHGHSSLELSSLGRSFPPQSSAGYTFTA WIRVDSFDPTAHTTIFGVFDSTQSCFVLMYLERDTHNFILQTSVTSNKPSVRFKSVSF KEKKWYHIAIVHRRPKTMVTSKASLYVDGEFSEQIRCNYPHLPPLATGAHDSFASFNS NQNKTNPVQAFVGTPKDLSNQIGSGLVFSRWSLASAHLFEDALSDDYLAVHFGLGPRY QGNFQDSLGGFQTYEASATLGLRNEIAHPGKGETSDIIRAVREKAGSLLPESKILLSI LPTATFPENVQFYDTGLLRSLPRNCARNLFRASNQEGAPLAINCAVPSLPDSLFRVQG LLSFRGNPIVVVPSYLDENLWRLAGFTPLALKLFERASSIEETVRSAEMLFYCIRQSW RNSEAIEKDNGYGILAMLLRVKLGYDRGSSDSAVTRLQVSNEDRDRLAFQLLSLILGF VGYNHVEPMESFIINPLAYRILLIDLDIWRKSAPRIQELYYKQFVTFAVKSKYHEFNS RRLIRMRIVKRLLDAMKGEVVSEDTISHFMGAFEILVTSNFSQEVMRSLSLFITYAFH SQPLPVNRSPRALSINSRTGTPLPRRRGTPTDPTAAGIASGLKFLTKKQLGVKVLSMY SRILCEKGNTTHIKKFARTVTNKWLLYLLAEDDPEVVVHGCKILARLLVTHGSNYTSK FAGKSGGFVIMSNRLKRFWDMPTLWPICFSILFGYDVAEINFDRNFDFFNILETFGKR KVVYPESLSVITAMLQHGLKDVMRHQDDPDSPATYVDPASHTLKKFASAKDLRPEMRP RASSMNLTTALATRSNFSRDSERVASYAGVLHTVIRFLLDLHERSTEFRDFALTSEWV RLLLSALYPVIVSADAVTPETELNSGDMALTFEGTDVIIRPISGSGSHATPIVRTTSV DPVPSPQSTPPKGTPLRRASSFVLLTQQKSPVGPSTARLSPALPSPRSTPSKRPSSDI LDGLMELVVSVFMDQLLSRKDFSGFGLFTKVPPGFREHQAYFESYILKHVVAQLEKNI QLNQKAICEPRVLTNLSRLCQHMSEAIFEGWFLNGAEVMIDFIGMLLEFLQRPDIASL KSVRLCSQAVNSIRFCLLRIILLRLSDLDNSDTTLVEAKQFMGKMAYWQMSILGCFTG EDQFLKLFWYQLYTKLISDKMPLRTAAANFLRIILVQKPEESNELIHSCMSPDQKQLS KDFQQLTGVDDESFVEWVDKHRPSLDVLFFGGMSKTWEDFVSSENARTAETSKVRLAK RKDKLRVWHAENINTEKTLLSHDIGNSAWMKSIYNSEYFKYQRIMQDQQDDLVFLSDA YKKMERDLMRPGAVFSEAAPPKWKLDRTEGRNRMRLRVLPDFTTSKDEYQPKRKASEV LQTLRLNTSASPAPSIVTSAVSPTKTATPALPESNNETPQFTDEPESTVPAEQPASGV APEDDFELVDDPNDPNEGDEGFEDKNRKVMRRLERGDQVQSAYNISRIIGLEACEGIL IIGKDALYIMDNVFQCANGDIVNVWQAPPEERDPFSQIVTDAKTSEKRQNNKEQESRH WRWHDVISISKRRFLFRDVAIEVFFTDGRSYLLTTITPVVRDNLFIKMLSKAPHTTGA NTLPNPEDAWRLESLKVFDESPQGFGSKFGTFFNASPWNPLLKKWQKGEISNFHYLMM VNTMAGRTFNDLTQYPVFPWVLADYTSEDLDLDDPNTFRDLSKPMGAQTPHRVGGFVE SYNALEEIGEVPFHYGTHYSSAMIVSSYLIRLPPFVQSYILLQGGSFDHADRLFQSIP ETWQSASCKNKTDVRELIPEFYCLPEFLTNVNGYNFGNRESNGVKVDHVELPPWAKGD PRIFIAKHREALESPYVSENLHQWIDLVFGFKQRGEAAVENLNVFHHLSYRGATDLDS ITDAKERAILAGVIHNFGQTPHQVFMKSHPAREHVRSPARRLDTSVISLSCLPHPLLG MDSPSRSMNFTDKPSIESHERVASLLYASKLDRLLCASPFRLNFPPYDKFLEWGYADN SIRFFFSDNRKVTRNHILVHGRIANSTKPAGLFENLHIGQISCACFADSKTLITAGED CVVSVYALQTAHGKPVELLPRSSLFGHKFPVTTIAVSKAFSTLLTVSTDGQAFLWDLN RLSFIRKLPLVRPVESARINDISGEILLCSGPNVILYTLNGTLLLEQNVCFDQDDYIH SCAFYEGAGNEWLDNYLIFTGHKRGIVNVWRRSIVGSRWTLELLRKLDHVDYKNEKGA NTEAGMTCISPMPTCVYTGDDDGRVIESGPPEPDISSTQPPQ FPSE_06936 MKSALTLSMAAVASAASFSVGTVHDKAAPILSSIDAETIPDSYI VKFKDHVDEHAASNHHMWVQDTHTQGESERMELRKRSIPFTDKTFSGLKHTFDIGEAF KGYAGHFDESMIEKVRNHPDVEFIERDTLVHTMVPITNNDMVTEDKCDGETERSAPWG LARISHRNTLNFGSFNKYLYSSDGGEGVDAYIVDTGTNVKHVDFEGRAHWGKTIPSGD ADEDGNGHGTHCSGTVAGKKYGVAKKASVYAVKVLRSNGSGSMSDVVKGVEFAATSHL EQKKKAKDGKRKGFKGSVANMSLGGGKTQALDAAVNAAVRTGIHFAVAAGNDNADACN YSPAAASEPVTVGASAIDDSRAYFSNYGKCTDIFAPGLNIVSTWIGSEKAINTISGTS MASPHIAGLLAYYLSLQPAEDSEYALASITPKKLKENLISVATENALSDIPSDTPNLL AWNGGGCSDYKKIVEAGSYKVNKAAPSSRVEDIKHAVEQEVEVVSGKLTTGAKQLGSK AEKFSKKIHELVDEELEQFIKELNL FPSE_06937 MLRRNSSRKTNRRPLSRSQSTNSVVRSPIRDLESIDPAKAERDA NIAAVLSYHRAQDRPSSERMTLPRDPASFYDKSSGTGSVIRNSPQRTDSALSRHGTSD IARHRSVRFAGPSALPRRNVASRANGGRDSPTKATSTIHAFGKANTRPSSTLSFHREK TNKFSLTRRYLETLQPPEECYNHQQQDAVSMSSSYKKIRKSRSMMTSSHTAIEVVSTR DWTAKNDMRPRSSPLPPTSNDDERLKDARPKTAALRAATSMSFLRSRRKTAAARASSN VEDSDAALRLARERFRQIQEEEAKKSQQSTLLRPKTRESQYSTTLRKSLRNSSNNSAA LSSTFSTNSASVSKQHGIRTTARKVSHGLRSKLRGLFGKGKSEDSEPEYDQCVAERDS DGESCLHVDAGDTATTEEASVFQVTSHVPSLHDVPSNKKLRSRKGSVESFIDAGGEHC VSDDRSRVTSWTNSMTSQDTIGEKNRQRLSIIKETAMATENDFERNRENCEAMNKQWP QSTIRCVQPDDDEGSRYLSLSTLDLPVRQDTGSEKDLCATDDSIYSFSAEDTPLPPAI GLNLLEQSPGRRSTRQPSPEISIYAPAYEHQRDTSTASSVEWKTWLSSKVSKLEGPST PTRRKQNGAPTMGHVRELASMGSTPELSVSRDKRTPNRSPLGSIKGNAQAFPDDSSPT RLSRRVIIGYDENAAPNYEEKMADKERTPSIPRRSTLRAVPSLPSVISRGSLMETGSG REMHRMRSLNTLGRLNSTPDESIAKRRSRTRIGGWQGSPTKSSPGVSGQGRAHVARTT DSPDLLGRHR FPSE_06938 MPPRSPSPNSIDIELNALSPTTSDPDLPLSMSSPSKPPRWLDPR PSTFFSRLTSSPIFHRLKQILRPRITWRYITFSAIGLYVFYCFITWQPFFSSRLPAYS GPHDVGAIDLEIPLDKPKRISDTILKETGKPAFEVETVLFTVYYPAVKNAASRTALHP WVPKPISLTAEGYARAASFNNFITRPIFTFALWLLVGSIKIPAKVDTPILDSDAKFPV MIFSHGSVSSRTDYTHYIGELASHGHIIAALEHRDGSCPGTMVQIKNKKDRPVFLIKE KDLQSTRPMNDTVLKKEQLAVRTEEILQAVHVLQDVNNGKGSEIFTSNSRHEGQTLAA WSNRLDFNHLTINGHSYGATGALQALKTANTTSTNPAIGGIALDPGKSSGQLNAEIPV PLLVVHSNSWSKSHSIFYGRPHFDTVAEIVRGVRDKVGTAWFLTSIGTAHPSVTDAPL LQPLLLNFATGATADVRKALGEYVKVTLDFLAYTKNGTREGVLGEDVTHEKYGEWVDG REKTFPKEYAKNWEVHVTPLKQR FPSE_06939 MSSTSIELRAREEGSSPAEDVDLTSTHEFSSLPPVDGGKDAWFF LAASFMVEALTWGFPFAFGVFQDYYSTNAPFEGSSAIAGIMYLGLPFVMTLQRLYPKQ SRWSPMIGLFIMCIALALSSFSQNTTHLILTQGILYAIGGSISYCPCLLYMDQWFAKK KGFAFGVMWSGTGLAGFALPLLFEKFLHDYGFRTTLRIWSVSLFVLTLPLAYFIKPRL PHSATRHINPLKLGFALRRNFMLHQLANITQALGFFLPGIYLPSYARTAIGAGTFASA LTVLLINVASVFGCVAMGALTDRLHVTNCFLISAMGTALSTFLLWGFSTNLPVLYIFC VAYGIFAGSYTTAWTGIMHMVSSGDVASSSGRSFDPAMVLGVLSAGRGIGNLVSGPLS GALIKGMPFQGQAFGGYGTGYGSLIIFTGVTGLASGATFLFRRVGWV FPSE_06940 MSLAKRNNGCYECCKRRLKCDKTEPECLKCQKKGISCSGQGLRC RFSSHMATTATPMTLTFTTTTSTPSSTEPSPNQPGKSFRWVEPGKRFRKDRMRNGQGR NSPTTLHYTDSASSDDVASPSSDDSFDSSSGAVVPYARRITIHPSLNAVSSSQTRKMF SHFSDIIASHMVVLDSNSNGYRDVILPLACHDDNLAQAVSVVSAFHLGQKDPRLQHVA ETGHQAIVQKLRRDSLQLSPEQLFNPYILATILVLLVGETITGADNYTYLLEMLNCLT SYPDWITMLPPSLKEFFLQQIKMFQLFGVPLANESKGLQVLTGPEAYLDFMAYPELPP DSEHAPNIQVIRSAIFDACSIYRRRAESSLSDEESIHLVEQLRQKVLNLDASTKGVHA LVWTYFVAAAESMLPEHREFFSTRLAGLYSVTSFGSIPVAVKALTTIWGMQGTRRWTE IVSSETPILIM FPSE_06941 MAVKTSQSEDPTFELMTRTGTLRREGTRSEMQDLEIIASAGASF RPGDIPPPPDGGLHAWTQVAMGWIAIFATWGYVNSFGSFQAHYTSTLPQTPFQISWIG SIQVWFTFFGSAFSGRLLDAGFWVPTFIVGSTLQLLGIFTMSLSTSYWHLMITQGVVT GIGGGIIFAPSLALVATYFEKRRGIAIGLVTTGNSLGGTVYPLVVRTLLPEIGFSWTA RVLGFINLIGFVLVAIFMRPRLPPRQTGPIIDWTAFKDVLYLCYVSGLFFFVWSVYYT FYYLASFGQDEIGMSFADSSILTTIINAVGLPTRVLIPFLADRIGPLNTIAPAGMCVA IVAYTWLAVHNETGVYVFSIFYGIASGAFQSLMPTGVASITTRLDTIGTRMGMCFSLI SFAGLSGPPIGGLLQSKSLEGAHVWAALSSTLAAILLVLARVLKVGWKVKTRC FPSE_06942 MSLAAVGSHFSASLGLLALGGHNVSMTDHVIRTQADLGELNEFY NINFASRRYEALEDYHKSEQDLLDAIDFTSLDQEGKVDYIMLNTFHTRQLNNLNLQKT SKKSYEPLIPFADDLVGLLEARQDVEPMKAKESAGLLNNITKSIGRVQTKVKDGGFNV TETTGYLATKAITGLLDGLEEWFTFYSTYDPLFDFWVTTPWQAANSSLTEYLTVVQTE LAGMNQKNGQDIIVGEPIGRKGLEVELEAEMIPYTPEELIEIGNQEFKWCEKEMIKQS EALGYGKEWKKALEHVKNDYVDPGKQTEYVRSLIVEGSLFVTERDLVTVPPLSNSTWR MIMIDAERQKVSPFFLGGPVIQVSYPVVSMEHDLKLMVMRGNNKHFAKATAFHEMFPG HRLQLYMADRYNSHRKEIFDTPFFVEGWALYWEFLFYDRDDFHHSPENRIGALWWRMH RCLRIIFSLKFHLGEMPAQEAVDLLVDRINHERSNAEGEVRRSVEGTYSPLYQAGYML GALQLWKLREMAVDSGKMTQKEYHDAILRTGGLPIEMVKALILNEELSKDFKAEWKFY DID FPSE_06943 MEVENPFLVFPREIRDMIYKYTLQDIKFTNILAHKTAYTVEDAP ILYINAAIANDIQHLLYRDHEMLVHIVKTQTYAEGQEGFLSHVKECSNLMKQRSYRFV AELWSSKWSRLDASYTGYEDIDEIFGNQTTVPRSWATGKILESDGGVRFSKKFFRELG ALQRELPDIKKFEVNGCFLAWLNIQKHWIKPYERCIKKGMDIDIKMMEQWS FPSE_06944 MAESTFDFIVVGGGPAGSSVAAGLAASANKPSVLLLEAGGANAD RDLRVDGQRWLTFMNKDMNWGYKTTPQEFADSRELDYSRGKGLGGSSAINFGVYSVGA RDDYEEWARIVGDDSYSWVNIQKRYKSLENFHGALPEGIDKKYAAPKSEDHGSQGKLH VGYASEWEKDLPPVLDLFEDAGFPLNPDHNSGNPLGMSVLINSSHKGKRSTANDLLEP RPENLTILTDSSVQRVILEGNKAVGVEVNGKKYLASKEVILSAGALNTPSILMHSGIG PKDQLDQFNITVVKDVPRVGQGLRDHMFTPLVYTRKEGDTARKPFYGDKKAMDDALEQ WQRDGTGPWTKFACELGVGWFKLDKLVKSEEFKALPAQEQEYLMKETVPHYEIMTHFP IHWFIPQFPDDNLNYSCILVFYYNAQSQGQVTLQSADPNVPLKFDPKFLASPFDRRVA IESLRDAFRLVKHENYVKNNVDTMVGPKGDSDEELLAHWRATISSSWHMCGTTKMGKK DDPNAVVDSDFKVIGFEGLRVADMGAVPVLANCHIQSVAYVTGMTAAEKIIAEHGLA FPSE_06945 MDAIQEQFTLLSLGLFTIGVRMVVRTRSVGFSGWQLDDYLMPIT GVIFTAETVAAYLVGAKFQGLTNSYMTDEERANIDINGEEHYNRVWGSKIQVIGWSFY ACILWCLKFCVTAFYARLTSGLSHLRIRVIIAYILLGITYAVVFFTILLSCQPFHHFW QVNPDPGLICQPTKSPAYVLVVVIPNILTDIYLLSIPLPLLWGVNIGLRRRLTLMLLF SGALFIMMAGTIRAVTILTAGVEGAISGSSWACRETFVAIIVTNLPIIQPLLRKGASL IGLSVLFSKATKSASQSHQLRSNEGGSRFNNSRARRTNNTNKSESGHPLSTMPQTTAW ASDEHILAGTSGGNNSKGIVVAQEISVQSEAFDDSNMRDVTNKDPAVHDWGYDSGNDK SRARTP FPSE_06946 MDVSVNSDILKQLYTKEVASLHNISDGLRACGVGKIVNLPQIIV VGEPSAGKSSVLEAISHIRFPVGDTPCTRFATELILHHADEARINASVRFAEKEKPAK AIQRNKFHEDGLVDIIKEAKEHMGISQDGDDFSEHVLRLEIEGPDIIYPLSLVDLPGL CRTGTHSQSLNGSDTVEELVESYMRQKNSIILVVISANINLASHLALEKAKVIDPQGQ RTIRVITKPDFALTAEAKEYITATKDQENTHKLQLGWHVLRNKGEYDESLETRDEVEK AFFKGNPWATMPEEDLGIDNLRIKLSGFLFNHIRNNLPGVIGEMEKKLKERQEELTRL GTPRSNLKEYRSYMFTIASDFQRLARDGCNGRYTDPFFGSLDDESLKFRALLRNLNRV FDHILRTRGSTQSIVLSDEEKPKQNELPEYLARFLEAYPYEFPEPEKISREELSFQLE SKAAANQGREFPGSPNVDLAMQLFKSQAAPWRQITEFHVDTVISIARAFVDQLFKHLV GSPQNDSTIEAILTLHVDPWFEGKEELLREKVDELLWPYRTGYSLPVDVEFHHALSQR SITPVATEQVGEFGTDKTIDMMLTHYEMSIRTFTENVINLAIERCLVHDLPNILTPTE VDGMTEAQLEELAAEHSKTKSRRLLLDSEIKILQDGLKTCRRYRPRTVTALPSRPSIP SKALTKSSAPSTATKVASTSTSRPPVTNSPGPMPVPTAHIKAKPTSELPSASTTAATT SVKTSQAGSSTSKAPALGGSSSSGKYAVTILPPQSSTSSVPGTTPSLFSNLNISSQPQ FPAGGLFGNAGKTVTQQQPQSGAGLFGSQPKPVDQQQPPVVGLFGSQPKPVEQQKPPT VGLFGSQPKPVDQQKPEPVGLFGSQPKTAAQQQRPTSRNGKGSFGGSSVFNVGGGGSS VTKS FPSE_06947 MCKKSTCVTCKQATWWGCGNHVPAVMSNIPEHEWCTCEPKVEKD GGKYPPKGEQPDS FPSE_06948 MQFIKDRCRVIYPKLPNYIRHYLSASLKVDFLLEVQLLLLTFST GIQDAVSYPDFKCFASNQTGNSVVLAVGLAGHDSSVFNLANVGLSLGMFLAGAIMTGQ LANFVGPRGLWHQSLVNGFVSIAGLCVRGPSSLNATLSNSGDYNSYGDSSVG FPSE_06949 MWLLDVNSVRLIFYANEEQTPPYAILSHTWSDDEVSFQDIKSIP SGNDLATKAGWSKIEHACRLALSFGLSYVWVDTCCIDKSSSAELQEAINSMFRWYELA QICFAYLSDVSTSDDHNVKGSSFRRSRWFKRGWTLQELIAPNEVAFYDKDWKPLFTRG NTVDLIRAITGIREYFLSNQHRDKDKDICNMLSTASVAERMAWMNNRNTTRPEDLAYC LLGIFDINMPMLYGEGSKAFQRLQEEIMKKNDDSSLFGWGYQELHNQWRFEQESLLAP HPSSFRLCGDIEPCPLVGFSAPTFSMTQRGLQMEVPVRVDLTHQLLVYMILGCSPRST EEGLDQKNVPKSFVAIPLISTSAYDLFDAGEGAQKGEYLRPKWCRPTLVSEKFLSQAN TTSIVIRRPSERLGKLQKLPISIASPAVSVMQDYTILGTYPPQPIGSQLLLIQSSQHV PDVAPPPDIQKKVPVPLSIMYSKEHQIMIQINIPTLGDFVVVLGYRAVGWRRSQGMNI WQCLDIKSHVFKFPDNINIEALHTLSITQDFTSLPGVSPILLADRCMYKVGTEIDAYL EFLLTKDDKRSGISNAFISVIRPSGNCHEQECYSSMFQIQLLL FPSE_06950 MDEHLNNLPMGVILKALNIFTAKFPELRILQASSFIKEYQTVRT KEGKALLATTLAITRKQCSIVTGDWLRGLRSSESYATYAWSTLSDAILQSPKVQVVQA LLILTLYEWGVREYHKAWMHCGMAIRIMQSLHSSRITPHPLDVSQNSDTDAMAIAVES RTYWACFIMDCTINSGTYNPRMLPMSEMQKLKVPRPLNYTDFAFGFDATSLNYSAGDL SGLAQGFESIALGFDIYAQAMSTIMLHREYFPFLPIGDMTPRGPVDPPLLEAEAPPGW WDQSARELFSASEQIACLLQEASECGIPLMTPFSGFCAFTACFLNLYVFRYPRMNLGR STKAEQLMNWGLEYLEEFQNAWELAGGWIKTIQNSSLLYKRATEDEGRYRGRSRADFE ALHQSIHEYRIVDRSDQHLQQISHADRNLSRRDDGNDVSVPTQGQAISAAVSASVSVP DPFPNWWSMLQEVEFTDVGNLWNGLREM FPSE_06951 MCLGSFKFWKKRQKDPEESPTHSRPQLQTNPSPVVLTASVVPAA SIASVATVAPVESTPNVVAELQERLWNRAYDEFKDKESDLVTAYEELISSKAPYMRDN QQNITLSPQEKWDQMRKTAEEGLQTTERSTEIQGKIHEVFELATPIKGIIDRIMPSVP QAQVPWLAVSLTFEIFSKPFKEPGINRAGLLDVISKIQEYMSLSDYLVDDNGLQSDLH RKLEKDTVELYKALLSYQIKSIYCFHKNELKRFFRNVFKIDDWSNQLDAIKAAESLVW EDVKKFQNQVMVDHLRKQSSDAQSSLRALTEMSMGMRHLIRDQQEREIEKDNKECRQH LKQTDPSLDKERILYTKGNLLRESYGWVIDHPQFQDWKTNVHHRRLWIRGDAGKGKTM LLCGIIEELEKDPFHRLCYFFCQATDQKLRDGKCVLRGLLFHLIKQYPWLISHVRKDY DDSGEKLFNDHNAWQALRNIFLSVLDDESLDEVTIIVDALDECMIHRDELLKFICDVS SRSRAKIIVSSRPLSTIQKALQGDGSNSTMLALELNDDLISDAVKRFIDNRVASLAKT EPFQHDPEICDEIAKHLTDNANNTFLWVALVCQELSSGTVQLISHVKAILKNSPPGLD NLYDQMLETIRRESRDFEICIEILAANSVVTRPVSIAELLCILDANVASELNIMDLER IIMSCGSFLHLQKGVVYFIHQSAVDFLLTDELSRLPRITDRHRSVFLNALYTLQASPS LKRDIYNVDEPGLARKDVETPDPDPLLPICYSCIHWVDHLREYLLSDGRKERLGSVPV QDVSLVYAFLNIKFLFWIEALSLLQNVPQAIKSIQNLQMLLGGKSSSVEQPIKDFVHD ANRFLLYHKYCIQEYPLQIYAGCLVFSPKDSIVKNCFQSHAPKWVTVAPGLDRTWDAC LQTLVGHTNPVLSAMYSPDDLWIASASENGVIKFWDAESGTCIHTGGQLIPGFDRYGV ENSYVFSTDDGSFVRGSRDGNVEIWDPSTGNIISKHRAHKFTATNMAISSDSSTFAYF IHENTVLIWYRKNEISSREFSCSETVRSLALSAGGEWLAMTTMQQCMIWNTLNGDHAL LGTGDRALKTVWSMGGQWLVTLSEYHFIDVWERQASQWSSKIKFFVKVSHTWIFVDMA LSSDAKLLAVASLSNISVWNTETGALVWATHMMKDHIISISFSNDSLRLLTGLDGNEI KVWDLSKDVEIKQTPSNEGPLSLRWFDANRFSVYSSGIREYRLYGKDTSTRIHLDSTA IASIASISPDGQQVASASTGFPITIWDALTGNIVRQLKHKPEEATFGSQTYQDTQEHE VQCSTDNAANTDPRQTRKNSEHGSVYTDDSMDSSGSSSSSGSEQWEYPEALSFDNTVQ LASSVSGIIRIWNTSDGTRLRTLDAGDCYKNDLMVFSKTGRQLVVQSYTHGFSFTAIN LWDTVNGTCLSIGPQDRPFMNIEAFSFSPDSLRLVATAGSPEGRVIYLWEVSSGTLLR KFDFENIKPFHAHFDKEKEQLLHTEYGSFDTTTNTEDARVMLKMGSFFRGYGLSYSPG HWNRYKWIVRNGKPVLFIPDDYRYDYDEEISPVIDGMRIIWTRRGRTPVQLLFTAQD FPSE_06952 MPTATEFFGFSASNLGPLTKTFTAPPSCTATANTDHILFVNATS PYLNFVMSSSCEAKPFGDCYPSGSNYDAYGKQTSSVISGQGVYHYFSPGLACPDGWKT VATLKHGSKSGEIDVSGAITVSPTEAIAELYANTIAVPLQPTDFWKNVIDKSETLAMC CPNGYAGDIYGFCKSTLGPLKPTMSNQPNPNVCQVFYDGRDHVVTVTSLDGVAETNGL MSRIPITDSDDVRTTRVIAGEWGEEQLKDLAYATWVPAVTLIHQETATGSADKGEKGD DDKEKDDKNAASRVGGVVSVLGVTLGLLLGAEADMFSQTRATGCGLKAMFLCKYVPGR VQRLRVGNPFRTRPSRGYDSVRTKVEAVLMKDGGMTNYHEAVVYRDDAIVPVGVITYT K FPSE_06953 MRKKAMRLKHQVLVTAPIPGHVPPEAVLAHIQTFTPLLKHNAVF TSFSEIPPDTARVHSEPFFGPPNSSVRSFLCFQRLELVAGLTQDEYWPITFLKIHNGI RARVDARASVTVWIECLVRQAPDPGTPSSNGTLVEEWELFEEVVVEAHGLLMPFVSRY TDLAHVGICQRIMDDIMENGLLNGKTA FPSE_06954 MDSLRNVHSDLFSLVRLYEGTRFVENLALERLVNDVDYFDISKN TKFDPWSNPSPALIFVVDGEVTVTVPDSISTLPNHSSLQSECQAVSKSLKRGACLNST SQMLDILSKENHDVPTNPRFGEARVLYQAATHLKLGRINLRQSRNIPEDMRSPMIQAL LQKLFLVTFPVSETHFGLTGQVLDCELKMTSLNSGSTQDNPFGDDNGPSLFGYDDGNP DSPYSPLDDAFAPIYDAKPARSRTPSNLSIASTSRPQSMLGSDLYNDSQLPLPKSRSN PNLKLMITTEKPPVTSSDDGNLGVFKSQSILSKTERKRQSIPQFKERTPDTRRIRVHA AKRIINMLMHPKGLNVRTGDPIDQAIMDDVEANMEILSLSQGEYLAHHGERYPGLLLV IDGNLEARNGDTNNTQSAKVPMSKLSPGDMIGHSSLIQNNKSQFDFRAVTKSTVGLLP RTFLRRLTELCPQMLVVLAAKMHDLLPPLISLIDFALDWSTVKAGGEIYKKGDVSDCI SFVVKGRIRISEESTAAGDMHIKEYGPGNAFGVLEFHTNHPRPSTAHAIRKSEMAQLQ KSAYQKLINYAPDLGTKLWGSIAQPPRTDQAQPNTMPSDPNRLRTIAVFPLSVEVPAA KCATLLSSALVALGIYDKESVSFVESHSVISAIGDSVFTDAGNKYLEDYVAHVEQNSN LSILVGENSVDSTWNDICISTADLIILMAMDDADPRITRVELKLERHGTLAQKVLVLV DDGEDEVCPQAITKPYIQERSWLATPTSHIERVRLANNDSCRDFERLARRITGRSIAV VFGGGGARGFAHLGTLQALEEEGIPFDIVGGTSMGAFIGALYSSHMSIDRTVEAARAF SKVSRWYRYLLDFTMPVLAWTSGTMFTSHVSSAMDQDLDSSDLRLAFYCNATNMSQGG RGQTMYPQARSLWQMVRASMSIPPIFPPFAVEGEGDILVDGCFSANVPVFPALSLGAE IVLAFDVSNTAGAPPPQTLGQSVSGWWNFAQMMFPCTSSRKPHRDSPHGAVGSDGDVW GSLQLLELITFSTNTNEIKAIQDTPECLYMLHDLGKTGTFDMEKFDDIREMGYEGAKR WLRDLKRSGKLDHLALPRGIKTERV FPSE_06955 MSPHQKQWLMLPWLLLAAWVQPSYSSALYAYATDRTTQVGIQDT ATGKIFYSNCNSEDTPIFPLDKPNVLDTENTPRNGTALAGVGWWDNTKIIASIFWQSE DSVIVNGYYECDMKSGTLNKVGEYTISLTAEVDTVHKESGLAVDLLGGGEDDGYRVFY HNADKQIMMMKYTDNTNWIDGGIVSQDTAEGIAIGTVWYDDKNAKNMTVAFPKGKDNI ETSRTQKSGKWKLAAFPQELAGNYTNNTATAKIRLSSTEADYSLPGWNSSIKSIGMAT DRSKTRSLFYIGDDNVLREAIAIQNDWQITPNRSESTWPLADDPSSDIAVAYQQSRGM TWIYYWSNGTIIQAHKNISNEWEEYTVLPQTLPKNETGSGNKDDSGDDDSKPAETGGL TTGAKAGLGVGVAAGVIAVGALAWFFMKQRNKHAPVAQTEETYIPEAAGTPITSPPPM HEKDTYKAGDVTSPSELNGDGRPAELENPQVFYELPGNHTR FPSE_06956 MARSIILALLLSSTALASNPVFGAYSTDSCDTCLDQTYESCPGD YKTRSYATCMCAGDGSANFVSCLSSCDPTLNEPANASATYYGYCLMFFKELCDGAQEF VSSDTYAEQCSKEAIKAGGIGEKGNDSGDDGGSGSDGGSDKESDADADESTAIEAPTK TNESASEKTNEKGESNETGAATTTGDSSKTSETAQTTSGAKATAIPAMALFAGLGLQF INV FPSE_06957 MAVLKPFRGDYYLWEYLPSLPGAIAFTIFFLVITSAQGWRMFKG KHRFCIPFFIGGVCEVLGYVFRALAWNATNSLPIYIMQSLFLLLPPVFFAATLYMVYS RIVRAVGGEDCSLISVRKTTRLFVIGDFITFNIQGNGGGLLANQKLANAGKIIVIVGL IAQVILFLAFVVCCVVFHRRFRVHLRQSHTPVEIRWEAYLNMLYVTSALILVRNVFRV VEFVMDKEGYLQQKEWPTFVFDSVLMFLVMMAFYIWYPGNLTSRLRDSTVELVPKRRV SAREASASE FPSE_06958 MSPVIISKRRHVKCDEGRPACLLCTMTGRDCSFTLEEGTSSASD ISSRESLFASIGHNTETTTSATPPDSHGISFGKVVNMEHMELFVHLVTTRDLFSLGDN VDDYQSTFEICLKESVKAPYLLHQMLAFSARHLAAIHPDRTSHYLEQAVSLQTRAVSL FNETSREVDSSNCVAILLFSVTLGHHLLADALAYRGPDGLDGFLAHYVQCVDLNRGIY NVVVSAWPLLADSELEPVLSWSKTESAKEPRGTECLPIIKLITESKSLTEDEKKACQV AINFLQLGFDAIASEEKGSRYRMIFQWTMLASPDFTTLLSARKPEALILLSYYALLLH YGRKLWQIRDTGMHLMGFITDNLPSEWHFWLDYPRSILSLEIL FPSE_06959 MSDHKTYSFNPAQDITSLDGKVILITGANTGLGKATALELATHN PAHIYITARNSTKGNVALEEVKGVASEGTQVSLLELDLSSFDSIKAAAKDFLDREARL DVLLLNAGVMGAPPVLTKDGYEMHMGTNHLGHALLLKLLTPVLDKTPDARVVHLSSAG FRHVGPNGIEFDTLKSAPSPTPLPLRYAQSKLACLLYAREAAKRYNFTNIAINPGEVQ TELFSREAGDEMMKHLQENVVPKMAGPISEGVKNHLWAATSTEIKNGEFYEPVGKTGG LEGAGLDEEMANKLWDWTEEQLEGQVL FPSE_06960 MEWVREQRLTSQVRGGRVCFFERRMRKDDTLYIPMNMIATFDES FDQAFMQAQLDQYADLHPSSPSITPRPPDTLPQFETPSYLETSPSSSPSDTAAQSGTA TQSDTAAQSGTAAQSDTAAQSDTEIQSDTATQSDTATQSGTATQSDTAAQSGTSTQSD TATQPDKPSPSETPPQSEPPSQPLPIIAFCDITKFAFSADLLEKGPHLPTCFQDIDKE ITVYIIWNRQPDIPGLWVLRLSENCKVSWTPRKGLEKHAYKWPSLADDIFRGLARDDI MRGLVDDDTSSARPFFAYGHPGVEIRPGLAKLSVWGQARMAVGTHGNCRDSVRNNR FPSE_06961 MSESEKEQNTVTPNHDAVSKIVPETSKVADEHAKYLLAEDNRVC VRLFCHHFNKLGLQSTYRHAWNGQETVDIYKAYPEQCRMVFMDLAMPVMGGLQASLRI REHEKEHNLEPAIIVGLVVGDMRSETDRLINEFGMDTVLKKPAKLETLRQFLQDWPI FPSE_06962 MAESSMDELIYSLSLLSISEETQEEQHEFRHEENTHDDNNEASS IEATKIEQAIKIEPAPKSSMYPALHEYVVRALGKKLKYSFRDHNTKVDIKRQSDTTVI GKFECRRPNGHRHKWNSNCIAITIREYRDRSYNVLVYHQRCSKCLRPTRAEVDKLLYA ERVAFYLKRWNGVKAKRVERHVSPNGDHMEKLCQGCKEGHCTKRKSRLRI FPSE_06963 MSTSMQDTPKPKPHRILACVLCQQRKVKCDRTFPCSTCVKHGTQ CVPATQPRSRRRRFPERELLDRLRRYEALMKQNNVKFDPLHDDNNSTAKSSPNDYYSD DEQKDDGGSQDRSEAVSEVKSIWQAMRQGYRDPNDAFYENVFSHAQDQMTVSDQDMLF GSRQSAVELHTLHPDPAHLFRLWQIYLDNVNPLMKVTHTPTLQARIVEAASNLKEISP TLEALMFSIYCMAILSLSQEECVTMFKQPKEQLSTRYYFGCQQALSNCNFLRTDNRDC LTALFLYLVSVRSASHPKSVSSMLAVAVRIAERMGIQSEAKNARCSILEAELRRRLWW SLVLFDSRFSALGDHKPTTLVPSWDCALPTNVSDSELREETKAPPKVQDQATEAIFAI VRGEIGEFYRNSPFYLAFTNPVMKPLAKKLPPGGDVTLEKMIEDKYLRFCDPDNRVHY MTMWTARSNIMNCRLMNDYLTYLDTSTQYTSDRQEAAVSCALHWLECDTKIAGSPLTK NFRWFLQLHFPFPAYIRVIQHLKGQPFSDQTERAWKVMHDNYSVRATPDAHIPAPAFR PFTNIVLYAWETVKKAHEEAGKQITTPDIVDFINEKVADMSLETDDQKKEQSQGAMDM DDMSMQMPMGFGDPSMLFGMSEQGFGDMTGPTMADPSSLTMDLSQLNWGSMSWEPGVN GNW FPSE_06964 MASLHPYQSLPIDERVKRVLAKTPLIDGHNDLPQQPRACFHGKI HNNEKFDLEKGFERGMTDIPRLKQGAVGGQFWSVCVPCLRSAEDFTTPEYSDMARDAI EQIDLTLRLVESYPETFQLVSGPSEVKDVYASGRIACSIGIEGLHMAGNSIGIIRAFY RLGVRYCTLTHVCNNAFADSSTSKVGPVHGGLSDLGRAAVVEMNRLGMIVDISHVSED CAEQVLALSRAPIMFSHSNAKGVFDCPRNVLDHILDKVPSNGGIVMVTFVPEHCTARR SDAKMEMVIDHLFYIANRIGWDHVGLGSDFDGIASVIPGLEDVKCYPHLLKAILDRGA TEEQLAKVVGENILRVWGGVEKVRDEMKKEGVLPVEDVFKDRKWWRYDGFYQMEDPDP EDKLGLDWYGVPPPDEGLYLDE FPSE_06965 MSTEKYPEDLKHTSSIQDGTINDVSTAEALTLCQNAEDIEESPE LARALRWKLDLRLMPLLCFTYALQSIDKNTLSYAAVFGLREDIGMKGNEFSWTGAIFY LGYLVWEFPTSMFLQRFPINYFMSGTVIAWGAVLMAHGAIHSFPALAAVRTLLGALES AINPGTMLLFGMYYKRTEQPLRMGIWIGSAGVGYIIAGIASFGIGHINSSLTSWRVLF IIWGSITVAWGVLLLFCLPGAPMRAKFLTPDERARVVARVKDNGTGIENKHFKMPQFW EAMFDLKTWLLFLFALTSNSPNGGLSAFQGLIIKGAGFSTLTTTLYQMPSGAVQMVAC VLACWGASTIKNSRIPIMILALVPFLAGVLGLHFLPHSDAYARLACLWMSFSYTATWT LSMAVSMANTAGHTKKITTNAILLIGYCLGNFVGPFFFKTNQAPTYALGVGMMFACIA IQVVSLVGLWVLLWMRNRSRREYHTEGDENQRRGWEMGLLDKTDMENKHFEYVY FPSE_06966 MAVAAEGKKPGMAKRIFLMLAPDSAKDEDGRDNFNSRSQFVLCA MGGAVGLGNLLRFPSVVFNNYGLQFFIPYVFALFLVGIPILILEITLGQAYRGGCVIA WNNVNHRAKGIGFSMVFNGFSVVGYYVPILAFAMTYFRMSFQSPLPWAAGEETDTVKF FYEQVVRNVDAEGGEDGSMKHYPGRGIVGETFGWCMMIWFIVWMCTFKGVGLTGRVIY VTMALPLVLIGILAIRSLSLPNASDGFRLYVGEWRSESLQGPGVWQDAFGQMFFSIGV GFGYFTSYASYNNKYANAVQDAFIIALSNSAIEIVSALAVMGVVGFLAINPGEVDPLS TFSSGFFYYPQALAEMPGSNFFSALFFLTLVLLGLTCVFALAEVLVTLLCDTDMGQRI PRWVISTSVVILGALTALLYSSEFGFATLDAIDMWVNDIALFVTVWSETYMACTLYRW RDPVDQIGLVSYFVYNAGYVFSLFIGILLGHLVTPAAGAGAGFGIFIACSLISAFVGK APTVPAPRFWGNNTILSRFWYAAFYSGQQLRHDLNNVISNGGKNWKIHWVWPICLKYV TGPAVALVFSFAYPKFLNKHRNDPPYVYSFVLMHMVVIFIVGAFVVPRFFNILIPAHR LERGDGKYDVAPQMTIDNAPIVNNGGIEGGHTDMVQRSNGESSLDGDKTTFRNDTTRA SPDVAHPTQ FPSE_06967 MQALTDVSIEALGLTPLVLACERQGGNVRFDIASEKESQQPNIS YPISKTFSLVALSIESSLERHTSETQFVLQSRLNQEYLGYALNDRRLFTRPMQFPPPE NFRFRYQSKHTCDYHDVNAPAHVVAADGKQVCDPGHGGKDISLFVRFLKEKDQPFSVE DSWKQQSAAISAIGDYEEDGDDFPYSDDARPPASVLGGSRLDNIMCLSSANFCADYSS DAPKVESNPEDVRAVAKLMCIMDIDLDREETINLELKPRAAEIRRSFEENRPLSDGTM KAIRDAQKKTDRATEKIQEVAKTMLLEYEPLCSKILFDQRNTYAEWPLLWCILSQPPQ RKAVRCSRVQGPLKEWVNDLKYWMKDAADSSPLKGRCHMGFFDGIFKDFPGDLSTTKS YRNILPFQMLRNQLWKLERRLTSLPDRLRVQLWFTAGFLADMHFMHTTVRGLVTFGSP RVGNKTYATVAGSQKLFRKSWRFVNGSDVVAKFPTSGNLFPSGDPEDDYYHLDSMVMI SSSTIKLGPSELSATSVENKLDGSTTQEGSVMGSFVTDHYLDNYWNSLQNGQVTDHDS ADAITRQMEPATRVLVTGGSGFLGGHIVRQLLHDAKTTVAIASRNPKIPVNVPDEARI SLFPTDLASQDKIEEVFKTFKPHAVIHTASPSYMDTKRVLMKTNVDGTNTLLEAAKAC SHTKAFVFTSSDEAIMPTQEPTSEENAHRYDEKNAPNAYALSKALAERLVIAANSEDL YTSVIRIPGIYGEYDDNFVPQLVSSMRKKEHKMQVGKDTKLFEFIYVNKAAEAHILAM KALLNPATRDQVGGEDFFISDGKPQGLFDFCRRFYAAADNPVRPEEVTSIPLSVMQTI ASMMEWMYWAFTLGMILEKASRTLIIISKTLTMSFGYAVGDVVAVLGLFERIAIELRN YKDAPVHFQQLRAELDLVHSTLKHVLSLQPDCKEELQTLEQIRAIVIHCSQPLQVMVN KMRSKESSLGHFRSTRSLGGIGERLHWSMIAQGDVDSVRKTIMSQMAAINILMSVQQL TRVKHLSLQSKRIGDDQSSIIEKHANAIVGHSSNILNMVSRTQVAINTLTVNAAIQAD IQSKRANSINQHLTGIEKNMLHLTQKTDKASAIIDKVFKGNARRNWQKHAIEAIPLHL TLDIVRLDDAHGESWALPLQACQTWDSFCDLLRCVVYPNGRPGAKHIMQSLFAVTHAK TGRQVQEDTWSSFIKPGFHIEQAMVVEGVRSLETCPDPKCLGKLAEEVLEYGRRKSCT TCGRWLTTSRAQSSLVVLYEEQSSLTTIGESLEGPRNTKAKYGPRLPSMDVKDDPESF RRVKVVYPTEPVRNLEDALKRLDENPNQPEANAFLGLEMLRDAEETSTIEYAQKSIDS LKIAIESDSSNAEYWYLLGRAHMILEEYKSAYDCFQKMAWYNLGILYDSCAQYDDGTD AFERCDELIPGLHQVSSRLEAYQAYLLDSNEESFRNHLIQDPIETPLQTHYEMADQAE SEDINFNSIIDDGVQESEGSDEEIDHL FPSE_06968 MSDTEQSGDVDALAKNQIWIKVQNDTGYDLQAQSGFADWGDIAE PPLKVPPQTLGSGGHVISSRSPFTGSAGMVGYKIIGSTTLYLRFLVSNPYMSARDNEA TAAVITEDKGIDQPNYNWLYSQSDTHQHYYKPFEGKTLKVSSQIGQADEATATFTVTL E FPSE_06969 MPSANSSHIEQAIVPDEHVKLILCFDGTGNTFSGTNADTNVVKI LRKLDRNNNKQYHYYQTGIGTYDVDETSIQKSTLGEIKSKVSKTIDQGFGTTFDAHIM AGYRFLMRYYEPQAKIYIFGFSRGAYTAKYLSRMINKVGLLCKGNEEMVPFAYRLYAR ALQCESDDRIASQKGKLWSMGGGPEELPTSNESTPLLDGNKQPNGASNGHTNGVANGN TNVVKGSSVCTCDEEDEHAHAGEEDDSDSDDEEDKTTFMAGDVNIKRRLKTKIARREV KAFSQTFCRNEGADPTNHENIKVFFLGMWDCVNSVAVVENSAPAPVEITGTAKHVRHA VAVDERRVKFKPALLAQDIRTVVKEAKEAAAQKEAEKRLGKCCKCKKLKKDKRTAEQI KEDEDKKKKEEEEKAEDIREVWFPGNHGDIGGGWPAMPELVEPDKMPWYKRLACVFKS MKPDDVTADLKNHDLQMSDMALDWMIREVTLVGKKDEKCAVNWCHTAKQFRKNMDDKT KLQKYVIEGFKHDTLRFGYGSSFFKVILWNFMEILPGIPRWELNDKPVKEAEKGWESW RWTPNFGSFRDIPRRAVLHNSLIERLNAADAKYHPKNNHGDGAPCLLGPGMEGVASMK KAESQNQIDPEDYKDPADKIWAKRHQLWQLDPEVHVTNGA FPSE_06970 MDDNSEKPVLFFDIDNCLYSRNYKVLELMSGLIDSYFKNHLGLS PDEAERLHKDYYQQYGQAIEGLVRDYQIDALEYNAKVDDALPLDDIIKPNPHLRQFLE NIDTSKVRLWLLTNAYVNHGKRVIRLLGVDDLFEGLTYCDYTQIPLVCKPQREMFMKA MREAGVSETSKCYFIDDSHKNCVGAQKAGWTAVHYVEEGFPLPDSPASEHQIRNLVEL RSLFPEFFRIRQ FPSE_06971 MAESETRRSSQDLSDQPTEIVHQNVSSKELIEVGWDGDNDPMCP RSMSVMRKWVIVSIACVGALCVTCASSIYTSTYEQMNKEFGCSQIVATLGLSSFVLGI ALGPVVTSPLSENYGRRPIYLVSWTLFIIWTIPSAVAPNIQTMVISRFFAGFAGSSFL TVAGGTAGDLFVRDEIQKPMSMVSLVPFIGPSLGPLLGGFINYNVYWRWTYYVMLIWA AVLMVTIVFFAPETYHPILLREKARKLRKETGNDAYRAPMEDTIKPFLETLKIAILRP FQIIFLEPMCLCLDLYSALVLGILYLFFGAIPLVFRTNHGMNLWQIGLTFLGILCGLL IASATTPIWSKLRTKLLEKREKETGIAISEPEDLLLPTILGAVLIPIGLFWFGWTTYA SVHWIVPIIGSGVFGCGLLLAYTGIFTFLVDAYPKYAASAMAGNSFVRCSFAAAFPLF GIQMYEKLGFQWASSLLAFLMVAMAPFPWLFFKYGKRLRAKSRFAMYA FPSE_06972 MAAPHSRHRSRRLNISLTHTQQPTTTPMTEPTNATDSRTSSATP GRTRVARVACKACHARRVKCDAADSQPCWHCRTRGTECELIESKRGKYTRRSNAQSRQ RRSSRRVQQTESPAPDADGTTSVESIAAATQNDENEQRQIRESDTTQNTQQQPLPNLT QAKDRSFFLGDSSSLSYIIELICAPRSGISEPVKVHYPIPASIAERAVTPSRLQIEPL RLRDALTLPSREISDRLVYVFFVIVHPPWPVVDRKSFLQAYREDEASPLLLHAMFLVT FIFCDENLIQDAGFTDRAAARKYHYLRAKTLYDVDHETDRDILAASLHLLGFWWNGPD DQKDAWYWSGCATSYAQSLGLHRSTVTSRFSPEKRALRKRIWWSIYTRDRHTAACLGK PCRIRDDDCDIEPVTEADLYFDDVIDDPLIPPQKEYHTAFFIEMRKAAEILGDIVIGE FSPRRLALEQYEVPNLKARLEQWESQLPKCMQKQPLDETLGAAFWATQLQMAYQNYFI LLFRPKVIDDMSPAEAERDKTARAAADYITRMTEDLIAVGTLRYTQMHTIPAVFGALS IHTLVICRKDPIRRQLAGNKSRQCILALSEMAKSWPIGLWITKLFVNLLRRLTGQGSA ISAGSIVDVTSRIANTTRGNEGPALEPSRVSASQNPDDRINGFSQQNNTALGFFDNTN GPGMGEQQTANMLQQPADEFGFGSFWSEDTIDVDLLLQNGLCPLLPADFGLMPPGTFG L FPSE_06973 MYWFICVCDKDSSAEASPLVKIPQQAKEKSPQRLIELGSWTPNI STQERNDFQESVQLSQLISHILPNDEFQARAARAWTRVLRDEDNTEQESASEQGLDTQ ESDETSSNDGDTGNNYIIDTDANDNDNSDSEPNYIDIDNESEDQSTTSTLNLETAIEY VQKGYSLAEEENNLGEDLGEDLGDDDLGDDLDTDTTWRFVRHITISRDQTHASGFDKE RLAALLEQDWRDIDQYTHNYDQYKQHTGLVPSIELTPGSGSNHALQDYQLQLMLLEQQ NKRRLMMARQEMDAIMGGGSSTKDSTIPSLPTTQLEDMGDNGKDYAPANHVQIAQIQQ EIERLSKIQNTLKSTTWLVLHKIKGDETTYIADPSWAPKQGQAPYFRGNSPLANESEY LKHRSDAAFVVYRHYDPTFQAKDIRKARDEGLPLPYPKPAWEVVQPLSEQMIAALDEF VDSHPTFRDKFPGWRSTDPINSPFLFWYCYRAATDLQAMDEPHSKQMTLLTEWIDKNY SEMHAAVEDKFSQGYVSEATMPFFIRPGEVLVSMNERGISGHIAESWPAQGLTNYASP SHPNGPRKVTQRWSVGAWSYGYDGKFYKNSTVLTISLEFDEDSPDVSIAEVNILPIRF GNKDFRAKLERRGRTMWACKGRNLIAYNDISENNLSSEGERYMIDFNTYKQLHSDSHK FKMAYPSLTNPYRKEMEPSVMECDEPPEGAELFVFPSTVVGYNLRQKKWQDLQIDWIQ ELHWNKQAFRHLVIDEETKDIIQALVTSKLETEQTTDLIQGKGNGLIILLHGGPGTGK TFTAESVAELAEKPLFRVTCGDIGTKPEEVEKYLESVLHLGKIWGCVVLLDEADVFLE QRTLTDLERNALVSVFLRVLEYYEGILILTSNRVGTFDEAFKSRIQLSLHYESLSKSQ RRTIWTNFLQRLQTLEKTAVVRPITDRKRKYEIEQDINFDDIERHLGDLAEEEMNGRQ IRNAITTARQLAKFKNESMTYRHLEHVIKISRKFDKYLEKVRDSYSDDQVAREEGIRF YHTSCSFLARLCFDYTHSFCLWCSLAVTTLSESTTTILPVSSSTETSLLDTTLASQAI ETSEIETETVTTVTASATSVETTTVDGETSTTLLDTTITTEATTTTADRITATAAETT TTAAAGCPQVTVLANPTPIFSSSEGKVFDDEQRTVVIPFDVGVFGASSSTLYVSVNGL LTLGRAPNTASFNAALPAQSLPEVAIMPYWSDLLVFPNLCGSGIAYEIYDTSRGQTFT VEYYVGIYGSPVGEHFTISLYKDYPGLVRYVYYKTSRHGSSATVGVQNGMFKSQYSYN AEDSIPDRFWVEIDTSAIHEVTTSDGDL FPSE_06974 MYSTSTDGSSHVRLSKYLVFYQYSFETEYILLTAHSNFAFPAAQ HAFQEGLNRFASDLTKDSEKVGFSQCFSSIQDIQALAQESFAKYSDEKRFPKAKKWLQ RVTCKIHHYGNIMDVLAQHHPDTYVQVVDESKSAQNHEATIALISKAISQIADSLPRV ELATVLYPTDRMRQAVSNLYANLVRFFIRAHEWCQEGTLRHLLHSITRPPELRYQDLL EDITANSREIDQLATASARVEIRDISLKLNSIVAKLDHFQSSSSSSVIQTNQTIIAKL DSFHALHSSALLDTNQRLSDLQFSQIMSHIQDGKLGDPLEAFRYNVSVQIRHDRVRVY ETTNEFWQSPKLHAWSAAPESRVAIVKGGLRARHASRKFCVNIIKQLQSKNIPVIWAL RGAQNESGDGRASSTDLFKHLILQAFKLSQDGTTESAMSLQCAQFHRANVEQDWLQLL GSALLRARTQIYVVIDLAVIDHNLLPAEGFSWFTAFQSLFAEMVKRSPRLQVKVLLLG NSVSFGAAEDGVVPSDVVIPVKVTQTPVRRRRKMEPRVEAYQRKGYPRF FPSE_06975 MHFSSILPIFAVIAGLTSANPTPDEPVLETRNEGGIELIVLDLG KGKSYTGVGRPGKCYNLPWNIKSFNVYSDNTKSVIGCFDCRVYTGSNCGGSFVTLGGQ QKSFLAKGGKKPQYKSWRCKCKEEW FPSE_06976 MLRVDDLLNPIDDPEQPEQPGQSDQPDQPDEPGQPGPSNQEDKP VKCTRCPRNAVEGKAYCQLCADSNKRSNKNTREKYKEEGKCSQCPEKLDRDGTRCKKC NDKENKRAREKRKEKK FPSE_06977 MPMLRIKDLLNPFTKLLPPVLELGVERLTSRKGGVQGVQRGWIG SGPCVENAMTTATNN FPSE_06978 MATQQKFEGWVAEKSTKEQDLIWKEYEMKPFEDTDIEIRITHCG VCGTDDHISKNGWGSTEYPIVVGHEIVGVATRIGSKAEGHIKEGDIVGVGAQSDACFE RDGPCEECANGLYNYCSKNTPTYGGVHWCGTPSTGGYARYHRCPSRFVVKIPQGLAPE LAAPMLCAGATMYAPLKYFGATGRKVAIIGIGGLGHFGIMFAKALDAAEVVAISRGSD KKGDALALGADEHIATSEEGWAEKNKRRFDLIINTADGSNASITLSITSSTFTNINKM PWNEYLGLLRVDGTMVSVGAPEKAIPINIFSLLPIRGRFTSTSVATPNEVRDMLQLAA DKNLKPWVEERPMREANEALKDLQAGKPRFRYVLVNED FPSE_06979 MQDKVCVNKKQLKNHVRIKHRRPAKNTTLAAQPQQPDKAKQAPV AGFHCTPCRKTFKTQHGLQRHTGDKHGPATNKCAICNEGFRFRSSLEQHQREKHTTET TPPEACQPDQSGPADDSDDSFDSAAYESEPLSDDGEAMRLPEHGEPITGLVRCVGRVL GCREKFNLASEMLYHIEHSQCGRMWKASMSPQLMEEMGEEGRRLIKGGWSYRCYKCKN EEDRNTIMELHSLFEHAESGKCDLKVRTGPLVDVHNALLKYALDEKTKDDRIYNAKKW PGPEYDYHDGLHWPEHGETVHMRIKCLGIDLGCDRAFNSASQMLRHVEFEKCEGSPSF SPYGRWPLQVLRVQGKT FPSE_06980 MAQYHKLGLALKKDPEASMIDILSTTYPKQLKSFTSLGSIVRKH DPTLPGPIPQITYSLHISDPRLRFAPTDPCTVVHELSTEVKGLLNPGNLTEAIIELLH RGDILFQQPYATVLKIGEAIAVKINHADVTTEHRSLCYLEQHLPAFPAPRAHGLLRIN SYSLLFTSFVPGVELEKAWPQMDEEEKRALCNDLDQVLALLRTIPFPPGTPYGGVGGE GCTDLRRSLRMNTEPIYDEKAFQDFIFSGAKTASALYTNLLRNLIPLTPIKCVFTHGD FRPANIMVERNPEGKWKVAGVIDWEASGFYPEYWEFLKMTNNLHSREQSDWYRFLPAS LSWNQYPVHWLVDRVCDRQMTNS FPSE_06981 MSSTNDDKTEDRPRWLINIENSIKEELEEFPSEPRCYEIVRDLL LAPKDNEQAVPDAVDRFYQLCADGAETEQREPPEFGAAFKLNSIAGVVFEVVRDVFYT TLEHDRLTELLIGIKKGAAAEYDAENPRFVYHDWGLETIANGSWNASHVDASTANLAT DPEQVWTEAWINTSALISKLYKEGLLDTEGLIWLTWDFVMAFEKLTKGDVASDAGRQA QVLAPINHILLAGEPFADEAKVAADGRILELNAAKWKLWASKIKEIADAVDDGARWDL KSQAQKAFEKMVTLYPEAFE FPSE_06982 MSQKALLLHEIGKPLTAGTRPIPQPGINQLLVKVLVAGLNPHDQ RSRDAGLFVTSMPYVIASDLVGEVVTVGPGEYSGSFTPGEHVFGHTFAEGGSNNDFNG AQQYALVDARYVGKVASSGLNDDEASTIPVIVLAGFIALFSSSGHGLPAPFSPEAKSF DFDSTTLLVIGGGSNTGRAVVELAKLAGIGRIIAVAGHRNKGTLESLGATNVIDRKAP DVLHQIRAITGDELIYAIDTVNPGVEQDLGIAALSNTKKGTLITLRRPEGEFDTARIG SKSAGYERRLVLGVSPFHPEVTIGFWKEVPRWLKEKKLHPSSFEVIEGLDVDAVNKAL DEYRDGRGVKINIHP FPSE_06983 MIRRRKVHRKSREGCIQCKERHAKCNEVHPQCFQCERSNILCSF SSPTLTQTPLNEDSLADLELLEHWHRHPITGDLSETTKQIQYDLVRLGFSHHYLLNSI LGLTALQLYSEDPSQSKWYTRAVAHQQAAITRARPHFESQNQTHQQALLGFTAFTSMY AVAEPIFRPPKVRSLATFDPVEELLKALQSSRCTLMFVQQNFAPIVVSKSWLFTKFVA NNHNTQQDLEVRYPQLASLREQIDRSCVGEQNTACLHAVKVLFRRIAMLSDNVGDPEP GKVIWGWGLEVYQAFLDLCSARHPVALVILAHFTVLMSFYKEHWCLRDWPSSLLRYIK QDLGEEWKEAMEWPANVIFGSESLLSWGLPQLLVTA FPSE_06984 MSTPITTKSWTVEGKGSLENLKFDKERVLPELSDYEVLVKFHAA SLNFRDIMIALGTYPFPVKPDIIPGSDGAGEVVAVGSKVTRFEKGSNVVTLFNQAHFG GPLTPRVLGSGLGGSIDGTLRQHGIFNENGLVDMPKNLSYLEAGTLSCSALTAWNALY GLKAVLPGDWVLTQGTGGVSISGLQFAKAAGARVIATTSSAAKAEMLKKLGADHVINY KEMKNWGEEAKRLTGGAGVDHVIEVGGATTVTESLKAIAIGGIITIVGWIGGEEETGP SFPQILSGMAIVRGIVVGSRDQFEAMIRVIEASNIKPVLDQRVFKLEDLKEAYQYMAD QKHFGKVAISIE FPSE_06985 MNPSGNTEQPQASRLGDNLVRLEDYGLHQPSAHQKLTPLDMNLP RLYGARWILCFPLLPGSDKLQVYDKLKQGLAHTVVSIPWITGTIGPEEGRDPDDGRIQ ILESPGPISFPYKDLTGIVPSYTELKEQNFPLSALSTAQLGPIDAMPQGPEQPVFVAQ ANFVEGGLLLAVGVHHSACDASALDAIVSTWAHNTAAVSDGTKSFAPFDDQSNDRSSL MKGHLDTESGDSCGYMLMPTPPQSNQAMPAFVMPPLSSRLFHFSSESLKNLKEAAEAF STHDALLAFIWHHMTLARVKSGVFTNPPGDADVSALCVAVNVRNRISPPLPPSYLGNA SMPCVTDKLPVSAIISGTSLPRVAATIRRSLAAFTNPSRVSATIGLLDSRTNPTDYKL AFNAFLGPDTVESSWTDLEVYGNTWGAMGMLDAFRVPGEGSDGVITILPRLKDESLEV VVGLKTEAMEKLLAEESFVRAADL FPSE_06986 MAVTIPRALRTSKEDVAISNFYNSTVDNLPNEDPTRHLHQQLPS LYFQSQQGSVLRLAVEAISYASAVNIIPQAVQLSGKSYTKALSALRAAIQDPNLPFSD ETLYAVLLLCGYETKMGEPSLRSAWGSHVDGAAALIKARGTSSSESRLFRDMFSFVRK SVVLGQMQTLQPVDETFSKLTLSSYEDPEDRLVSLVARVPQLQYSCYQLDASKDVSTT ETERLISDATELDSELSIWASRLSTQWSYSTAIAISLVSGICTEYRGSLFNPFYSVLS LACQLQEKIHRTWVKEIESRE FPSE_06987 MTYTSITIIVCPYHVGAYDHRVGGGPLRILSHGIERDLSKLAPV SFINIGPVDEFEGEIGKTFEIIRRVSNAVATAVKNNSFPLVLSGNCYGSTGTLAGLNQ HSSGGSRPGALWLDAHDDLDNPSIHENGYLDAMSASMMTGSSWHTLMKSVPGHEPLDV KKVIWCGLRDCSELQIKGIKEAGVDVVWGKADEKVDFTAGLAAVLDRRDDIKDAHIHL DLDVLDQSLGRVNDFPSFGGFFPEDVTGLMQMIPQKVNPTSLVVCSFDPRLEGGDTVA KLACQAILQLIGALKERGTLVAKSG FPSE_06988 MNRLFGRREEPRRSSDDDYNKMQYFTPRGNIPAKVVEQQVRERV IDPNDKTKYKYPHQYKNDEKDANGNPRQNFEPFERRNRPADEVPFFEIPINSRINLDK KPAPLKSVQKLEKEPPHHNDRARVQLNELNDSGPLRGVVAVEKQTGHNCGVAGVIYHP EGSTHDLDRARMGPLNREGRQFLKRFADDDGDHRVTTWPPRDEDGDDLALYEGRYEKV RASKPKPMPKSPNNKFFKK FPSE_06989 MSFGYSVGDVLAIIALANKIRKDFMGAPEQFKSINQDVRSLSIV IQDAYANLDHMSEGHAADFSEIIDTCQSLLHKLESMMSKWSVISDTSKSKTARRLWKR LMWEPDEISDLRTQITSKITLLNAFNDQATSQNVAKLMHRSNNDEKQATLDWISSIDY IPQQNHLVSRLQANSRRWLFDSAEYQNWGSRRGQVLFCPGDPGTGKTFTTAIVLETLQ EQSQDNPDVLNTFVYCTYQSPDQDVHGLIASLFRNSLQQATNIPDAILSHCCRKQSAK QGLLRNEAIQHLEMLYKSFQKVTLLVDALDEVPTEVSRPFIAEILKLQKTCRFNLFVT SRHIPEIQNQFTAHGAAILEIRGSDRDIHRFLNDSIFQLPRFVARDPSFQVEIVERIT EASSGMFLLAELHLRSLKNKKSPKALRASLAKLSVGSDAYDSAYQDAMTRISGLGPES EALAKQALLIIIFAREPLRTEDLAYALSVEPDSETIDDDNVPDIDDIASICAGLIIVD QESNIVRLVHKSAQEYFERHQAQLFPRASETMARLCLQYLSLSISTTYFDKRVEFSWP PFRRYAHMNWAYHSRCAERDDEATKVADVDLDKSMIVPDLHSVSTLAIDLLAKDLAGS LPSALENACRERRHALVELLLTVNDYDLNCGPTMAFVASPSSQHDMLVETGTTNEMEL DDDTRDGPSGGKSASLHPWTSENGTLDPYVEEGQFLLSKAAEMGDDAMVRMLLRHGAD PNPVSPLGLTALYVAADNGHETTVSLLLEQPTINPEGTSRPGAVLWTPLLAAVNGGHI RCAKLLLDRVNRNYRDELGRNVACLAAKNGDSEMLKELVKWSDIEFDPAEGIKCRSAL EIALRESKEEAALVLIPHSDAKRVYRGGNRPLNLAATAKSGKAIQLLFSQGALVNAKG KKGRTVLHTAAMTGDDETIKLILVHPDIDINLRNDEGNTPLMELLKCLLDWASQTNSF ERQRSQYKDMVLRSIHLLLSRPELDINAQNLEGDTALLMAASVDDTTDSGDEDNIFNA IFYHHGVNMEHRNKFGQTSLSRAIMAGSQRVQIILKETQLMYQFSEIQADGETLLSLA AEHEWDGELKWEDIVNMSPPEFMDRKFSAFLRAANSTPIDDPRHFFT FPSE_06990 MSLAWFRCRARLLALVAVFLFGTFFCLTQYADMGSLRQGSLLAL LSSTPTLIGSDPKLKWYPPSENKVNSLKGAIDGEGTYGFIFDSSVTPDEKYGTYNWCN MPHARKREYKKPEKEYELQYVEVIQRHHKRTPYAANAFPVESYQWNCDNQGLYYFGRQ FTDEPKPNAQGYWKGFISDINPFIPSGWIGSCQFPQITAEGLDDSWVHGKDLYEVYHD LLKFIPGRNEDWRSKVKFRVTNNQITSQVAGMFINGMYQATDSVPLHIQQAGVDSLEP QYKCSVGSKLSSAIKSSSNAEWKKHLDKTKSLYKVLDDISGVPADDEGFHESFDHYYD NLSARQCHAKPFPCKLVDGKNSTKLGQWEYSQIYRDAPASLAASATSWGVWIAELASH FRQVMSGKQDILYLHNFAHDGSVSRLLSILQIDVMVWPGMGSEVVFELYKKGGKYFVR VLFSGKTLKSSHPDLGVMEMVPVERLLDYFDGLAAAWKTLFVYAFESCLSCWLASHFV LLALLQGGAQSRHQRRTTTHQPPTGAYRRTVTTQNKNASSFQRLLFHLFHHQLTPEIQ LRRQPGRYGLKLQFKLELLPAMRAHVANSYKHHVCTHCDHGPDYETADDLNHHLEEDH NACLECNEMFYDEEDLIQHDVDVHNRCPTCQRFFDSPSNLMNHEKVHMEKNMKCLACP RMFISNSAMMLHLEHGTCESGANLRVIQNVVADWYEEYGPAGHDHEDDFRCENCGSYF NRLSALLQHAESETCDAAVWDFYRIFVHIEYNQDAFQLFDY FPSE_05406 LFSLLPSTYINTSQDHTTQHNNRKTTATRCISHQRSNKRSAALI TEGLHQSSSDESHYLSMISTFKSVMPLGTGCGQTFTLYDAYLLHYAVAHGYPQFEQEN ETSRFPCDVCKKIFKTEQGHADHKKQMHPISSTFGELSRCGICKMLFRSQLACEQHHM IKHALPCTICERSFTTEKGVAHHRKAMHPESSIHQEAAKQDNSLKRTGTGGHCAICRI IFMSQDAFEQHQGANHVPPCLICLRSFKTEKGAADHKMAKHPELPIYKEVTKTDNSPT YKETTWYCAVCRMNFRSQDGFERHQRTKHTFPCTICKRSFTTEKGAADHKMAKHPEPS ATWYCSVCERTFESLEEMEDHNWELHHGCVRYHLRRATATFTCITCNGTFDAQTELDS HIEGAHTKPPVPCSIPPGGAWYCSACDEMFTTQVEVENHNTEVHAGYRIFCLNRVWHA SACVVCNRRFNNFHQLATHLANSFHGLPRLSKLEKARWPRNAHLQCCGKTFSSDEDVR KHTFVAHIRPWAPKFRVPAKAVFACVPCSITFVHESQLDTHNLDVHNRTTDARGPLCL CCHKTFDVDEIDAHYKAEHYPMCYICNIVFPFEHESDTHDRDVHGIVNKTANKIKQEP NGPQSITQWVQNNTSNVVRRKPGRPNNTVIINSSGDDESHDASGDSESVASSGYEGSD NPQGDSESEGSCDSSDMETDDDSEHCAEYNGKPTGKWGDPNSGLPCCKACDKTFWGKY GLTKHKRAIHGPLIPPSQIPPFSCIECRRAYADEARLIDHNRRMHGPSASRRRNAYSF HESGMRDIYGDQYVEESEETSSDEDSFDEFYLTDDSNTDVTPWPRYGEIGYLRCPGRD LACREKFRWGSDLLEHIESEECVVLERSLKLPDLFGVTLGLPHARLYTSTGWLQGFYM CPECPKGAGNRFEELSDLFRHAESESCGLSVGDKYLVQVEDGINKYAEKNCKRVVQID TTRLLIHLHEDYVSKKSGVPIKLEKSDPESSSDAVQSHDEASASFDHNDYWDECFKNL DTFFGTDIFREGNLYHHIDSEHQARTMFEALAKKKHDLPVRLRALAESMSFCAVCNMS FESQEATKDHNAASHQDQLGTPESVLQQEPASTNVEIPVKEEQESTASEASWYCTYCD ITFSSQEEIDKHNVDHSNCVRFVLRRANETHTCIACNRTFNTQDEAHGHLSSTHMEII RHWGHFRPESGWYCAVCRQIYESQQKLEFHFREIHPKILPDFKAPPEGSWYCSVCEEI FENDLGIDDHNMRKHGGQRPFLTGRARRHFTCITCSRRFNAQHELDDHTSDGQHMQLP PEVDTSSLNTGDALKIINQETTPCIMPESVDKPEPYPAVVAENTPETAFFCLGCNLSF KTEPAFKHHNTAMHNGTVQLFCNYPYPCVACNRAFKSQADIDKHNMWKHGPPPASPTS PVILQVSVVDAYSCPFCTNMISKREEPVDRHIAKKHDASKIANVASAFACTVCGDIFS TKVNVARHGVSKHGVSSFILKTLETATLEDRHSDSLTCHTCDKVFASRSGLQDHNRNS HNPMTCRCNETFPGLSSFRTHEKSCRWWPTPTPETMPPQQYSFTSVYQGPGLLPYDYS LDRSEYDLTEDSELDSEDDSECESEGESEGVSECDSDVDTEDNSEEDVDDDDSESDED YRNAIWLEYGEIGRVPCLLGEYGCNDVFRSGSLLVYHYEHENCCVDGLNITSEILDET EEKFANLLHNKYDIYKCPYCKNTGGGRFRYLHDLVKHAETNACRLRVASPREIKQEPE QPSETVVYTQAPVLQYTTGYDIKPEPQTEPMTPPAEYGHPVDVNGRLITPPPWAFNND HCKNEPGYSDDSSDLGSDQNSDSDEDQNSEEEEEEDDEDDDEKNIWPEYGERRGGMTC PAEYLGCLQIFHKASGMLHHVETAHQHDFLWKSPDEMFNSTGQCEQGRRLYSHETKHY YCPNCSDTDQGMFESLSLLLKHAESNICDLKVRSGPVGELYDAVDVYADNKYQAWDGV QSVGYALTKRGTR FPSE_05407 MAEESNQVFYKYQNTGAKTLPTSNNEPLPTQIKIENVTQEMYSW GAISQVYLSAESPRLKKKKESRFSDVSLVLRRIVTESHGKFTHETTQLEIQSVTLRNA FKRLAEGFVNINLHGDPITIPNPYVELYHCRRQLQEAIDCAPNESIREELELLQQFQE DHMSQDLKELQTLESNGYITVAFLPLIFVPGSLVLIPNRLAGSKEVFCAAVVEVCKLI EENQKKFWYVRLAYTNFDNVQFGATSTKAQYPQFDDVKHILDLPVFPMKYHPNRDQIY QSLLERGSRCKQLHLESSPFIGHGSRGASGTHWEYDGPFWSILSGGGLSPGRSETEEG RSCINIFQGFPTSLCQGKIIIDPNSLIKENPGCREVVQKAKSGPISIMWKAETTSSHD LDTSKSKPPYQQFSNNEHQANSLTDLQLITMAPILAGFSLTRRSWGFFLVDNIADIRW NDDSYGNLQIDQSRKDIIYEVVKEHRKAPVALDNVVAGKGAGLIFLLHGPPGSGKTMT AECVAESLHCPLYHTTMGELGPNVKAIEEQLQITFTRIQQWSAILLFDEADAFMAERS ENDLERNALVSGMLYSRLYLSKRSQD FPSE_05408 MSNLRRQQSMGQTLATGESAEQGYELPELGEDVWIEYEPPKPDI KAIYAYLELHKSFESHPGYTVSELPKFDDFTTYGNLTEEEELDFCRIEVNRLVSAATS PGAIALDGPRDLFGLSTNQGYLQLHHLGRKLRQAVTICQHDAALIQAEHMDGVLDHKG CARLQARLVAEFDPNSGKERFAGAQNTTNENQMRIYHALLNYMTGILVKIVLCNIRGD YWVNNLIAVVARITAKLKILGKDIPRSVELTLQSAADTRVRISQRQRQMERFTLQTPN QLGEDIGEESCRVPMAGEALKYFIDMERLHDLITEMLIGALTSFTVADPTATITNDFE SSVAMYASLITGEQALIYQGRDGSIGAASKASIARTFTAGFRVCLEVWEDIQPEPASS AKYWTSIKWDWSMVELQQKDKFPTRQGKLKLSSMRDIIRATVPFFMISGHAISQISEM IDIMMFTTSRHTSAPLRLIKQPRATCKRILQTSTYVHNRLKRRAGGDNCPLSETYLLQ SRAGSAACNPRPLTDTVDTPGRKNRNSSDLSADPEKTKRLMAAAKKQLDKWTISNNAI VVPYKRYAWSWIAVSTILVFGGLAVGFSTGNRILGFDPFNISIFCWALAGFTLVVAKA VRVENWPWSAFLRGLVHCRSVTEVNAVTGVDDQILLALLLGIDRRTYLKTTGPYNTLF LRCAEDVPEGFSVDIPILSTTAMEGGLIPIKILGEFGPGFVFIYTHSWAPYNSAGHAT QYKGHDMSRDMSWTTRKLGDKDIPGYRLKTRPRDNYVFISKVLGVLDQDCCFC FPSE_05409 MSYYDNPQSQWSSSTQQQQQQPPTQTQNNWEHQGSTTPVRAGAS GPQPQDDYAFSYQFDEVDRAYENLQKSGKGYGMGGRRESLIQRSLNVARGPDNVGRPD SRGSHHTPGSRPHSVNNFDDARGGSQGPNLQNFYANQRHQPSRGSNEAEQVMQAKRRM AAQRERELRNLHTEQQYQRTVLSEAPLPPSKQMSEEETRELIARQRSALYGEGPFAEK AGYVDETGIVRTGAPIPSGPSSVRGHSPLAYDSAGRGPPTGDAGTPISSGDARGPPTE PNSRPHSTVSPQAAGPANKVFDNAQSRTSTSSPTGGSPSRDMTPGSKSNQAGGSVAPI GTRPSGTPTNTASSKRSTTPLASPGGWGRGNGVWGQSSGLGAPASVWG FPSE_05410 MPSFDENKAYVEQEETFFDDGREIELLHYIYGRSDIDELRGSPE RVLQAIDGFGRTKKYLMNVGEDKGKIVTDLIAEVKPKVMVELGGYVGYSTLLFANAVR KAGGTNYYSLERNPEFAAVITSLLDLSGLSSMARVIVGPSDAGLARLHKNGTVKKIDL MFLDHYKPAYTSDLKLCERLGLVGPGSVLAADNVIKPGNPPYLAYVRSSVAEKRAARG QGGDKDGFADRTAKQYLKREGEEKLNEEEGDPNLVYESKLVHSFEPTGVPDAVEITRC TGRED FPSE_05411 MNEYHPTTMRFSFTSILQLFQTLTYKPIATFPEKVHTLMFYSRA GHKGTWLAFQKPTQLDVWLGREQVMTWTGEITISLPAPDSPFRADSMSTSAPLDGVET FRACRAWIPIWDQYRRHHLPIIGLLFTYTDGSQRCVGPVLAAPVNFHSDKIWLGNIKV PGREVSGPIHFKGIINRHVRAEKPTDDDDDYHYLELPLKWRLSWHFTRFWMAVEQYDS SEVHDEIGEALVLGKGSNTLSESEVKTFDVRVSTRC FPSE_05412 MIIIHDPDKTWLNPIPDFQVHRYEEKHRSNALFGSNAYKAYHFS CVKFTLPHWSQPGYRMDRDIWGATIQESIWETSLPSTERHRADWLSERFAHRMAYVSP YSMFSLKILRKIGRDCLREEAVMELKRLWLRKKKDPAAIHINVDETSTLWIRYIHIEG LKYVRSLSTEKLKDGEPLFTFNPYNKTAVSVFISSDRLGVRDIHIMRPEDTPTIQPTP GVGWNVHRLLTLPLCFKGHFDGLKIRRLRIPEFHHQDIEFSPSGRILHQTRFCDFLWS TIPKTLALFPFPGFHVRIDRNQNDGQQLSNIVQHIDFNKPGTSGYSFCGYRDALIEII PNDSASLPFDIAHNEGGLFPLWWMYLSLDEGERISELWERIYFTGTQWCNTLILRTDG NRSLTLGPHLELDTLDDNAQKGATLCHALLRLPRSRPCRAFWANYQISKTWLFIENPA MYYIRPNYTPTNSPAASRSRYLLTSVKLEGVKEVTPCLSWREPEGPSKVMGLLLTYTD GTRRSMGQVRHDCMGAAMVVGLAECMWLGVPDINESQVNWTSETQRESNGLPGASSVH LSQPTMAEEAFRYLQVPWRGRLDWRFDSHQCHISHEDEDGGTVQDEQQEKYAQSCHLA LRPEFVIFGS FPSE_05413 MLSSFTTKLALKKAGIPSDILSFPTEKREPNKLRKNPPDPSDND ADSSWSSWMSVRSLPLTVQPWLTPPPAAVSVGRVPGIGDKAPVDRTQKLRLGRRTLVV FLRCVGCAFAQKTFINLRTMANRYGDALTCIAVSHASEQATKKWVDLLGGAWSVRVIV DEDRALYAAWGLGTSSMWYVLNPSTQVQSWKETGWLGEKVAGAIQGKTTPKPKPKTTV QAVGKEEEEEDEGPLTTMGNKWQEAGAFAVDGTGTVIWGGKAARADDVMELEDGARIL LA FPSE_05414 MDETYSFRWESYCCFCLFGFIDGEKVLRPSHPSEANPDGTTSLP VLFDYKTPVFNPDNHQCIPPRHWRRYKHVLPASHLSCNQNWSFVSMRRLWELVYYEFQ PPLSDVNRRISILANAAASVTIPDLQTQARLRVETFLSSQTTPMSFQSNRDGRMLFIV DVSQPIWRTTVAFDGIQYLNCLSNKQNGKNSRPIKTQGGDIVNIYFASNHLGVVAIYF SRYGMSKQDWPGVWWSRLTLSKTHHLLRAYTDCLDCSKPGITGYSACMVDGDLVDLHC HIEGEDLTFYQEGGGDRLHAVWLYFPVQQGERIFEVWRRRKRPHFCRDIPMLRTNKGR VFVLGTHISQHDIVVKYDYITSFPAEKHARFWFGYREGCVDYLAFDTKDKRLENDPIV RLEGSSALCSSGLSNQRFATSATLKDVVEVVPCRSWAPGSTGIVGLLLTYSDGHRETV GQVVPTGDMWLGIRLLPNGTGAVVEALRLIPSNGMTVHGGNTCEAGLRWRRVEWKGRL DWLFWYGRCIISWHAQAIPVGHINTVLEAQGRRGWETPTPTPVIDIST FPSE_05415 MDAQTTTAEPQHPYPSSPWTSASTISSSTTNPTTPSATSTHSAS AAEGYFFQDTSATQFHTRLDFWPFLIPQQSNIYHAQLLHYNKLISPSRGGGLQTPPLP PVISAPYVQAAPRSRSSSKVSPRDASAGKGNTAGGHGSRSHQNLDKSRSVVSSKEVPS KMPSKQPADSSRPLPRRSAPSAQSHSSSVPSTPHQHARQFSFEDREPSPTGTNNHSPR SAYSETNSTLPALRPLPPRLGGCKYETAQINSRRRIPYSVGNDRLEKLDLRTVKGKLT EDEERKLATDMREVFDRLLPTAAVEENRKKLVSKLEKIFNDEWPGHDIRVNLFGSSGN LLCSDDSDVDICITTSWHELEGVCMIANLLAKRGMEKVVCISAAKVPIVKIWDPELGL ACDMNVNNTLALENTRMVRTYIDIDPRVRELAMIIKYWTRRRIVNDAAFGGTLSSYTW ICLIIAFLQLRNPPVLPCLHQSPHKLPKPDGTLPDFADDIDKLAGYGSKNKSSTAELL FQFFRFYAHEFDYDKQVLSVRQGKLITKHEKKWHYAINNQLCVEEPFNTSRNLGNTAD EYSFHGLHVELRRAFDLISVANFEEACEQYVFPKEEERVWSRPAPQPRPVLLRSSSQT HSGRGGRGNHRGGGRHNNNYRGGNSNRRASAGVPQFDASTTNNNSMFMQPVNMAQDLQ WYQNQQFQFQYAQQDLMTQMALHQENMRLLYASQSPAFMQHQAQAQAMGQQQQQQRMS TSAGSNQQQPQQTSDRSRTNSFDNPPLSAPLRPDLYALYGMNLGQQQFFPQAGASYGT YPSSPASTTGATQDFRRPLQRNGMTNEAGTQGSNGTLRSHSQPASRSPSSSQAAAAYF GAQSFSGSTQSRGANGNPIPSFMSDDTDFDETPKATTDSPQSEEGKYSVYLSESPSPV KKPQQPAPATNGLAFGDVAGQNASNPSPGRRRLSTDQIPQTILDRRMKRTSRSPSPLG QSRSFTATASSAPLAQGPFPGTQNKNLGRPLVVNGSNLKTSVTPSPRQAPSGTESSTS DETPTFENPLQIHATNGAPSYSVDGPIMPMVDSQGAMNQQGAYNQVPVVAYGSAAPLM AAAPSTADDPSFRDRIAMMSAYHMGTPHIVQDPMVGNSRLSPSTRQRLMSRQNQNGVI APLDLAIAENRIDRPVGQDFAHLSPVYENRTPSPTVVRKDGPWKSEKQNSPKSGRGEG LKGGQKSEDQSPNHHEGSKSQKNQKSNSQKANGNRENGHVRGARSESDNGWQKAGKNK KKGGNNNAQHGNGEQPPKHESERKGG FPSE_05416 MSMLSAEVTEWGQTPKPIKTAAPQTPVDDSETEIRVLAAGLHQL VRARAAGKHYTATELPHRPGVDGVGINVATGKKVYFSLLGTKGGSYAETVNAPTRSIV ELPEGVDPVVAAAMVNPVMASWMALRKRVDLKNKGFKVFINGVTTASGKIAIKVARHL GATSVVGSARNREALSKLDLDASVVLTDTPTETDFTAAADADIVLDFLYGPWPNAFLL SPTTAPATNAITWINIGSMAGDGGDISALGLRRRDVTVRGSGPGSWDPRELGAETVGM LKVLVGVGSDGLKKYRFEDVEKGWNDKGRERVVFVFGEESEKL FPSE_05417 MVSRTSSDGPPPGGGRQWKKSLINKIPGRGRIKIISQHPSETST SDIDLNARSPDTHSTNPLDSQETHIRQLQAIIQRMPRNFPATPNHVDSAYKEFTRNKE QCAALCRHILTEELGRGPHGGDLTRRDSTLDSPQSPGHIYDTRSSFSGRSLAEVVSGS AGKSMHQSDAWLTSVCDWRNWLEFLADACKACLIETYKNNERDATPEQVEALFTNKRF RKEAVQRMRNASVTRVMSADPQFFPRYEMRFYDYEKIRQELNEIRQLVQTGESGISPD RTIKEFAISQRGDAILEFANTAPGCSHSDPAFRFRVSSYMLAETSPIFARMFAGNSNS LHLYDDDDISSQLPLPPTKYYCKDGSEAKLYRMPQVELNHLDSLEILLHGAHHHSEMI PREIEFDKFVAIAECCMRYKSTSPLELIVEHRWLPQWMHKGADDMPDGLLVISYAFGL RRLFSRMSKTTILNLVDEKELQAKPWPQKIKDKIWAVRCAKVAQIHECGVSAIREYLR EPTHTTADQTEPTPLNAGFSPDALPPVMLTSTPRCPKGSHWCDATNLGWLMLLYNEMN LLPYIMSPDVLSHLPRSQLPSKSLAQIVDALRRIPAPPTPVHRGVCDPGPVFRSAIND IYNSVLGLTLFDISGKSHGWGLSKHKEREPQTQLNERLNRMAAHDPDYSVATEFPEII RLRIMCQLEELDDLHSAAMVSRAYYETYKKHELYLMRNILRMDRRRSTIQHHIPIGPN TNEEKVLTEESDVLKRTLPDTADGITLHSVVETEGDYTDSDDDSDSLYSTSINRSLPR SAATASTTTRGLDYQGSSDLALITDSPARTPRARTGTPTSTSRSPTTPRQAVFDPPPA PASPTITIDEPPLTVEEAHRILWPDDAIKASESPLPSAHHGAEGIREKFRAGDPAFHL GLEEKTLVPTGEKQLRSEHDRQVGLLKGGEPSK FPSE_05418 MKPVYALTICLGLLEGADAIAIPEFVSPKVGYSTPLAHGEKKAE QGSDANSSPRVFGAYGPKSKLVGLPPVRRSDKTEESYGSDDGVDDDDEDDSGNNTADP PGDSPDSDDPEIVYPEPMMAPAYVRTGALPVDKPEEAPKSKSSKVDTKSKSKSGSKPD DTVHTAKHGGDDDSESGSSGKKKQKGKDKNKGNDDNSGNHSGDDDASKSRTKSEDSKP TSKSTSTSTSTSGTAHSPTSVNTRPTTGRHSGDDDDKKSRTKTEDSPRSGSPKPTSTS DDSSKGSGKGKGKGNDGSGKHSGDDDATKSRTKTEDATPTASPKTDDSSKGKGKGKGG KDQASSGKHSGDDDAAKSSSKKGSKSAKPASKKSSNTPRHPRGITATHQSGSTFDPNS AKGGGKNGPGFFKPFEPPKLNNGYIQAVPPQASQKNSNSRPKVKPKPRLNSKVSEPFS SLRKREQLLSLRAAAPLESVTIDRSKWKVTCDSVHEGDECQNAIDGNGDTIWHTQWEG TEPAPPHMITVDMKKVYNVNGISMLPRQDGSQNGYIAQHQVFLSKDNKNWGSPVAYGT WYSDYTTKYANFDTQPARYVRLVAITEANGGLWTSIAELNVYQGNDYTAPQPSSLGAW GPTVNFPVIPVAGTVDPKTGKVLIWSSWARDTMDGGPGGLTLTSMWDPATGLVSERDV TETNHDMFCPGISLDGNGQLVVTGGNNAERTSLFDPVKQTWIPGPDMKVARGYHASAT TSTGKVFTIGGSWSGGEYFKNGEVYDPKKKTWTLLNKADVQKMLTADTQGLFRSDNHA WLFGWKKGTVFQAGPSQAMNWYYTDGKDGNVKTAGQRQSDRGVAPDAMCGNAIMFDAV KGKILTNGGTPNYQDSDATTDAHIITINNPGSKADVSYASEGLYHARVFHSSVVLPNG NVFITGGQEYAIPFADSTPVLEPEMYLPDEDRFVLMKPNNIVRTYHSIALLLPDGRVF NGGGGLCGGCDTNHFDAQLYTPPYLYDSKGRLATRPKIASVSVSTVKIGGTVTVQTTG AVVQASLVRYGTATHTVNSDQRRVPLTLSNAGKNAYSFQVPSDPGVALPGYWMLFVMD KNGVPSVASTIKVTG FPSE_05419 MASVVYNIDPNGDLVVVLKDPNTYWVVPEVSVRENPVGSQAGHL LRDTTDVDTPKMPSGLGDSVEAPTEVRFRVSSRHLTLASVVFDKMLNGPWKESASVST RSSPKDGYTTAPEDDVQDSKDMDEPGPPVDPSTPSPPLIREVSAEGWNAQALLTVLKV IHGQCSGISRDVSLTFIAQVAIIVNYYQCAESVSFATELLYYPKYKKPEVYNKKAIMW LFIAWSFSWPAVFQDTAHQVLQRGQGLSYVTTHDLDVDGILEQLDKKRKSTLDLLRQG LEDINVGGQTGLSPQMQIDDAGVIDAGEKFPS FPSE_05420 MKVIRHDIDPDGDLLIVLKCPNSLNLMPHLPLDDFPWPPPHYGS ILVDLSNKDDVQIEFRVFSLLMLRSSMFFRKMLTSPWKETVEESTTSPRLLRVSATDW NADALAVVLDIIHNCSYENDIPHGLSNFFLAHIAAIVDYYQCAECPRIKAVTKQWESG FRFDMIMNAWITNLYIALVFSWKSFRSTIVLNVLEKCHDLTRLTPIYDLPMGETLRTI ENKRQAILGKLLARIDAMAPGIWEDRTDCSDECYLSMRRRLPTVFPRLPVSRSLIGCS IIEVLKKIDDLLPAHEHYHGGNCGVNSMMYEAIWEMDRDVTQASYEIFKDSMQ FPSE_05421 MLKGPWAEGRSSSQLPRQINATDWDPLAFAIVLDIIHGRSRGLP LPKNMNIGLMARIATIFDYYQSSPVGHAQTITAKITGTTSPTTSSGPDEATLYFCPQR TLIWLLKRTLLQRKTDSNRNVRSSHRGYTRTRPHTSILSPNYIRYTYDEQTNNHDLHH LRGRPTARQPDIELVLNNPNSQQIVPALRLSNGGNDFNDIEFDNPPCVGRYTVPRPIY NNTNNTPINSEREAAPWPASIRQINTSEWDATALAIVMDMIHGRYRGLPRNMNLGLVA RISTIIDYCQCREAVYVYLRDWLNEYARRDNLPPRLCKISLLCLEGLARIDTADLPLG GILDKIDKKRQSLVLYYILSLDQLQDHLIKEDGCPMKQDPECTALTLGVLMRARRKMT DSTGPLEHPFDGHSVASVLGMITDIKEPSPPHDDIFRHHSSRWNRTSYPCCIGGRIHP RLAFVEGQILCFCFTKPLGDVPVVEDLAARMIPSEVQETQAMKHIKYDVDSAATLRSY WRALSTSRGSYQSSRTITATMTMIVKTSNLSTTHPLRGEVIVNVRMRVSSRHLILASS TFRAMLEGPWIENLSHSQPGPAQIMTSDWDPVALAIVMDAVHGRYNDVPENINIGLLM RIAVIMDYYALHESLRHIGNVWITNLRASCEMPKSSLKTSLMWLSVAWVFPQEEIMTS MSKIILKWFRGLNMTLALPVGDTIDTIEAKRQELIHKFLACLHELRGNLVREARCAAM NNPDCPNMMLGKLARAEQRLGTLNGHPVAPFKGLSISGLISAVVKSSGQEMPMGYLGY PMSPFRTCSCTLMSRLADLYQDVEQEIRAFEC FPSE_05422 MMTSITYEIDPGGDVELVLESPNKQQIVPIIKYKEDENYRVSMF DGDSDHFFENSFLVGRYAVFNIEEEDHAMEEDGQETNTANSRSDELIEVRMRVSSRHL ILASRTFRTMLEGPWSENLSRASQSQSGPVQVVTSDWDAAALAIVLDAIHGRYQEIPK NVSIGLMTRIATIVDYYECHECLQLISDIWISDVYEVHGVADTFCKSSLLWLYISWVF SKRNIMDPTSRMLLQETLDLSQIDLKDLPLGQILGLISQYRLIMNIALTQDRKN FPSE_04333 MSANQPIAVGDSKGPTADAVQTSSASATTNQDAAVSQGIHTLEV KSVHWYSYLTTVDFWVVLALGQVLALCITATNTFTSFLANAGTNIPAFQTVFNYILMF LIYTPVFLYKDGISGWWKIAVKDGWKYLIMAFLDVEGNYFTVLAYRYTNVLSAQLINF WAIVCVVVISFFLLKVRYRIFQIIGILVCCGGMGILIGSDHISGTNGGSGLDMVKGDL FALLGATLYGTTNVFEEWLVSRAHLYHVLSFLGLFGMCINGVQAAIFDRESFDNATWN GKVIGWIVGYTLCLNLFYILVPIMLRMGSAAFLNISLLTANFWGVIIGIRVFGYTIHF LYPIAFVLIIIGQLIYFVTGSMLSDSKKPWLGDNQEGGVLGFGTAKLKALNAARKAQM ESETGNNES FPSE_04334 MPETGTRRTPLATNWTNNFNMENVSNRLNINSEKESQDLLLRNI RALPEREPLPPSTMASSSTQLPLMSRRDSESCNTTRNNVWIARTVRAAMSGVLSKP FPSE_04335 MILRSKTLAAGSALVAVARASQPGAAAPVPGPMRDLTWGQINFI HTTDTHGWIGGHLQEPQYSADWGDYISFTKHMRDQADKRGSDLLVIDTGDRIEGNGLY DASTPKGLFQYDIYAEADVDIMSTGNHELYKAYSADMEHNTTVPNYKNSYLASNLDYI SFETGDRVPQAPRYKKFKTKNQGLNIVAFGFLFDFTGNANNTVVQPVEDTIKEDWFQE AIHEKPDLFVVVGHVGLRMEEFKVIYTAIRKQNWHIPIAFFGGHAHVRDTVQYDSKAL AIASGRYFETIGWMSIDGIKKGSTKEVEAAASPTFTRRYIDNNLYGLHYHTGLNETTF PTENGKRVSKMITRARKALQLDYTFGCAPKTLWMARAKPTDEDSIYHWISNHLLPDVI TRKDRKDKSRLAIFNTGGIRFDIFKGGFTRDSTYAVCPFDSGFKYIPDVPYKAAAKVI DLLNSAERIFSENGLDVKYMAMPEQAFPAPVMKAQFEKNKIDAEEPRLELRSLFDKPG LTAGYTTIDDIGADGDDTIHEPVKFYQQPNCVQAEINMPKDGEPDTVDFVFLDFIQPW IIPALRLAGAGHFSDADVERYIEGSFTYHMADWISKNWQGEC FPSE_04336 MKFTAVAITALASVAAASPLDVATSGCKPGTYSCTPDKTGWQVC DVTSKWVAAGVCPPKTGCVFYKKSASPYCVPPGFKFPQ FPSE_04337 MRLSLQPLLLLALSSLGAAVFQDEVGHIDFHHALVGVPQVETTF FHRPRKSDKASLLYTLSDVGIVGAVNPSNGAVVWRQQIADDITNGGGFLRAAEGEHWV AAAYGSKVQAWDALTGRNVWHNEFKGEVKDLEILELTESSRKDVLVLYDEDGTTVLRR IHGTLGQVVWEFREVAHNIPLQVSTDISKIYVVSLHGSPASYSLKVTALDTLTGGRLD DFAIGTRGDVHGPKDVMFVGGNSAAPILAWTDSTLTKLKVNVLGSKTTQDLQLPADAA SVVIHAPHLTQSQPHFLVHTRTKTGNKAEVYHTDLKNSKVSKAYELPHLSGPGAFSTS SDGANVYFARVTEDETLVVSSESHAVLARWAFKPAGDIEAVHAVAEVIKKSGTEGFAI RAAAVTKSDDWVMVRNGEVDWKRPEGLSAAVAAVWADVPGVENLAKVLEEEAHTNPLQ AYIHRVTRHMNDLQYLPDYLASLPERFISSISGGETVSKKEGLHRDTFGFNKLVVLVT RRGRMYGLSTEHKGQVVWSKSVLPQLSGETLDVKGMYAKDEGVVTLRGAKGEYVAIKS DTGDVVEVMPAGSLPRVSSTVVVDSPAGNWLLPVGANGEVGPVPAGFTPSQTIVVRGE GETLKGIKFVESGNKVSAEEIWQLQLFRGQKIVEIAKPDSHDPVASIGRVLADRRVSY KYLNPNTIVVAAIDEASSSLSVQLVDTISGQILASQSYAGVDSTKPISCTMAENWYAC TFFGQYTLEDGTKRSIRGNHIVITDLYESSSPNDRGPLGDAETYSPLKPVDSPAGPAL PWAESQAYVLSQPLDSLSVTQTRQGIANRQVLAYLPEAHSIAGLSRQVLDARRPVGRD STPAEKEAEGLIQYTPSIEIDPRSIISHQRNVVGVKNILATPVIVESTSLIVAYGVDV FGTRLAPSGMFDILGDGFNKSTLILTVVSLLGGVLFLSPMVRRKQINRGWES FPSE_04338 MEPRSLIPSWTDTPLPSITLSASGLLVLADLRTISRRTALTGGA SWVDAFVLAPGLHYQQACDDLEREAPVGLMALSTQALGEMQYAVKNTMTASYLKSLCK DGEDSITLNVGIDTPWDVVKIVGKALEKKKIADEEGDDDDADHNDWTSLSDVDWLSHL LYLGSPIITLSSISFMVILEDWWGLAIMLTLIISRILNIWAIKQRMTHSEPTPESLIM TEYRIDFGGGHSVRLRGPDADLQAIVTHAWLRAQSVLEGYLEAVAKLMVYMSAALGGN MTQAGAIVLIGLLLGSAALLGLSNAHARGFRMHGRYAMPEKKKAKMIQEALATSRNSV SAREASGGLV FPSE_04339 MAPGTRRANRAGYAEHDDFEGLPVRQWRQEWISVAPPQQQEQSQ QNDVWSIDLPHGMPKDSHLLPAHSQELLAAARSGRLYKRPAPAEEEENDADAAPEKTE KKEEDTGARGFSVKVWKQLPRNLDNSGASHLAKRQKNTVTIASRTVEEKVQGPTVTRA TIRRIDAAGNPYTEEVTLGEGQKVQGEIVSTRIEPAPAAGLEPLATTPVPNRRRPPPP KRKTKAGPGRGKKKIKNPLPETGAPVVAPAGTADGATPAVPIKPENPAEAAIKQERED SANRDSSMVDADDDDDDEDGEDDEGDEAEDGDGTPAPADGQASGENNKQQDHEMTDAA PTTTTEAAPAPPPAPVPAPAEPPVAVAVAPANEDTDVVMENDSSEPAQESAANLAPPA LTPEESRVEGSPLKNVVMPSPTKEDTEQILQESLNAPFADIDEKKEPQLTEENLKEVS LEPPVNDNEPHASGVDEEHLLKTAANAAFGIDQPPVVGPAAEPAESTVAEPPSTIVGE APEAATDRDIPMLEPTDNEALLPPPPEEVGNIAASPPGSSGGQGHSAPGSEAKLPFDI LPGEEALPSRPQLEQKDTGLTEDSIRPDDSASITAPVSDVPDIPTAPPSVVEPPAEPP AETTLQESAAEEAKSPTPPQAEVKEESIPPAVEEVTRGESPDVMASLMDKLDQEHADI ETIKEDLPTPPVPAPVEESVPEAPTEMDIEPTVEPVAEPIEEPVAESGPDPVAETEVK PSVEAPVEAPVETPTEAPTEAAADVPPEPASIPSEAPQEPVDEPMVTEPMAAEEEKPS DPVLEAPAVEAPIVPEPMEAEAEKKEEEIKKEVDTASLEAPTSQ FPSE_04340 MSVASTIKGDMSQQVRSLYRQLLRQGSQFSAYNFREYAKRRTRD AFREHQGEQDSRKVQELVQHGIKELQSLKRQTVISQFYQIDRLVVEGGISGKQTGNNQ EILRQKEQGYD FPSE_04341 MLSQKFISTVSGPPLAANTAVSKDVGMYSHSLAPSHTIKSTFKK SSAPVNGLAVSQTHIFAAQDQKAHVHVYPIPADNHNTAVAPCALVPFPERIRCLAIVG QVLVVGSTEGRLFLWETCTGRQVSTPPCHVQAVSCLAVTPYHILSGSDDSNINVWSLS RLLELDAQLEQEPDLTLSNHRGAITSLTASPGTNSETGICVSASKDKTCIVWNYQTGQ LLRTVLFPATPLCARLDPSARALFVSSEDSSVYLVELFGGDKPLLGSRSTEQPSIVVQ INTPLAVSDPELGLPSCIALTNDGTSILSGHTKGKILRWTLAENAHPTEVANLNASVT NLFFIPPLSSEQPTKTVTIVKPNLIQKRYNFIAQLSTDLGEKTRFDHLLETNGIPSES LETAISSMTTSSDDQQDDELAKQDAQFRSIIDSCNLLQKQTS FPSE_04342 MTSLLPLSSPTPRPDTPPMLFDHEVLEDMMDCFPEMLARDLERS KPLFESKNMTAGMPSGPVWFAENHISNQSSSSSRIIASPYQSGSVLVQNLGSIQERRS MVSFLEHRGQEMEEVESDEESVSPSESSAGIPVPSIKLREQSIATAATSLTSASGNPP SPKSLSPTERGHEYSWIDADSEADEEDVRSVNRDQLATPRGMAALSPRPPTPTNMEST FDITVMMKAPQPRLHRACSYADESPKSLKQHRRQISSKSLDAPVIPPRVASLASTTES ANTTPRLRTPVYDSRTSPDRHGYTKKSFTSSHRFRDETDNSTILLKSDFGSIELEIED EDRYMDHYPPPPSLSRPDTVYIEQTPPPSPLPTVESWLNDSNPPCMPQIPVDDLVKAV PLPPDVIETLRVSIACFPETMLLSSSLTTETIRSYSKKVRSPSVDSWTEPASDSSSLH PRKSIWKKVVSHGRDSSATRRHRLHSYDSNTSSGSVAPPVPWASLRHVFPGCSDYICD ALYAHIVAYNYVSRVPRNQPAGNRASTPDPKSQGENIPKKAASLLGLSAMQANPTPNV GRFARKFSSPLTAIGLGKEEPSTVTNHDNATRNIESGLLRCISRLVGTAKMITENGTA EERIMDTEPQAVDMMFVRSLCEIVRLCEEVSSPQMN FPSE_04343 MPADSPHINLCKTIMSAVAMGYPMPILLNWKREYNRPSWHFAGS HIAKLESLLAAIQVLLEQDGSEDDIAVLVDAYDMWFQLPPSVLLERYHRLNREADARA QKQWAHLNISDDFPTPPPRQDIIVTAAKDCFPDAYSGSDPRYEHWPDSPMPKDMYGDG TDKVPWSFDPARKYKNVRPRCVNSGLIMGTMGGLRNALQRSKEKIDAVAMKGRQLWSD QALIGEVIGDQEIYREWTRYLGSSWNGSSSLNSRDTLPHDVRTIADAALSGRRFEFGI GLDYNFTTAPPTCSSEEDGFFIKLLNKTNVLQESKKAGVPGPIRINGIPPEIRAINDT ILSSINWGSIPLYTDFFFGTTPVAIHHNAYIGGLKGSRLKDWWDKMWYHAQLRHLVTQ RLQPSSAPPIAEIDGGEIVYDAPINDQVSRKARVFSPLEPNFAAVDWDAVCQKPGHGV PWHEELFGDGKGPLEITRG FPSE_04344 MDSSKGARRLSVTMQTYLGFAVLGLVNVIVPFIVHSANYLIIPY PRWIVILIETLPALLTKLLLPHFIHRIPYWIRSLTIGAVWILIALITKATPPNIAPPL RIFTSALASASAAAMELSFLGMLRYYGRVGLAGWGAGVGAGAVFCAVLPFVLTVWLES FLRDFIDCIYVFVGAMLVAFFVVLPGAPVNYPCAQQEMAKDDIEDASTLLQDPVQQLS RLLSTKNRIHLTKIMLRPFMIPLFGAFAAQALVYPGISRALPLPESSASFFSYLTTYG LSFQLGNFISQTHILLFRPASLKASFMVLGTAMLVLLANSIFLVSSAEVIMGILAFTA GLGGGAVYIIVFDRVLDEKTFDAGVNIEFSLQVVGVGETAGLVAGGMMGTMLESLMCR GGFTTTQRWCHTSQ FPSE_04345 MHRWSTSTYKSLASIPEDNQWLQEDMPRWGLKHEYLLHGMFSFS ALEIVLCGGAVVIEEDYEMYYAKLAVEYYDKASRSFRAQLENVTAENAQKVFMFSFLA VAVNMALGQCTAFEEVYEGVLERLVTLWELLMGNASIADQHFDTLISGALSRSTEALM LRTQLQTETPTSLSKETEDALESLSTIVNKACETPTSSENTDNSKINVRIQSYRASFS AIQTCFVQDSKEIFKGIAIGFPALAGRDFGLALKSSDPVALLITMYWGVQLNTLGKMA WWVGTFGKKMVDEVSEMLWEPEPGHRIMSLPEWRDSISWARAEVDLMPLETPST FPSE_04346 MVFNSSSPYPEGVISFLDTDLYKLTMQCAVFKFFKDVPVTYAYT NRTPDKKLSRTAFKWLEEQIGKLGNISLSTDEYLFLKKHCDYLSEDYLNFLKEFRLSP REQVVATFTPVGEDTGDDSIVGDVDIQIKGTWVDTILYEIPMLALTSEAYFKFMDTDW NYEGQEKQAFDKGLQLLEAGCVTSEFGTRRRRDYHTQALVFRGLVQASKEAEKKGFPG KLSGTSNVHLAMRFNIPPVGTVAHEWFMGVAAILGDYKQATEVALRHWVACFGNKLGI ALTDTFGTQEFLRAFTQTVQTIEGGFPAETFKRADGSMKTYAEAFAGIRQDSGDPAEY AKWMREFYDKQGITDKKLIVFSDSLNIERCLEYKKVADDLGFQPTFGVGTYLTNDFTN TKTGKKSVPLNIVIKISSAAGNPAVKISDNAGKNTGDKETVEKVKRELGYVEREWKEG DETSRWGKA FPSE_04347 MDIHRCRFVPYKPSAINAIAFSHPKTRSAQQGNLARLAIGRANG DIEIWNPQNGSWNQELIMPGGKDRSVDGLVWVNEPDQDLGGGRVVVGKSRLFSIGYTS TVTEWDLETGRPKRHASGQHGDIWCMAAQPSGTDKVGLGVESQDSTKLVAGTIDGELV MYSIEDDDLRFQRVLLRSPTKKAQMVSITFQSRRVAIVGCSDSTIRAYDVTKGHMLRR MTLGADLGGGAKDIIVWSVKCLPNGNIVSGDSTGQVCLWDGKTYTQAQRIQSHKQDVL SLAISADGTSILSGGMDRRTILYKQNSGAGARWSKVWGRRYHDHDVKCMASFESGKIS VVVSGGPDANPVVIPMKEMGRENHRIMSSLPQQAPLLSAPKARFVVSWWDREVHIWLL RKSATEMIKTENIDINQNRKLIKTIVVKGDSNITSATIDDEGSLLIVATAIDVKAFRL EHQDPVKPSDVKIFSSELPSKLAGVGATKVQLSPNAQWLCAIQEGSRVVMGALDPVTP RPSLVFSPNVQRLTRLRRQIPRHILNGGLGSYDRTITQVAFSPDSKMLAMSDIAGYID TWILSDGEKIKSEDDASSSGESDSSDEEEEISQNIEKWIRNPGAKLLPKLHSAATVLS FSADVPRAKVSPRSSIDGIDGAADHVDDYTLLTITSSWSLRTFHPLQGSLTPWSRRNV RNDMPAPVQDLLDHAKGVFWEGSRAWIYGVSFLLMLDLAQDLPKSAESDSNDKQTLKR KRTGPSTGAGGKMEQGAIAPSLVRKHTAGQWEDVDMEDAPQPEDDISDDEADQADGEL AQLRNREVGKDVELAETGGERKSWWMTYKYRPIFGVVPISTADQPLEVALVERPTWDV DMPESYFAVEQWRK FPSE_04348 MAGQHPPAGEYGEEWARDLRVQFEGLLRDKRMNDLRNTSRQSSP SLGDQSPHMRSSPFPSDNRPSGSQGPALPSYAALRHLPKIPSPPAAGDRDSQKFRNLL ISLSLTPTKYENPGLLDEALQTIPLDRIYGEAEEETQVLQAQAESMGDGRKPEWGYQD CVIRALLRWFKRSFFSWVNNPPCPSCLSPTIAQGMTAPTPEESACGALRVELYRCSAQ HCGAYERFPRYGDVWRLLQTRRGRVGEWANCFSMLCRAVGGRVRWVWNAEDHVWTEVY SDHQKRWVHVDACEEAWDNPRLYAEGWGKKMSYCIAFSIDGATDVTRRYVRKNQHASE RNRCPEEVLLYVMQEIKNMRRSNMNKDERFRLEKEDTREDKELRGYVVASIAQAVTDL VPGSPGGSNHTTASGSDTKLPAEQPGRQTGTSEWLTAQQQQSHSRYQQPRDPSHRRPL P FPSE_04349 MERRVLRSSTRSSNTPDTFVSLPNKVSRKPTNKTPEAGQAPQRT TSLTDIKPAPPASNRKRKVSQVASEQVSPLAKKETKPKKQKKAKEQGEKRERKFRNEA PQSFAPVYGRALSERFYVLERTKCGTEVCPEEDFEMTGSTGNIYTVHIGKRLQCTCPH HTRGGQQCKHIVFIMKKVLNAPYDLIYQLALISTELQSVFASAPSSSAQEQEKSDKRK PIEGDCPICYCELDAKQEASIVWCAATCGHNFHKECFTIWAKTKHGNVTCPLCRSDWK GDESLVASVQKNKAQVDDGYLNVADQLGISRERDTSTYSRWLVYHQNGGTWRPQY FPSE_04350 MSKLPSTTRTLVAPKKCLPAEYTVIEQPIPTITKPNEVLIKMKA VCINTGDTQFAAGQLGFLFGDRLKFPFALGMEGSGAVVAVGSAVTNFKTNDEVYGVEY EKPLFSRPPAKWCSDYVVTEAKFLHKKPEHTSFEEAASLPALTVVAYQTIKQGLQLGG RDDLVGQTVYIPAALSGTGSLMIQVVKNYFGAERIISTVSTEKMSLVEEYLPGLVDQL YDYKTQNVVELVGRGTVDFAVSTQFSTLDDCIALLKPATGLLASIASVPKSGVMLEMM GPKIFPTWLGWILDTFQWWYTWKLRGTNIKYEFFSGNPGIVEDMAVVEGIIKQGKVKG ICTIVDFDNLEDIRKACDKVYKIKGGPGKLVVRM FPSE_04351 MRLRNDKRIGQERPQTPPTPGTPQSEDSVAPWVKDEVSTEKGPR FLEDIVADEVTYPLCRILWQFVLSPLWWMLRPTIWGYLLHPILWERVIRQGLWEGISR QILWEGVMQHILFKTILQDVLGEKMFLPLYQICQVVLFPRLQTIWETMLFPLYRQLVE TLPASARWKIKSALSPVYRYLEKTSGPIRTIVWDSILRPVLSRVRPEELLSLLFVLNI SFKITFRSSNAYIFARGYRPDTDEFSERSETEFGQIPFQDKYTWCWLLQWIAWFMWGR FPSE_04352 MAHKRKRSISELCASPSSVSSFDSPPRVSNPITNPFAIMTSTPL HLHSRTMKRVRDNRPSEETIHQRTLNMLYSAQNQNQDVEIPSEHMQTETQTTQPESTQ QSLHRFWNISSAPSASTSTLNQTELTPSNCDDCGVSFTNGDDGMDLDDFNNEDHSCGA CNKHVCFSCSVSNLGEQRRCLQCAGRNETTSHNSTNGHTAMVF FPSE_04353 MDALKPAVDAVRPAITSITHNLPAPIRDLGVSLIGDTCYKSLLL DVNIEDVDCIKLGVSKALGIGIIAASAVVKVPQIKKLLSSKSAEGVSFLSYALETASY LISLAYNIRNGFPFSTFGETALIVGQNVIISVLVLNYSGRASLAAVFVAGLAAAAATL FAENIVDAQTLGHLQAGAGVLSVASKIPQILTIFQQGTTGQLSAFAVFNYLAGSLSRI FTTLQEVDDKLILYGFISGFALNAILALQMIFYWNAPSEKAKGKRKVSPIEAKPAATS TLSPSASTTATPKKSPTTRRRG FPSE_04354 MAAPPKTEAPPAPGATSSTPPPPPIEYAYMYEKDKSPSKLLDAL LRSISRHIIFEIGDKNVCQLTPDKLAAFYKAVGGDYDSLFEMPHESISYIWQVTGCQH TLQPTHDDFEPPSIPALTPRGFSRWESLEILLGPEEHVPFLQFAVKNWQLKHPETGQE FPPDLPATVFPTQPDVEVDRWHKSCADKLRKEANAREREAFREAAREPTGPGEAGDGP EPKFYTHVRGSAFAGNRARPAPERPLYNHVPGRHAGGRPTRPSPERYDSRADYSQQPS PDVAPHPPHPPRPSDTYLDPNAKRPPPARRHSQPRHYSSDSSDEDLVPPRARRRSEVS PPSPTSRRYSPPTNDRPPPVASNPPPAFRPHRSEMRTDESTRRRSTHSPSLREKITEK VSNILPNGLSNNNSDRNRNAARNPSYNTDTSRGRRSRDRLPPSRLSRSYSDISVESSE GESSDEDPRHNRRAREDRDRERDRERERERIRDRGRQREIDREREEERRERYLRRPEM ERRTSSHADAERRREYPGWDPRSERKRWDKRVPPEERGTSPLATPGRRYAEPAYP FPSE_04355 MKPCASLRLRALRQVAPSTRLSLPASARWQHSRAMSTVVDYHPR TSQPPPPPAGANEAVEKQRADKRNQILREAVTSTQVRHDWTKDEIAAIYYQPVLELAY QASTVHRRWHNPSEVQLCTLMNIKTGGCTEDCSYCAQSTRYQEGTGVPAKRVESVESV LAAARIAKEKGSTRFCMGAAWRDMRGRKNSLKNIKAMVEGVKGMGMEVCVTLGMIDAE QAKELKAAGLTAYNHNVDTSREFYPNVITTRSYDERLQTLSHVRDAGINVCSGGILGL GESSEDRVGLLHTVSTLPSHPESFPVNALVPIKGTPLGDRPMVEFTSMLRTIATARII MPSTIIRIAAGRKTMSEEKQALCFMAGANAIFTGEKMLTTECNGWDEDAAMFGRWGLE PMKSFDKSPQPAPETRVL FPSE_04356 MKGQTVDQLVYECMFPRPKSNDPQNFHMLLQRNLIPEVRQETHA FYGHLDTQEAKYPGLDYTHKTHRIRLSRWPWHRRLFRAFDNIQLTASEIAGLTKWEGT KWAKEKYEKEQGYVIHDTTADGFPDWTDIQHLPRASRSHSAEVGYMGLDDLDNDMEVE SEDELDSVGVELNERLRDGVARREAGDTSAVLDEEWEQWLKNAIESGEISFLNDHVFH ASDTVPAALFPPGLLSTARAGQWDEIPESLHRILRRTLQSEDPNRPQQIPTSIRSQTS ALHHRYLMDSRQGSSSWTRRTFSDLRLPVGDSGPGRTETSNA FPSE_04357 MSDENGKRKAEEEPSSPTPSKRIKQDDSAEPPEKKPEIKRIPFP EKPAVIEERNGEIEFRVVNNDNEREALIILTGLKCIFQKQLPKMPKDYIARLVYDRTH LSIAIVKKPLEVVGGITYRPFKGRRFAEIVFCAISSDQQVKGYGAHLMSHLKDYVKAT SDVMHFLTYADNYAIGYFKKQGFTKEITLDKKVWMGYIKDYEGGTIMQCSMLPRIRYL EMGRMLLKQKECVQAKIRAYSKSHNIHAPPKEWKNGITEINPLDIPAIRASGWSPDMD ELARQPRHGPNYNQLLHLLNDLQNHNSAWPFLVPVNRDDVADYYDVIKEPMDLSTMES KLEADQYLTPEDFIRDAKLVFDNCRKYNNESTPYAKSANKLEKFMWQQIKAIPEWSHL EPEK FPSE_04358 MRHQYYQLPKFTSFPTKPMDSSLHEVWQAAAGSPFFPTVDKDTQ FTLAFALLLVGFFLTGVFALNRTLINVPLLGIPASLTLAWSNQEKTLLGAPIAFLPVF EPFIHAPFPNNHIRNFDMSTEAQPIFRAVATSTRPLYQLLRCINFAPRVHVQITEEGV RFASDHARVMQGVAFLDKSLFSSYTVNLPPQDGDDAPELPNFQLSLSSVLEVLQIFGA VDVATRAHKAEQDPYRSNLRNYRPDAFSNQTLGISGTCTLLYAEEGDPFKITIEESGV KTTASLTTYLPEIPDDIPFDRTDLTFKIIMQSRTLLDSLAEISPTAPLKLTITATKTS PFLSLAGAGDLGSSGVDFARGRDLLETFTIQDRWSQAYKFDFIKNSTEAMRIANKVSL RGDSQGVLSLQFMVDIEGGKRSFLDFRFVPFLQEDGEDDDTDGAE FPSE_04359 MATNLLTQDEAAAAIVSFAFSGVLGSPAGKGVGYALMGTTPDYF TWSAALEEIEGPPVPIDRYLIKIDRRSKEISPPIPIVLSEQDLSEAIQSATGQHLSSW ERFTDGALSISYKVTVHESVDSIYIVQLRHHGNVASMDSLMSYIVRTVGHTLLPVPPV YPIPHEKQRQEKTGMGRQITQLIPGVMCSSVYPNLSHEQKISLLPKVARAFQACWDIP LSEPRLIGEVVADLVNGELNVRIDPDRHYSLGGPFSSVREYLRAYINASLVALEKQQG INEYKTLYLTRIQNFVSNSLHNIPHIVEQTPVVLTHSDMGPHNIIVSSQDSTEIKAII DWEFIASAPFGSLYCILEMFFRKDALNGFGQEYDRSDELRQAFWGTIPKWKLVYESEP AQVFLEWMKFGLFLKPEWRPDNLSAPEAERYWQENVRVVEMMLQKYG FPSE_04360 MPGLIRRLITPQRSQQKTRQQPQQNQNQNQNQNQNRNGGDLSDS CEDLSSCCAKKSTQRRTNTTKSRLPVPKKSSAIPTPVTIQRVPEIHRVANPVPVPALP TPRLRAPSVSSSRFEGRNAALEALTGSHATNRGPAYVFCPVEHGQSHPHSSQSSRYLR PPRSRNDNYGSVNDSSSHHERVSPEASILSLSDHGADVYEAEPTSWQPDQPVYDGQSL GSSSDSVDRLFRETDEAFKALGSALRETQRASQLSNLPPPPSPPGIPTQHKHSKSMPL PAGFQKHSRWRGEESSSISSHRSSPDRRISVVKKPQRKKQSFVGQSFSKAATLTPRWT LSENMTDILTGQRFRRIEADEMLTPDRIEALRRKREAAQQLDDERAEERARERHSIES IRSDASDNSETDVEPFHLDELASRIRARQATENTAVPVEPILVTPELTPDLDSFPLDI LKNKPVVEDSESIRSVHDNSDASPDTSPQLPVKNPARAGTETIDLLPSIPEVLGDVAA KLKPKSPSDEPAADPPKPAIEETDEYYYLKSTPYTLTKPSFRHGPITLAKAEVEKGIK KMDDTLDWTAFQMAILGGAGDLFQDMSVDEDTKQVEEITSWFDTFGFETYGVLVPGDV PEPEPEAEPAHVHAQATPSLRSSSHSTFSSTPSTIDTEVDLPIPVGAEFPSGFWNAPA PGQDLDKAKFFNSTGLKRWVGEGRPKRPTSHSEDEESLPPSPMMPLVVHMSVGEADIP DTVPMGYNLHHDLGDFLKWEAENVYASGFSKSP FPSE_04361 MLRRPATTLHITSEDVASYEDRRAREALVAAQQARRAAAVAAAQ QSQADMEGVHQALQERERDDDLRRAREDRMGVSRRR FPSE_04362 MSRPYQYRTSSKIPRNLQSIGHVLSVNAQHAAHQLRIWAAGPGK RMALRTCERVMRRLQRNLTSRRLLSFPHMLVLFWLVVLLYGERWVFNSKVASCDWDHW EKWPKDAKPHHLVFVADPQIIDPHSYPGRPWPLNPLTVLITDNYLRRGYRAMQSRLHP DSLFFLGDLFDGGREWKTREGKFVDPKWGRGRSKDEKKLVESWHNTYGEDFWLHEYER FGDIFFKHFNDGGEVPGSWQRGRKLVASLPGNHDLGFGAQVQTSVRDRFEAFFGDVNR VDVIGNHTIVSVDSVSLSADTSEYKNKHDLKPIFGPVNEFLDGVKTLKRKAVEEELRH YYDLKGGLRYPHNVEELDRSKPTWGKSAEADKGDGPEFPTILLTHVPLYRAPGTPCGP QREHWPPTTPPKGQKEPVFPDNRNALSIVGGYQYQNVLNELDSIKLVKSIGNIKHVFS GDDHDYCEVVHSDAKENVREITVKSMSMAMGVPTPGFLMVSMFNPVDSKGKPLPGSPE QTIQTHMCLLPNQIHTYMEYIVFGVATLVLLVVRAALVPALSLTPFALEPEKHSSSSV LPVYKDKMDPPEASTYRNTTSSGTSAHHFPSRAAPRHGRSASPVQTSGRWQARRGGRN DKRWGWGSAGGPRIHLDDNLYTTGVQDKRIGGKKALGVVGRELWTTAWRVVWMVLLFF AYLAWKG FPSE_04363 MSTPYWGQLPGPKVAQGHSRRRSESDNYTYNADRRSSLEAAPVR KSNPNRASSQTQGTEALSESTFSPYDSPTTPGFAPQGLAPRPSSYRRDITAGESSKPR YNTRQQQQQQDYDDEPLSPAQDAPRGPPVNYRHPYGNGGPSNTHTAPDPNPQDDDMED DQYQRSSQRTGGQRYDQGQDDFSRRGSSASAYDQLPRRTTGGSSKRQKAYADDRSPLQ RLELTLDSMTKEEKRARVEAAEKRARARQQAMKAGKQPASGPQVQQSQERKPSITYSE RRPSGGGAFFSAGQPSAHRDASQNYSREAPREAQRDTQRDVPQDTYQDIPPQNAPREE TASPFVYQELPPQVTVQRGHSHRRRSSVAQRPADDMTFASGALRQPEEVEPAPMRMEP QPAVPRTVEPASGIPQRNLSFRERAARDNAQLPERSAPMPMPMPQTQPVQPPAQSSGF SLTRSGSNKLRKPPPPELNYPRRVMSERRAPAGPPISKYCHQFDDDYYYDDQDDLLPA RQIPQELPTRQNTREVTQELNDRFTRDKELPPNPPQTTDAPIEFIPTRQQMPMPGDNQ GIKRRVTDPAPRVQYADEDEFIPPPQRTKSIGTRLLGRKDDDGFQAQNQAAVLRKADR ADSFSSESSEGHHVSNMVFKRPEEMVPGDGLYLPPQWLDEWRKGTVGTLSGSYLDIQD GPVAESDAHQAWWEQDKRKSFSAPRNAEAFDGEYDEQTPTRFKPPLFMKCGPLLRYCG IKREKVPNRAGNLAEREMWRGSIMIVTQDSDSSYDIAPTLRLFVQSLDLLPAPPHSIK GDLSPEFIDPIAGHPKVGRRGETLYVRPVEHLEESKDLSRDETDAGLFEQTITPLELP GPDGPADLPGSFANRRKRAGADGEKVQKYKDIRGFRLHAERGCTFWRFNIEVELREGQ QQRIAYRINRGPATGFWVPAKGETMNMMFHSCNGFSSSVKPDELSGPDPMWRDVLNNH QSRPFHLMIGGGDQIYNDCVSKECSLFKEWLNIRNPIHKHTAALTPEMQNELETFYLE RYCMWFSQGLFGLANSQIPMVNMWDDHDIFDGYGSYPHHDMKSPIMSGLGAVAHKYYM LFQHQSIMTELENTEPSWILGNEPGPYINELGRSLFLSVGSKVGLLAVDCRTERTEHD VVSDKSWEKIMNRLYAEVRRGQVEHLLVLLGIPIAYPRLVWLENILTSRLMDPVKALG RTGVLGKALNNIDGGVEVLDDLNDHWTAKSHKQERSVVIEDLQDLAIDKSLRVTILSG DVHLAAVGQFYSNPKLGLAKHKDPRYMPNIISSAIANTPPPDLMADVLNKRNKVHHFD KQTDEDMIPLFQQGVDGKPRNNKHLLPHRNWCAIREWQPGTTPPPTPPGSDGRSSSPP PKGGLLRRLSLSRSKSTSSDTRPDFSRETVRGGSRPPVTRGGGGLFRSLSRRNSTSSE RPPAAKLQRSMSVDQAPEGKKSGFFGFIRRPSQRRAADDGGMNGEWDDPYYDDPDYYE EPLSPRRGDPGPSGMRGGAAYDEYTEGDDSYFMAARPLQRAQTVGSRAMSHSREAVGP MAPMPRPGDFYRTPTGLSTKQKKQADKYSVDLEGGLDITLNVEINPKDPAGITKPYRL LVPKLFYDYENENENDAGVVPEQDPEMAQAGTAEAPEPTGFKRLLSFRKKAKNRPPPE DDDYSEDDDDDIDVYRVR FPSE_04364 MEELRSYKPTRRGLDFKQLNQDFPDERYELFLNNLTVEVFVLAQ MAFLPGGKTGPIRMSPWLIEYPEEFLKHVELVAHPDPRSGKWERLLRNGDERVNLIVA IIFKILDQKIFSPLLFGAEPKHMETLKNSDTELINAEGFERSAVFSHTSRAWLRKKNG MPPFFWQEVDKLCTQTLTMLLPLHEYVKKTAISKPVTTTQLYQSLHDIFAYAGWISVC IRMSPAVVSIDWNIPGEPFSIEPINNAHEAYEASKETAKAHEASMERARQYQMLAARP GSSKPLPRQVESAPRVKITVTPKMVRHKPLPKAVRARGVTSYTIMKPSVVFYEGFKLE QDEQKSYMSLPDYIQRLRDRNCVPQNTAIAIMMVAFTWALVSYTTSGQQAWHMVQQWL QSLR FPSE_04365 MAAVATEAPKMDEQVDLTTIPVDPAGKEDSSDVKDDKPVTVFHD KDNFNVKHPLQNKWTLWFTKPPSGKGDNWNDLLKEVITFDSVEEFWGVYNNVAPVSEL SLKSDYHLFKEGVRPEWEDPQNKHGGKWSYQYKDKRNIDIDRLWLQVMMGAIGETLEN EDDGEVMGVVVNVRKAFFRIGVWTRTIGKSIPGRGEGDVAGGKGRSGEKGKEILLSIG RRFKEVLELPASEQVEFSGHTDSAHSGSTRAKAKHVV FPSE_04366 MSPFLRCIARRRHATAATSASILRSAATSRMLSTLPRLPLFEAV SKHDPDSKVVVHSISGRTFKYGELLGDVSRARGRIAEAAGRQDLNGERIAFLVENSYD YVVTLLAAMAARSIAVPLSPAFPAPELQYILNQSEASLLVSSPKFASKAEEVLATELN TKPAHLELQKHQGGGNHEKVELGDADPGEAGMMLYTSGTTNKPKGVLLPQAVLTAQSR SLTEAWNYSPSDHLLHVLPLHHIHGTVNAILTPLLAGSTIEFMFPFNADAVWKRFSSP FLSNDASTPKEKITFFTVVPTVYSKLLSSHKSLPPDMQEASRKAISPENMRLSISGSA ALPTPIKTAWKDLSHGNVLLERYGMTEVGMALSCGLDFSDRVDASVGWPLPSVEARLV DVDTNDVIKEGDEVDAQGRERSGEIQLRGPTIFREYWRNPSATASEFVEGEDGKGKWF KTGDVAVRRPVPSANAAQSWNHGPLYFIQGRKSADIIKTGGEKVSALEVERELLSLPQ VSEAAVVAVPSGQWGHKVGAVLVLDTDVVKKWSALDMRRALKGRLAGYKIPQVMRLVD QIPRNAMGKINKKQLVKAIFPDDISGDES FPSE_04367 MYVPNGYKYQKRGESGSQGTPPTIHLPSFQQPKWRTEFRPITSQ TSLHLKTKTPPEGALLLANDQPPIYLSVQTAGSGVGWP FPSE_04368 MSSSSTPVEDMIRAKITAAFNPQTLEIYNDSHLHSHHKAMEHTT SGETHFRVVITSDAFNSKMQPARHRMVYALLRDEMAQENGIHALQLRTMTPEEEARQR KKKEAEAAARAAAEADQE FPSE_04369 MSSTSPLPVSRSRAAKIPSDQRKLLEKQDSWAVDLRNQPHGLVN VPYHILEAAKAAHLAQKRKSQGQTPKSKKRSASPVAPVSSKRMRNGDETTPKANSERP PQSSPERLIPWSPSPPRDQPKKANPIQVAVETTTQSQIVHETPETGSTRPGPLQRQRP SVPTFENPPPSESEDDMETRIPDAQSLVNISINQSAVRSNRAVPPLPSTDKPMATPPC AQPSNSTQSSGLDPAVANKASPEKTGRSERGKSRLVFKPIDVDDVGKKKKRNYPEKER LKPTIMPPAVDSSRPASSDSFVPSTYKVPATQESIRESIEGNEDRGEEDEGTDRAEVT IPSTDSQEDTRKPSNRHSVSALKSKSLPNVRSAANQARPSTRQAQQQQAQQRRIQQQT TPTPMGPPKRITSTNSHKPLVNHEVARQPPSAVPSSIAPGAPLEPFETFVQHYPTYAS GDGGRVAAGTKLHFITACVYLNYLRSKKLLRDYMYDEFIRAFPRYYKEYVDGTRRPAM VAIKWFNKQTDPPVFTKHLVHRGNLSHIMRMYPDEFIEVNEKLLKKKDGDELSIYTSS ENEESSDEQDVSSPVLKRPGKKAPSPSPPVASYESAMDITLSEILPAHVSSSMRRPSV AAGKETEHEMRAEARTKVKTEANATAKANAREKANTEARAKVRNDRTEPSTTPVIQDS QDYVTSAARALTNSARHNPGASSPFTQNPRTINATTIIYTRSSIINKEGSSAVPIFGK ACLEESRQFGQ FPSE_04370 MSPPRAGSIASESPVRTRRDSISSITTGQLAHALDKIHTSASQS DSLTTFNDFAPPPIAVPTSDGKGLTGDLVQQGFSGLYSRLREAVGGGPKSPLEPTYGE RSEPSSKRTSITANHGSKVSISSLSRAETGASISTTSSQAIPNDGASTQASGGTIEPR PQAQSSKPSSISLMSNQKASSTNRQSFSKKAPSSIAADPTIAPITVHRDPSNTTLRTE DSGGVGSSRKSVSSRVDLQAHLTTAESSASLFDAKDGKLPPRSKRDDTSSIDESLKSP TSPRSAHHHRTPSLQTLQTRSLRSPSVTSSLLSPDSVRRKPAVIDRISRSKSPGDQNS RESSLDRGTAEPSHVSTSAHDSVCHDSFSHNPKPQKMASGDFRIPGTVTSNEEASEQV NAQLDRMRKQVLSKEFWMKDDTVKECFLCQTPFSAFRRKHHCRTCGCIFDSKCTTVIS GERFGVQGSLRVCKRCLEVITRRFDGSGSDDSGDEQSFMPRFFGSNHAKSPSNASQSK PRDNESGVASEGSEDSRTVSRPVTTPMMAIPATRRLGESRTAAILEIDAPQLSRPGSS RSLKSLSARPHSSAGHKRHHSKHSGFLNRFKPAPEERAPFRRVVDDENAKKPKFPAFH DDNIIDPELADYMSDESSEDEQMSLFATMTGDLQPGSLGDDKSELTPYVNANRKYRHR AGEKSISGMSFASRSGIDDLPGSLGAYRRPPRRRNTSNVGGSIHYLPSPRPKSGVYKG PSQSSEMLFSLDTPHHSASQITRSDSLQHRKAPKVELNSSSLRHVDKLLHQLLDDAEI PNPPAWQKALVPILLRATDDVDPDVAKGEDMDIRHYVKLKRIPGGKPGDTAYISGVVF TKNLALKSMPRRITNPRVMLVTFPIEYQRHQQHFMSLQPVIEQEKEFLRVVVQRITNL RPQVLLAEKSISGVALQYLSDSNISVAYNVKHTVIEAVSRCAETDIISSLDMLALPVQ IGRCSNFEVRTFVNNDYPGRKKSYIFLSGCTPELGCTIALRGANSTVLSNVKHIMEFM VYVVYNLKLESSLLRDESIELPEGGESMANSVQLPVESARSQSVSSTDHSRDGPAVVV NHPASESEQPSQTTVDSSSITEADDAGSLEQSGQLTEERARSKSLPLQEQPEPAVQDQ TSQVPDDIPMPTYYSDMVAKYETKILSASPYVKFTQPYLLMKAREQERRLLYLRRLRD HDHLTENTNPEKTDPEKADPEKADPPRFQLIKPEMVEAIGHKAPKQVTEILRAVHDAE YDKALYNYQTQTRQWETYIQGNLDLFDPYSHQNIVVLYSVICTETKIPCTEPSLVAIN FYDEQHIDTGMDPDCTLGQYIEDLAYTMNQVCTSNGCERRMLEHHRTYVHDQSRITVF VENLPANSPMADLDGITMWTYCKICKKDTEERTMSEATFKYSFGKYLELLFWGRGLKM KDMHDCPHDHHRDHVRYFSLQGARIRIHWDPIDLLEIVVPRARITWKVTNDLKLKNEI FARMEERWAKFMTSVKSRLMCIRIDSVLPEKADLCKCEVDRLTAKAKEDQPLIVKRLQ DIYVKSKYYEVVPFNSIVREMLEKAGEWDQAFTKFEADFLSDKDMRQLTIMQLKKMFT DNESKESLASNEGTPSTVDSEERPSQTFTEEEGKSTQPTDYTDNSMEASVTSSKPIDE KDVPDDDDDDGKVEIPAEGAIERVEALDLACTPLTASNPPPEYFQPGDVLEDLDDQET PLAIDPEQTPKAPSKPFDGAFTNPAEPIPSPMDALPSPVPSLAEKVDQVRREQGPSSI PTPTGERAPSRKTGQAVSPPMVRATSHPTRALARTQSWKGLATKESKTNTSESTGSDS TTAEGSIRVDKKLSDRLGLRNLKDRGKATASGIPRFVHKKRETKVSTLAKHFEQLSRE FEKERIRDRKKRAASMRQPRAMLPRTTTKAIVEVYDDVNEAFEEPSPPADSVAEREAS KRAGSTASRMSESRQRTDPVSEPLTPAEPPASIEEQQHGNRRQQHEDDEQHQDLQDKE QEEKDEKMEKEDNDDHTIANTSQTFSDDEERAADLENSVTEEYLHDIKEIADSVDASE IPLELPKHQKTSLMKYLTNFWAERGASGWHQLEYPVNPSDHIFVDSDIIVREDEPSSV IALALNSEDYKGKLRNIRIEAQENIQRESDSGMEGEPKSLPSESIDWVSETDLEKSLL RVTGTHLKYQFREGTATMTCKIFYAEQFDALRRKCGVAERIVESLSRCLKWDSKGGKT KSVFLKTLDDRLILKSLSPIETSAFLRFAPGYFNIMAEALFHDLPSVIAKMLGFFQVF IKNPVTGTDIKLDLLITENLFYDRTATRIFDLKGSMRNRKIQSTGEQNEVLLDENMVE YIYESPLFAREHSKKLLRASVWNDTLFLARQNVMDYSLMIAVDEARKELVVGIIDCIR TYTWDKKLESWIKDRGFAGGGRNRPTVTSPKEYKSRFREAMARYILQAPNCWHLFNNP QYPHYYGRARFEEPEMLR FPSE_04371 MSIELNWETLTSGPDGDALAERIREFVHDKFQTVPLPKFIRSVT VHGFDFGTIPPTLELKDITDPLPDFYEEDLDDDEEDSEDEPSPPPPPPEPARMRPGPA PWGGSTRRGGGLRSDVLRNEMLGHDLGSPFLGTSTPGILGGSGLGYFQSHLGTGTHTP LAAVASAHHTSWMSVPGTPAREWGTPSHTRNPSQSSLTSVDNFKASLDPLQSLREKGS VSTLAPTSVEASRPPTRDTHLGGSAIVDDDEEEEGEEEAGRRPREPRVEDVQAVFRIR YNGDIRLNLTAEILLDYPMPSFVGIPLKLNITGLTFDGVGVLAHIRKRVHFCFLGPDD ALAAVGPDDTEEDSKGTTGAAKNEHHKPRFGGLLQEIRVESEIGERVGGKQSLKNVGK VERFVLEQVRRIFESEFVYPSFWTFLV FPSE_04372 MIPRQGYAPTPHSYVPNTSLSATINLDEEVKLTNTRAERDLQES LAELFSIIITLDELEKAFLKDAVPEAEYTEICERSLRQYKALLADETIAREFGDLEEF KARWDLEAPRATERIRVGMPSTTIDRAPSAPTPAPTAAANNTSGVLILEATQEFITFL DAVKLGLLSKDQLHPLLSDVIQSVNRVTDKDFENRGKIVQWLITLNQMRATDELSEEQ ARELELDIQQAYQGFRRTLT FPSE_08767 MAPGKRNLKATKKFEKKHLTGTLEKRKAGAKIKQRKQIQDKQKL RRSKDAESIRNDKDAEAKKPTAKGKKINDMSVDEFFAGGFDEIIDDKEKLGGGKRKKD GQAVEDNEDDSMDEQPLAAGDSDDDIEEADDLGMSKQTMDELAEKDPEFYKFLKENDP EALDFDDNADLAEVDALSGDDEDEDEDEQEDEQPKKKQKKSKKAEAETEDVAVAQGNE LTRELVASWKKAMTEKKSLRAARQVVLAFRCAAHLNEDDDEESQQRYAINSPEVFNDI LLLALKEIPTVMNHHLPVKESASGRVHVQTESRKFHTLSLLLKTYTSSLMHLLSTLSD DKTLKLTLSSITPILPYLLSFKKLVKALAKSVVNFWAQPASSETTKITAFLVLRRLVV IGDKGIRETVLKAVYQGLIQGCRVTNANTIQSINLMKNSAAELWGIDQAVGYTTAFSF IRQLAIHLRNSIVHNKNDAFRIVYNWQYTHSLDFWSCVLAEHCSPMKEAESGKESQLK LLIYPLVQVTFGAMRLIPTAVYFPFRFHLVRSLLRISRATGTYIPLASPLLEVLTSTE MKKAPKAASLKPFDFATSYKAPKSYLRTRVLQDGIGEQLAELLSEYFMLWATSIAFPE LALPVIIQLKRWLKQARNKTSGNKNAKLAGQLVLLVQKLEANAKFIEEKRSKVDFAPK DRAQVDAFLRDFDASKTPLGAFVVGQRKARAERAKLLEEARREDDRKRREDEKAGMED EVMESEVEDDDEDDDEEMDDGAADSDDEDDEE FPSE_08768 MEVDTANRYRSRILREMKANRDNPFNSPPSSTGSHGTVSPTLSS VFSDPDGESTRRLNEDIARVTAPRNPPINWEAAHRKWPEFFGAPKTREVPVYDDDTDT RPMSAESKENKPPAIKFQIDDITQNTWQGSARTRSEMQPRVDNESDLSSILSKSPARH SYHSWNKNKHNPSPLSKVHNRVSSESMAGEQQRQESLSDALDRLRRGARSPDLEDQDQ QKSSPNMSSAKSSLTAVPPSPVSIASPAHNESNGRSFFMPDISHLEEFVDGTLRFSGS MKNGVPIFVKNGRVHDRLAKPSLTTHAEVDEVEVPEDEEKIFVSMDMIRDEIISLQEH YDKVHEYAENLQQQVERLEAQLKSRKSFDMDYDSSRANDNLVAQKNRLELEISTLQSR LEQASRKNSLNEIENDSLVQERDRAVRKLQEACEDINKLTRKLSTKQKELETTHKQLE STDQIRSENDTLRRDLMSIKHGRDSLEIENKTLSAANETLRKDYEALKEEIESLRSDN NGVRHGHQSLFSENRSLRTTNKALVDENEDLRETLEGVQHELGAAKEEIENLQQELQN ASQDKSSLGEDNASLVRHNEKYFEENKLLRRQNSGLDRTTNDLHAKNLKLKEEVVFLK QQLESYRPVPKEDFSARLDDETEENMTSAFFIPDITMNSNTDNVDTAENVQATETKEI TGQTDDSVRLPTIPDVTEEETRTHTKRDNTAQSETRQSQNKSKSSKSGTQQKVAFSIP GKTSKKSSSNVANQGSKRRTTSDSKRRSSLKGIATYTYDMDTLEDNDETTGAQSVENT TQDNDYSTQLDVIPLPRKETKGTEQKQSNTKDSTVQSHKSQHSRSHSRSSRKQATTDL TADNIKSVDKDTCPVLSTDAKRVLDDLCGHECKNCTVCSRITSHRGIFSSADIVAGKK RVTIRRPVPVTDRDLLVEDHTMRPSQHPGHALAVVIKGLEDESHHLQLELTRIQAKYS GRDKALGRRDRVSLAESIRTLLKQLEAKNDQIYSLYDVLEGQKAAGQAMSEEEIELTV LNITGMTVRDVTSHSEQLTWEGIPEL FPSE_08769 MLAIIQPISAAPSRESALQGYCPIIYTEQPANCRPIPETFLTFS PKRSDPSPAETQILEDALSALSTLQDTFFEPDYATWPSAIDWTAAVTGTVVAGMLATL SKAIDTVDLAGVDDWRVKENIIATFYAQLVGSYFGQDVLSLRGQAYDDILWVVLGWIE AIKFVNTHADLHYPKIKPQGVTPDSLRGVIDAMPWQGYNWFSSFAHRSRIFWNLATHG WETKLCNGGMVWNPRLNPYKNAITNELWISASISMYEHFPGDNFTAPWLNNVAFPDND PAHLEAAIEGYKWLIDVNMTNSRGLFVDGYHIDSTKPGNTKCDVRDEMVYTYNQGVLL TGQRGLWSVSGSASYLEDGHRLIQSVIETTGWDLKGGKPADDTSRLGPGQLPPWRGLG RGGILEEQCDASSTCSQDGQAFKGIFFHHFTAFCSPLEPLRGRKGRIVDPHGFKKVKS IHAKACTSYLGWVKHNALAALETRDDAGLFGMWWGAGIFDASVTLDNDGIKHDAENST DYRNRGTPAGETWGGRHKWLPGTGGLSPLESKPDQQVMDAAGDRLLPRTRGVSAREVK DPNARGRGRTMETQIAGHRDNSGCSPSISNVSASLQGESELFDDADWLDGFRQPLQKY ARMIWVCHSKYVRRGLRIRIATLYLE FPSE_08770 MGLIGLAIRTIFNSQDNGARSGGCCSRRNQAQQQLYTGSPNPEY MNYTGRSSCHQRKMERRVQRQLQRAERTQLRAEQQSDRNFQRAKRRQAGVMLVKPGAQ RVGLVGQPQVGSVSDTRSFRDEPKEYSYELDAINQQHIAKDAPPSYDEVVRK FPSE_08771 MPSADPIVAVPANGAVNGTANGTNGDSRPQTPMTGMALTEYSAN PSTPSAEKQARLKEIVPEEYLLPTGYPDYLRLIAGATSRVYEACKVTPLTHAINLSNR LECNVLLKREDEQPVFSFKLRGAYNKMAHLDPKKSWKGVVCCSAGNHAQGVAYSARKL KIPATIVMPEATPSIKHLNVARLGGHVVLHGADFDAAKEECARREVQDGLINIPPFDD PYVIAGQGTIGNELFGQVNMAKVEAIFCCVGGGGLIAGIGLYVKRMAPHVKIIGVEAQ DANAMAQSLKKGERVLLKEVGLFADGAAVKIPGEETFRICKEVIDDVIEVSTDEICAA IKDMYDDTRSGLEPAGALSIAGLKKYVSQNPSDDSKRNLIAVTSGANMNFDRLRFVAE RATMGEGKEALLSVQIPERPGAFSELINNIMPHGVTEFSYRYSTDEVANILIGVSLTA PAHQRSEELRSLIDRIQSKNMTVTDLSNDELAKSHIRYLVGGRSGVPNERLYMFTFPE RPGALEKFLVTLRPKFNISLFQYRNYGGDVGKIVTGILCPDEEVTELHNFLRKIGYPY EDCTESPVFKTFLRS FPSE_08772 MKSAKAPATESSSHRRSQPVRQARTNTARSTANMGRSGAGRDSI GGADQPIDIFPAITHFADAITALPKELVRHFTLLKEVDAKLFIPEDQLFRLVEAATNA PVTEARPNNETSSVAPGSAPMSAQNSSTGNVLNNSAQSVLSADESHRDLVFDPSNLPR RHLFRQTALKIQEMLVSLEEKNHVISTANEALEAQLTRIEDVWPHLENEFSDEAKWGS TTHWAYPENRFSKASQVDRTRRDGAAAISAAAQALADEAAARSDARKQAVQAKKASRN HHNNINTNNHNHESEGDDHEGKHKPEGPKKTAKSRKSAAAAAAAAETANVGLGITTAT NNNGNPPQKRRKVEKPTNGATTTADRAMSAVFGNAAPKAKTTSPRATPAPEAAPKKRK ALPSSSGQVKKSRNGVAGLSTSANSSPVITELPEPKLPPVGASPVPVPTPNPAPPTRS RQNSIQNANAENNKARPTSAPNKPNGIAVNTVNAVPPPNSSLRNGATAEPKTPKETIV SIKTENPKKETERTETIPAPPTTMVNTITNATPIPPPISTKKDAKKPDENERKNDRKS ESLPPAAPTPTVTTKSGRASKPSTPSLATFQEAAPPRSRSARNNDGVGTGRKNQKKAG PTIHATVAQLADEDTNSSMQGDEEEADIDADEPTYCYCNGVSYGEMVACDADECPREW FHLECVGLKVAPTSKAKWYCEDCKERLKMAGKKSNGR FPSE_08773 MADAISSFIRRVIVESPPGEWAMRQLRDLLIGALKQGPIPQHVS FEMDGNRRYARNHRMEALEGHHRGFEALARIMEICYKSGVKVVTVYAFSIENFNRPAR EVEGLMELAKTKLEQLTKYGHILDRYGARVRVLGQREMIREDVLQVVDKAVARTRHNN KAVLNICFPYTSRAEITTAVKSTVQEFLAPSPPRSTPFSPSRIRRKILSRQLDDCEGL PMIPDTVAEETEPSSGDDIKKDSDGDSSSPTLLPDSPPPRLRARNSATSLSGLPNPET ITAETLDKHMYTANDPPLDIFVRTSGVERLSDFMLWQCHQDTQIFFIDTLWPDFDLKD FIWILLEWQWRQKQKDRDDTTVRPTSVSA FPSE_08774 MVVHDGHEYLTEEERRLKEDRDRTKYWKKWVREDYSHDGDAWSH FPHEHARSRAFRWGEDGIAGVCDTHGYQNIGFSFWNEEDDFLKERLFGLSNPQGNHGE SVKEAHFHVDNTPHSYMKFLYKYPQKKFPYKDLIDENARRGKEDREYQITDTDAFDED RYWDIFIETAKDTDDPDEMLFRVTAWNRGPDPAPLHIIPQVWFRNTWSWGREPEEKKP SIKYADETFAKSNHWSLGDRHFLCSPSPGVGSSGEDVMPKFMFTENETNFKALYEQEN KQPYVKDAFHRYIVDGEKDAINPAKTGTKCAAWFNFNEDGGVNPGECAVVRFRFTKRD DGYLDEEEFDDLIELRKDEADEFYYRLSPLPMADDLRNIQRQAFSGMMWTKQHYHFIW DHWANGDPTMPPPPASRKDIRNSAWKHMHCDDILSMPDSWEYPFFAAWDSAFHCIPLA MIDPDFAKKQLDLFTREWYCHPNGQLPAYEWNFGDVNPPVHAWATFRTFKIERKMYGR QDIDFLERVFQKLLLNFTWWVNRKDADGKNVFEGGFLGLDNIGLFNRSEPLPTGGVLE QADSTGWMAFYCLSMLNIALELAKHRRIYEDIASKFFEHFIFISDAMTFRTGHKDEKS LWNEEDGFYYDAISWGGPWIQQLPVRSLVGLIPLYATITLEPELINRLPSFKKRVDWF IENRCDLAERNMASIRKRGKGNRILLSIVSKDRLEKILKRMLDEDEFFSDHGIRSLSK FHKENPFSMDVNGQKFCVGYVPGDSDSGLFGGNSNWRGPIWLCVNFLLVESLQRFFLF YGPDFQVECPTGSGDYMHLGKVAEEIQHRLQHLFARTDDGRRSINGGDDRLDFDEHWK DYLWFHEFFDGDDGRGLGATHQCGWTGLIARMIHDTGVNCRLPHTPRTPSIAMGHYFD DVFHRNATGGGPNGMPRIRRSSTARSIGARSDFEDDTHSVTGSVHHDEERARERSEAD AHMHSYVSDQLERYKDETKSGNYAHDDEFETKA FPSE_08775 MFSRQVLRAARVAAPQRALALRAAPVRSFAAAASSDVKSPVSVF GVDGTYASALYTAAVKTSSVDAAADAVIKLGALIEKDPKLVAVLRTPTLADADKKAIV DELVKQINTKDETVKNFLSTLAENNRLGLIPGVVDKFSTIISAARGEVELTVTSAQAL DKRTLSRLETAVAKSSYVGQGKKLKVTNEVNPDIVGGLVVEVGDRTIDLSVSSRIAKM NKLLTDTL FPSE_08776 MDSPYSPYTATMFQHLTPKSVSTMHQTNTTIIPAAISTKPLPLS DELSFADLEPLLNSNQRTRNQFRPRVGKGGSASYQLRQYAEVTLGGGSLRKVVKLPEG EDENEWLAVNMVDFYNQINLLYGAITEFCSPISCPEMKATDEFEYLWQDNENFKRPTK MPAPAYIEQLMTWVQANIDNEQVLPSKIGVPFPKSFPALVRQIFKRMYRVYAHIYCHH YPVIRELGLEPHLNTSFKQYVLFVDEHSLASGRDYWGPLGDLVDSMLKSD FPSE_08777 MTTNAFEAQGVRVAIEGCGHGTLDAIYASVEESCKQRGWDGVDV LIIGGDFQSVRNAEDLSIMSCPVKYRHLGDFPKYYSGERKAPYLTIFIAGNHEASSHL WELYYGGWVAPNIYYMGAANILRFGPLRIAGLSGIWKGFDYRKPHHERLPFSGGDVKS WYHVREIDVRKLLQVQTQVDVGLSHDWPRAVELHGDHEWLFRKKPDFRNESRDGTLGS VAAEYVMDRLRPPHWFSAHMHVKFAAIKTYSEAQPEVEETKQEPPPSAAPVPATENNP DEIDLDMDDEDEDTKPNPDPEAKKSEPEVNEAKEASNEVSDELRAQLPTSFARPQPKK TPGQPVPPGITNKEVRFLALDKCLPGRHFLQLCDLQPFNPETSSEYPPAQESPRWRLQ YDPEWLAITRVFHDSLVIGDSNAQSPPDLGEEHYQPLIKKEREWVEDNIVKAGKLDVP YNFEITAPPHVPGGPEIVNEQPSEYTNPQTSKFCEIMELSNIWDATEEERRQRKAQGP PKTDQRFTGGGRGGGRGRGGRGGGRGRGRGRGRGGRW FPSE_08778 MASKYITLVSSDGFEFVVLRDAAMVSPIIKGMLDVRSQFAEAKE ARCVFQEMSAMVLDKVVEYFHYWYRYRNSEDVPDMDIPVELCLELLAAADYLGLDQAN MSMK FPSE_08779 MASSQSHRTSYFEPNEQFRRQERTRSSEGTVSTTMSSSTGRESA ATHVTDAPTFSKKIVVVGDGGCGKTCLLISYSQGYFPEKYVPTVFENYITYPIHSATG KTVELALWDTAGQEEYDRLRPLSYPETDLIFVCFAIDCPNSLDNVLDKWYPEVLHFCP YTPLVLVGLKSDLRHKKACIDMLKTQGLTPVTTEQGMGLAKKMNAQYMECSSKEMRGV DEIFEQAINTVVANDRKTIEAAAATGSSKESKSSSTPGVGQIKRKKRRCPIL FPSE_08780 MFRQAIATSSRALRATPKVTVPRPFVQSQFQAAPAFTLRSAQPA VSRWYSDAKEAESKPAEEAKSETKSDEKPAENDPLTELKKTLEAKETEVKDWKDKCLR TVADFRNLQERTTREVKSAKDFAIQKFAKDLVDSVDNLDRALGMVPQEKLNAKDRPEG IEDLANLYEGLKMTEDILMNTLKKHGLERLSPEGEKFNPNEQEATFMTPQPDKEDGTV FFVQQKGFKLNGRVLRAAKVGVVKNK FPSE_08781 MAAAESARVQEAQKLAKSDPRKAEAIYKDIISKAPSTTSDAATR EYETALVSLGEIYRDEKKTQELVNLVKESRTVFSSFAKAKSAKLVRQLLDLIKEIPDS TDIEISVTKDCIEWATTERRAFQRQDLEVRLVALQMAKQSYYEALGLINNLLRELKRL DDKLRLVEVQLLESRVYHALGNIPKARAALTSARTSAASVYTPPMLQANLDMQSGMLH AEDKDFNTAFSYFIEALDGYHSQDESTRAQAALQYMLLCKIMLNLVDDVNNLMASKQA LKYAGKNLEAMKAIARAHSNRSLEEYERALSSYRYELGSDTFIRNHLRRLYDAMLEQN LIKVIEPFSRVEIDHIANMVGLDTQQVERKLSQMILDKVIIGVLDQGAGCLIIFDETH RDESYDAALATIEKLSSVVDVLYTNQASMLE FPSE_08782 MRKRKSLAADSDSFTVRWQSVVDMNTPSADIMSSPDPLNDTVEQ PPRSQSRPLSAVPPSTTRRIVRSSQRSSRFLSTGKGTSPRRQTFELEVGDNQAPQRLL VTVETEDQSMSVGPSSARRKLFQDSPSLPTARRREMTTTTTTVPLKKAIEEQAQDPNA TPRKRGRPRKTNGTPLPSAGIKRKGTPIAGSPRTRRRTSKDVDTTETIAQPTPGTKRR GRPPKNRSIDLGNTQTPGKAPILESAQLDDASLPDELADAGNVSELPPIRADDSQADN DVTLVITPSEAEANQLRANRTANNSAPAQSEPDSDIWMATLDDEPTPRPKTKAAPRPS SPEHGRDGGSSDFGDYGYGPAGSDVSSVDEPRSDTRPEADDTVAAGEDFSMIFMDSLP SLQASLRSSTQQQTENDIGEETSMIINNTLESLRQSLQDRDRPKKDQETARQEDKIEA PKETAPEEPQQDFRQSTIRPPQKFSPSRALASRWWQSPRRMGSSPLRHQVLLKSNVPD NPETTEYRGSPLASHRHDASHLEKEDSNLYDDSFSEIPDAVLKAATLRRPRASAKKLR VVEKEDIQMEEAQPIQLEDELETQEEAPVEQDVQEEQQDEANQPAASNTSVASRSDKG RLPTPDDTSSNFEVESDNNQKTRAGSRNPTRLNSSRGSSLKNPSPEPVIQVQTLPESA DEIVNDVVTEKRKRDDELLIDFDATAQEPDNHSDAGHHFLDEPDDHSDVDEQVFEQTV IGDPVEEDEEEEEEPEVVQELPKTAKPRQPRLSLENEVQPEVTPMNQLSSPIQEQQSL PELMSERARRPTLSPIVRAGRALQSVTSDPPSPEAHDRHLRSPFRSSATRDLGPRESQ IMRRTSASPGRSRASNKAAQIPQSNEAYEDPFGTNTRHTGQPSFMEALERSSRTSSPR HRRTASRDSAASSTHFQAPSEGGMSWVQNEGPISPNLRGDVPLEAFARSTVRRADPSA PRGQVNEVMDVADDETEDAGDDIDLWEFEAQRSSPRTTRQQPAKKKPESPYRRRDKIP SPWGKQAARETQQDGSIDQRTMSEIDETEDRQTDAMEIATEDPPRAEEHSRLNKKQAA KRPKQAPATAKANRFDLSAFFSSPGVLPGRLADKLSPFKMSVSGARPAEPEPEPETTQ TLPTSSMFPQLPQQELAPRGKPKLGFFSPARPVQPAAMREPSEQSEEETNSQSESRSN QSPSDQGSEESSVHEEHSMAVEDISQQPDERERSEEHKQEDPDEEGTEDDVEEFQPSE MPSVPQKANFSPERRRPNQSFFKASTRNAVAEPEPEPEPEPVHTTGEPTPPRMQLTHA DIHRWQQETSNASEDSPEPSPAKPAPRPAPRPLLRPLPPRNASPIKSSLRSPLKPHTP GRVVEFTSSVLSPIEQAKVRHQRRLSNSSAASQSSNGPQRPRIRPPPGQTTNKENPTT TKVPASNAGPPKKQPRPAPLSQTVWTRKHWLVLDDLLQERRQGPFTIYYERCSDKYLG KTVASQGEAMTLERWHLDCVDAFKAQVGGWDEGALAKRLFALILGEQRRSQEASSSAG PRRVMFH FPSE_08783 MSDNIDKETNPEIDSPVTKVEITPTKIEIEKAPEVPAIPQNDNV AHALAGAGGGLLSMILTYPLITLSTRAQVESKKAESKFSEAVGNIIAREGISGLYSGI NSALFGISITNFVYYYWYEWTRGFFEKAAAKAGRAGSKLTTVESMIAGAIAGSATVII TNPIWVVNTRVTTRQQEKKKDVEAGESSQPAKAPSTIGTLLLLLKNEGPQALFAGVIP ALVLVINPILQYTLFEQLKNTVEKRRKVTPAVAFFLGALGKLFATAITYPYITVKSQM HVQSGQKEGSLAALSRIVRESGYSGLYRGIGPKITQSVLTAALLFAFKDVLYEQTVRL RMAQAARRRVA FPSE_08784 MDGSAAIPDDDIARLSLNYQYEPPPPPPPDIMTAGIVAVDITDK FTQAVNTLAPGDLVKDDSFTLFDSVAALEIMDPKMDSGCVKSPDELEELYDVSRPLLP EEVLGIIDQLLCHEMAWHQGYPLSQTLFTSIYAEALLTPTPQTVQDARFVRNATSESS GNSNMLEILRAYCLGLLKACGYVNERIRSEHYYEEEDFVTNTYHRTLLAEIQPEHIQH AIQEARSLLITLGDEISHELRQALDQRLQLRSYFLKATECPKYMREPDVARKPWLDCL NLLPGIKATHDLGQPVDDAFSAKLQRKLASTMPPRPIVQLKFEDAFEHLTRLFSDGAE LIDVLNYTDSQCLQNFVYYFQAKKPQPLVFVRTLLQTFLFNEMEVLGSMSIRQILDDD FSIVTLPASFQLDRNNDEIEVPQDPRFIVAEQMELFRKRAAQPFLDIFRTYCQNRCRV RRTLCHVIRDWENLQVDAEELDQRIQVRLSEEPMRRLTGTNSAPVETWSLPLSSWTYL YKIRQMETIVQLGFELEVYQPDELAGMYWYLNYLAKWRLQHAERIKSFIVRKVEESRA SPNPRDPTVDRQLERSLAFTRLTLLDAAVTWELSDALSCVYTILLRLKLIKLPPRPYS NDELRFDLRMRPFAVIGLPPLPNFQEFTEGTSQPESPTVDILNYAERALKGAKRGLEA LSKLSARDAFSVGSHDKWVTSKKNALKACIATGLAITTLQKALERGADDLKIKVEVPT PDKCYHDWWIVPRVVPT FPSE_08785 MPAAWRAAGLTYNRYLAIAARVVRRSLKDDKRIVAERRGEMDLR FSKWENGKQGEMQNLAKANAAIAAENAA FPSE_08786 MSFIPTRRRRPQEFTLPNGKKVIASLPEDIDELREKHGRRSDVQ VEIVIHGSPEHHNYLRETRDHHEGRRHHFRQKHGPAFDEWEDMQAQLDLVNSHLERLS TNTSNLNANFDKFGYGAELRTYDDDEPAAGVDSSNMSTSDTLSIGSSGSQSRLGETIK LFKKPVVKQWFHKGLLWRASENTEIMAIELFFDLLYVGIIHVNGEHVWAEPTGKELLR FAITFIMSWKIWSDITLILSWFETDDVFTRLEILFEIACLLGFSTNMTYAFHEGEHNT YKMLISFYVAARFRGITHFLYTAYLLPMVRGVMFCQAINVIVPAAIWIASIHVEMPSR LGLIWVAIALDMCGQSILTGLFQWARTTSQPKRFAKYLSGIFEFFPAVNIEHRVERTN AFVSLVFGYSVMGPMFQSYGGYTVDVFLGKAVLGLCQAFVFNWIYFDVDGSNINVHAI RRAVYSSSIWHYAHLPFIMGYILSSAGLSKLVLAADTPGANPEHLTEHYIERTDPEIA DGIRYFYCHGLAIALFFMGVISACHDHRHPATRRLSKKTRLANRALVCLILFCLPLAK GLNSLNLVSITLGLTVWVLLLELFGKSCRSDPFIGEKKGCSVRYKCKCSKKDLENANL DEKPKTATAEVLELGPREKTAVADVQD FPSE_08787 MSTEFETMYHSKDHLGSPSSILYPDYEAIEATELSYISQASSST NSLPVYTEIYNKGQSAHLYTTVADFYPTKQFQIQASGIPLIKLPVPPRPDPIYTFNVS PTGEIEEAEYVSIRPARKSGSCFLARADDRAQKPLCTTTYRYGPGNPPKIRLDTETSQ GRQAEDIEISCKGIMTRSVVMRTHLGTFEWRYSSRAERRAFMGEKADSLLILEQVTKV AVKRGKQEERRRKVGHFVRNSGVRTPGSNRSTAGNGGRLMLDLQEWVDRKEECAEMEI LAVASCISMLKKEVDRRRVHQTMAMMGGGS FPSE_08788 MDMPQGFSPGMLSFPDQDFDYSAFLQADDITFGESAQSQLPSTS DSSDKATPPSGSSSEVAVQRPSAQKQRLERRGHTKSRRGCYNCKRRRIKCQETHPACG HCTKTGLKCEYPSTPQITHQPHHQVPLFSLQDMRFFQHFLTQCYPHHPLKQEDIWTHE IPCIAHNNEYLMHAILGFSASELMRDDPSILSSAMNHRIKAIRAIKKRLAETNKSSMN YEEANAMVATCYALTFQSVSLDDGLAEYLTFIRGIMIVGMQMMFRGIKPIFENMFEQE QDALLEPLMQGLPLIPKGFTDSAFEALTNLKPLCTEEVELEYHQHLMTMVEKLYVNSW DAYKAYTTQYGWWMLLPHDTFQALIDPDNQVMLLLHTHWVAINEIITPISGQERNVSN KYPPDQREGKPDLDPGFSRWLKYLNQSIDLEHQIYNQWPMWVEEKLDNDVTFFGRVA FPSE_08789 MIANKLTIVTAAAALLEPALALGHRHGHHQHKRGDTVVATIDGQ VVSWENNYYGPGGAAPAATQVASKPEAPAAPAPAPAQPTTIIKVAKPSQAAKAAYEAP KAPKAKEAAAPKKVEQVKQVKAASAPKKTKPSSGGSSGSAGLSHKRGVCYNNVDLANT FYNDCANCGWGYNWDSESGGLDSGLTFIPTLWSDDAVHLDRFASNCAKSLANGAKAIF SFNEPDNGGQAHMTPEAAAAAHIKHLNPYAGKALIGAPSISNSGLPMEGREWLSAFVE ACGDKCHFDFCNIHWYSEVEYGETLFEHIEKSSEICGGKPIWLTEFAPKGSDDAIASW LEETIPRLEALDTLDAYSYFKVETGMLMTSETEMSKYGSVYASA FPSE_08790 MAPQSSLMPREAHVPPKPVPIGGDTMSVIVSLASVSVLSIFLFQ RITAVRSWARLPFVAWLVLIIYADSYGFVFTSAILTQVFGLNTSFNICHGAIILCLVC YVTTKILIYVFLVEKAHVIRSSTTRRRNSGLYLFNIITLLVGYGVVVVMNFVFRIARI VNGECFIGMEKISMIPLIAFDAVVNVYLTILFLIPLKNLYSFKNLPKTGANSRLRSIA MRTFVGACCTLTSSIVNLSVLMVLNGEPGWVCLMCCNSDVLFSAVVVQWVTSRDNAGS SSQVNSAALDNSYVGRQSSAAHQMSSMRASKAPNNSHDMDDEANLVSSGAVAFSSDNG SDVTKVSSGVVVTTTIHRQIKPTPGREGSLLDDDIETIGESDRDFPLRPAVYSPDKMD ASEPTQTHIQGGRVQHQRTKTLSFSRPMN FPSE_07695 MALFLSILACTFTTTVYADDNDISTWAETEVPDWATANAMQLSL SASGLQFAVIPLTPNLGLNDTTGRVIKIVDFDGDMIATDSSNYNKINKEDDIAYLSCD EPKDNSFLSPNKMLNTLMQTKPHIKAIVLYSRSSTWCALSQAVDLPFTSILSMADGGE ATQALNLLNGTNTGKIVKANIFGNNTDNTLPKDETKGGHNTAAMSILYAITGLITLLF LAIITTGAVRAHRYPERYGPRGALGGRPRQSRAKGLARAVLDTIPIVKFGNQTPAKPD PELELENAEAHDAATQRTTSHVDDTQRSDAATAAARDTDAVSAAARTSSSPEGAGEET SGQQCSICTEDFKVGEDVRVLPCKHQFHPACIDPWLINVSGTCPLCRYDLRPGKGAAP EEGDAGDSSSLPPPLVLEEGAENDAPQSSHRNRLSRLFDINRLREGTVEEQMDALRRM RTVANENEHQERASVAEAIDGESRGQSARFAARLRDKFRIRTRAQAMENEDEQRGH FPSE_07694 MCLYNIDRYVIRDDEKWNNRSDKDKRSASPHAIMLAENLADMEV LVSPEGTDKMRLL FPSE_07693 MADIPLVVISEFAQSERRITPSWSISQLKTKLETVTGVPPGCQR LSLKPTAGAEAIAIEAPNEDDTHLSNFPLAPYAELHVIDTRPPASRINLNDTAGVDKY VMPEEEYEKKSDSVLAWKKAQKLGRFDPDAPSHEQAKLSALDKEVATRGIAVGRRCRV GGEDTRRGVIQYVGEVKEIPGGLGSWVAVKLDEPVGKNDGSIAGTRYWGEPSELKHGV FVRPERVEVGDFPALDDLEDMEEI FPSE_07692 MYGFLTGQRNELGSQTYKRIFSPLMAALLSQTTHALDIACSLSC ASRWVLTPSATSNETYSDRGLKHRRPHVFNRDSIFVSEPVRCADPNHDFQRVDQYGCS NDVSARGFDTQQNGQELPQCWLLRFLTTCRELGCTNKLGTTIDANTPLSQGQGKARQG PRLCKAMRYWAWVSRALRCAPSYSSSSSSSRRFWASVTVYMMTGPRSVLRRVDVFDNA IEDTAKQERTQSTVTPCYLTLLSSIRLLEAAARLTFSQTPSKVAELAQLKSESPLCLL RAEIPPSIVGVEDRLDQGRTPRPYANGSSVGRLGSQAVAVDFAALLKASHEPSVSPPR RPQPVPAFTYQQLPHKIPAASPRPVAHAAPPAPVAPTQMSGRRGMPPHAGDQPPVKKQ SKWSPEEDALIIELRGKGMKWEDISKRLPGRSAISCRLHYQNYLERRSEWDEERKNKL ARLYERFKPEMWAKVAEEMAVPWRAAEAMHWQLGEADMARRAGVIPFSLAAVNVEGNN NHRSSPSRSHIHSHSQGSMPRDLGMPSPRVVYNRAPPMPPASNRAIISRRESIPPPPP LPMGPEPAEVQYVPGPGLAPIQNQPTPRSAGMLPGFAELTGGVSPYSTASAVPSMGPV GVMGQGPLYSSLASYSIESAGSKRRASPDPYQYEPNQRRRIA FPSE_07691 MASVPSATPAGLDQENRPLGQQTSAQTPAQDRARDQAPAETFSQ SAAEEAPETYEATHVHAVYEKIAPHFSATRHKPWPRVARFLETQPAGSVGLDVGCGNG KYLRVNPSVHIFGSDRSQALVHLARTELRRPREDGAELDPRVSDVDVAVADGFALPYR KGAADFVICIAVVHHMSTRERRQTAIAELLELVTPQTGQVLVYVWALEQASSRRGWDT GSDQDRLVSWVMRKPKGQVPGGTFQRYYHLYKEGELEEDVLAAGGMVVESGYEKDNWW VTNKDKALSTEKQQHHFLQLRVF FPSE_07690 MAPYIAKWGILATGGIAECFTKDLLTDPATREVDDVQHEIVAVA SSSSVDRARDFIKKIDGPSSAAVYGSYAELVADPNVNIIYVATPHSHHFQNAMLALEA GKNVLCEKALTVTVAQTKKLYEVAKSKNLFFMEAVWTRYFPLSIKVRELIQSGVIGNV YRTFADLSFNKNTESDDLQFPDSNRMVNPDLAGGALLDLGIYSLTWVFQSLYHVQPEA DKEAPNVVAAINKYRTGADESTSIICQFPKHRSVGIATTSLRIATDVDGHYTGGPAIR IQGSKGEIQVTGPAYRPTEYKVIKSDANGKVEVVTCPIPTDPKRNNWGHGMFWEADEC ARCLRDGKKESASMPWSESIAIMEVMDSALKQGEVTYPELITTDVFDANSPLNTGRK FPSE_07689 MADKLAKDMTLKGSSTTMPKLIYGTAWKRERTADLVYTALKCGF RGVDTAAQPEHYNEEGVGQGVKRAIKDRIVKREGLFLQTKFSPPAIQGENAPYDFKVP VVDQVHQSVQSSLKYFTIEGEEPYLDSMLLHTPLTNIEETIAAWKTLETYVPHQIRNL GISNIELPILKELHDAVTVKPSVVQNCFHAGTLFDVELRAYCRRQEIAYQSFWTLNGN PKLAASMPIGMVSEKAGVEFVAAYYALLFGLDGVAVIDGTTTESHMKDDLEGIEKIAS WAEGSGVDEWTRALSEFKQSIGDVRK FPSE_07688 MSPKDDDIGTMDRSPTPGIETKISEYKNLMSPESNASPELRTDK KLKRRRRKGNNWTRKKCTLRSPTQTPHASPILSPKIKQEESNNLINLGVKAPGALRNI YDDSNMDIRRAAVEQDFGLRRRNTGTMYKPKVPVKSIEQTEPLLFQETPLPSPAEPRQ IAPKKEAPKKEASKKEAPKKGVRSILPTPETPRHDRSLTTSPTSHHEKVASSPNSKSS NICCSCESETGDESPIIPPHFPPNPISRPSREADIFWRDACMEVQSTDEPVHAHDVIV RIMTRKGGIARSWPRLEEGLAAIKMMGKDTIDIAEILRRQEEEEAIAKAKEERCLANK RLYRPRGQIDRERTKRRAALAAQKARGPETDRADDTPE FPSE_07687 MASNSDAPPAAATEAVLVKSEEMPKDAQKVEELDFNKLKGPITA EDLFEGMRHMGFQASSMCEAVRIINDMRAWKDPETGDKTTIFLGYTSNLISSGLRGVL RWLVEHNHVSCIVTTAGGVEEDFIKCLADTYVGSFSTPGADLRRKGLNRIGNLVVPNA NYCAFEDWVVPILDKMLEEQEASKGTEEEINWTPSKVIHRLGKEINDERSVYYWAYKN NIPVFCPAITDGSLGDMLYFHTFKSSPQQLKIDLVEDIRRINTIAVRAKRAGMIILGG GIVKHHIANACLMRNGAESAVYINTAQEFDGSDAGARPDEAVSWGKIKIGADNVKVYM EATACFPFIVANTFAKDIGKEDQK FPSE_07686 MESQSLTLRPRTEAARLRLVLFLILQTFNNIHRKRHCRPDDATD DDISSSKFTRLLNKTVYLRKGSRYLDFTVDITELAFEFVHGLHTTSTVPSGFPQPLKN VRAQRYPRYERSRSPRDRSPDRFDRGGAQYGDGDRRRPSEARPGNGGFPPNRDFGDPL RREPPRGPKALIDAPSGPRGGGFGGEFRGRGRGRGRGWSSRDDSRDRGRDRDMDFRDR YRDDRSRERDRERDWREPRDFRSRRSPIGRARSPNRDFRDRDRDGPLGVDADRSRRGS RDGGPPSAGSSSSDPQFNMAPYARGGGFHRGRGRGRGDWSSERGRGRGPYMEDRGDRY PRSRSQEGRWGRERDERDRMERMDRYADPDTRHNNRGDERGDPRDRELFRNKMEARAN AGHDSGLSSKEVSPPPPVAPSAPAFGSSAGRLSIGEGYVSASAATKIPPTAPRAFGDR PPSAGHDPAMPPMGMGMGMGMGMGMGMGMGVGMGMGMGMGMGKTMLHDGPSIPVGPRA QQPPPPRPSSKQWINPNLKKAPDSPKMMRSPSFVQQRPAMRRGSSQYDHYNEDERRPR SSDAKSDTHYDNRARSNYSAEPGEITVKSERESQSARASIDRDTRPINASRRDSYRSG PSPTMENMPRFSQIAEERAREMREPPKEAPRRKRVRPTLKVVRFEVPPKPAPVEQNSE SDDDDDMADYFDMEIGKTEAELSKLEKPKLPTQVIARFAALSHGSMVKIVGEQDELLK MVEELPEAASKLAVEKTKEPVPEEQNDKLDVEMTDAIENATPVKPEPPQQPQQEDIKL ELESEIHVRRSEEPVVEPALKPLPEVTEETTEPVIEQPTEKPEKSLESAVKPAEKPAE TQLDEPIAQPDKKPIQLAEHASKDLEESSNEKPVEKVAEKPVQDVVEDSAPEPVVENA KQGPVASASEPEAEKMDIDEPAVETAPAAPETTIPNTDRTVKDPVPTTSETVAKELPV EPIEISDITIRMSNEALAKKPEEDKPSEMSVETRPETPSQVQAERPNDSPSETSPGKR NQTVVETPVKAPAEPQFQTPAEKSEVTPHRHIESENTPLSVIAPNIVLQTTETASKPP STPSQVDDDETESEDDSFMNLDTVRQYMTTPPIDSLPNFSCQPWDQDKEFMSNLESDS FLDDFVLEHFDKLHLEKSAEQGHDKKIYSENYHHYLDFTMSNDPAAVKSRGKFSVSTG IEFTGTVTPEPKHEGSGRGRRFATERDLERVLQASMREDEERRERELRMQQEKYRTDK EAVIPDMIWTQEEKDSTNYIDKSGYTPVDRLVSAWRILPPVNNFTEEEANLFEKRYLE APKQWGRVAEAIPHRNFGACIQYYYMNKKNLNLKEKLKKQPKRRRKGKAKQRSSALVS ELGNGDGETEENHDTGENGERRRPRRAAAPTWGFEQPPIDTEASTPNATPGRRGGSAK VDHPEKVDGRKRRRGPKEKEPKAPKSNQTLAAAPGPAKGRSRSNSRALNTDGTTTPLP LPEGHRLPTQFEQHPAGIQPPFSVQQQPIQTLERPPPVASTSISEVMAAPSLRPDPPP QPAMATFNLTHQPSERKAPTQASSYWSVSESNDFPLLLRSFGLDWTAIAAHMGSKTAV MVKNYYVRQKDQGKSEWEGLALEAERKKDCGEKLPDPPQPSTGGRGRRYDSSTAASRP LAVAPGIEMHSEAPQPKMEPAGQPPRGPQFSTFGGVPIAQAPIQQQQQQQPLVQSQQP MVSQHPVAQPVAQVMSPVPRPLQAPVQQFRLNEREPAARVPLPQKASSRPPGVESREQ RPLAAAQPLQPSRNEAAMMEHNAMMERQKMEMQMREQEQQRELARQSERQTLRVKQER EMTPQPHHYEPYGHHRQQGSLGSLGSLGGQPLPRPLQESGRPQSYGPPVQQQQQQQAP VQPVRNLMGEQPQARSPQMGTPTSRPVSSLQQRPSSGSMHDTFGSVTPQSGTPVQTPA AAPPRPPEPRKGGLNIMSLLNDDDPPPQPKRVNDVTSTPTRPSPTPPPQTNMGGRPLP GPAPPSQIRREPESYSPFARGTPGMPPLKPTFADSPQPQHMGASRASIGVSHEAAAAA ERDYYRQNPYQSANHSRTNSPQGGHRYPPPGPPQYQNQGYPTSYASTGQPAHAGSPGG QYGHPPSSSRSREVPQGGRETSWPSPAQQGHPSMQQPAGWPSQPNTSQPPPQQQSWPP QHPSSKPQTPGPSWAASQPPSQPPPQQGHHMPMRDDGRAPYYPGGGNMPPQQHQMQGR YQPQVSRGPEQVPPPGQVYPRYASTPGPGQPRDPREMPGRSFTPAYDARGPPPQGGPV YPGHDPRDPRDPRDPRDPRDPRDPRDMMRGALRPHEYERHPDPYMQQYEDNSSQLPLL QQRYMSYRADLDSADHNNEACAYRNGYLYHYMDMFTKRLARNPAHVRSFEHVLANINK LNRSLEAVTAVGNEFSSVEALWSQFENVMGKDEKAEGEAGKADQTERHDETMEGETTV KHEEA FPSE_07685 MQGSSSLPAALSSSKWIAAFLAKHRNDDDIYVRTPERKSKDDGK ILEPREYLADSALARSSENEVKFQGFYHKATAEMRSVFRSTKSSDDSVQDLEQASNEN ASKTPEQRAKSAWTFLFDTKSGNKLHKRTRSAPTTHYVPHVLETIGEEQEEQECSALK SFVNAAKESEDDRKTAPTTPQESTDRVAHDADNASIHTTCTVIIRQATLNDIAEETCV NTDNIQTSTIHNVQKPKSVSFDIRSSQGSRKVSPVECHGSIITPSMMSEQGTATPEPP KKKKKPSSISSSIFSFVGKVVRLGRSSPSVKKANKTRRHEPRDELPNSETSNNLRPII KWNLPQEDNAMGDLIRNFSGPLTPPDSLDTSTPPPTAKYGRNFSDLSAFDKSVEDLMP IPAKRPISGRVISDSESMPGFDDTINNPRLRPRGKHVIQNANHDDSTVMSDEEVHVTP VHETLSQAYARHQDCYRRIKAMYDRNGIDRTGKLLECESEQREEVEQRQVREMTEDLE QPPHDIHNEEQEENKLLPQETVVFEKTTPSNPSNPTTPSHDGAQERADVNEQPIMESI EQDFTAPDATAAVSTQNPHDEQPADHEPDTAAALTNDTASTSSWSQNGDVPLPLGATL TRAAMVHHQNEEYSAFFKSLQNVREKSPDRFCGRQGSFSVRAWLDQTYEHEHEMARDR AALKFFHGPHSTTENEDDTLSDLGYFPTLSETRDALNHPDAPKIRGSSPKEAMRIWNA DVKSFEVANLKKETLQAEVKSSLTRNELIEDEEDRAERVTYLYLQKKLKAQVSDEDKA THFRHVRKVCREFEKALEVVQKRAALANKEATDAEICVEYLQRSYHEMEENIHRFTES LGYKRADNLVDAMELVKKTEREENIDIREVQRLDIAEPESPDSYGATEWCAGQAQGDN NVGPEDPTDIFF FPSE_07684 MPSDIRAFFGPKGGSAPKPAPRKAEELAKTKRATKGRKVVEDSE DEDDEAVEVKKTAAKPAPKRKIKDEEPKGVSISADDYFASSKAEKSTTSATPKKPAAK PEAPARSSPRKKAPASKPPAPAKNGKATATRKATTSHSHNATDQDSDAYMDDGDEDDG DIFAADAKGRSKRKNDDYEEDESDEEVLPQPKRLATRGRQAAVKKEDSDDDVKPAPAS KKRKSPAEDSEESEDEAPRKKTATAKPKAPAKPRAPRAKKADEPEDDEIKNILESVAT VRAPTPPPKDSDNKFDWRKKAFGGGNASAQPASGSVELPEGEEECLSGLSFVFTGVLQ TISRDDGQALVKRYGGKVVGQPSSKTSFVVLGDDAGPSKLAKIQSLSIKTIDENGLFD LIRKLPAFGGGGKGAQKAQEKKKADEDKVRKQAAEMEAEEKARKVEAEKAAKKAAAAG GAPVKAVAAPPVQLLTSKYAPTQLSHICGNKAQVEKIQSWLRNWPKNKKYNFQRRGAD GMGGERAIIVSGPPGIGKTTAAHLAATLEGYDVLESNASDSRSKKLVENGVSDVMNNT SLLGYFAGDGKNVDATKKKIVLIMDEVDGMSAGDRGGVGALAKFCKKTEIPLILICNE RKLPKMKPFDHAAFDIRFNRPTVDQVRSRIMTICHREGLKLPPTVVDALIEGSNKDIR QIINMISTAKLDQTSMDFDQSKAMSKAWEKHVILKPWDICQKMLAGGLFAPASKATLN DKIELYFNDHEFSYLMIQENYLRTKPMALTGKGYSQREHNLKALELFDNAAESISDGD LVDRMIHGPQQQWSLMPTHAVFSTVRPASFIAGQLLGSNFTSWLGNNSKFGRLGRSLR EIHSHMRLRSSGDHNEIRQQYLPALWTQLVGRLQDQNNDAVGPVIDLMDSYFLTREDF DTVQELGVGQMDGDKVKIETKTKAAFTRTYNAMSHPVPFMKASNVTAPKAQPKEVPDL EEAVEDDDAEVVEAPEADEDDDEIDFKKDKYIKKPKAKKATKKTTKAAAAADDDDEEE KPKRGRAKAKATTTKAKAKK FPSE_07683 MKPTSTSALLNAFQGLRLCTSSPLRQLRAPLQQTSKTLDATRRL QNVRAFSTTSSMLGSWLEPNLNRKKKMAKGRPRVATGGSTKGTTVLFGEYGLRMTDHH RRISAKQLKMAEDTIKVRLRGQKYRLYKRKNCNVGVYVSGNDMRMGKGKGSFDHWATR MAVSQILFEIRGKLHEQVVRDAFRLAGNKLPGQWEFVKRGDAPVVGITKLDGVTLEEL KRPRRQIAPIELLEASKDTAETLVGSTSEASRNL FPSE_07682 MALIVDKHRPRSLDALTYHDELSERLRSLAQNGDFPHLLVYGPS GAGKKTRIVATLKELYGPGVEKIKIDARVFQTSSNRKLEFNIVASIYHLEITPSDVGN YDRVVVQDLLKEVAQTQQVDQSARQKFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILLANSTANIIAPIRSRTLLVRVAAPTHEEICNVLAVSAKKENWPVVQGLHQRIAEE SGRNLRRALLMYEAVHAQNEKVTDSTPIPPADWEALIGQIAQDIYAEHTPARILEVRS KLYDLLTHCIPPTTILKTLAFKLIALVDDGLKGEVIQWAAFYEHRVKTGTKVIFQLEA FVAKFMRIVEMYLMSMDMDM FPSE_07681 MAAAVEHARVHHELNASTPESIPKSSIPAAMASPAPAPAPAVAE TVSLKSEETQAPAKETKGPVVAEAHLVDTYHPPQRMFAKHPHRPHLANLEEYKRLYKE SITQPEKFWAERARELISWDNDFKTTRSGSLLNADVSYFNEGRLNASYNCVDRHAFKD PDRVAIIYEADEPSEGRNVTYGELLREVSRTAWVLKQMGVRKGDTVAIYLPMIPEAII ALMACVRIGAVHSVIFAGFSSDSLRDRVVDAGSKVVITTDEGKRGGKLIGTKKIVDDA LKQCPAVENVLVYKRTGSEIPWTSGRDFWWHEEVEKWPNYFPPEPVASEDPLFLLYTS GSTGKPKGVLHTTAGYLLGAAMTGKYVFDIHEGDRYFCGGDVGWITGHTYVVYAPLML GVSTVVFEGTPAYPNFSRYWDIVEKHNVTQFYVAPTALRLLKRAGDEHVRGKFAHLRV LGSVGEPIAAEIWKWYFEVIGKEECHIVDTYWQTESGSHVITPLAGITPTKPGSCSLP FFGVEPALIDPVSGEEIHGNDVEGVLTFKQSWPSMARTVWGAHNRYKETYLDVYKGYY FTGDGAARDHEGFYWIRGRVDDVVNVSGHRLSTAEIEAALLEHHAVADAAVVGIADEL TGQAVNAFVDLEKNVEATDALRKELIVQVRKSIGPFAAPKAVYIVPDMPKTRSGKIMR RVLRKIVAGEEDQLGDTTTLSDPSVVDKIIKAVHDAKRK FPSE_07680 MVSLRSIATAIAGAALFFETSLASSVKRNAVNYISLIDGPVINT PSHRIRADSHFDLLFSLHNKQQKIRLQLEPNHDILPENFAITYIGGDGAVRSVETIKR EEDKVFKGSVFIQRMGREGWTKAGWARITIHRDGTEPVFEGTLRIDGNHHHIHTGTNF QQVRHEDDPVLATTENSDEVMVVWRDSDIISFHSEELKKREASAALCNSDTLGFNSKF HELENFDTFGVSNSKSLFGRQTIDTGNDGSSIDLESTIGSVGGCPTSRRVALLGIATD CGYTATFNSTEALRKSVIRMVNDASQVYESSFNITLGIQNLTISDGSCPGSPSETAPW NQKCSGDVNLSDRLNLFSAWRGKNADSNAYWTLLSTCNTDSAVGLAWLGQLCRPGASA NANSGGRNETVAGANVVVRTSAEWQVFAHETGHTFGAVHDCTSSACPVSSNAQACCPL SKTSCDAKGDFIMNPSSRPGISEFSPCSIGNICSGFRRNVNSECLTENRNVKTISGQQ CGNGIVEEGEDCDCGGEESCGNNPCCDAKTCKFKGNAECDNSNEECCTEGCKFASSGT VCRSSTGPCDPEEKCTGKDATCPKDSHSDDGTDCGDNLKCASGQCTSRDEQCRANYQN TTSSSHVKACTNSCLLSCQTSGDGFCVQRNQNFLDGTPCGGGGGKCQSGNCEGASTWK EIENWFRTNKNIALPVGIVLAALLLLAVSCCCFSCIRRRIARRKAAKRPAMSAWPGYP PPPPVPGSNQQGGYQYAPIGNNNGWQQQRTRSMRYA FPSE_07679 MASSTPKTQHSHKSGSSEWTSEMRDKQARGKNPYVDESDASDAE PMRLGGHATESHMERERRGEAMFVLDNPEALMAHAQASGDSIAGQRLRFMRQLCGFDN DKYDDASTSTSSTRNTKRRGSGEQLVIGLLEMIVQGPTTIF FPSE_07678 MVAKEFLDSDRVNFLVWRFVTTATLYVPGFPTAASCSSQPRHLH SLDFALTMFFSSYRFLLEGNYRETAAKFQKEWHVKQPHREFAFARHVKSHALVSVINR GLQYHALEREHARKLLPQDASAEEAEELHYGIFGPLDAHPQGRIEEDEEEDAEGEEVI EEELSRKRPQQISNGSPATKRQRLSNGLENGADAPAAAPVPASGPMTTSTTGAGTAAA TTAAPAPVTTPMEIDNQPDNHAYPSPLEGEQAPEPMVRTDGPEQGTQVDKVEELAPET TFIRLMDDQNEGQGRGATPSPSSPSGPDNAPILLQCEWNPRDPSILAAAGTDALARVW SIARAGPVEPGQDHVSPQGHSLLDRDVPRDTTVTALSWTADGAAIAVATDSKNQASIN VWSAEGAHLQSMEVSEPPIIKLSWNPINTALLAISPDKGGALVTVHYPPAGNSLSYLL SGHDIAATPLDAVWTGDAEFLLCGGDLMLCLQCTDTTIVQARKFETKEDDSFTQVLFD GRSRLAATSSDKGILDLWDESGQRRSISAHQGAITTMQWQPIPESHQGADDERLIATG GDDCAILIWNARMPKSKPKCFLTMDSPIMRLAFTPDGAFIAGATSTQVLIWKVGSHAV PRASWSRPVHPGWLSPKANTDADEEDEHCLCWDADGQKLAYGSNSRLAIINFRR FPSE_07677 MPPRINIPPVTRILLIALLGQSILSAAIRYRQWTADANIVIPYL TLVPQLSIIYPWTFITSTFVESNVFTLGIAAVTLFHGGRYLERAWSSAELAKFLAVVS LVPNFLTLCVMVLCFTLSRNESWTLTVIAGTIPVQIAFLVAFSQLVPAHTVTLFRGVI SLRVPRFPLLYIGLVFLLSLTPILNRAALWLAIFGFLTSWTYLRFYKTVFPDLDSSQD ASLRGDASETFAFAEFFPGPVKPFVTAVADQIFLVLVAMRLCTPFAQAEASRNDTRIQ RNAPGGARAEAERRRAIALRALDQRLHAATSNPAAQKSSQPPSRPTGPTVQSQPQPAT QTAMTSQPGPLLGETKFEPDHDDAKS FPSE_07676 MGWPWEFLTLTDDEKHQRRLSLDKYAYIAHLSAFVPALLFVLFR LINRVRQSRNSGYQQVPGSPSAKANRQRWISRVIGKGPMIKWWLGEDVWFMGSHWGQR DEWILGIAWTAWLLALSIHGTGKDYLHLTKRLGIVATSQMPIQYLLALKAINPFAFVL RSSHEHINRYHRVLGRIIYFLLILHAILYNVFFIESAIWLKRFFAPIVFAGVAGLIVM HALNGTAMARVRQQSYRVFFIVHLLSAFSIPPLIYYHAPSSRFYIGEAIGVFVVDLAV RKMITITAPAVVEAIPGTSLVKVSATMPSHKIAQYAARPGSHIYLNIPPASRPGTGQF SAPHLVFEFLYNPFTVASTNQETGELTFVARTRTGPMTNQLHHLSSSTSSGASAEKVE LNIEGPYGTIGKTFSDLITSNINRVLIVAGGVGASFAVPLYHAVNAENSIAHVQLIWA IRSAGDATWASSTPTGKSILDDDQVQLFLTGDMGVANDSDNAAGVEMNDMAQQPTRPA VRNNKRPDFQKIVDDTFRKSQQENVAILVCGPTEMVRELRKSVMPWVMKGRNVWWHNE SFGW FPSE_07675 MTEPEAEIQATANLSPVSPSPVHSATSLAVPVLQETVDTIDAMV AAASAAADAAAAAANRSVNNGPGYEHILEAGDDNIVDDDSLNDPYGEDDADAVAQQVP RPQVEQELPDSNDDYAKTFDSPIGLEEGEDGGVQPQDVSSLLRESNNSSHPSQVSYAV HDPSFLAQPRQPAASAASNASSEARASGAATATTSQLSNLPSPSTAKLSGSSTTGSTN ASDIQKLVADLIAPADSNRTTESFAPSATGEQSNEYSNPSSDLPPSASLPPRPPLSQA APQTYASQHHPGGINSSIPSSLAAPPTPGQPSTYVAAGAPVAVPDALGEYSVPLGSGF QAPVAITSMNAPPYPPQPIPNNADQVQDAEYQRQWDQFLADERQYMSEAKWDRFPEGS RIFIGTRSASGASKNGAHIFSAGNLSSDKVSKRDVFDLFHRFGRLAQISLKSAYGFVQ YHTIDEGQRAMDNLQGIEIKGRRIHLEVSRVQDKSKKERVRSPDKNKSRDNGRRNERH GHQVRDDYRSSRGHSPHRSEYGRDESYSRDRGFYDGSRGRGRSRSPGYSRNDNDNYRR RSPSPFGRPRHGSEPELPGRRYGADVPDVQIILQQEINREFVNWVKQAFTSRGLRCEV MFLSSKLPKDAVVQQQAAEGVHAVVDLDLRAQSLAKIAVQAFDRSAGSNNIRFNQYAD LDPPTAAEVVLRAKASAGPPSYGQQYGASGYSVAPYGGQPAYQPPAASFAAPPPPGQY GQPPPAPVNVANIANMMGQLDPASLQQLLAQVQGGAQNHALPQTSPMAAVPHADIHAL LGSLGANPATQQPQPPPPQGAYGASYGVQLPPNGAPPNGDSAVQVQNIMSHLARYRQ FPSE_07674 MSITQDSRSRDANADDNRRKLTDEVMRMYKEATPAETSVEKRKK HEEIGKKFHESRMKQKKFTSAKKQSRRGPST FPSE_07673 MDSAARQQPQVQPCRYKVGKTLGAGSYSVVKECVHIDTGRYYAA KVINKRLMAGREHMVRNEIAVLKKVSMGHQNILTLVDYFETMNNLYLVTDLALGGELF DRICRKGSYFESDAADLVRATLSAVAYLHDHGIVHRDLKPENLLFRTPEDNADLLIAD FGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKTGHGKPVDLWALGVITYFLLCGYTPF DRDSDFEEMQAILNADYSFTPIEYWRGVSDHAKDFIQRCLTIDSTKRITAHEALQHPF VAGFINAEGESQNLLPNIKKNFNARRTLHAAIDTVRAINKLREAQSGLMDGARSKEPS RGAAQQPPANRKVDSAISIGNNQPKDSGYGTQTETDVVMGNTSASNVPSSLQPGNNGN RVIETSKGLWSGSAAKR FPSE_07672 MNDFSATLSGKPKLQLLWPEHVNLPFLNTAPQRYRIGRRRTKSK SRPGNEELTSLKTSFNAWDGVRDLQKHHWRTSDLQYAVVGLLVLFSFWIAPPAPGVKL LAIMGSVWVLLMPATRQFFLPSVTIWTWLLYFFCSRFIPNEYRPHIWVRVLPALENVL YGANLSSILSAHQHSVLDILAWIPYGLCHFGAPVVCALLMFVFAPPGTTPVWGRTFGW MSILGVTIQLLFPCAPPWYEMEHGLEPAAYGMPGSPAGLARIDEIFGIDLYTTNFSTA PVPFGAFPSLHAANAVLEALFMSHCFPQFRTYFIMYAGWVCWATMYLSHHYAIDLVVG GLIASCFFYAAKARWLPRRQEGKITRWEYEYVEYGDQTTITDEEYGEYFGMGLLGRPR ADSSDGWTVASSSSSCGSSSGTLSPTLSEEALPGMLDDDQLWNTHSPARDVELSEVVV AAR FPSE_07671 MAPKEPFKVHSLSKHIENTKKGLAADSQPTRRLTGRSAVVKKES SNPNNIFANNNDDSSASESGSDSDSDSSSDEAAGSFLDRLTAKSTPVKSTPQAARRRS KDEEIADSDDERKASSNKASSKKPAPKPASSSDSSSESESDDEKANTKTNGATLAKDA DSSSSSSKSDSDSESDKEDETKKLAAKPSAEKKDDPESSSSEDESEDETESKPKPAVN GTAATTTSASSSESSDSSSESESEGEQAAKPATKAVTKPAAKSEAKVSDSSSSEDEDS DDEMVDESMHIEDREGQVAIPNFIAPDFVLRKGDDGTSGKDVAEVCNQANLEGKQFWY FTVPSDVPISVVQNLEIPMNQSSANNKLFSHGGDDYGFSFESIAPKSNIQIMIPSSDA TQYRSAPKPIDQIMQVKKITQLGSNSSVGPAPKPAPRAQPAGLKARYQPIGVNEPMGT VDDEEDVEMAEAPAVSAKAEKKEKKRKSKETSEKKQKKMTLPERPSPQTAEEPTPDTR KNKRKLAASEEDDAAAVTEQLQTEARSAAKKSKKQKTDRVGSPDLGSEPASVSTKKQT PILPPAFPSVGTPTPKAASTPTTSSKKQKKVKEAALPPLRQSVVPIPTIPHSSPPRSS PAPAPASQAPSSPIRAKEKRSKKSKDSKKAASSKKETPVLPPVPASSSE FPSE_07670 MSSNKKRRIDEKPMSALSALQARRREAAAFPVQTTQADSESDEK SVTTSVNPYQLLRKDRSQSAAPKTPKKNAVKDQYLPRRAESSATRSRGVAIANGNTDT LNTELAGASQKAVRESSFRLSKQNHRVKAGGVVELNLSNKERFLVLGSFGIRIIQGEV RLAGATLCPSETIEWVHAPHCHAVPVLRTKEETRLELHPDINARGIRQLGRLSPLFRK IWNESPETNSSKTSKDSTFEIIYTPEDAPKKCIIQELVSPPEWNKKLSSLVATSRKKP SLSTLICGPKSAGKSTFSRLFLNGLLTDRSQKQGARSVVILDLDPGQPEYAPPGTLSL VCVTKPNLGTPFTHPSLKNSAFTVVRSHSMASATPAPNPDLYLACATDLFDTYSKHYA GAPLIVNTPGWIQGTGLDLLSSLIEEIKPQEVLYMSEAGPSEAVDALRTATKLAFTEL PSQPSEFTSRTAAHLRAMQTMSYFHLQNTPPKTSNLLDTSTRLKWDASPLSSRAPLLV QYSSSKRGVLGLLSYDYQCSPELLADTVNGLVLAAVEIEDRKAFSNFPQEVAPPPLVS TSPENIPFIPNYDDVALDPRYSRTIGLVLLRGIDTKSETLQLVTPIPLEEFRSIKSQS RSIVLLHGKFDTPNWAYTEELYERAGTEEGNDMVLEVTEEDTEDDQSGVEPEGADGVS DLTEVPWVEVLKGSQRRPVGSQVWRPLRHLGRNNTGD FPSE_07669 MSMADDLDIGKDRVEDIISVGRLYHLASWEKGLNERKQAIDPRA PNCNREWPCNGCQKRKVADKCRFKDTNQPVTEKAAMERQRKNRVNIKSSTDSIDSELE DPASDGIDALGYTPSHLLFNLTSRHEEFVKDPSSFPQLERALQAIPSKPYTDILIQNF LNSVNYHYYIIYPPSFLEQYQQWWASRVENRPLSIQWTCLLLAVCACASQYTDVELQG KLEGGLGHPIQRIAEQYHEAARELASAVPLGHSHFTNVQQLLHSCYWFKSEARFVECW HVLNAAIREAQELSIHKEAKAGSLSELDLEMRRRIWCILDTWDWQISTLLGKPLTIDR NDCDVGPPRLGLEGYQYSPLTHMKLQSSLIRQISGRFGHTKNITAHADVQEYQRIVEA WVNTFPPPLSVHNPDRSLDASCPWIVLHRHMIRTVTFTMLLQPIRGFLTRPFAIRSLE AELKIRSDGIDYCLELMMSLRGFFDHLYPRDAKYHFVLFCIFDISTVLCSAVLHDEQH TLPRRDDVYKVIDEAHAMLQNFRTVTKSAKASYGILTRIVQRLPRTTQTYETLGKRPK VLAPQVSEVTASPQTIPPAGVPSQQNSPPAANFSPSPINMPTPGVPSPVYSLANGPPM AGGFAPPAMYTGPPQCGDWQSQLYMQDPATFAPTQFTSQGAIFANISDEELGELANVW NYQSLDFSFINS FPSE_07668 MSSTATQQNSVTGTTDGPNAPEPLYMSQDRVDDYVSDNIIARMS TRNKSTGKSLDKAIASLEFDMAGYQNTVQYVNPPFPLTGTGSKFVK FPSE_07667 MSLYSHGLSADQLRHSDSDSDSRYHPWAGSSSSSYYNEPTSSDP RYHAFGSYYAGQSSSNSNYSYSLGGYSESQQSDDHPHMWQSQEYNGAPPSSSSVATSS PPNLQDDGYGNDQNACKCGKCGKSFRRPSDLAKHQKYHDKHFSCLYEQCSAAFATQKD LTRHMRTHRKGEGFQCKVDGCRKAMSGHVYSRKDNFDRHMRTAHPEHPQI FPSE_07666 MAAFLRSKQAGMQNDLSASIRPELFMPDDQARYGINSQISCYAY DPVQSLLAIGTGESKFGPGKVYIFGQRRVHKILEPPRSTSFQIVQFSSNRLVTVDAKN ELGIWDIDTGERVAAMVVAGQVVSLVTDPMLDWAFIGLYNGDIMAFDLDRGNLARAFR LPNFWKERNPGAATSNLITMSLHPRDVGKLLLGYTHGAVVYSFKQAKAVNYYEYVVPA GAPGGNGVAVETVRKPRLTHALWHPSGTFVLTVHDDGSLVFWDPKAEKVVMARTLTDF DVDQPSSATSVPALSEPITKIAWCCKENCDDSGLLIAGGQRMDASLKGLTFVDLGQTP VYATSTWQILATYCRGKRQSLLQLPSGAQAINFLLIPRFSPHFGGAQDPIAVLALLSS GELITLSFPSGYPISPTNQLHPSVSFVHPFVTKVNVSALERPRWLSMFEKRNQGEPLL KGGAEAPRPRKRFEERTIIQAAHADSTIRIWDSGHADEIENGTQLQVDVARALDRYED VEITCMNMATSTGEFVVGTRTGEAIVYRWGQNRFFGKDTMPELDPNPKGLTDISSRAE PGLKEGLQPLVLYEMMMGPITAVQVSNVGFVAVGSELGFLTLIDLRGPSIIFQAPMTD FAKQDKRASFLKGHSSAEPPKEWPVVIEFSVMTLDEDKYSSICCFVGTNLGKVITLKI LPSQDGTYSAQPAGVVAFDGKIISLSPIEADTGKPALATGPIVAGLREGRQVNGVLVA VTQTEIRIFKPANSKGASKEFDDILCDAACVAETELQGFAVVGLFGDRTARAFSIPAL KDIGKADLPMIDVTRTTNSTVTQTGDIFAWSGPSELAVIHVWGAGKGPQQSPDTMINP KLECPPRPTISNMQWISGSQHITPADLDLLVGGPDRPPSKRMMDAAAAEQRAARAGEP APAAGSGSQEGWGDYLTRQLNERTEKLNMMGDNMENLQNNSAGWADDVNKYLNKQKKN VILGGLKSKFF FPSE_07665 MSSIVDCTRECSQLFWLSMSLGGVLIGSIALNIGLLHRVRRYHV EVGELKPRLNKFQDAYQDSKVDRAGLQRERNLLVQDLEKCQQASYDCWCELTAANTFC RENHTSLHRPEQSEQPKRSDTSGRSDFSFQPEGPDGDGPESRAEWAKMGPARRGKPNL TALANGEAIHHVVNGRYQVPQQIQLGDLTPIDHGTDDTQTYNSLLEDYAQAPWDPTKD PDAQNDAHAGHGQRG FPSE_07664 MAGDITTKAELERARAEEMEQTGDNIARVDSIRSAHPDKPHEDG IYAQALAQYPTDDSIDPVLEKKVRRKLDRLIIPVLGVCYFFYYVDKTTLSYAAIFGLK DDLNLKGDEYSWLSSSFYFGWLVWAIPSNLLMQRSPPGYYLAFNIFMWGALLMTQAAA NNFAGLLALRILSGAFEAIADPAFMLITSMYFTREEQPSRISAWYAFNGVGVAGGGLI GYAIGNINGALQSWRYEFLVVGAFCSAWAIVLVLLLPNSPRTIRGFSHDEKLVMTARM RRNQTGIEQRTINWGQIKEAYLDYKTWLFTLLGFVSNVPNGGISNFSTLVIKGLGFDT LHTALLGIPQGSLVVIWIGLGALANKYMPPNSRTLVSAIFMIPTIAGALGFLLAPADA YVGRLICFYLTGSYQASFVICLSLITSNTGGQSKKMIVSGMIWFGACIGNIASPFFYR SEQAPSYPLGIGSLLVANCIEFVLFFVFRYAFKWENKKKEKQREALRAAGHDVTTNAT AFTDMTDKENPNFEYVY FPSE_00003 MSLLRPFWTYLCLNHSLTDTHSTSCASCENQNSVVSGSKSDSSA AEQYQSRLDAFVEAPLQCDPFSARTVSDARLGRSSIFLHTFDLWLYHFFPYLNRPRRH VLAFWNEGHNVAGPFGGPPQSWLVGTYTEDIQFELIGVGTQFGDY FPSE_00004 MPQSALLLGSGFVATPAVEVLSKAGVHVTVACRTLASAKNLAGT FDNTKAVSLDVNDSAALEKAVSEHDITISLIPYTFHAAVIKAAIKAKKNVVTTSYVSP AMEELHEEAKAAGITVLNEIGVDPGVDHLYAVDFIDRIQQEGGKIKSFKSYCGGLPAP ENSNNPLGYKFSWSSRGVLLALKNNAKYYEDNKLVDISGVDLMSTAQPYHSGYLGFNF VAYGNRDSTGYRERYRIPDAETVVRGTMRYNGFPQFVKALVDIGFLSTDDQDFFKQSI PWKDALQKFIGANSSSEEDLTKAVLSKTSFKDESVKNQVLAGLKWIGVFSDVKTTPRG TALDTLCASLEQKMAYEKGERDIVFLQHTFEVINKDGSQNTWTSTLVEYGAPEGSGGF SAMSRLVGVPCGVATKMVLDGTITDKGVVAPVYPSLARTLMNELKNNYGIECKEKIIA FPSE_00005 MFPSLFFASILAAGIYAQSIPDPALTANGAFQHLGCVAIRPGTF PRQFNLGQTGCASTCSSNGNIIGFRGNSCVCDRLDLSDRPITYRVVKVDNALCTQWCD PADKSKGTCGGASVQGWPIYDLYKRNNAQIVYENFPSATPTPMSSAYPVQTGGPKPGD VWHECPPNVVNCPYRNKCPSGNCHPVHKPIVKSCYGCSYNGTGNWTAPTCPPGGCGNG GKPAPAPVPVPVPVPNPPCKKCNNGNGGNGGNGGNGGNGGNGNNGGNGGNGGSGGNGG DGGSGGNGGSGGSGGNGGNGGNGGNGNNGGNGGSGGNGGNGGSGGDDSSGNGSDGQNG SDGNGNGNGGDTSPVIVNSAIKIQSASFIFFFLTFSLAFILL FPSE_00006 MSDRGRSPSPGGPSGPGRRPSQSPARSATGSGSGPAGGYAKPLG YDPAKPPKQEDQGNTRMELPPDAYISETKKDMFTLRNGRFNTEGKPEHIEVNQYRMTK FDFNKKIYQYDVVLSPSPDKIGPVMKKIWAHPVTVKTMKPYKLEMWLFDGKKLAWSPA LVDRGELRFSVDLDEGQRPPGAKPRDGGKFLVTIRKTTEIQVAALQGYLSHKMSFNNS VQEALNFIDHLVRQFPSKNLLAIKRNFYQTGRPGAPLQDGAIVEVHKGTYASIRMSDN LKQGGVGLGYNIDVANTCFWIGNQPLDRMACNFLATIDPNKFRGHTPATLNEILKPVR NRSGGWESSDGFKQLRKLRRLKFKIKHKGRPNEDKLYTIMDFAFDAKFGEAGHTSRTH TFEKDGKDISVYDYYKKMYNVTLRLSHLPLINAGKGGFIPMELAFVESMQRYPFKLNP EQTSAMIKIAVTRPNVRKADIQKGAAALQIGQDPYLREYGVNFEAQFAKTEARILPPP TVRFGQGTAEPKFAGRWDLRGKKFFKQNTAPLQNWGFVACEAPVPQAVLSAFATTFKT TFLGHGGKVIGDPMLLNAPAGLRFEPGKLVEWAHEEITRRKGYTQLLFIVVSKKNSGT YERLKKSADCRYGILSQVVLGSHVQKNNGQYHSNVCMKVNAKLGGATACTPPLWKTPT FFPDSRPTIIVGCDVSHAAPGGATASVASMTMSVDPNATRYAAVAQTNGYRVEMLTPS NIRLMFAELLPQWRHNHPGKIPAHLIYMRDGVSEGQFAHVLEQEVSEIKKFFGSSLPP DKIPKMTVIIATKRHHVRFFPQKGDKNGNPLPGTLVEKEVTHPFMFDFYLNSHVAIQG TARPVHYSVILDEMAMPVNDLQKMIYQQCYSYARSTTPVSLHPAVYYAHLASNRARAH ENVATSDGFRTGAKGHEIIREKQAHGITSTAPNRTADAPPLIRLGGPCGQTPADGEKR QRDFFRSTMWYI FPSE_00007 MASEGTSWGAEDIGAQGDQVQHSEEQVDNYAHDSLASGDADAAD NGTEDDGGEYDPESVTIGTPAMVPEPASSGTQRQTSKPKMSGGFIVEASDDEDEDEDE DEDEDEQPASAVPQTDAVSTQNHPGPSTTSDEHVPAAPTHAPVPPAVPSNVTPVLPGF GPVDLLEFRVKEDPRGDMDAWQELIASHRDFSPLEKARSTYNRFVEIFPQAADKWVEW IELELKYNNFVDVEQLFGRCLMTVPNVKLWTVYLDYIRRRNDLNNDPSGQARRTVTQS YEFVIDNIGVDRDSGNIWQQYVQFVKNGPGQIDGTDWQDRQKMDQLRGIYRRAVAVPM STVNNLWKEYDQFEMGLNKMTGRKFIQERSPVYMSAKSANIALDNITRHLDRTNLPRL PPAPGFNGDQEFRDQIEMWKKWIAWEKEDPLVLKSDEPKAYNQRVLHVYKQALMALRF WPEIWVDAAEWCFQNDIRENDKEMGTELLVEGIKANRESVLLALKHADHIEVNYPGKE VDKAEFAQAVRKPYDDVLETLYEMGDKVKEREKLEISTLKQAAAQDPVQASIEENDDD QDNTPKRSPTEERILAIQKGYAAETQLLSRTISYVWIALARAMRRIQGKGSQAEGGLR KVFTDARQKGRLTSDVYVAVALLESVVYKDPVGAKIFERDARVVFETCINRLVSNPDT LAKAKPLYAYFHKYESQYGELSQISKLEDRMAELFPEDPKLKSFVDRFSTEKFDPIAT PIIISKTAQMRPKQIVPVVQHQHQQSISLRNSPMPVRQEQNPRPQYVRATASPKRPLA VDDEELNPPKRLARGASPLKGAAGRRLDQQRRNQASALHRDITFLLNILPSSQSYDAQ RFNSAALVSILRDTEIPDFATLKAAGGGQPRFGNPTHTRQPSGEFVNRPLSPYGRMSA AAGGYRNSPLRPETGNAYQSNPYPPPEASGQQSTWPQAPGGYGAPAPGQFGGYRY FPSE_00008 MSVVSLLGVNVLNNPAKFIDKYEFEITFECLEQLEKDLEWKLTY VGSATSDQYDQELDSLLVGPIPVGVNKFIFEADAPNTSRIPDAEILGVTVILLTCAYD GREFIRVGYYVNNEYDSEELNAEPPAKPIIERVKRNVLAEKPRVTRFAIKWDSEASAP AEYPPEQPEADLVADGDEYGAEEADEEAAEEAEEEAKSKGKASSGEDAEMAGVEHEGE NAADEDELSDDGSVDIEGESEDDLEEEEEGEGEAEAEADGDAMEVDSAEKPAASTAKP VSVAS FPSE_00009 MDVDRAGLSRNMHRGTPIEASDKLIRAPEPVYQKHQHPLANHGG GSASHRIDAVESPVQNSISHESPGTIETPLRACDDPTFAIESRPLSPLGKRPRADTFE SVDARRSLSLQSWPLMGSSSDSPHVGFPQDDQYGHFVPLLDITTAKKAEDYSRNKVLS HLALESWSDKQLFQHLRGTDFSSEMTVLLDEMIKRRPEIPDENDRSTTFRDFAAYEKE QYSQSTFEVYEVGHNCIPVKLSADGNGDEPSSDTSDGQLENSADSVDAPTVWETIRSV NSNKHSVGRITIVQEPTPLILAALHLTMSKHFDMTELLTHLLSDEPNRGRTRAFMNRA YERPQSPIPSSLPAVPSSLCNVRQKSFFFVFKYYTVVKPSLEPASWQRFDKRPSEARL GDHIDIAECGSVLALSLGGEPEKAPTWRLRRERPREGFVFNTFGPWQLLAIQSFPDNA HTVRGEEFIKENNKYVNGPHAFLDLLISEYRDATKRNLILHERITKLITPPPEFMFDP KLRDKLLFEDRHFTFIRRYFWSYNTLAVVNTGIKSMIAAYVDTFTDSFWAGTHPILWS HRYPDTPDGVAYRERMASVRLELDKVVQELTEVLKRNERTRKEIENLRDQLFSGSSIK ESRRAIEQGDNIRILTMISMIFLPLTFVTSVFGMTVFTIPATDWRFALTMILVCVPFM LLITFLQTRSFGVLLRKLGAIGGAVARIFNSLRRHARDSADVSDNRSVAAVAPRTSSG TWSLRVPTWTRERIEDLEKGGVGERSM FPSE_00010 MDYSQYQQQGQNTNSGYTNSAAANNITSPTNNISQHPVQSSPIV ASQQQQGQTQGHGMYQPPYGVPQQGMQQVHYGMPGIQAAAMAATAAASGANYPYMPSD PNMPQSSPRTGTNAKNDGRQSPRMNSMSQLPGRRMSQVTSPGVATAPMMSHAGARPGV APPQMPTAQMQHPQSPDMPAASGAEESPLYVNAKQFHRILKRRVARQKLEEQLRLTSK GRKPYLHESRHNHAMRRPRGPGGRFLTAEEVAAMERDGEKSADGKDNSAGENSGNAGT KRKSEAGSATSNKKAKTDTASPGDDEDEDEG FPSE_00011 MSLRAPLRRVAFAKPTSPISVAARSFHSTPRASVRVGQEIPNTD VLLEDSPGNKVNLAEEFKTSNGYIVGVPAAFSGTCSSKHIPSYINHPKLKQAGQVFVV SVNDPFVMKAWSDQLDPAKQTGIRFLGDPTGEFTKALDLGFEAYAVFGGMRGKRYALK VEDGKVSKAYVEPDNTGSAVSMAEQVLD FPSE_00012 MDLASLIDSTWIVKRPLIQGSDRYKNKNNDRDGSSEVSSPLDQT LKYTLPTWYRHSHVVHTVDFRVSQIHERNKGDMQSGIFIQTHITKMHGRLLMPVQSPD SGQYTLCAIGCMYKKDHETSFNRLLGLVPLPQDRNRTDSVPWGYRNDDDQELPHKDSA GWVTAVIQVLGTHNLLVEEEPNDWYIDERGVFQERGRENTAVRDTIYCGISTIVKHKS DN FPSE_00013 MSSYDGSRSARQSKRYSMSALYMSISANEGDLQIEDELAKAQKS LRDLKSKISSQSKKNFVLEKDVRYLDSRIALLIQNRMALEEQNEVASHLEDALEMQQG GFPNDDKTQKYGNLLFLLQSEPRHIAHLCRLVSMSEIDSLLQTVMFTIYGNQYESREE HLLLTMFQSVLTYQFDNTPDYSSLLRANTPVSRMMTTYTRRGPGQSFLKSVLADRING LIELKDLDLEINPLKVYERMIEQIEEDTGQLPPHLPKGITGEQAAENPQVQAIIEPRL TMLTEIANGFLTTIIEGLEEAPYGIRWICKQIRSLTKRKYPDANDQVICTLIGGFFFL RFINPAIVTPKSYMLIDGTPAERPRRTLTYIAKMLQNLANKPSYAKEPYMAKLQPFIH QNKDRINKFMIDLCEVQDFYESLEMDNYVALSKKDLELEITLNEVYAMHSLIDKHHSE LWKDDNSHLAIIMSELGSSPPQLPRKENRVINLPLFSRWESAIGDLTAALDITQEEVY FMEAKSIFVQVMRSIPSTSGVARRPLRLERIADAAATNRSDAVMVRKGIRAMELLSQL QEMHVIDKTDQFSLLRDEVEQELQHLGSLKEGVIAETSKLQEVYKTIRDHNVYLNGQL ETYKSYLHNVRSQSEGTKRKQQKQQVLGPYKFTHQQLEKEGVIQKSNVPDNRRANIYF NFTSPLPGTFVISLHYKGRNRGLLELDLKLDDLLEMQKDNQDDLDLEYVQFNVPKVLA LLK FPSE_00014 MAGRIRQPVDEAALEKFISENVPEIKTPIDLKQFGFGQSNPTYQ ITTSDGQRFVMRKKPPGKLLSKTAHKVEREYRIMHALENTDVAVPKTYCLCEDDSVIG TPFYIMEFLDGRIFEDFTMPGVEPVEREAMWRDAVLTLARFHAVDYKKVGLEKFGKPS GFYPRQINTWVTICGNQEKAVDIETKEPVGKLPHFEETVRFFKNERHQPKDRATLVHG DYKIDNLVFHKTEPRVIGILDWEMSTIGHPLSDICNFLTNFYSARSPGVIPYDASGFL PDKTDGLPQPEKILKWYAEESGYDARPEVPWGMSFSIWKLAGVCQGIAARYALRQASS EKARQHAETRGLLAEFAWALAQEAGADETVAKLHYIDLLTHWTPQFMAGNTRRRITLP DPSADERRPLLPSRLSTGVGPENREALYSCMTDPHSHLPVYTNIHRIRRDIISVVEDY LSLAQLQDLRINVTVVRPLVDKFFGLNDISIIYCLLVNRAQFLDEQSHLNNRHNVNFT RATLCELIATRILRRFGEMHDDGHDGLLLLAHILVAGFEPFQNAPDEIRDEAERTTSW VDYKTLPSLEIAIVTESKHFLSSATCEKVVNAIYEGRIVYTPSTFWDIIPDHYKLKPI SIYDPRDSPLLNQYRLIVPRTRNVLESIQFATLLALYVAVMVLRRKNRYGPTEAAFSI FAFGWGLDQFATILAHGWNVYTQNLWSFLDVTFILIYWGYLVLRFLGWKLGDTNLDEQ AFDVLALAAPVLVPRLAFNLLSDNLVFLSLRSMVADFFFLTALSAWCFLGFLLSLLWL GEGAHPILTISKWMIYIWFGLDGTGIQRSTEFHWLLGPSVMVAFAFLGNTLFLTILVS MLSNTFSNISANAIQEISFRRAVLTLEGVKADAVFAYQPPFNILAVFLFVPLKFVVSP RWFHKIHVAAVKILNLPLLLIIAVAERRLLWPAHTIEDPSEIKAPPPTKSQFWKKWRL TVHRDLRAVFQVPPPDTVHDDIAVDDDLTHHLIRRQFTRGATNEIEPRNPEPQAHAHA RPDPGGRRPSRRDSMFPGIPPQKLRGSFSENDMFEGTSDRLAKMEKSIRRMEAMLSRL VPSIDDAISDSELEESGTLRGENTAESSFRRVEDQES FPSE_00015 MLPTPDTSHVPYERVYEPAEDSYLLLDTLSSASETEYLHNAFPD AAPLVVEVGTGSGVVLAFVHAHAQKLFGTRQVLTAGVDMNAFACRATVGTVAKAESDN PDTHASYLGSCMGDLTTSLCEGAIDVLIFNPPYVPTPEMPARPDTFVADDLGVTAKTS FDDDSYLLALSYAGGVDGMETTDRLIEALPRTLSRRGCAYLLLCAQNKPDQVKSRIEA FGPGWRAQTVGTSGKQAGWEKLQIVRIWRDYVSAAE FPSE_00016 MKLVRFLMKCANETVTIELKNGTIIHGTISSVSPQMNTALRNVK MTIKGQEPISLETMNIRGSTIRYFILPDSLPLDTLLIDDAPKPKNKARKEAADRGARG GRGRGGPRGRGRGGGGGRGRGGRP FPSE_00017 MISGRGGAGTRGRIRPPRRIVRATESGEGSDFEVCWKMLREALD DIHRKNCSKLSFEELYRAAYKIVLKKKGELLYEKVKGFEEQWFNDHVIPEIKELFSKS LLDSGSRSIHEKRQTGERFLKGLRDKWEDHNMSMNMTADILMYLDRGYTQLEAQRIPI FATTIALFREHILRSSLNTNHKVIDVLISVILEQINMEREGDIIDRNLIRSCTRMLSS LYETEDEKDSDKLYSTVFEPRFLENSKAYYAAECEKLLRESDAGAWLRHTQTRLNEEI DRCGTTIELETLPKVTSTIDQELIIKHLGEFLALEGSGLKWMIDNDKVEELSILYRLV SRVDSTKTSLREILQRRVVELGLDIEKVLKNTDFSTGQGDGEEGEGDKAKTLNLAAQQ TAAAIKWVDDVLRLKDKFDNLWTRCFQDDLIIQSALTKSFSDFINMFNRSSEYVSLFI DDNLKRGIKGKTEAEVDVVLEKAIVLIRYLQDRDLFQTYYQRHLARRLLHGKSESHDV EKQIISRMKQELGQQFTSKFEGMFRDLVTSTELTTGYRDHIRSVGDGSKTIDLNINVL TTNYWPPEVMGRTAQIGDGSRVTCTYPPELDRLQASFEQFYLTNRNGRKLTWIGTTGS SDIKCTFPAIAGKSGPLSRERRYEINVPTFAMVVMLLFNHLEDDQSLTFEEIQAKTNI SNQDLMRTLTAIAVAPKSRVLLKDPANKSVKPGDKFTFNASFQSKTIRIKAPIINAVS KVEDTTERKTTEEKNNQTRAHIVDAAIVRIMKSRKELSHSQLTSEVLSQLSGRFKPEV SLIKKRIEDLIAREYLERPDEDGAPSLYRYVA FPSE_00018 MLFFSFFKTLIDHEVTVELKNDIQLKGILKSVDQYLNIKLDDIQ VVEELKYPHLSSVKNVFIRGSVVRYVHLPGASVDTQLLEDATRREAAAQQAKAK FPSE_00019 MVAPKRPNRSHTPKKGSSVSQLPKKPVKANQSILSFFKKAEKSE TSLFLGEAPATAETKDLYSADDADNSTRHNEADSPNKRRKLSQEPELKIKPEASVKVE EVLVAKDCPSPAKSDQEPLRKPTKPKIKTPFVDSDSEDESDDEAKATNNKSEIPDQCT ELSPHEEVRTDGLTKDHEKKETDTRTPALKQEDSTYQHFDNLGDIPDEESPDLNDLEG EEMRVMRLMREQARLEAEEAGISIEDLAEDPLDDDSMTESCPICNGSLEGISVDEATR HVNSCLDGHPIPLPKKEKTPEKTSPAKPTPIHEVESKEVATRFARAAVPRPGQSNPLE IKGSDSAPKTAFSKLMSNNAEDSAWQEAAAAENASRGKQAYERTCPFYKIMPGFSICV DAFRYGAVQGCKAYFLSHFHSDHYIGLTARWCHGPIYCSKVTGSLVKNQLRTAAKWVV ELEFDKPYDIPGTEGATVTMIPANHCPGSSLFLFEKTMKQGSNSRVQRILHCGDFRAC PAHVKHPLLKPDIVDSISGKIKQQKIDICYLDTTYLNPKYSFPPQNDVIKACADLCGS MSPDPNCKDDIWEKASGQGTPAVSKFFPNTKSDENDNVDTKKKPPQRLLVICGTYSIG KERICISIAKALKSKIFATPGKIKICKQLDDPELSALLTSDPLEAQVHMQMLMEIRAE TLQEYLNSYKPYFSRIVGLRPSGWNFRPAGKSFGANTQPGSIHTQQILHDSNWRTRFG YKDFVPQRGSTKEAMCFGVPYSEHSSFRELAMFLMTLRIEKIVPTVNVGSEQSRKRMK GWIDRWLSERRRAGLVIPLIEGEDNGQVAEKELWDGKPGTAGKAFW FPSE_00020 MLHDHVFFIQCDPYMTKYEALPTPELAPSIPDTLELKPVGQPKC YSVTDRVHTLPAGLWDSDVVSTYEFIDLERGVFVRTRGPMGLVLETVWEIEETTDGGS KIVENVTISCSRLMLGMIKNSCEAGWKGVHGKMLERLESS FPSE_00021 MSSLQPRGPDSAVGKQKAIEDAKKMQKLVAEQCLKVGKDPPQYR LSELIGKGSFGRVYKATSLTSNQLVAVKIIDIEESDTVNPKLADTYSDLLKEINALQL LSDSGAKNINHVIDALPVGQSMWMITEYCAGGSVATLMKPTSPGGLQEKWIIPILREV AEAIYWVHGQGIIHRDIKCANILVTEEGNVQLCDFGVAGVIETKFDKRSTVIGTPHWM APELFDNSTSYGTEVDIWAFGAMVFEIASGLPPSVAAGMMDFNRLGSYLKQHLPRLEG DRYSQGLKDLVAYCLVDDPKQRPPIEKIQRHRYIHNTQDAYPTSDLAHLVRGYKLWEA QGGVRKSLFSAGGAQGPSDLGLQLLDNDEWNFSTTAAFDQQVLQTGDAQAVFDVYGSN VDFRHDGFDDASRTQKPKGRRRPPPHLPSVKAPLEKLFDPNTISNYEDNSRAYYGRPF PPPVSDFQPSQPPPASDLPLRNDSSQSPGVRESLIDLDMSLDGSNLSEFVDLNTIRAG DPRASTDYDFGDVSYNKPPLSDPADMSNNRRTRDWKFPSMAAPPASANPEMFRFPFND DEGPSTSRLIHPPTEPLQPSAQFNDLAVPSPGNNRASSGSLIDLDMSLADPISMGDYT RPSTSHSDVGSIAGSEIGGADPFQLEKHASLYVMPNSIREPSIYVSDDSEYATAVADL SLNDPQMNYQQTQVPLYQQPPAQSQSPPTNGITLTERPYSLSEFADTDPESFAPNTLA APELALQPPPLQPLPDLPPARDDYPRSFQQQSFLPPAPTAPAPMVLEGQGTSEDIKEE LRRLAMSLSDHLSHANACLSGLPLRRASTTRLEPVETPL FPSE_00022 MDDLLTPVSTTYLKPRKETEPLFTEVKTSSPQPEPRKITAISTA DDAVDVLKNQPDYDSLISVLEFLTSTKPSSDNFSLSTPSPKSALIVHLLVSEIAPNYW TLLLEGSFDGVAQSAAALPRDAELFVACIQSLTGLNAVITQIKTLIQESRMGGKEEKR TDLSLNANILLSVLSAILDGHGAISAIWSASTKGISNAALQRVQSQKLGSILTNGQIV STSAEALEIIGRDRERDETKWIADGLQYSKWMGNSIVSWVRSSPEADAMTFASDLFQK SLSLHHSETLIKTVINGLLLSKESSVQTFTRVALSQPRSSKKVIHLLLHHLSRTYLNH LTLDDNSPDGNISAVAGLLKELALNDQFRRDILIEWCASSSGAGLGDGVGIRRAVIAT LSQDREAITSVLEKSLAQFGDELYIRHSAMLQQDVHTQILLLAAGYMHRISPMKLTLL MRVGTYMTTISNRIGATQSKAQFLGLVVGEALSSLIDDNKQRLNFKMEETDTEEAQQL KGLTKISDPVGPIDPILSNIAIEPTIQKRKPTTSSKPVQKKAKQKKPIIKEVKPKAII EEIDSSEEDQDLAPYSKDSDPEDSDDDATLVQRNKPKPPVYVRDLISYLRDSETYDKQ LLALQTAPVLIRRKANYGTEVSFHADELAGLLVGIQDKFEIENFQDLRVQGMVALVIS QPKTMAPWFARTFFEGDYSLYQRTSVLITLGLSARELAGFAISQYESAAAFPSKRLPE KMEQLYIGPSDENKALPSSQLKALPANALDSISQSLTSEFLAPLAAEAADANTGPDVL KLQTFTARYKSKTKAKPRVRAIPNTTAALLATSFFSPLAAHFQVALRSAKPIILNHAL LALYLQTLGIIVHAAGPSTLSLPQLTSELWDLLLGVRVHVLGDLGATKGWLAAMASLL EVNGGDLRRLCENQGREVMETRQWVSMVFERTRGEDGGEENDVKMLAAGVLIRIGEAI EKYQALLMGDMIGFQ FPSE_00023 MSCDVQSPAGDHQNTRWKYLDQIRQNAGPYTDPEAIAPEALEGF NTLKVLVIGAGGLGCEILKNLAMSGFKNIHVIDMDTIDISNLNRQFLFRQDDVGKYKA EVAAAFVENRVKGVSITAHNNRIQDFDEEFYKQFQLVICGLDSIEARRWINAMLVSIA EEGEDADALKPLIDGGTEGFKGQARVILPTMTSCIECQLDMHAPRAAVPLCTIASIPR QPEHCVEWAHVIAWDKEKPFPKLDKDDPEHVTWLFQKALTRAQEFGIPGVTYSLTQGT IKNIIPAIASTNAIIAAACCNEAFKIATSSAPCLGFQTNYMMYSGNDSIYTYTFKHEK KDDCPVCGRQARPLEVDPKTTLQELIESFAIRPEAQLKKPSVRAEGKTLYMQFPPSLE EQTRPNLNKTLNELGLEDGQQVVVTDPAFPLEFNFFFKFKTTS FPSE_00024 MAPTQQAAWRNAPTAEDIARRQTVGINKETVTNISSTDYPGHHP GEDNEYTLDRFRDAFSVNFHQNDQFLSSFSLVGIDASLANAFRRILLSEIPTLAIENV YIENNTSVIQDEVLAHRLGLIPFKGGREGLHNFLKWHKKPEAGDDPYAGCFDWNTVRL ELNVTCTVNEEASPAENDPLKAYHNAHVYARDIVFVPTGRQAEYFSGENAIAPTNPDI LIAKLRPRQTINLAMHMHKGIGSDHAKFSPVATASYRLLPTITITQPIMGADAEKFAK CFPKGVIGLEKVTAEDAAKTGSGYEGHKGETKAVVVDAMKDTVSREALRHEEFQGKVK LGRRRDHFIFSIESTGQWDSDELFLESVKHLKLKCKKLEQQVINMAR FPSE_00025 MLSFVNYTPRTHPEHKNKEHCRVHSDPANIPHDVSQKTPVAEPK SSVPRSAAPPAPTTQA FPSE_00026 MAFGFGNSGNTMLGSNAGAGGITTGSPLQTIQTDDLGFLSIAGD AKVRLTTPWESLPSSTASLLSIASRKGLVAAAGPDQVIIATTESVRKAFEAPRDGETD IRNFEPQLKIPMPMRVSQLSFTADENYLILSAESGGGLAVYEVQSLLSGSTNSVFELS TNGETLRAMIPNPTPEKAELCAIVTNNGNLHMANLKERQISSPLKNQVSCVSWSSKGK QLCAGLGDGTIFQMTPEGEGKGEIPRPPDMDDFHVSSLTWLENHLFLSIHTSNNQSPP KSIYHIITRQPPSSYTFQQLTDPVEPYGSDKAPHHSILRLRDFAPALQDMLIIASTAV SEMGIVTRSKTPLATDQPAESITGVFTLTEFLDDTKRPTLPMTESMDDAVPVGVALDL SAKDKVYKPIPSDVELEESPGPLPGCWALTHDGVLCAWWVVYNDSIRQGTSYPHLAAV EGTAPATPAPAAQPAPAPAASASPFGSSGASAFGSAAQLGQKASPWGSASPAAPSTGG AVFGSSGFGNAAPSAAPAFGKPSAIGFGQSSQLGSKSSPWAPAAGGAPAATPAFGQSG FSSFANNNNTSPFGKAAADGQSAASTSGGFASFASKGGFTSMSNEGNSSVFGSGSKPA GSPFGSASNADTAFPAKASSGSPFGSTPFKLQSSFKPDGSAKDDNAKPTGSLFGSNFG SALTDPNNRPAVETPAPREEDMDTAGAIEETPQSKPKDTVFGSQQSPESTTPTTTPAP SKFGIAPSPAPQASAFGQPSKFGSGGGLFGNKSEAPKPSGGLFGSSSSTPRPSGGLFG SQQNTPRASIFNTPPKPTSYTGIFGQKSETPKAESPKIKQEEDDAPLPPDPTPKHNPF ASPPPAAKPEAAPLPPDFTPKTTQPASKSAEAADAPLPPDFVNVSKPTVASDAPLPPD PVNPLKQRSVFDKPEGAPKVPSSLFPAMTGPPSDDSDDEEDELDEEDEEDEDEGEDEE VDDEGEEEDDNGSEGSGVDVAKDLSPTLSPGYTPQSSFGRPSNTLGASRANQEGSRPL FGELGRNAPVFPRPSAISPRSPSPLRSAVPDRVQRSDSTRSVSAPGIASNLLGSRQSR MGASITSKDTRAPEDPFVAQHRKLKAKQEAAETQPLVDEEDDEVHKLLASRVEGSLTL DEFIAHSNVAAPAKESIPSQVEAVYRDINSMIDTLGLNARTVKSFIKGHTDNRKEGGR DKDDLDSPEDWVLCEIDELGEVLDDELHGDLQSGRVRDLDDKLDACHELTRDMHRLRA KQEDLKQILMIRTDPGQAEATKSMPLSAEQATQQNELRREFAKFSKLLAEAEESLTLL KTKMASASSASGKGKANVPTVDAVLRTIGKMTTMVEKRSGDIDVLENMMRKTTLNPMS REGSPMVTPQGRRSVMFSPEGTPVRNLRHSFAASMSLGASVRATTPRKKLSGYSQEEK GGLMQQRARRQAVLGKLKASVEKQGVSVWPLEDIE FPSE_00027 MPGFDFSNYNRNAALHARGVPLPKATSTGTTIVGCIFDGGVVIA ADTRATSGPIVADKNCEKLHYISPQIWCAGAGTAADTEFTTALISSQLELHSLSTGRK PRVVTCMTLLKQHLFRYQGHIGAYLVVAGVDPTGTHLFTVHAHGSTDKLPYVTMGSGS LAAMSVFETQWKPDLSQEEAIKLASDAILAGVWNDLGSGSNVDVAIITSDKTTLKRNY ITPNQKEPKLQSYRFPKGTTAVLNEKIIKKEEIKRYITVEDVPVEEKMDVDT FPSE_00028 MASRPSLLDLRSDSSHSNLSTVSKPLRSPRLHVAGEVPPELSPL DAFAMQSRLLARQLQESAKEGNRMSRLPPLTTESPLIVQGRSEYFRSLSQDSGSEAGD HPPLPHPSGLGQRTEVEDAFSDDAERPVSMHPRMSRIPPTPDVEVPAIPPRAPSRGRV LDHIDEASSLYGARTERSPSVLSDTPSQSSRRLESSPSRKEDNASLLSARRPSGSVSG SIAGSPEKPKMQLFDELGLAPPQNMFPQRRRGVSNVSSPAIPYEEDHNMTGSFHSLPP RKMSSASAMASPAVGSYRRSPSIGSESSALPRPSFNFSRPMSRSGTPGMEPPLRQASS DSQPSFILADESVHTPVSMHSEAFLDQHAEDRDKGATSYIYSKFTLPRGKAIQRGESD EDAAQAPFDWQQDMVPPNSAHRFENAPPPSPPTRPSSSSADIASGNSLTTGSPMPKDL TELRKVQSETTPPPMPDLSPTPGRSTEESRASEDVPRGRGRDRTPLSIATSDTASTIR PPPTARSTAPTASEMSAEEHLAKGIACHESGDLKESTYHLRYAARLNDPTAMLLYALA CRHGWGMRANQKEGVEWLRKAAEYASVEIADDESHAKEGKHVDVIERRTRKAQFALSI YELGVSHMNGWGIEQDKSLALRCFEIAGNWGDVDALAEAGFCYAQGIGCKKNLRKSAK FYRMAEAKGMSMVGNSWIHKAKYDDDNESTTSKKDKKKARSKSRTRAMFGRK FPSE_00029 MRQELPSGPPEDNNSVCQTQLMCKGILVDTTAAITVHQTSKGLI QSVCLLQFMSFPSLITVKYSSELANSSAHNSYGMSRTYLGQSTPDRGVVAATELVP FPSE_00030 MPPKSRFTRLDAFTKTVDEARIRTTSGGVVTIVSLLVVLFLSWG EWADYRRIDIHPELIVDKGRGERMEIHLNITFPKMPCELLSLDVMDVSGEQQHGVMHG VNKVRLQPESQGGAVIDTKSLSLHDDAAHHLDPSYCGGCYGATPPANAQKAGCCQTCD EVREAYAQASWAFGRGEGVEQCEREHYGEKLDAQRSEGCRIEGGLRVNKVIGNFHFAP GRSFSSGNMHVHDLKNYWDVPKGFSHDFTHIVHSLRFGPQLPDHIARKVGHKNTLWTN HHQNPLDDTRQETHDPNYNFMYFVKIVPTSYLPLGWDKKGIKIAGLLQEDNAGLGAYG YGEDGSVETHQYSVTSHRRSLAGGNDAAEGHAERQHTSGGIPGVFFSYDISPMKVVNR EEKAKTFSGFLAGLCAIVGGTLTVAAAVDRGLFEGAARLKKMRSKDM FPSE_00031 MSTRPPSRVVFVGNIPYGLTEEQITEIFSSAGKVERFRLVYDSE TGRPKGFGFADYPDTDSASSAVRNLNDHEIMGRKLRVDFSNEQKGSDDDKDPSTSGYN MHASNGAATNYNAQPSTLPPLPSGKELPPGVSCTDSISRTLQTLPPAQLLDILSQMKT LATNDPQRATELLQQAPQLGYAVFQALLLMGLVSPEAIQSVVEPGAPPPAAFPPVPMP GFPGVSNTPPVAAMPYQAPPQQYAAPPPPAAPAPVAPDQDALMRAVMELPQAQIDMLP EAERQQILALRATFGGQRR FPSE_00032 MSSNRFTDLANPSRSAAAPPASDPNQSQAPESSNNSNASRAARK RKGHRGGRKKRTRRKSFAAMDDDDDHDETREAAGEGFFNLPRANLSNTSIDSEALLDH RDHQPLRPRRTSTVPLPGGMSVSSPFSSSAGNRLRSIPAVSAEEEHQDHTQWDENAPL LGESIISGYGASDARSNRTRSRRPSNKSSTTRLGTSSGARDNNYDVNAPPSMPGSPTF GTADRIELSLGDVMIRDGLGGRDDSPHRLMGEIDCLSDMDDMRDGRPDISRRMATEAE QDVCFPVPGMSEIGDDDAQSQAHEHRQYRTRRRRGQWPDLSVLEEWSSMEKEDRTEER RVKRITEPQLINGRLRPIRKGQFYEAIEESPYRFTYFNEEFQSTVHSQTISELVQPGG GFRELFVPDPRVLSDDESDDEEVEPILPTKLHNLSTEGNSKVHTRQPSLSTVHDNYEQ REGTISPLKDPLAHVASRSESGNATPTGRKSADETAHVSQNTEGGSNEKMIRYGERPV WWLDVLCPTEAEMKVISKAFGIHPLTAEDIMLQEAREKVELFRHYYFVNYRSFDQDED TNNFLEPVDMYVVVFREGVISFHFSRTPHPANVRRRIRQLKDYLILSSDWISYAIIDD ITDVFAPLIEKIETEVDDIDDAILRLHSSADKRLNEESSKNDDAMAASDSNIDMLQQV GECRKKVMKLYRLLGNKADVIKGFAKRCNERWEVAPRSEIGLYLGDIQDHIVTMTANL GHYEKILARSHGNYLAQINIRMNERQEQTADVLGKLTVLGTIVLPMNIITGLWGMNVW VPGQEYEGDLQWFFWITAGLLFFGFACFMIAKRVYNIV FPSE_00033 MSKIVSERSKRKRSSVQEEPTKRRRSSVSSDESEDPNAKILLME QGILESRKNYNDITVLLTTANGFKDGDSESMLATVALCRIFLRLLAQGSLATKKSLSE KDQVVVGWLRKQFGELKKTLVTILRDEELAPTALTLCMRTLKAEGASFNEKDDYIFPR SFLRDIVLSLIESENTEVMKAFVEEYVEQFDDIRYYTLDAVKHIVKEQESDPSPGLFD RCFALLSALDGVPESAEQLEDFYVPKPKKKTHNLRNVNQHKKQGQEAWLALMTLMDKK EQRQRKQILDIFTTVIAPWFTKPELLSDFLTDCYDAGGSTSLLALSGVFYLISERNLD YPSFYAKLYSLLDRDILHSKHRSRFFRLLDTFLGSTHLPAALVASFIKRLARLSLNAP PGAIVFVTPWIYNLLKRHPTCTFMIHREIQDPEVKKHIEEQGAKDPFLSNEADPMHTE AIDSCLWELVQLQSHYHPNVATISKIISEQFTKQSYNIEDFLDHSYATLLEAEIAKEI KKAPVTEFHIPKKVFFANEGADEHDNLFVKLWDFGQE FPSE_00034 MASRLARSAVGAPLLRPVLARRAAPAFSVAAARYNSNVPAEDPK KKAQSIIDALPGNSLLSKSAILSSAAALSVYAISSEYYVMNEETIIAISLLSVWGALI KYGGPAYKEWAEAQNNKIKNILNSARADHTEAVKGRIEDVKQMGSVVEITKNLFQVSK ETAKLESEAFELEQKTALAAEAKAVLESWVRYEGQVKQRQQKELAQSIIAKVQKELEN PKVLQQILQQSVADVEKIVASKAQ FPSE_00035 MADIDDYLEDGFDPRSVTVPRLRSILVTHNVEYPATAKKSQLVQ LVEQHVLPLAPKLRAQRARAKRSSMGIVNAGSAEDNGTWDDYDLAPPSTIKKRSKSPR KTSSRIKTEHDVLATPAPRSPTKRSTRSVSRALSHTDEPDYYEAPRSVRQPRREITPQ IKQEPSEEEEEEEEEEEEEEEEEEEEEEEEETILPDHEESVFTYDNPFQSGSSPAQHK TPTNRRRTADRDSIRSAKSSSRLRTSMYNDDYQQVHEPQTPVYRQPTPDIVEAGEEFT PNEQLELEEAASRGEMAVESRKPVSQVSRKGGFKAPLLVLFLSLFGAYLAWYRQEKIA VGYCEVGGQAKSLISPDVPIPDALLPFVEPQCEPCPSHAYCYGDYSVRCEHGFLLKPH PLSLGGLVPVPPTCEPDGEKARRVKAVADKAIEELRERRAQYECGELITEDGQKQDSP AIAEHELKETVSRKRSQRLTEEEFDELWAEAIGEVATREEVEIIGTEPSSDSSDLPNR QLSSTSLARLPLTCALKRSLRLSLARYRLTIGLLISAVLGIFYMRARYRKHVATKAQV PALVDIVLERLANQKELGEEGIDDPWLFLPNLRDDVLRNIHSLSERERIWQRVRAVVE QNSNVRTSQREGRSGEVGRAWEWIGPVAGEGARRRRGGRVSLGPGLHTDSPDASKVTP EVKKWEESRPLY FPSE_00036 MLARRSALAKPGFVCRTCARQYQYQLRRASTSTQDNIYDVVCVG GGPAGLSLLTALRANPITAGLRVALIEAQDLSKISSFSLPPTQFSNRCSSLTPASAQY LDKIGAWRHLQRDRVQDYQEMQVWDGVTGARIEFDWPPSTTGDKTIAYMTENLNLASG LLKRLHELGGVDIFDKTKVEGIDLGKETEDLDLSEWPVVQLSNGQSLAARLLVGADGA NSPVRTFAGINSRGWDYGRHGVVATLELEGEGWGGQFNKIAYQRFLPTGPVAMLPMPG KYATLVWSTTPEKAALLKSLSPKNFIAMVNAAFRLSPVDIGFMHTQQDSQAEELSWRL QHTSFDAEALPQTVVGVQEGSIASFPLKLRHADTYTGERVALVGDAAHTIHPLAGQGL NQGQGDAQSLVKTIEFAVSHGQDLGAQLSLESYNSERYAANHIILNVCDKLHKLYSIE SGPLVPLRSVGLRAVNALGPLKSFFMEQASGTGTKIL FPSE_00037 MATLRLASRGVKSLCMRPATFAARPFSTTCLRRNAAPVETVGTR LVPVDEDFSSAQDSYGLSKPHTPGTRKSRENSVSDRKVRHYTVNFGPQHPAAHGVLRL ILELNGEEIVRADPHVGLLHRGTEKLIEYKTYLQALPYFDRLDYVSMMTNEQCFSLAV EKLLNVEIPERAKWIRTLFGEITRILNHLMSVLSHAMDVGALTPFLWGFEEREKLMEF YERVSGARLHAAYVRPGGVHQDIPVGLLDDIYQWATQFGDRIDETEEMLTDNRIWIER LRGVGVVPAAEALNLSFTGVMLRGSGVPFDVRKNQPYDAYDQVEFDVPVGTNGDCYDR YLCRMEEFRQSLRIIHQCLNKMPAGPVRVEDYKVSPPPRAAMKENMEALIHHFLLYTK GYAVPPGETYSAIEAPKGEMGVYVVSDGSERPYRCHIRAPGFAHLGGFDHVSKGHLLA DAVAVIGTMDLVFGEVDR FPSE_00038 MAEPTKLTSPSPPSEEPGTILVETERIIIRRYHMSDAPVLASAA NNKAIAANLRNTFPSPYTLSDAQNFLANMGCKPDGTSYPYHNGLFLKPNTTGNPSTEP LFIGAIGAVPKNDMYFRTWEIGYWLAEPAWGKGYMPEAAKAFIRWCFKTWPELNRIEA VVKEGNVTGLAILKKMGFTHEGARRGAIFKNGEILDEIQFGFLRSDLEHENESR FPSE_00039 MSIDEIIKLLGHVPPPQAGHHTEEMLKEINKVYHEMYAPGLGSF FESTWYFFTENGKMSLPQNPRLVDLLGHFLKTLEAVRVNDHSQMAYSGILETRLVWEL AQSVYEIPTNTPVVAHAGLPAENDAKEAKNRVRVVDALLCGEYLDHNPLCSPQHDPGN PRARQFDFWYSLAEFVRKRDDPTGQPAVKMREEMLARMRYLLDGRENRDVLYSIAVVR ELSPHFDSTYSNNASQHVDETDPKNKLAVASKFIYDESQVSGGTTNVVRRFCDIAHRA FVNPGVNIARRS FPSE_00040 MENDAVRGQAWGERDCKYAPKLESWKQFAKIDMVYHVCGFLQIS RLAEATSEASKTQCDETHPTCNNCKKSKRECLGYDPIFRQQAGGQPSNIQPAPSQRTP PTIPSSVPSSVPSSIAPNPVLTARPTNSYGSQPSMLPSSYATAHATTASPNPSLNSLS YDSSFSNVASPPVKSEPTYEYSAAAIDPALHNPESSRAVEQRPLADNQNLRGGAPYY FPSE_00041 MAQDHHGQSHGDSPNNPPASSIQTSNSPSLAPIVASVTAPITHA ASTRSVNTASAPSDTTLPPITTIASVPFTAADRPTASTTATVPTSAPVPAEPELPAVS ASAPTSKPASAPAASTALPAVAQQNGDSRAPEAASTTPASNSEMSNQHPGMPHGQHVS YQGSSTHYAPSVGVTTAQYGSYPAVTSQPAEAYRPNPMPIGSNMSLPSMRTIENPHGP SVSSPQGMPMSMPMAQVPGGVPYYQHQGMPMAPGYGLSDPMARYAIPHDPRLMGHRGP KKVCKNVYKNIFEHSPSQWQA FPSE_00042 MVFITRRTRRRLRPIVIILLISVFIFYTILPHDSAIRLALVFNV SRLFNFLRGAASNKDAWLYKAPRFTVDLRNDVGYLIKTGYGTRHRVAEQLAAFKATGG YLGKEGESFLVVGDWTTVNQTDASLIGATVHDAIKRVMETKIRGKIDDYPRLVKYRSL QARLQAGDEEEALKIGQTYGWELDALKFIMGMEMIYNELPNKKWYIILDDDTFLIQPS LELLMGHIDYRKPQYIGNAVGDYKARFGHGGSGILISGEAMRQLFQHPGIVQEAYAES MTETWGDRLVATTLQKLGIYIEEAYNHHFNGEPPSITRIWGDRFCSPLVSFHGLRKPG EMRRVGETLAEVDQPVLWHDVWQLFGGSAISALESRPTELMADHVGKPDEHTRSWGDV RSANACQKRCEQSGRRCLAWTYEMEIERCHTSPWLLLGADGATGKASGINWPEVKPLL NGCR FPSE_00043 MHDKNTSVVSYAAGASLAAAALIYVFAPNFSIDHDATSSKKKTI VGLRNQANDCFINSVLQALAGLVELRVYLIRETHRRHIEDPAVYASLVQPEGREIAQW KLQGLQEGLVTQGLKEMLDALNERPIYKKSISPFPFVKVLEAAFKQRISRQQQDAQEF LQIVAERLKDEYHCGQRARLHVRRRGLFPTNSAADIESTTEDQVNGKDSDESSQEQQP HTNGDSNSAIEPSEDTEIPQINGQLPLENEEGFPMEGKYESHLECLTCRYKTKPREET FCTITLAVPQVSGTTLNACFDGIFKTEHIEDFKCEMCRLLQTKAGLEAEMTKSTSESF KAQAQESIDKLQHTIDTDPENPPEDLELGDNRYAPKRKIAKTTRMSIFPKILAIHLSR SIYDVGQMTQKNSAKVVFPEQLPLGGLMDQKKYKLLGLVTHRGGHNSGHYEAFRRQNV VAPFSNSNTFQPSEAFSKTPTPMGTPVLSGGPTHSPAISTPDLLSGSSGNSSTPSLDS LPPPPRSVPADLRGSASLPAIGKQKDPETSSLRSVAASTKSAISKLTSPKQTNSSSST PKLVPSNVSKRSKKRKATSDKWWRVNDEKVKEAKTSEVLGMQREVYLLFYELDKEDA FPSE_00044 MNSSATIQEPNRLFALPPEILFNIMNETTQKEECWINLLTKGKS PCGVRKGAYSVLVYTKGGVAQGPRSSPFHHKCVFKRPVADGKIDVEGIASKNLELSLR ANRLRSQLNVYERSLDPDLVDNVRSICLRLEDEDITPAELRLMCERFPVLSRAITEVL PFTDAVYGGLDTVRPFEPNSGAREKRLLPSDDMLECQRLKHVMIRSSPWEGRAGDLID RVLFDANSLSGANQTLMMQTYLRMILSERKIEDDIALLNVDWSLLSGLESLCFDLNTT SWRKSLTQLKSMLLSMGRHLKLKTLVVLDFCDGTLTQPNVIALLMSCLQPGGELHLIS FPSE_00045 MALQVLIAHTGLRLEVDTAQFSILDDLKTWVSKKTSIPPQHIVA LNPHGRTVKITNLHTEKEIFVYDIRISSPGNANLITPIPLPKRYTVPNAPNTIDDVQS ITSWQELYKDRRNWAMHLVEDSGQMSSATLARYSEIDVIIKCLDAAVANLEISIKQIE PKYNDLKKWVAPALEEHGNLVERWEQYLDLAKSTPVSPSMVKFMTGREVNKARPTLED LIELDTAKKAGKLAPTAHRRFSDKANQLGNTASQMYQNLESLIANFETLMSRSALSHS TDSAQLLEDIEAVVKQMDSDYRAALGYGNTQRDVAQASKTASVHTEHLVPTLKKRVKE MEELLHYGTDARNSVASESAKFMRHVTEITSLHSNVKGQINVLNQSEDDMTTFDYLRL IHQLPYMYAAFVAEAVRRREWVDKVKTDSSTLANEMALFQDEESKRRRKWQKMIGSMY GPDLDTNVMGLEVNLLGEDTPWPALTKEDLTDFIQILQEQPVDQTVLDDIIKLVQELD SPTKQQSKRLKAFKNGSIHEAALGRSGLMIRGDDDLLQSLQEDKGKLENKLKTAESRV RRLEDLLHRQSQASRPGNLFQPQGSQQRERVNSASSVRSSRFDDRRRSSEGIDPLMRR ITQLENELREEKQRSANLQQELTTQSNDHENVKGQHEDLKAQHEDLKGQMTEINTTKQ DLLENMEALEREFVEERKNLEIEIKTLKARLEDTEDEIEQFDESRQHEKAGLVVRVEE LETELEQVNKQRQDDALKAQGQVDFLRKETRIQREQQEALEQQMQSAQEEAQNVSRKL SVAEEALDDHWQALTRLFSELSPDATIPDNVVDLSDLLLTQAGTLVEKSRNSEADIEL LKTQVEHFSSTISELREQISQKDTKLSEDEMTVIHLRENLAEEQAKVSALEQELADGR EQLTELRAKLSDGETGPEALQTRLEDEEKKVMTLTEEVASKQSHVGSLEEELRMFQEK VESLQGKISHMNSHYEHRDEKTKDLTQRLYSQNDRMCRLLERVGYAVTRKDGEMTVNK IPRAERNAQNLADSTDPSASIRKSGTLSRVLGDSVDLELLYWLNNSDMQAEDDKYEEF MNNLGKFDMELFSETVYRRIKEVEHMARKWQKEARSYRERAHILQKDSHEKIAFKHFR EGDLALFLPTRNQQAGAWAAFNVGFPHYFLREQDAHRLRHREWLVARISRIQERVVDL SKSLQPSSETESINDEENDNPFQLSDGLHWYLIDALEDKPGAPSTPGMGKSTVAANTV EATANIHAHGVGGKGKSRESVTSIEGINKTLSKSLESRRSSTNSKKALPFQLGGTTLL KNSALASETNSLRAHNADTPSGTSPTQGGHLTSTNASLGQKNQRVDGPIRQPSDESST QGGGAKADEQPRSVVQRKDSAESPTRRSVVWDSLWSVDYNYESGSRRWLGG FPSE_00046 MEDEGDTPMVNGDVKTSHLPMSEDEEKILALYDRIQELRLEIAI INAQQSHQSDDTALFTDEETQKAQSDLLETRAQYVLRNDVTEAVVTANPILKAVHSNA ETAPIERELLPFIERRDEASVSVARQASQTNEVWKALTTAQSDTLRKSRENVTLAAEL FELADQAKLKKRVPPNNSKMIEEQERLEAEVNASKQKWRVMKGVAGGIIVGSGVDWVQ DDELRDVVLDPETDE FPSE_00047 MPKAAAPAKRATRTKRAKKDPNAPKRGLSAYMFFANEQRENVRE ENPGISFGQVGKLLGERWKALNEKQRAPYEAKAAADKKRYEDEKQAYNADQEEEESS FPSE_00048 MEMVLGDQLELHLLQGCCLEGERADLVALQLQGLHNVLPDGNHA HLMMTIEEIRASSQLLFELPEHCKVHFSRVPIVLDYLEILLPCLSRSLRDITTFYEDR SQTRENRWRKMYHSMTDEAGGLSLPQRFILYNRFLTLLRELLTRSLSFDFNTMETTRV QLMELREARNIPPPPIRLNSTSQLDSVLDDDSSTIANIHWSEKIFSLPLPSRTPLKHQ QPSKAWGPLVPWEQIQMPSDAKILFMRSFNERQITLVVYESARDNCPYFLLRTFHMGT PWFSLRGAHELVVDRNGSCLQFWRWSESDRCTKRWATLCFLTWEEMVLVYCCFLSFKT RDSQTLRMAANEDLSLWGERKLFQARIVDDNFMHSLIVYEDFVSKGLRLHAAVWEGDL RQCPVWTAFVTHQSASSRWIKRVSKTRVRLADIHLYVFCQEYRQQNQRINRSGAFEIK FVSEEAAKRFRDIFAPSFTDESTTTDTTA FPSE_00049 MGVQTVEFKPFQDQKPGTSGLRKKVVVFQQPHYSEAFITSILLS IPEGVEGSNLVIGGDGRYFNPEAIQLIAKIGAAYGVKKLIIGQNGILSTPAASHVIRI RKATGGILLTASHNPGGPKNDFGIKYNLANGGPAPESVTNKIYEFSKTLTSYKIADIP DVDITTVGTQTYGALEVEVIDSTADYVAMLKDIFDFDLIKKFFSSHPDFKVLFDGLHG VTGPYGKAIFEQELGLSNSTQNCIPSPDFNGGHPDPNLTYAHSLVEVVDKHNIPFGAA SDGDGDRNMIYGANAFVSPGDSLAIIAHYAHLIPYFKKNGVNGLARSMPTSGAVDLVA KAQGLDCYEVPTGWKFFCALFDAKKLSICGEESFGTGSDHIREKDGLWAVIAWLNIIA GVGVQNPEVTPSIKEIQKEFWTKYGRTFFTRYDYEDVDSEGANKVVGELEKLVADSNF VGSTIKGRKVTKAGNFSYTDLDGSVASKQGLYAGFSSGSRIVVRLSGTGSSGATIRLY IEQHTSDPSKYELDAQDFLKEEVKFATELLKFKEHVGRDEPDVKT FPSE_00050 MSTAAEVQADNQPKSACDDPLVWIDCEMTGLDQDNDEIIEIYCI ITTGNLEILDEEGFHAIIHFPQSRLDQMDEWCTKTHAESGLTAAVLESTTTPEQAADA LYEYITRFIPERKRGLLAGNSVHCDRAFLRREPYKRVMRHLHHRILDVSSIKEAARRW AAKRVVNKVPNKKGLHKARDDILESIEEAKYYREVIFRPTFDVVPAKNKPNKGKQ FPSE_00051 MSTLVNNLLSKLTTEENGDTNSQPQPPPKPEMSETPPRFLIIGA GSRGQNYAAAIDSVSNGVVAAVAEPLKFKRESLGRDHIWGNGSPKEGQSFHDWRDFLA YEQDRRNRVAAGDENVPEGVDGVFVCVLDEMHHEVIVGLAPLELHIMCEKPLACSLQD CVDMYKAMRPYQPSKVFSIGHVLRYSPHNIMLRKLLVEDHVIGDISSVVHTEPVGYWH FSHSYVRGNWRNENTTAPSLLTKSCHDIDLLLWLLCSPEKAGQGEPHIPATVASSGGL HLFKKSRKPKAAGSATNCTKCPLGDEGCKFSAKNIYLGPKLKGLQSGNTRWPVSIVVH DIEDYPSQEEKEEQVMKALVEDYDESTPKSEVQSRNWFGRCVFEADNNVCDDQFVTIT WPESTQPAKTATLHMVAQTTKICERYSHFYGEHGEVYADSRRIVVEDFNTGETKTYHP RVEDLGHGGGDLGLTRQFVMACDRVKNHGWEAPRAQDDFIGCTLDEVLRSHAMVFAAE EARLGRKVLDWEEWWDKALGKQLGLNGHA FPSE_00052 MHRPAVLLALGALSSPVAAGWLKSDQEASWEPPRQTGIYGPEAG EQANIALGWSPVPTDAPQLAGAMDLKFAMGKRDLAPMTCGFGKAGNSFTCISSVATCS YSNGWIGCCETGRACKSVKTSCVPYTSSASVCAFLEDFHTLCCDATAKYCHTWVGTTS GDPYTILACDSTSGSSALLFSDPLATGDSSTTESDASTTKASSTTDDSATTVTEDVAA ASDSNKKDDDKGTPVGAIAGGVVGGVAALALVGVAGFLLFRRRKKTNAAAATAAAGAN PQNPPPMAQHPQSPGYVPSSPSNATYPSGVPSNFQGYQQTYDPSLAAPYGQPQGYQQP GYGGYSPQPQGYAQPGFGQQGQYPAQYGAGGYGVPSSTSPPPGHFTPSPGPNKDGHES PQAQELPAVQPIGNEGNRAELS FPSE_00053 MTSATLVHTSFPVTRPSLHREHIYRNHSPNPSIINPTNPAATET LYSAISYANKYHYEAYGVRYLYIDGISEAVGFVPEYFVQSMVWDPQLFSLPPAAFEGC ATREIFIRTDFLPERPPPERRGLPTFRNSSDITRCCEFAFNKLVRDNSANSNFPSLTK WSATELDRREISSVYLFNSHLRDIRIPTPLRGFLGILTVGVHLNVYSKDYTGEYRIWV ARRASGPEYSYPGMLDQIVAGGMDPEDRDHELLVPLRTLIREAREEVGLEIDERTRAV FVPGTEAGPGTEARPRRQIGKAVRISHITFFDKKDPRTGELDEHQLEPGVRIIYDLEL TSEYYPQPNEPSIDSIMPMDVSQVKESLSREGEWKPNCGLVMLEFLVRHQLVNMNNDR HYDRIMEGLRPHIPFQFANCWRDWISG FPSE_00054 MCHTVIAQRMCKDCRHSLGETVIDFTRCARKCSSPFYCLTPEPQ MELCNLCVTTSTLSTPVLAPHALEDTTTAAAAAAAAASAGTRLYNHSIGKTVAYPGPG VVRHTAAH FPSE_00055 MFVARQRAAFVARQLQRTARTYASDAHAHHKAAEVNESFSTGSL FAVAGFFGSVLVYQFVPAEGEQSSILNFINKYTSRSKDWEETNALHTKAMEQAGYDRN LFENGGNKHRFVDVAYPEAISSYANRNHIAGHLPNMDYVVEHYRQQHLKEEERKATKL AQKQE FPSE_00056 MAEERPCRPMLRNIPHSYNRLRDFIPPRMYSQAGPSSEEGLLEG VSEATTMERPSVTQVAPTAQGSSHLQPHQQASTSQVASTTHGASVEQELQAVQQPRDL VEIRETSTKRGRGLFATRDIASDTNVIRDELPVLNGNFLSLMSE FPSE_00057 MADEYDAEQAAELKRKRAFRKFSYRGIDLDQLLDLSSDQLRDVV HARARRRINRGLKRRPMGLIKKLRKAKQEAQPNEKPDLVKTHLRDMIVVPEMIGSVIG IYSGKEFNQVEIKPEMVGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK FPSE_00058 MSLSTEDDESTVTGSSSMPPAAPSSAMSLSKPTPHPTTLVIEPK PTDDDDLASDWTFPPMTTPWTGPPDCTWTYDANHVSMSGIGGLEAMLDLQPIAGAKSL SCYPDAMFDNGLTGVYSPGTCPHGWTTVTLRIEPSANRDDETTTAICCSSHYTLEGSL CKRSTSSVVAIPYTFNQTAQSYDAHSESATTLYSATIAVYTIRALFKDRDKDALGLKD EDDIPGVDHHKDSLSLSERIGIGVGVAVFVLLAVGGLAFWLIHREKARTEKRRPHELN AVGNMRHNSSGAGDDFYAAAEANQRNRSRGNAEPPPPAYVATDSNSMTENDSRLSEDT TTRDEEIRALQIQKEAIQRRLQQLEQADIQNQTDNRHN FPSE_00059 MKGEILHLHLGQAGTQLGNSAWELYLLEHGLGPDGRPDPNAPDI GDPGSFETFFTETNSGKYVPRSLFVDLDPSPIDEIRTGEYRNLFHPELLVSGKEDAAN NYARGHYTIGKEMVDNVMDRIRRVADNCQSLQGFLIFHSFGGGTGSGFGALLLERLST EYGKKSKLEFAVYPAPRTSTAVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICRRNL DIPRPSYEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAPV ISANKSEHESFKVQELTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDCVPRDCNAAIA SLKAKASFNLVEWCPTGFKLGINYQKPMAVPAPAEAGGLAPVKRSVSMLSNTTAIAEA WSRLDYKFDLMHSKRAFVHWYVGEGMEEGEFTEAREDLAALEKDYEEVAADSFEPEEE LEY FPSE_00060 MAKGSKGLRASSKAVDPTLDALFASSAGPVQAPAKSKYSTLLDQ KVREPAKPKVHLEEDDEVLSEISEELSFEEDGPSDEDEDEEDSEQEDGSGDEQEEEES EDVDEAMKDAPVELDDIIDATEDKSNKERKRKRKNDNDDLEGKYLDKVAAEEEADRAG KRQKNDALTKTEKPAVDEEDAGNESDIPVHETLVKDSKASDLDKAARTVFLANVSTEA ISSKSAKKTLMTHLSSVLEKDATPPQTIESLRFRSVAFAGGSLPKRAAYITKSLMDST TKSANAYVVYSTTAAARTAATKLNGTQVLDRHLRVDSVAHPSPTDHRRCVFVGNLGFV DDETVLNTNAEGDTTEKKKNKTPSDIEEGLWRTFSTQGKVENVRVVRDSKTRVGKGFA YVQFYDANDVEAALLLDGKKFPPMLPRKLRVTRAKDPRKTALAQERARGKHISTNGPK STKYKHKATPEEQSMAGRTSKLLGRSAAVQQRHKKRPSAHGESREAESQPAGIKGPEQ FVFEGRRASARDGLPKDLKQKKGKGKKSGRPQNHGTKRASEWKKKKN FPSE_00061 MAASASAPPHLSSPALGVADHDDSNVSSPLSEVDTKDDNDDEIE HMHLDHDEEESLRRSPRKKPHVASDSDSVLSDAHSDVASDGNITEAETERLYDTPRHQ RQRDVVVDQFNEGQVYEHTPSKLHRTANLHDLGDDESAIDDDDASTGSPTVGGDSPTK HATSHDEEMEEGHKSDTQERKRKRTPAAEPSETEQPLRKRTSSVHAHDAGALRQLEEM DLHDDTATPANGSSGTHTPVEEIDVSPRKKPASRETDLTERISRVAKKNTRGSKRKAA AAADPDHDTDSGSHDGARDSGRGTDVDNQGDEADPDADEEVDSVTAHDEELERKHAAF KDWTVIEEMFGVFRDRLYKDRLKRLEEEEQSLLADVPTHPEYLNMKQCLDDRLEQKLR EINNEHEYRLKAHENRSVAQRAQVWGQYYQAVREKRETTLESLNQEWYDIQTARRSAH SLQDCGLLYPKDPAQRVRNAIAYNTEVSALATIAKYEGFPAGPEMTGATPSELEDDLA AIERAKRSRHKPTSQRREEYYPQPFDRLGPAGEQFLRETPWANPNHLIHKMYPTTAPV EARPDDAASRGAQQIHGPPPVDIKSSLNAVAQATQQSPSLSTRLSESPELTRTMLSPA HQVKKVGNLPGVSRSAKTAAT FPSE_00062 MVKSPHSTYYDPRLRQGAALVRARRPYLFKNAITGLGLLGVVGN WYTLNAVGQDNFEDVKVPDAPKSAASK FPSE_00063 MAATLSVSDQIRQLDDARKLVLGDVKYYPSVVKGILPIIGPSAP LELRRWGAEFLAEAFATPALPNGEKETMQPYVLPTLESLLENEREDPQVLRSVIQCVA SIYPLAMRWIINNGYDTITWERMVAVKQKVLRIWDNAGPTVRICCIKFAQRVVLAQTA ATGSELRYGGTLDVSLDKVPSNHQSLDPRNLEAEASGLLDRMLGALLESSDALIVDAT LNCMSIIIRARPATSNRIINALLGFNPLKLANSPLTPKSRVMIRSMEKTTRMLLIHLV KRDPHNPMAPRIQQHVERMMRTMADIFDNSGHKRPLEPPPQDEYEAKRPRLSPAQIQI PPLGPSPHSLADIFTLIDNSALKNFDISQVPAPLVARIAVTTLARLDPQVLSKAVDGI RSRLDTLASAPVPELNPNTAPLGVEEDDDDYEPDFYQAEDTEQILNKLDSSPTHEAPL LDDGLALKSFHLHQPSALTPEAALTAGNGTVTRVIEMMKSMEEPAKKSKAGFSRLAAS SGNRDSWMTILARLATRSVAGLEETTIKDEGGSLTHQSLSSNIRDVLYSYVMEDFRKH IDVAVSWLCEEWYNDKLQQKKGGDRPLYYEKCCLRLIDGFLPYLHPQDKVLTRFLSEI PDLNKNVLSRVKHMCRDPSVVQLALTSLLYLIMMRPPAKEIALDTVQDIWTEFEEARP VAGKYLSKYRPSFMEAAQIGAGNSSGQSASTAITA FPSE_00064 MKSRSPATYKPAVARSSTIRSVRSFDGSESSPESKHSNFSSSVN SSTSGVSNSHSNPDLNNHTHTPVKAKPDPNNANITPSRWSTGAARVIEPTPDSETPTM SESTEAVDGQWDSTIGKAGLGKTGRVINKLVSDNEALKRDIKIERLKADEAKQAAKLL EDKLDRMVSDYESRLLEANVTKTLLARKERQVETLQATVELEKKKATDALQREKSWRD EMTKTTKDATIQVEEATSYAQLMEGRYNAISSHWQDQGDEVKRAVTKMKSEIDHLNEE RRADDDKIQTLRDLCDQQDGNIKQLLHEKEEIARKFEEYKKTQEQGLKDIKTNARARE DEQEALLLASKETLDKLKWALNVKKNVKGAQ FPSE_00065 MDGYSEFYISCNPPLLPNGVSSVITDAVNDLGQILIEVLYEPEV CWFKFISVVQDQPQITHWMHKRLERLFEAEVCPVNDDEDLFEDQDDAGYSDPKARPDV QLLTETPHVVPYPLLKHTSPDIKEQYDRYRYPDHIKHLSHKRTWQSPEGLEGVTVSQI LSKFEPLLPALLGPSGIEKLAELTKCQISYNLRGSLLYIGSDQGLSALDAATQKLNTL ASLTDAPSATTSHFIFTRKPESARVCYVWTTHVGLSTLTYADPECFDLKEEYKRIAGA VTLRTGIVNNKGLLIPDSTTYPTESTGPRRKQTEFRPFEGYTYGNKQSGTTAVKDQKR PLRCFRTQPETSRKTAKSEGKQFPTAAKNVQVTMAHCAASTVNEAADKASPAHSSRRK PKTISDPQSTWVGSLNSKVSPLQNGTGELKSLLHRRVEVAQWLGGIQSDEPPEAPNEV DDDEEAAPCLITSQTAGDLYEPRLPQPVEVQNSHQLEDRSGQGTLVFGESACLDQPQL GPALSRTPTTLHEVPEHGMPGTGNVHRERQNPPNPPITKPTGQNLMDMFDGPISIPVL IPEQAVAPSYGAETTQSPNATHSEVMFGKQSDEPKALFNTMGQKAAPDRSWAGIASRK NTAIKEQNDTDFGQNVRVRDDRPLNQEPKTTGPSYQERNQETHCEVSVSTAHVVPGMD APVITLDNDGSVKVSFDAEKKLQDLLEVFQAVPGKISVEAKFGRVCIKGIPPAEVYLS PSPNGPFESAGAKARHLNDNNPHVEFYPILTTSATEANLIPEMKGGRNSWVLVEKRVY YEFLCMEKNETYPPKRLVVNVDADTFTHECLPLAREMSQAFIHCAQNAWDVKLSISHR DMAQVTKDFEEFAACLVQSLDIKTNEIGEIDIQVEPKDATEWCVERVRIHHEAKYRNG AKGPTWLTTTMVRVVQPSSNGTKKLYRGQVVPVALPGIERVGQWFEVAISSVRAEDGL QENVGLELGEKASWSPEGLERHGAFRAICEPAIWMVSQMDRVGNSNANGHGIQTDQPF FDPVEDSKKRTKNYQFW FPSE_00066 MDLGPSSSSTVSPGPGPQTNRKERGAIAAQACETCRNRKQRCDE RRPKCGTCQRFKLECRYREPQPTKKDKTLVEILDRLKSVESKIDHIGTRENTTHPVFN TSQPSTVYPTNTPLLVDPESQDSLPGTSLPPASPASSRDAGGYRYDSSVSKVSEWPVV RHTFESFGQKHPSPVGEIPTLPRGLRESAVALPLDGLQPVVIQSNSTLQLPLHFSAST SPLGHSPPSVDWETVQRLSKGYFDVVNTFYPIMDRQWFNSNTLGSIISNGFHEGVISS LVLLVLALGEVALTTSEVSISAYKQRPSGIKGGTIDRPPGLSYFNEARKRMGFALSEV SLENVQMLALAAIYYSSCGQALECWRMTVSASSACQALIVNKPNELHGLRSDLVKRTF WHCSIMETCFHMEFGLPLTGLDKFEETIGLPDFNGPVTDEDYISNQATHFQENFAAQI VLRRLSANVHSVLNKTFGPDVSMSFPGFGHFNGTSSPGSATVMKQLDAQLDQWRGMLP MHLRWQDNQDMTFSDPSQGNFNDVYAGQSLPSSYMFTSDLDTQPATYPFAADIHVALL RTRYSYNKYLIYRPCIYKVLHHPESLTQEDAEGAAECLKASLKWPIALSPTCTNKRLI PMTFFWSQNLFGVLVLLHLSQQHPIMLRIRSSLCGQRFDVEASQTVTTYLDWLRDMKK IDSTANWCWNIIRLIYRLDD FPSE_00067 MPLGILEAKDIEHVPGTTRYFDDPSQPQTADEQHGNLKRVRVGE ETIILIPQPSDDPNDPLNWSLLRRDLITFLLCFAGILATALGPILAANTITISLLFAK DFTKVALLTGYFLLGCGAGAVFFVPSGRIWGKRHLFLIGILIIIASSAWAGAVGTNYG SFIGARIVQGVGCAPYESFLNAAVGDLYFVHQRGVRMAFTNLAVFGGAFFTPILVGKI THEMGWKWTFYFVAIFLAATLPAVFFLCPETAYRREASLNTDTTGELGIELTTKDKRQ TPSPQRDSGEAMAQELDQHAPGFTLFPKSNALQPIGNSTTPKKTFLQSISLFDGRKTD ERYWVLLLRPFPLLTHPAFIWGCLIQGTMIGWTVFIGVIVAAIFIGPPYYWGEVDAGY TYTGPFIGAVIGFMLAGLLADTSVKYLTKLNKGIYEPEFRILLVIPMMIIGGIGLFGF ALTAPGVIEKRYPYQVPLIFFGFEVAGMVIGAVASSLYIVDAYRDLTIEGFTIMIIFK NFFSFMLTFFAYNWINNGGIERTMISIASIQVGVCLLSIPMYVYGKRVRAYYYRHDLL AMTSLR FPSE_00068 MHAKLSSLLFLSSIPSLALAEDVLGLYIFHRHGDRTAKAWKPVN FTALGADEVHSSGSWYRDTYVSKDAPRKISGLSTESVALSQLDVTSPVDAVLQNSALV FLQGLYPPTQQAETLANGSKVEAPLSGYQYIPIASVESAASDKHSESNAWLQGNSGCT NAEASSNAYLSSSAFDKTYKETEDFYQSLLPVIQKTYGKGEANFRNAYTIFDLINVAR IHNSSIPSDNLLKESTLDKLYNLASIHEWNLAYNSSEPVRAIAGSVLGGQILEALEPL AEGKKAPKVNIQFGAYGAFMAFFGLAGLDKASSDFMGIVDYASSMAFELVTNATNPTV DDVSVRFYFANGTAGENTPKLFPLFGDDAITLSWKDFKTGMSGFAIEDTKHWCKLCGN TDGTCASSSSGDDDDDSASSSSNSGGSSGGISKPVAGVIGALATLVVILGVQAAVILI GGLRLVKKSTLAPAVVASAPAMAKA FPSE_00069 MSVPESEYLSPVWKDGIFNGRVVFVTGGAGSICSMQTRALVRLG ANACIVGRSVDKTEKAAKEIASVREGAKVIGIGGCDVRKVDSLQAAAERCAKELGGID FVIAGAAGNFVAPIEGLSSNAFKSVMDIDVLGTFNTVKATMPYLLRSSNPRIIYVSAT FHYTGMPLQAHVSAAKASVDSLMASVALEYGPRGVQSNVIAPGGIEGTEGLARLGSDA ESEKKRYAKSIPMGRAGTVRDIADATVFLFSDAGSYVSGQVLAVDGAAWRRQGALGVG TEAGMEYPDYLLKGEFSQNLRDPRKTTKAKL FPSE_00070 MTESSPRAVRFQGSEDDGRPDKARGHRLETPIESNGNPHATERH DELGNLIGYGATGHTGSLTSLAPGTVINGVSKAQRGPNTGDDGTAYTPNGSWPQRPLG PARTPSNTYNPATSRKPAHPPATPSFTESIRSSSKTRPRNESRFRAQERAYVQKLRQD YSGGYFTSYSNLNGNDSDSEGETPSSEGPYDDRFDQETIMFYGNDTLQPTDEDLKDPE NRERLEWHGMLEAVLTGDVVRQEKKRLIGSADQQAGKSAHKTELWLGIRSKVCGRHIP VQRRMLEESRSTLDRTLDEIINFQIKGESEAGKPPYEQVKDMVAKIQMCENLYPSWAA LAAEHKAADSATFKESHDAIISWYNTNEMINTELNILKKWVGNEELDFTRTKQRSPAV NGINNDETSFLDRLMKEDGLQSLYNDDNGNLEKGMLAPISTVISKAKDTLIRNSEAFR KRHLPPYLEELLTLISFPSRLIEEITRLRLAYARRVKESAQQNPLMQDQMISQFQLLL KFAIRIKIECTSIANPEPGWDLPPCIDESFDQVVLEALKYYFKMLNWKLSGNKNTFKE AELLFQEWDFANEIGSHLQRGHIEVAEQFSSLTFKALHRLSQTFEKELQAKPKETATE MSKRYKACLDSVRVRQRMLQRFTRMLNDNYEHASDYSISFPPETMQKFYDQLVASGHF QVDTRLLEQDNIYVVASPELRERLEDIQAMMAITSKDQFPDELGEQYLLILRPEDPLN WFGETIEVPLRDLNIDLKRGHIRLCASSPQTLHNARRAFIENVDMHVDLVQEARSNIQ KVNSRLTEIRRVAYKLSNNFMDSVEIIRKQARGKDCQELIQTCFVFATEFGQRSLLYM DSNRRQMNNLKLTKLALDWVSFICDDCVASDRKTFRWAVLALELAMGMTRGRHILALG EGEYAKLRSKVAGCMSLLISHFDIMGARSNLAAQAEKERIEALVGQFRKLDKNRMMND EEASRCVTEQRMSELERVDEYRCEKIAERQGVGRVLEGNNEVDRSLAYLSSSATNVTM RWQQGQFVGGGTFGNVYAAMNLDTGHLMAVKEIRLQDPKLIPQVAEQIREEMGVLEVL DHPNVVSYYGIEVHRDRVYIFMEFCSGGSLANLLEHGRIEDEQVIMVYALQLLEGLVY LHESGIAHRDIKPENILLDHNGIIKYVDFGAAKWIARQGRTLAGDVQPSLPNRSMTGT PMYMSPEVIKGENPGKPGAVDIWSLGCVILEMATGRRPWAQLDNEWAIMYNIAQGNPP QLPPSDQLSPQGLDFLKRCFTRDPRNRSSAVELLQHDWITSIRSQVVEPATPSDTSSS AQSTPTVGSISSKLGGSDGFY FPSE_00071 METPETITRGDTAKTAEVCKAHGISPEEFSELRTRATAAKATAY CPYSQFRVGATVLSSEGKLTSGANVENASYPVGTCAERVALGTAVTSGHRGFRAIAVA TDIAPPASPCGMCRQFIREFCKLDTPIIMFDKNEDFVVVKLEQILPMSFGPDQLPRPG AAVTE FPSE_00072 MEPVPETERMEEFRPAPTPAPVSASTSVSASPAASPVVPSLRLQ NPNAISNLSIDLPRKPPNLRRSTDPSPTSPASPSWSSSPFIPYRPRTTSPLSGAHTRS RSTTSLAPPMSRTQSMPGVNGSGHILFLSQHRPGSPSASPSRVRIPRKPADESFPPIS PVRTSVLEPEQRHERRSTSPIMGISTSTPARLRRPSSPLRSFTSSSTGSLGTFPSTPS SSISSSSSYRSYDALSGSYGTTYSSVPSTPTSTRSRSPSISSLETIPDTPDAEEAALE AERIAQLKADADAAEGNDPSDLKGKDGQIRGRTMGFGSRDKRKRWSVCGAERRGDIDL ETIWED FPSE_00073 MLLPSIVGAVGLLGTVAEAAPRGPWFGRVQPRVARSANDYTPPK YIPIKSYTPPQNTTTPVINSTTLEPTIQCPTNYVFVSTRTVDVTVTVTASSDGAHYTT ETCKDCTKTVSISNTVLVPPTKYASTLPYGSESTGSPYHPANSTYAAPSQTKTGNDYP HINSTIGYSFTTPIDQHAAPTYQTPRQSAVYSSPDGQISPPVYTKPANTKPVYSDPAY GNSTGTQSGYVPPVYTKPAYSSLASGNGTVPMYTKPVDTPTYSAPVYTKPVLPGNTSV VTPPIYTKPAETPVYSVPVYTKPAYPGPVIPGNSSTVEPPVISLTTDNAIYTPPVYTK PAEPPVYSVPVIPSNSSTIEPIIPTPTGSATSETSASLSTSAYVDPIYTPPVYNPPVI SSEPSEYSTISITTNSTIPPVIPTTSEDPIIVSTTDSTTSSETEPSSAETTSLDVTSS QAPPVIPTPSTTEIFTSTAETSETTITETTADTATTSPTVTPFQPTPTPAAFSYEQPR QGGLAAAIRLGLGLGA FPSE_00074 MVVETRSRKRKLKPDPTSRAKKESSDPSAQSSSEVDNQTAKELL ARQQLGHDDFIQDYRAAAAQERSQLAEMVQSGIQTGMDQIAGRVERVLKRTTQTSLLL EVEDLKKQLESEKIQHKVLKANYDNLARNSDDQKKKLPNAIKRSKEYYKVRNQILNLL NGGVHPIETKDDEVMYGWKCMTFSIKQLARKLVGASSGQPLDDEVTQRLQRVSKDYRK QIQDPDFRDLLMRGYIWLLLQDIVFDSKKDFWGGPGVRVLKMTHDNLIAHVGETGCDL DAEPPVARIAEWLAKGSEIMTKLCEKGSIGIDHVVDVETERLRPFMVDQKPGFDRTDK MVSEELKDIIDSAVELDINRMSSLSIYDIYWGDRAQDSGNLERWNPDLMEVEGWEHEV SPESRVQFRISPILYRVGICNGSAYDQFNLLAESQVVCD FPSE_00075 MAAETPTGDVITIGIDFGTTYSGIAWASSREPENIQVVTGWKTE LNHRSPAKKVPTQLFYSSGSDTSWGYSVPADKDALKWFKLLLIDEKDIPAKITNSSQF QHSRELLETMKKGPIDAIGCFLSKIWSHAMDSIESKLGKELVRNSEFQVVVTLPAIWS PYARYRMEKAVEVSRIRSPRPCGNTTVCFISEPQAAALATMQDFRDTSTVKAGDTMVI CDAGGGTVDLISCVVESTYPFVVKKWDGSEGKLCGGVFLDEEFLELIKGKVTPGSWPS VSIAEQKMFLNNEWEHGIKPQFSNQNRTWQVDLPDSCSGPTSGGNMKRRKAIDLSSDE IWSVYNPIINKIEALVSRRISKIEALIGHQINAVQKKCRRPAIYIVLVGGFGRSPYLF SRLKTTFNATVLRSKGDKPWTAICRGAVIYGINGHGPEASLGPEIDTRVALRSYGVLS DTLFRPDEHIGCEKYWSEDDQVWKVHKMEWFIREGEPLLTKKTRQKDFLRLCSGGIDK MQNFIYSCTESQPPKVWDSSMEPLCEIQWTGDINIESFPTQTTPLGKVLRKVVYVIEM NYEDGWADFTIYSQGMRVGAHHVNVELR FPSE_00076 MASDDERHGDSPRAKRHKSSGDSSPRRDGENGDVDQSATRKLSI RENRRNSRTVSPSPGQDGAGESRYESRRTSRSRSRLRSQSISSKRSDSRLRSRSPSRI TSRTRSPRSSRSRSRSQSSSRHAPIDSLSPPEAESSPSQEPFKPNYKTHLVLRGHSKP VSQVRISPNGRFIASASADATVKIWDATTGEHMDTLVGHMAGVSCLAWTPDSNTIASG SDDKAIRLWDRVTGRPKTTTRKSVAGQDMAPLKGHHNYIHCLAFSPKGNILASGSYDE AVFLWDVRAGRLMRSLPAHSDPVSGIDFSRDGTLVVSCSTDGLIRIWDTSTGQCLRTL VHEDNPAVANVCFAPNGRFVLAFNLDNCIRLWDYVSGTVKKTYQGHINDKFAVGGCFG VLGGAPFIVSASEDGSIVMWDVVSKTVLQRVEGHKGVCFWVDVHGETMVTAGQDCTIK VYRHIRDAPKANGEVSKVEKDPKPEAQEDVVMEDA FPSE_00077 MPFDQRIVVSGTKRLKSELGAQRFSYGPVAFREYNVRWYGISSP YGKSQDD FPSE_00078 MQFTISAAALMAFAAQALAQVADFDPVLTPSNWEQVQTGETLEI TWQAKPKYSGEKISISLIGGATQNTQVPIKTIATGVDNDAASYSWKIDSSVGTNNVYG LVLKLESNPEVFQYSFPFKIEGGKEKPSEAEEKPSKTEEKNDYELPTEAPKPTKEAYP VPHTTVVAVHETVTVPCNTTTVGGSPTTFVPVVKTHYPVPPPAHQAPPAHNNGTAPHP PVYTHPAQPNVPVVPTKPAGQPPVYGQPTPTPVPVSGAARFGAPVALVAGLVMAAFAL FPSE_00079 MGFQITHLWKAPEVNPISRKARSVPMLNPIDMYGRVFSFSWLGF MLAFWAWYTFPPLLTVTIKEDLHLTPAQIANSNIVSLSATFFLRFLTGPLCDLYGPRR VFAYLILLGCLPIGLAPLVNSATGLYVSRFFIGILGATFVPCQVWCTGFFDKNVVGTA NALAGGWGNAGGGITYFIMPAVFDSLVANHGLTPSKAWRVTFIVPLVCLIVCGLGMLL LCEDSPMGSWDNQNELVRKNMEAHGISSSGDVTPIGTRTPDRAGSDEEKSPGEEKDVK IGDHEHSISRTEAMEIARGEIVVKPSLREALPVTYSPQTIFHIATYACSFGGELAINA ILSSYFKKNFPHLDQTKASNYAAIFGFLNFVNRPLGGVIADLLYNNFGRSLWLKKVWI ITCGLVTGALLIVIGKVNPSEANGGNIGTMVGLVVVMAIFIEAGNGANFALVPHVHPS ANGILSGLTGGGGNIGGVIFAIVFRFMHGGNDYAMGFWVIGIITIALNLAVCWIPPLP KGQIGGH FPSE_00080 MASSTVPKTCKVITAETIAKGFLGEVKDTLAKIQGSNPCTPTLA AFLANGDPAAVKYAEWSKKTCEENGFNFDLRTVDKELLEEEIMKANEDEDVDGMIVYY PIFPNNPSHDKYIQESVDLGKDVEGLRHQHIHNMYHNIRFIDPPENRKKSILPCTPLA VVKILEYLQIYNPILASGNRLYGKTITVINRSEVNGRPLAALLANDGATVYSVDITGV QVFTRGEGIKKARHLVQDKEGWKLEDCLPLSDVVIGGVPVESFKVPTELIREGAVCIN FSSYRNFDGPAIKEKASIYVPSVGKVTIAILLRNLVRLIANRPSNDESQKNVEARAEA FADD FPSE_00081 MAAQTVPNYSRDVRHGKSGSGSSSNYGPIPGSPTLTNPDMILPD YDFDAAFDQLSQQPHIPMWDNAQTTDMHFHLPTSQNQFIAGPISHPTPIIYGNGTMLS DIGEVTEVESVVGKGARRGSAQLSVYSNDDHLLGSTPTAGASRIKPRSQIQQRERKSS LDSNSTITSHGRGAQFGDFDDAVSVDDVDFQGDDEESMASSYVEGTSAREPKAVRVPR IEDISNQRYSTSSLSDRAEQILANAKQRLTAMEGNLYRAKGSLYSPTSDGSTPSPSIA RPATSLRESGAGLGSGSVQGHSRNTSENKFHNGIASPTFPQRSASALGAAGGYRQPLT SSRSADALGIGYGASSQRSPVSALDPMMEALSEDEGGMPGNSRRSSHLYSQLSPAFGS MTDSDIPRSPSVAQVRDLKHQMKDLRGKISSLKEQARADSMKRRSLQSLRTPSPFTHS RWDQALPESRKSQESTPDALNWQAPWNADTPGEKPDGQISPKQDTKGASELERAKTAD IEYEDALEPPGETSTKDAKVSAPVDEILGPQNDSQAQENDDMIDDGETWEGDLELEDE EVKEELQYNQQTDGYDDNLEEEDDEFVDFESESGESSYHDAIQTPVSHEDREDAFDYE HFFLHSAMGSMSHHRRGSWSSVSSDDSVETTRGPVITPAPGTDSDSDHERRPSVESMA SFNSFTTATEGRSSRNSTFGNVTETVVEVQGGMKGPLSGKRTTFGGFKFPQGRAVSRS GSVDLQQQQQQPRRGTVVHRPASSAASYARHRPSMPSFGSTGTNRSFPLVDKSKTANG ILTPNSSTPGGSPDSELKQISASLLNEAATLGGIETVNRDGDASPMQGIDKESQILVE RLVASLGKCVLGLTESSRAGSEARMYRRRIDAARRVLEGLDE FPSE_00082 MPSVDSPSMATHFITSFLPPELAETVQKHILSPRSPVQVVVRNV LVQAQNVIDTVTPIVEPILDRVMILLAENQGLVGVIASLLVLATVVIILNWIRRLLMW WTRMAMRIMTWAFMFALAAWIWERGVFESARDMTVIGARILGYLAVIKDVWLQEYDRY EAQQGMAGTRGPASGRSR FPSE_00083 MSKFGAMVMGPAGAGKSTFCAALITHLNLNRRSAFYINLDPAAE TFEHEPDLDIKELISLKDAMEEVGLGPNGGLIYCFEFLMENLDWLTDALEGLTEEYLI IIDMPGQIELYTHVPILPALVKFLSQPGSLDVRMAAVYLLEATFVVDRAKFFSGTLSA MSAMLMLEVPHINILSKMDLVKGQVKKKDLKRFLTPDVGLLDDDPVEHTRRIAEGQDA EDDESKAPDEKDQVMKGASFRRLNRAVAGLIESFSMINYHKLDVANEDSVAAILSYID DCIQFHEAQDPKEPHDEETEEFEG FPSE_00084 MASNGTNGVHADPASWKHYNEGSFLFTSESVGEGHPDKIADQVS DAILDACLREDPLSKVACETATKTGMIMVFGEITTQAKLDYQKVVRDAIKDIGYDDSA KGFDYKTCNLLVAIEQQSPDIAQGLHYEKALEQLGAGDQGIMFGYATDETPELFPLTL LFAHKLNAAMSAARRDGSLPWLRPDTKTQVTIEYKHDNGAVVPQRVHTVVVSAQHSPD ITTEELRKEIKEKIIQKVIPAKYLSDETIYHIQPSGLFIIGGPQGDAGLTGRKIIVDT YGGWGAHGGGAFSGKDFSKVDRSAAYVGRWIAKSLVAAGLCRRALVQLSYAIGVAEPL SIYVDSYGTSEKTSEELVEIIRKNFDLRPGVIVRELNLDQPIYLQTAKNGHFGTNQSF SWEKPKELKF FPSE_00085 MDPPNNTSRSQEPQGPQVTVPKENTPPPAYTPRRIPSNIASLAT QLLEVRNSMANAASRIQERQTATMNTPLSSTVMASDDQDQDETSETSSPISLRINTSV NVSRSNNIVCLTATPAEQANAIAKEVVQALHEGSSGRCGIPMIDESGCPRPLNIEVDA GLVVEGTGNVIGTRDIIGELLQKRGTSSLRRSRDEVEDEDEEFAPAKRRRSCR FPSE_00086 MANLTIRNLTINPIELIDVQRFESQRVKTGGVLSNITGFIYSSN KTHAKGDAIIKEEASVSIDPFRTEDTGIRAADSNKEAVRLTFKYENHKYEVDIPSSSN KSSVMKNLDGGNHDLTVVYTPNGAFLAIFSSAQLHRWMEELHDDWPLPLLSIPGTHNS PTCFTALPSVRCQAVDIPEQLRNGVRFLDIRVSVSSSSDDLALVHSVFPIALTGTRYF RNMVEEIYKFLDENPSETIIMSVKREGTGRGTDEQLGKYLKHSYVDKRRSRWWTEPKV PTLGSARGRIVIVRRFYLDDEMKQSCWDGRGWGIDAGDWPDNCEDGQVGEGAHIRVQD FYTVTESQNIQKKIEFCRRQLERAAEQTFALAGMTDHKEDADLPPFIVNFLTASNFFN ATCWPERIAAKVNPSVIEYLCMCHGEEGKGPNKLKIGSAATGIVVTDWVGHRDDWDLI RCIVGMNARLQLKR FPSE_00087 MASLQALWGPMARRLLRVAVAKTANVVRTKFVVMTRPLQNQLTL RTARVARQPIHPVAALRQQKRHTSRWFSSSAAQNVNRVIRRFVSSEPKAARFDRSKLP SSSTSRRVAQFSGRAPFANALRPNLTGGAFPRSASGYSLGGSARYFSHGPAAPAQVVQ NVSQAMRAFFLSGQKLRYDGLGPRGDRQYRAVSPVEDQAMHKLSAFSNSAPGAFVDFN ISPTVTALSPLAAAIPFASETSGFKAEAAAAGASLNTEGFLDVLSSDFGRVLQDLRAI DTDLRRLAILGDLPVLLEKHNTLRVRFPGVDAETLERLCDDIGIQRGVVGQDPEFDDA AGVPVALKFPFAPDSGKQPSISLRSLEGYETDEISTLDEEYFVYGDILDDMSDNPWLA ETDGYETMSPPASSAIEQSEDYEGLEGIYRFLEECDQAKGKVGRVN FPSE_00088 MASSQHVGQRISYDGALCTVRYVGEVAGTTGTWLGVEWDDSARG KHDGCHKGVRYFNCKSKFPTAASFVRPSRPADPPRHFLAAVNHKYASEYMLPDGRRAA EEIIFFGKRAEEVGFEKIRRKQANIGELTIVILDDLQVASARADDETEGIISKKCPKI TQLDLSRNLFQHLDPVFDICRELPSLQHLTLNGNRFQEVLDNQTHGVMENVKELSLEA TMMSWEEVCHIATKCPSLAALDAGSNHLQSLPALDYGSLLSTLTSINLEINEFTTFAD ISTLTSLTSLRNIHLKGNNITAIAPEGVEGPIFSESVQYLDVSYNNIQSWTFVDQIPK HFPGLVGLRIGHNPFYDAVDADAKSSSSEESHMFTVARLASLKSLNFSQVTAADRTNA EMFYLSRIGKQLAAAPENAEAEILALHPRWAELCEIYGAPSIMRRSEVNPSFLEARLI TVSFHRRGEDERVTRIPKSYDIYAVKGVAGKLLGLSPLKLALIWETGEWDPVAGFDDQ EGESSDEEEAEEERERKEVDANAADEDSASKPGRWVKREVELRDGPRQLGYCVDGLDV KIRVEAR FPSE_00089 MAFAPKPQFFLIRPGAEEITSEGQIVVQPATAVPLIPADLLPHW VEVVGVPRSLSGDETKDMGNLGVIHAEQHTYKLRFDPITEDEAFASDGTEEEIPCESI MQPSVGIWGSPIPHHTSPEDHGLGALKSLPSHTSAPRVKLKAPQGLSSSRHNPKNQQQ PNPAFQKEPSPIQTNTTSPSSQLPTPCRHWCHHGVCKWGMDCHYEHTMPLSSEGLAEV GLSQFPDWWLQARGVMPMPLEVLRAADVSSARRMDRKMMSYAKRKPRFRVRAKRVGAR RVDVDEASEVEAEDGYGEEPEVQEPTREREGILIEF FPSE_00090 MPQVLGKQVGPVAYGLMGFTWRPNATPLQQAIEAMHAASNNGCT LWSGAEFYGTPEYNSMTLLKAYFAKYPEDADKITIAIKGTYNLEKFQLDGSPENVRRS INNVINQLGGTKKLDLFAPSRRDHKVPFETTLSVIQKDAETIEEAVKIAKISLVEVEL SLFSTDILHNGVAAACAKHNIPIMAYSPIGRGMLTGRFNNSSEFQGQGIASRFPRFQP EAFQHNLKLVEQVKAVAEKKGVTPAQLAINWVRSLNGREGLPTIIPAPGATTVVRVEE NAQHCPLSEEEMKTLETIANDFEVSGGRYPDGMPMET FPSE_00091 MQQRRDEILAKKAKLAELKRQRELRANAATAGRASVGTSSDLIS PTPGRADNRREIETLINSLVGDSRPGSAVTGGPGSPAPRGSRPNSVLSAGEISNEPSE VTTISNIQIPAQPPPSLSTAPLLTVFECPPSPVKEVFSYSKGVQTTEEWTTPTRTRAQ SLISEAEDVPAVTSTPSKRLSRRERDREEELRQKIREEVEEELRATKEFLSDGVVPSA ISQPARNWTTEELDAVAQSDDFFDFLEKSTKVIERALDQETYDILTDYALQGQDVDDD DEDSGNTGGKGRHRIKEVAQFYDERWSKKRMISGIDFSPKFNELLLASYTKNPTAPHE PDGLVQVWNMHMQDRPEYVFTAQSDILTAKFSPYHNNLIIGGSYSGQVLLWDTRAKAA PVQKTPLTGYGHAHPIYSVDIVGTQNANNIISCSTDGVVCGWTMDVFAQPQELLELKN PSQAKVAVEDVSPTCLSFPDTDPTFFLVGSEEGTIFPCHRYDRAGAKAGVDKKISYKG HTAPVMSVDFHPARGPVDLGDLVISSSLDWSVKLWKVRAPAATSTIGSGDGTIAPLID FVREDVVYGAKWSPVKPNIFALVDGAGWLELWDIAVETEEPVSKISPSSRKDGRTMLS KSLNKVAWEPNDGKRLATGGIDGSLTVFEVASGLGGKESLKNEDWANVKKLVNRLEAV GSNGALIV FPSE_00092 MASTGVNVRVILPRYGRSTVSQTRAIDDIGEWTFNFRAAQSNCI VLRWSALGLGIFYGFTHQRAITASQRAEHAQHEYEKKENLIKQAKAEFAKKKNPSSGD DVITDPSDPKFDLEKLLLKVQKESP FPSE_00093 MTAVTSKPSDTLALLTGTNTRTLLRVLILFFIAGAAISSRLFSV IRFESIIHEFDPWFNFRATKYLVANGFYNFWDWFDDRTWHPLGRVTGGTLYPGLMVTS GVIYHALRTLTVPVDIRNICVLLAPAFSGLTAYAAYLLTNEMTTTSSAGLLAAAFMGI APGYISRSVAGSYDNEAIAIFLLVFTFYLWVKALKKGSMVIGGFCALSYGYMVASWGG YAFITCLLPVHAFTLICMGRYSDRLYLSYTAWYVAGTLASFQIPFVGFLAVKTNEHMP ALGVFGLLQVIAFILFVKRVIPGRQITTVVIAAGVATCMIGLAGLILLTSLGYIAPWG GRFYSLFDTGYAKVHIPIIASVSEHQPTAWPSFFFDMNFLIWLFPAGVYMCFQNLQDE HVFVVVYAMFASYFAGVMVRLILTLTPIVCVAAAIAASQLLETYMQIEQPDAAAEAKS EGSESSKKNKGALRALSKPSVGIYTVMSKVLVVGAMAIYLVMFVTHCTWVTSNAYSSP SVVLASRMPDGSQHIIDDYREAYQWLRQNTEKDDKVMSWWDYGYQIGGMADRPTLVDN NTWNNTHIATVGKAMSSREEVSYPIMRQHEVNYVLVVFGGLIGYSGDDINKFLWMVRI AEGIWPDEVKERNFFTARGEYKVNEEATETMKNSLMYKMSYYNYAGMFPPGRAVDRVR NTAVPSEGPTLDTLEEAFTSENWIIRIYRVKDLDNFSRNHVAAGGFSRGQKKKKGLKK RAAVGSRLA FPSE_00094 MGLFSTALRRRRHRAGPEVDLLSAAFGFPFRHGIKSSCNKSPGR MKIVYDPPSDSSDESDSDETSSSSSENDRGRQQHRGRHLSERPPTPHRSTPESLSSSK KSSSRKKQHRRRRSRASSRSSPRKSTRSRTSRSTSRRSNVRSMTSQPSIPYVQSSATF PPPIPVHLSKPPCNIVQSKTFPVSTFNQPFGLPVCQPMPQQQVYYQAPFNYGPPQPSS MYRGPAPQFIAPAMPPPVMAQPPPGITTVPVSSATPNSSAVSPGASGIDSQNSNSEAP EQSDSWNKDIQRLQKHIDAKMVDLASEPNSKVLRRDLRRLQDRLNSTLNKAITHSKKS HAKKLSLSSTSNFSQLALDGDTTSEAATATLRGLIAPASTIKNDHQRQYHAQQGKSPQ RIPRHHVCSGCGNVRSQQFHKRWPLNSSRKSYKISYCESCREEMYNRGIIQKYHFCFN CGAARSMAFHNHHPVLPGEPIFVNYCGSCTEEFKVYERLPDISVVNLVAPRVKSHTEV TTPSDSEDDLDSLTVNRHQNSKSDQTSVQGDQQHEVKLERPGPDTEPARGRRPEPRTP KQSIVASDVDFVPPESPYCPSRSTGSSGRRTERKSSLQFSTGSSPHREEFETKGNYQA PYVEDSSSSHHSRVATPTSSIRSEKREQKMKSKGYGSRKSPSPDVVLKSALAGCDRSS SDGSRKARAETSEEWPRVRGKSLDSDRSDPSSSKSSSSKTVRFKQSVDIRTALPQDVD DFSEPESPRYPRSPGSPLISRKQSTTRRTKETRDQYLHPHYGQRSNLSSGFHREHLHT PDNFMPGTPSKGYSKGAFSKDFDGGGHWDSFQSPEFSYADHYSENSFHGYCDADEDFS ASQPYNHDQYRESTASLPSRLSTGSVFASFFKKDKASSVPPRDRASPRPFAHDFNETA RDN FPSE_00095 MEPELHSCTDTGSATEKGSGPARSNPYYTPRKRSYPESSYSGSP TRSENSPKRNFDSSSSTKSDKFVWRNAFNPVPVIEELGTASDTSPESPADLLEFNIVI NPASALGGEDDEVSSESYSSTPPPSDEN FPSE_00096 MDGDEPTQATQNVLDPRRIGKQNSGFSDEDISDIICVLYPHSDS ARIELERLVNESSPHIIGKEEADGVEPDYALEDQAGRFLANPGGSGSHAIILRLSSQL KNPAAGFVFGRNPARCDVVFVNDPLKRVSNIHFRIYVNEYGTVMIEDQSTNGTIVDDN ILNANPAVNPTNGPCISKWVLSSGSIIRIFLHQKARDLTFRVRIPRRDNEYDQAYTDK VDDFFTRHGIKRSNDTITPGPGGHVDLFKHPAQNQFKREETDEAPQEVPLKPQKRRDG NREWTGSGKYNRIGTIGKGAFAVVHRVTSKYDGLPYAAKEIEKRRFIKNGVLDQKVEN EMKIMQRVEHPHIVRYVEHFEWDDRLLIIIMEFIPGGDLGKLISDHKAIREDVVRTMS GQLLSALGYLHANNITHRDVKPDNILINSLNPIDLKLTDFGLSKMVDSEQTFLRTFCG TLLYCAPEVYTEYAEYDDNGVRSRGKKMRRPPGQRYNHAVDIWSLGGVLFYALTASPP YPVKSGISYSELLHKIMTTRLNISPLQRNEVSDQAIDFLCRMLEKRPENRATVTELES HPWHTGPPSVINASQSFDEVSDDDMFDNFSQLQPRHYEEDRVSDSMGEESEKETVTGL PEANKPRLFGEVGASAIGSSGVIPGDYLNLEASNASTGETEILNQDEDEAYQSDEDAT PRSRNRRTYRQTTASMVQKQSEDQLQSLVENVASQSLGGDEPSVQFPGASQFSLQSSD FNTSKRKPPSQDTSDEFEESTPRGKPTMKRLKSEGNTEDMTNDVIEEYQLLIQVPVAG KPSSGRQIDQPFNKTCFWEQDKKTWHFNYPELTHLQHKAFQQAAETRGETFAPGKTPL WDLAMKYFSPVSKAGTQISETRRSSRTPQGTTDDAMEFPPTAAASEDSQIPDTMPTTH TQIVVPVQKPEPNKQLVGVIESHPDSCIPGITIPITDTLVSFGRGPANTEPFSDIYES RVPKYAFKILLWKDGDTFDPSKDPSKVPLPWLRDEEDPNDYSFYVSTKASVGISINGY QLASADAKNHGGPSHHWARLYNGDTLTVWGTGDRNSKQTKLNFQCLWGASTRERDENK ALELAPRPLAQRLDAACQKTEKRMKDAIERKKKEDAISADLQERSENIDRERARSDAF EMKRQEAIAYFESRQSPLSRMAATTSAPLASHFLGVPLPRASDPLAGARMMG FPSE_00097 MGRNRVLSFMSQFSAGTKTPPPSTSPPSDSPYKQGHHRRTNSGT EPFPDHRVSTDISRPNTPPSRPDYGTPLNGGSPIEPSTRRRRSSSAASSRPPSMVLAH QPPIMDITEDTIPELQPIYSFLNSHSNKLYQEGYFLKLDDQNTQGKPNPDRTWSECFA QLVGTVLSLWDASELDAAGEDGEVLPKFVNLTDASIKMIESLPTRSADEQPLQNILSI STAGRNRYLLHFNSRHSLLQWTAGIRLAIFEHSSLQEAYTGALIAGKGKSLNNIGVIM ERAKFPTEEWVRVRFGAGVPWRRCWCVITPPDEKQYAKLQKELKKRSPYDRSPVPTLK GNIKFYDERKDGKKQKKAQPIATITDAYSAYAIYPQAKSLIEASTLLKVEGNISIHTD PPSSTEGFVFIMPETRPAVTGFEMLLRFLFPTWDTFGLYGRPGRLVASTLDSRSLMFA MPKSRRYGYLENLDVSNLILEDGSSNWGERDWRKKLKESTGTRMNAVDDAPATRSRSN SRKSVRLSFGDGPPTLKTNSDQQVPTRSSRSFSLGERARTDSAPADPARVALGSHGRN SSDPNQLGGPPFRPDVNGNSPYSATPQRGQTPVGNQASRDQSPAYQNERGPNGSPPLK DLDGMRQMHTPEPVSRPPAFSHGSQGRPVSRAYHSPELRRANSRLSVTTLAQISSVGG MGGPNDSRPSPGEDEVDAARSGPSVLPPHANSVGISANDNRSREVLSPTNQDPSSNNL PLPANLSQQRSRSPLGQPPLGPPSPYGRGPNSRPGTSEGRRSPMPPGMPPQQRPPHPQ NGNRRPDMGPDGRRPSDPRRPGPDGRRPSDPRGPGFDGHRPSDPRSRGNGPPPGHGPP PSGPYGNYRPGPGRPPGPPGPPGSYGPPGSRPNGPQNGPPNGPPNGPQGQMAHRKPVP GHMPGPPPPGPAQFENTKGNTMSGSSAITTNEIIDHYAYDQGRQTPRQGTPNSERRPQ LPGRLESNQSSQYDDHSPATSLRNFVDSYEYQEPKPRAGVLKTVGGAEESDKEFDIPD INFGPTINYGATKNRPHTPGGHGPTPPPHKSSASQGSVGQKESPDSKRTMAWSPGGTA PPASTHGLSAEEFVQHRAAHARTASSNSIGGNLPSAPGPGIKRNSSSDILQAMQNGRH SRSTSADLLQRPGSRGANSTLNFASSGETSSHLSAREQEQMARLTGQPLLSMQGHQRQ GSQGFSPGHQRQASSGSGLVGAIEAREREKQQMKQGMNSQAVQQAISQRQQQQAAMAY QQQMAQQQMAQQQMIQQQLAQQQQMAYQQQAQQQQQQNYQQAYQQPMMGAGNMPAYSP MGQAPGQYTPGGSQYGPASQQMGGHGQPNSFSRPMRAAQQVDPRFVPPQGQYGSTPPG TQQASRSVHPQFQGQAF FPSE_02051 MSATECNLTLLATAENKFITIIYVMSPDTVVIAPLHDVY FPSE_02050 MLIQPYSILAPVPISTLPSLPVRRLARTTWRPCRKRWFASETTH RTHETPILPFRFETGIGLFAKRPPRPFPPPFLSPPSTSFTDPLSTHHQSRDRRAFVHG QLIRGKTNGDDAVYASDYFICANDGVGAWATRPRGHAGLWSRLVSHFWSSAIEEELAE IEKSKEPNPIASLQSAYDRTLAATTEHDCLGTTTVCGAQLHYKTCTENEAQTSPVLYV TNLGDCQVMVLRPSTEKIIFKTVEQWHWFDCPRQLGTNSPDTPNDNAIVDKIDLEVGD VVLAMTDGVIDNLWEHEIVASILKSIKEWESGRHPEAHRGDLTGGRNGGMRAAAQDLI EAAKEIALDPFAESPFMERAIEEGLASEGGKLDDISVVAALCVENGG FPSE_02049 MRGKRSKQYRKLMEQFSQTFGFREPYQVLVDAEMIQDSSRCKMD LEPALSRTVHGKVKSMVTQCEMRKLYATRNEDFIKLGQSLERRRCGHHPNEYPEPLST QECLRSVVDPKDTNQNKHRYVVASQDQEVRRMLRGIKGVPLIYIKRSVMILEPMADES VQVRAREERSKFRAEIKSSVGKRKREDADDDDEKADKNDAGTTEDQKKKKKKGYGSKG PNPLAVQKPKKPKTDGQQPRKQESSEAKESTQEGAGKRKRRRRNKTSETEDQGEANTT TATEVTMADA FPSE_02048 MTTQADVDDFGLPIRRYPTPKNDEPADAPTDAPTDASKGESPAS TSLDGTATDEQSKAPEAKDDKIKDQATPPAGEAVKADDQSDTFEDARSEQSSPRKETR LEISAVAQETPTPTKTTPTKNESLDTESTPSESKPGVPEEVLPKATDVPEKPVEENKV LAAEGARPADHKPEISEEVQPAVDDTIKKPKDIEVGVDKKEPPLDVAVPVPTSPIQPK REQISEFSHQKITEQPEEKKDEAELEWQEMPAYARYDMYNDDDKLVAKEYDAEEVETY EYGGLGGAGKGYTRVFLDDDAESATSMDENTKYLFKSAAGTSMVDDDDGRDAIGQMQA TKDLLTEGQRVAYVGLVRLEIVRLVKEEEKMKTFKKTKKEVGIAVESMMMWGQKMMLR LYAHMEINEAEQIMIEQLAEHGVMPQDLSPALVTNARVANPMAEGEASMPGTPSLDEK PAEAPPPYQALDGEELSDVKTPSQLPTTSKIDIDLRWTVLCDLFLLLIADSIYDARSR VLLERVGESLNITWIDICRFEKKVTEALEMQQAAEKENWNEEEHTETRRKKALTRRYV MMGLATVGGGLVIGLSAGLLAPVIGAGLATGLTAVGVTGTGSFLGGVGGAAIITSGAA ASGSLIGGRAAGRRTGAVKTFEYRPLHNNKRVNLIVTISGWLTGKVDDVRLPFSTVDP VMGDIYSVLFEPEMLRSMGDTINILATEALTSSIQQILGTTILATLMSAIQLPIILTK LSYLIDNPWAVSLDRATAAGKILADSLIERNLGTRPITLVGFSIGARVVFSCLQELSK KGGVGIVQNVYMFGSPIVVSREEYIKAKTVVSGRFLNAYNRNDWILGYLFRLTSGGIR RVAGLAPVEECPFVENMDVTDLVNGHMDYRQKMPVLLMRCGWSVDSEEFAEIEDPDPD NHNERQRELINEIEEARKNLEKDGKAKKSGRFSFFGRRKNAGKQDWEIYEDQKKNGDY KDKAKEGGDSNTGVLFDIDAIRAEIAKDARDHYASHEDLQVKEIKSTLPPMKLDVSSL PGSPSATANGSQTNINTARDSHDIRESQERSHSYTPSYTRQTTPPAYGSPYGAGFGSE HGNTYGSDRHDEDDIQMTFDTSFDDHPRSTATATTAPAPATETTPTRPQIKTAQTLPS MTLHEPWGDSDDDDFGKEKEISMTFA FPSE_02047 MDRLANQGQSEGAGAGDLAKNMQDAPASTSASPLPTSNPNDGSP GKSGGGGLSDSNPGNSGDSDSGQAAVEFDPQLFDFGPVFIVDASHQKRDFGFEASNKT TLEKVKWQVFYNSTVDTLGSYNFKSSKRDFYQFIKVTNNTVSLNFTGETEQFYGDNMQ IEIDWTKDGLEGTTRSRLFTVTNNETEIVELESKLQRDQQGQGPAETTFSPSSTSGSI APTGTTEANEKSDSSGGGGGLSTGATAGIAVGAVIGGLLLIGALVWFLLRRRRNKHPS EDYTIQQTYAVDKEINGRTSDSPNSPYSDENHMQPIALGNVDRDRGVAPTPPPGRSSV ASHDRGANSGAQTPQGMSSNVAHLVEDGMTADEIRRLEEEERQLDDEIERAARR FPSE_02046 MDATQPQPAAPGALSWRLSAHPITLLTFLGFRISSVLIYFLGLW IIQSMIMIFIITILLLAADFYYIKNIAGRRLVGLRWWNEVDPQSGESQWVFESSEPGT KTINPTDSRFFWLALYIQPMLWVLMAILALVRLQFLWLPLVVIALVLTIMNTLAFSRC DKFSNASSIAGSAFGTGNLAGNIASNMVSSWFSRS FPSE_02045 MAARSSSIVRRSLLYVPGSSQKMLTKSLGLSSDNVTYDLEDSVT PSLKDTARNQLREHIFSLKARPSGISELAVRINAVSTPFALSDLTTLAPLRHVDAVVV PKVNSAADLTFVTDVLRHVAPERHTADADNPTKIIALIESARAVMDLSQICKASPYLS GFIFAAEDFALDLSLTRTPSLTEFLYARSAIVTAARAAGLPSAIDLVCTSYKGDQGLK TLEEECAGGKSMGFNGKQCIHPSQVEVVQRMFAPDQKEVEWAVRISIADEKASASGRG AWTLDGKMIDAPVVGKAGAVIAKAEQCGIDVQSLRNTWKDQGPE FPSE_02044 MSPEPFPDDPYSTSHFEPSIEAGSDKENKKRSPDDASDRSSFGR RPRRKTRPDRYSSKNKATRKSPAKNKNERSRKKSRSKKHRLRSSQDVMTNFASGAIPN TRVTMKPNLTTGLFLNGRSSATAPVADLTFNSTKFLELKPSLREKHKVGQLSTNGETD HDEVETSDNLDHSLQQHTDISKTATTDKDANGKEDIDRCFQDDSSSLNGSNPEPSPDT PRMMLKKLIKTGIFDGTGILKLASDKTTRPKPVKDGESSILEKVKPVRSHASQDNVSM ADPGKDLTLPSTAQPPQDTASLYQKHHGNRLNIPANAVCLERQGENIPLYTSRTAQVC SSGVANLDGMKEGHEPSMTQNPSPDLSLAYESATNGRRQSVPKTSEGGRQYVQCIDQD DQRRPMLTSPDSDPADFGYLVHPQYIQSHEPRRMGQPIYGDWQRCDVIEPPQQPLVDE HSLRFTHRHVGTQGLNPMSFPMPATEMQPIQDERGYGNAQTDPPPLNCGNETVQEFFE RIEGEVGLE FPSE_02043 MSKLFIGGLAWHTEEATLRQKFEEFGPVEEAVVVKDRDTGRSRG FGFVRYTQEGDAQNAIAAMNNVEFDGRNIRVDKASDNGPRGGFGRGGGGGGGYGRNFG GPMPYGMGPPGPGYQMPAPNMYAPMPYGRGYPPQQGYGGPHQGYMPPQQQYGYHDPSQ QIPPQQQQQQHHQGGRGY FPSE_02042 MTNQDSSEPSEFWLYGYGEDHRGTPEAPGRVVTLIERSYWEQLT DHHDSAPERVWGVAYRIIPEKVAEVKEYLDIREINGYTIHYAPFQPADGSPPIRTLVY IGTPDNEQFVGPQDPQKLAEHIFRSQGPSGLNKDYLLSLDTALSELAHDSGDVHIHDL ARRVRELERSCADENKVTSSTPSAHRQKHSTEEAEEIEEPHH FPSE_02041 MAYNRPYDEDALPRFAEPEQKPGQSRTPAPQQHHPPPQQQQYQH HAPPPQQYHQQQSRYDKPLPTQRDARSHSLGQGPASHGYMSPPPNTGGARPQAHNRPA PNSRPPPSPALDGNGSDPTLLPLFRAVDKDGTGHLSERELSAALVNGDWTAFDPHTVR MMIRMFDSDRSGTIGFEEFCGLWSFLASWRTLFDRFDADRSGNISLSEFNNALVAFRY RLSPQFVELIFNTYDKRNEGVMSFDLFVQSCISLKRMTDVFKKYDDDRDGYITLSFED FLTEILKQLK FPSE_02040 MPPRSSLTSSFSITDSNNEVVCPLRNQDGSSCRKRCIGEKRYRS MQEHIRRAHPEHYISKLPATEESFLLMINTPPSERRPLDQTSAPNAQGLSHSQSSLPP PIDHLQGFHERHNYHREDSSNPGTPRLIDEYTNGGPVSGPMLGTASAAAALAELHGVK SEREMDMDGEYYSDMDVRRRPRTSIELPPLNLPNHDITSDPYSSAKANRQRDFLPSIL ANSPPGRSSTLPPLQRPIGPNRPRKQSVTKRGRESHHKKKTSKGTATDWLRRIQNEER YRPGNDRKALSAEPSADFGKRWEDLIDAADQAASAAGDIDEDRTPVPQSPVSMHRSSL PPFSHQPQFQPASYQASPLQQALTPPSYSQDTIDPFPSVESGENFHMGTRGLSDSSPT YSAQNIQIYCAACQGFSLLKDSYACTECICGLCPTCVEVLMTEHGARRKCPRCATIGG RFKPFQLDIR FPSE_02039 MEATSSEAASEPIRFRAGKKRKAYRQRVQEDDDAVAETTQSPPT ASAAESNDKPTQRSTPDDEENGEGSVVAALRLRNARRSRLGGVAFRHSNRPEDDTNTE RALVPHNADETSNSEPAIKGVTDRFTHQTGKVADLNDRHMMDYIESRLSNRAVRDSSQ TTSSASASDPTRQSSTTATKHETGRAVMQGQLMEIDLGNHAQDSSATLNGRAGQGDGT AGGERRAKKPRLRRDGKPWRPRNRRDSDAAKRDQIVDEILRETRLDLYEPAPEQSGSA AGAEQDGAADERLAEEFRQQFLEDVAERQLRKKKATNQPARPGTEEVLKGPKLGGSRN ARAQMRDLLLKKEKEGKK FPSE_02038 MLPLILITVHANTCKVYFSVEGRVQGVGFRAREYGVTGWCRNTK DERVEGEAQASEEILSKFFKDVDDGPRSARVTKVSQEERQIIEGESDFTVTR FPSE_02037 MTPDPKRRRIDSAARPYKRDHQDDFRAPTPRAQSRYAPTPPRDE VAPTDEVAPTDEDKALDRDWYGGDEFGGHSFGDDTHNPFASYGAWEGQQQEAARHEKM SIRFDARREQRNRDNDAWETNRMLQSGVAQRRDMASDFVDDDDSTRVHLLIHDLRPPF LKGRTIFTKQLEPVPAVKDPQSHMAVFSRKGSKVVKEARQQRERQRQAKEATSMTGTT LGNIMGAKEDDGDSALPVPAEDDAQPERKGNKFSEHLKKTDGASNFSQSKTLREQREY LPAFAVREDLLRVIRENQVVICVGETGSGKTTQLTQFLQEDGYGKTGMIGCTQPRRVA AMSVAKRVAEEMEVKLGSTVGYAIRFEDCTSKETVIKYMTDGVLLRESLNEPDLDRYS CVIMDEAHERALNTDILMGLFKKILQRRRDLKLIVTSATMNSKRFSDFFGGAPEFIIP GRTFPVDVMFHRSPVEDYVDQAVHQVLSIHVSMGPGDILVFMTGQEDIEITCELVQKR LDALNDPPKLSILPIYSQMPADLQAKIFDKAAPGVRKCIVATNIAETSLTVDGIKYVV DAGYSKMKVYNPKIGMDTLQITPISQANASQRSGRAGRTGPGKAFRLYSEKEFKEDLY LQTIPEIQRTNLANTVLMLKSLGVKDLLDFDFMDPPPQDTITTSMFDLWALGALDNLG ELTELGKKMSHFPMDPSLSKLLITAEEYGCSEEMITIVSMLSVPNVFYRPKERQEEAD AAREKFWVHESDHLTYLQVYTNWKANGYSDGWCVKHFLHPKSLRRAKEIREQLLDIIR MQKMTLTSCGIDWDIVRKCICSGYYHQAAKYKGSGEYINLRTNLGVQLHPTSALYAGH PPDYIVYHELILTSKVYVSTVTAVDPHWLADLGDVFYSLKEKGYSARDKRIIETEFNR KMEIEAKMADDKRKDEERKQLEEERSQKKPTKAKIGADGKKFITQGAVRKPVTKRRNR PF FPSE_02036 MSSMITAAQWVPRGFAAPFPQKYTLDEAEFERIAELAKLQLDDA QEDLEEAEEAAKTGAKAEKTEDKEDDSEMKIDDEDKPTDKNEINLNDDDLKEYDLENY DNDDDEDAPGQGEGMGMFGNIKSLAYYESNKDDPYITIDADKEQEDEDREELQVLATD NLIVSAKVEDEMAHLEVYVYEDEADNLYVHHDIMLPAIPLCVEWIDMPVNKAGVEKDA AGNFVAVGTMDPDIEVWDLDTIDCMYPNAILGQGGNEEEKKMKKKKKKSKKSNDEYHV DAVLSLAANRKHRNLLASASADKTVKLWDLNTTKCAKSYTYHTDKVCSLAWHANEPTV LLSGSYDRTVVAADMRAPDAKAPRWGVESDVENVRWDPHDPNFFFVSTENGVIHYHDV RNAPSDPTGTKAVWTLQAHDESVSSFDINSVVPGYMVTGSTDKTVKLWNIQPTGPSVV VSRNLDVGKVFSTTFAPDPEVAFRLAVAGSKGTMHIWDTSTNASVRKAFATRVPEQRG KGEDRLVGVNNDDSSDDEDDEDDNEDDDSMEED FPSE_02035 MSLSRAFTTRKAKVAEMGDSPPPHRSNSARDRHHGPILRHKISG PIQLVHTTNMLSYNAPDIPSPHASLRNKSSLRSVGEDSEAPSTTESTPPTSPEVSPME DCPGPVPNHLSTYFSVSGKPHVMPPAMPKVNEAPAIPQRSPSHTKQNSYEAIARQRSV SQRSRDSEHSVSTKASYTFSRSSSTSTRASSASYVSAGHGLKAPPVPAPAVPVAAPAP PPSFQQRALKDSHPFGHELAQVTELAEEYSARPLSKEEEEDNRYIKSKGLNKFSAEDY LGDVQNLIFSFFPEVSHAKPTTPQWI FPSE_02034 MSFYGNVWNAWLNLESALIKHYSSPMPILISNYHAQNTPKTHFN SIPMKQSALSQSVIVKALRGQTLRIPNLHNLFRSWPEHQPKLNRHHEHVKPLVDQAVD RMALKYPLIMRRKKDDIAKFACLWYPQARKREMEALALFSTWLVCWDDAVDANEGDLA GDFASAERWRRQTLGTVREALAIDGMCARGSDDDPINDVFRDFGSRICETVSKDQRRV LHDEIQLFTASCAAEQELRLAGRVPDYESYMKLRIGTVGGRMLCSLVPYAAQERRPQA VLSSPEVHQLWTQVCVLLSLSNDVLSLKKELQTGCVINAVAAIMEPSMTLDMVVAELE ARMRMAVKDFDDAARKLIEDTEGDGELRPLVEKHVEGCRSIVTGTLELTLYTPGRSML GTY FPSE_02033 MATLIALVTLVGLLILPFYSAYCIYKPPTYLINWLRRKYPDVLF EIPTDQKIIALSIDDAPSAHTDEIMQILEENEAHATFFVIGSQVEGRKGTLAKLVEKG HELGNHAMHDEPSKSLSNEKLLEEVHLVKGMLTEAYAANDLILPNNYFRPGSGIFNTR MRNVLGNQGFRITLGSVYPHDPQIPYPDTNAKHILSMAHPGAIIICHDRRSWTAPMLR TVLPELKRQGYRIVTITDLIKSVAAQTQEH FPSE_02032 MSRRQDRDQRQYSQNYYSDSSRNWSDEAVRGSWDSNYQRTTSAN TSPRDQSQAWSRPSPQAYDRSQNNVNQWLGQQYQNQYTNDHSMVADTVSDFTTPTTSP AFSDSPTFPSRTQHTPAYQTQTVGNEFLAPPSRNSLPHRQYDSHYHSGAPSSSRGSTS YNQSVDYRRTESRSTDQDLRGVGSFADNDRSRREVKYKPLGNWFGLWLPPTE FPSE_02031 MASDEVPTNLKDISSVPGDTEGAQAGLHNKLAGLSLASRAVHAD NGIQSHRAVAPAMHVSTTFRYNDDPDALRAWDNTDPNNPLDSHVYSRATGPNTTRLEA VLTSIIGAPTVTYSSGLSAFHAMLVHLNPKRIAIGDGYHGCHGVISIMSRLTGLKVLP LDCAVEDLEPGDIIHVETPLNPTGEARDLAAYAEKAKKAGAYLTVDATFAPPPLQDPF QYDADVVMHSGTKYFGGHSDMLCGTLSVNRKHTNWEAALLSDRMLIGSVMGSLEGWLG IRSLRTLELRVQRQSATTSALVAWLVEQQRDSSSVVGALVERIQHASLQPEASVEGSW LQKQMPNGYGPVFSIYLRDGEHAKRLPSKLELFHHATSLGGVESLIEWRAMTDPKIDK KLLRVSIGVEGLEDLKQDLLQGLEALRKK FPSE_02030 MPIRNPFTRRPGSVVVQDENQRPDTAPGFEKVDTVGSKSKPVLS IRSQGHDNGEYKMSVVNDSGVYLPPSPTEEKGQWPRKYLSTRNSTDTRSSLGDIEHFS ISRESFDSYRRSFDITARSPIANNNDFPSRQSLDSARFPRIPRSAVERSFEQPPTAEE RFEDVGLDDHKYQQKQPSQQAQPQKRGFFSKFSDSRDKDPSSNPSVSRFLMHGRKRAQ SGQGSELTPMDNGPPKVTVSSEGQEMH FPSE_02029 MGAFFISWELWQEMTFVLGCCIVLVFVAGLIKLWWSNRAMRRHE IIDEEKRARLSLMSYCGIQNMRTPDIPFGIRAIQSGIEVEGIWISRPKSPESCQATPS ATLVGRRIRISKGKGKMIDLVSSECLPSNNLETMPPRQVGFGGSQQDDITPINEYNRP GPPAKQIRITASKDQNLDLNYTLR FPSE_02028 MASSHVINDIATRGFDDAKSYDTHRPSYPPAAVTNILGRLGLEG QSGARIIDLAAGTGKFTELLAARPEEYEIVAVEPLDSMRNNLAAKQLPKVDVRPDNQP ESWPSPTGWEKELLDLNFNEKADKEPRFRHQVWKQVFERQAKAEKPFFSTPIETDKVP WSVWLTPEALWGRFNTLSWNALREGEERRVFREKYDKIVKEGGGEVNDKGEIELHGCT FIVWISRLDGA FPSE_02027 MENGAISQGEGKDPSSFLGNIIGNPVTVKLNSGVVYKGELQSVD GYMNIALEKTSEYVNGQKRREYGDAFVRGNNVMYISAD FPSE_02026 MKRLPFKPTALRKAAPKPSQPEEANNSDDDGLSLFSRRKEMEPI VQADRDRRTKKRRAADLEEERRQLETTKEKQARDELEDAKDSGVFSQDDSNNVQEDPP SVQPSSDTPVAEPASTQDGAECARFAFLSCDSEPSVDSFSELVTPPPSKRSKPDPDST QRPLLSMQTDGEEDEDPFPDASPTPRVRPQPDSPSPIRSRKPEFTPLQPKQITEPISI DSDSEDEVKPSQMAKRRSSSIEINDLTSTKPSKEPTSPPAAAAAEDDEFAEYIRRAEE NRARQQALQSANTNDSPKKEVISVMITSTIPGSGILMAKFLFDKQLRIARDAWVKHQQ KKGLDIKPDDIILTWRRSKLYNTSTLTGLGIRPSGNGKVEADGLGSAGFREDRSVVHI EAWTPELFHEMEQNEELQRRRDAGELSDDEEPQPEEREKFIIMLKGRDIEALECKVMP ETTVDTLIAVFRKQRQIGSDKEVSLWWDGDRLEEHVEMEQAEIEEHDTIEVHVQ FPSE_02025 MSPSSPLSIPDGENSTLSSPLSSTLSSPLSILSKTPSAPSSPTM LDPTKLDPSRRYPSPSTTASGPHSPAKMSDLPSEDEVVVKTSDASTQGPPAKKRRITP PKERTTEYLDLTKGDEEQTKEDTRLLNRLRDTLRKKKKIVVIAGAGISVAAGIPDFRS STGLFASVKNQHNLKGSGKHLFDASVYKHDDTTESFHAMVREMAAKTKSAKPTPFHHL LASLAHEGRLLRLYSQNIDCIDTSMEPLATQVPLNPKGPWPTTIQLHGGLQKMVCTKC SSLQEFDGEIFTGPKPPLCPTCKDLDEVRTAHAGKRSHGIGRLRPRFVLYNEYNPDEE AIGNVSSADLKTRPDAVVVVGTTLKVPGTRRLVREMCQVTRGRKNGVAIWINLDDEPK GVDLKNCWDIVVRSKCDNVAHLADLTHWDFNIGEGYELTKEEEQERENRLAKGKTTVE VNIPASSPSLDPDADEQAAAKPKQVEQAQGIPTPNASPKMSAAKKPGKKQSTLSFNGQ QQPPTTGTSAPKSRKRGRKPAQPKPDTPAVDFKVTKVANQNKGKKPPPSVPAKRKAMV SDLTHKSTARTPNSNADNTSIGPSSPFTAIKKDTISPKSRPSGMDFLID FPSE_02024 MSLFTCPNSSRVLLRSALQRAVSRASSTATQQPFIPARWISGRS SSNRNGLLSTINCRNNPFGNNHTSYETRRTIFFDKTIRNYEELPRDYRDQAGLQFRSK DLTEAEVVMALGKGINAKRANQLLRILHGRRVAGTLDDPAFAVHTSYFTKGQIKKGLE YLRKTVSVDEVMNAGLRAEDELAQLEAEREAAEKKKAKPASKKNKGNKEVEEPAAPVY KADPVYGHSKLDEIRAQNVAKRKAQEALEEEKRKAAEARGEVNSGTLANLDHKAERQI ANPKIAEYYKNAQSDLEAPVEVRTWERILPSATLVALVVGFLAAVATVYDEPASRYRV FPDVSTAHATLGAIVGVNVLVWAAWKAPPLWKLLNRYMIIAVGAVKPVTMFTAPYSHQ GIAHLALNMFPLFLVGSALHEEIGRADFLTLYTACGAVGFVGSVATYAVRGMLGVTTL GASAATLGVCAAFFWDHRLDGFRFFGLPQDGVPGIIFLALLCVPQLAALGKTVKLQID IASHLFGLASGIVGMELINRKRGDRGKEVFHIGPRVPQYGQSTPRPN FPSE_02023 MSSTTTSADTRPIAPARFAAALKDLSIGMLHLKVLEIRNSIAHL QYSNDQLKPFAEGTETTPSGEASAPDQDCIDAIRENEGVIDRMAERIALIRVEVEERG LNWTEFQNRDESTSKNDEDAAAAATVVNGDAQPDVAASNTDSRHDAWSDGTFQTGTIR NGEVHLDREAGRPEGGSLSDAQLRRGLEERMRDLGTDDDEGGMHL FPSE_02022 MSLPVALQSVVFYVLACTPCAQVRHRQKAREQHKREREEKAKVM GEQPTAYQHPSPFNTNPYWQEEISMGPTLPKKSASKNSSQRGFAREGAGSSAFSVSEQ TNNGGSRMNFGASNSVIAEDDNLSEDWNRRHGYQREDEELWGQWGGQRFKDAISKARD SAGRLIESTLGLEKEVTEQQRHDFYFPKNPPVNEYHPPVVSSKAPSRNAHQWMLQPPP SAKVMEGKVPVSRAASSGSKSSGRTLVGDDTQLSRLVHEKLVMEKLRKEYGNPTETEL IESLFLNRTNQSLSIHRTRSLSFDTSDDSLDSGFAKRKTRLRPVAAPPGYDSSDDDSD SDVPAPFTHSSPRRKHSAAQRPKLETIQSTRSATATRMSSKRSKGSKRQRSTRSKRLS GAASPVGDDTD FPSE_02021 MPESIFYTFTAYAALIGVGYVVYHMSTQKARAQAKGQIKPAKTV QPETRKEDRKKKQRQEAFASEAQESSKKPKADPETSAWSSSVKEKDENIDNREFARQL AKAKEGTKLAAKADTGKQREKSVKQSRANKVAGATEKKESAQSSTTGADADDDQSPVT TPDVTPASAPAPAVAVAGDVSDMLEAAPTRQTVLRVTDTEPKKQNKKAAKVEAPVESK KQRQNRKKAEAAKAAREENEKERKALEEQQRRAARIAEGRAAKDGSQFTAAQAKSSAW KEGTPKAANDAPTAQTNGFHQPLDTFEKAPSTSAAAPKADNKWIESLPSEEEQLQQLQ NDDEWSTVKTKSKKTAKNAPSAGSGDEVAARPAAQPKQPTGPNKAVQPSQSYGSYTAL TTKDDGADEEEEEEEWDV FPSE_02020 MAPKQKIILDTDPGVDDILALLLALSAKPEELEVLLVSVTYGNV PLQSCLRNVVSAFHVIEKELEWRKSTGKPEGFGAMKANKPIVAVGPDHPLCDEELMAD YFHGIDGLHNVHEAHPDLSPADTWKGIFGDGAEGGEHSPLFTPSKAPSHQEILRILKE NPADTVSILAVGPLTNVALAAAEDPETFLRAKELVVMGGAVNVEGNCTPVAEFNCYAD AVAAARVYALTSLKPSSTMPIIPKELSTLKAYPEKLSRQLKLTLCPLDITTPHLIGKN YFKENIQAHIDAGSPLARWVSHFVTASFKKIEEMEGDENEPGLSLHDPLTVWYMLTRD DPKWKTPERLEDIRVETSGQWTHGMHVVDRRFRKKPAEAAVTLSTNPTEDPKILTLDE VPGDDHAWLSVLKGNRLNRVIDSPGHDIFKEVLMQRIFG FPSE_02019 MATLGGYLNSETPLLELYRPHQLANTHQEKVLIVTADSRILVGT MAACDQTTNLVLNNAVERIIRTPDDSEPSAQVPLGLYLVRGDNVCSIGLVDEALDDSI NWTEVKGSAIGGIKHV FPSE_02018 MASIDRYRPPREGYQPPSLPTGSRPERDSRSRSPRRRDAVPPAV PTPPQHSTRTSPPRPQARQPQSPARSGAQTPVAGSNQWAFTSDEVRSTPSIIEGITPA DERMRRAKGVNFIYQAGVMLDLPQITLWVAGVFFHRFYMRCHMVQEKGGIHHYNIAAT ALFLANKVEENCRKTKDIIIAVAKVAQKNSKLIIDEQSKEYWRWRDSILTYEEVMLEQ LTFDLMVDNPYRHLFELLGKLDIVHNKHLRQAAWAFCNDACLTSIPLLIEARDVAISA IFFASVHTSQQIDDISGEPWWKHLKGDEVLCSQAIEVMRQFYTENPLRKQNPSLPSPA FHLENTRRRGDTLLSQPDTLSSTTGTPLEADRASRSPGVNSRVNGASKDSEGRHLGSQ SKLDENEVASKSPLKRREPDDGDTKSDRAEKRAKLSEDEEGELVED FPSE_02017 MMHPSRQAYVEDAEPQGIALEDIPDDHDYDISMGGTGVPSEKAS AILNQFNRKRLAATIAVPTDDTRVRAKLREMGEPVTLFGEALVDRRDRLRELLTIQAE ITGLENGDIDMGDADDDQEEDQEEEFYSRGGPELQQARLKIAEYSLPRAKKRTQFQKA ESTIPLRTHVKFRKQVKERLQAFELQGSQTVGERHVSMTRISPNGELVAVGNWGGQVK LVEIPSLNQKMNFRGHTNKISGLSWFPGATLQENNVSPDSVNLASGGAEGSIHMWSLN QDTPLATLEGHSQRVCRIEFHPSGRHLASASEDTSWRLWDVETTTEVLLQEGHSRGVY AVSFNTDGSLLASAGLDSIGRIWDLRSGRTVMILDGHLDGHIKPIHALDWSSDGHRVL SGSADGWIKCWDVRKVQRTGGVGAHTSAVSDMRWYKGLDDPLTGIPPGVDEKGAQLPK KSGTFFISSGFDRNVKVFSADDWTLVQTLSGHTGPVASVDYSRDGKWIVSGGHDRTVK LWGRNDGEGI FPSE_02016 MISHAPAAVNTVRGFQTTPATSGDEDSDPGHNEVPTPRRKTAGR TIVNDVVSANCSPIIRASSPAVSGIAKLRLQMEPLSLDGGSPYSMSRSTSQQGIALDF RKQMISRTHSREDVRSEAGSEISDTSISYEVNLEHDFADESVRERNGYLGTLEGGLAP NRKMTSEDFEQLRCLGKGTYGTVLLVKQRATGRLYAQKQFKKASLVVHKKLIEQTKTE RQILESVNRHPFVVKLFYAFQDHEQLYLILEYGQGGELFTHLDTEKMFSEDVAAFYMA EMLLAISHLHNDLGVVYRDLKPENCLLDAEGHLLLTDFGLSKVSLDETDACNSMLGTV EYMAPEVVLGKKYGKAVDWWSFGALGYDLMTGNPPFRGQNHAKIQDNIVKQKLVLPYF LSADAKDLLTRLLRKDPKKRLGAVMPNDLATMKKHRFFRKIDWKKLAARELEPPIQPM ITDPELAENFAPEFTELAISPVLPNKDAWPRSLPKDELFGGFSFVASSSLLNEDRFAA YAQT FPSE_02015 MDSQQSDYEYRVFLAVNDVENIGLRASARKHSIKISTLKDRCAG AHDITTAHRGELSLTPEQEDDLVNYIIEREKAFQPLTRSDIRGFAQQLSEVNGQISYI GKNWVDRFFTRHSSIEKKPTKVYEAARKRAVTRKSLSDYYEGLQWVVDNKNITRANTY NVDENGMQLGETRAGIVAGTVMTTRSEVIKTDNSTWASVIECISAGSRRLTPVVIFTG KNL FPSE_02014 MKWSTGSLLAALFAPSALAITPEFCPKNGKVCFTWGVPEASASS GSGPIYFQLKAPTSMQWAGLGIGDRMAGSQMFIIYQDGKGNVTLSPRPGTGNVMPEYT KMDGLELLEGSGVKDNEMIANVRCNNCKNVNLKGSDLWIAAWLSGDSLASTSPSERIS QHDEHATFDVNLAKAAMTSDSNPFLSANTNKGSSSSGGAASETSSRGASNKVQLAHGI IMSIVFIAGYPLGAILMPMLGKWIIHAGWQVVMLLLMWAAFGLGYVAARDGGLWGKQA HTQMGTVVVVLITLQPILGYMHHRYFLSHGKRGIISHIHIWFGRALMIIGIVNGGLGL KLASSSRAFIIAYSVIAGLAAILYLGAAIVGERRRSASRVKQMSPQMSQEEAR FPSE_02013 MLIQLSRATRARSAVAAVSRVARPNTVQVRGFIAPTVSRKADFV QELYLKELKAYKVPVVKESDAEGNVQTFSVPKTPTSPEETDLAGNLKEYESMAVEIEG QDTSAQSAGAPKVADWLEAEEDEEPHH FPSE_02012 MNGVINQNVRSVADEDNVDHIGVDTPRSGVATPQPDLQDKRLPS IMSYFGQVRNNPSAPSSDPNSSESDTALDGILVQPAPPTGLQLQVHPEGSTRGCDSSA SDRSPLQHESLDRMPSTTQRDEHNLSNPYPTPPTSQPSSSGGSISQDMISADSGAHGR AAVEPKSLTQQAQSKKPTASPFITTHFQTSNDPSLPELDSSKIAAHTEDSIQPSHPEP GAADSDTSEVAAPGKWNILNGLKELTRMTFKSGNSTPTRAMSAARPSASERAPSSGRT SHDSAEVSGTHTPRSSGGAQAPAAKGKLTIKINEARGLRKSRDPYVVVVFQRSELISG GPHSLDEEDNLSIDPPPAHGGIAIQRSGSDSGRPLAIPMRSRQSSNTSIHDHGGSVRN RPGPLSFTNPKWDAEAEFDVVDYDMLVDVSVYDHGASGDEFLGHVDFRASKDPGTTVE GWFQLQGHADTMAEKAPTGEIFLEAIYHRAEKKQFGPQDFDILKLIGKGTFGQVYQVR KKDTQRIYAMKVLQKKVIVQKKEVAHTVGERNILVRTAMSDSPFIVGLKFSFQTPSEL YLVTDYMSGGELFWHLQKEGRFDEKRAKFYIAELILAIQHLHNNDIVYRDLKPENILL DANGHIALCDFGLSKANLTKNDTTNTFCGTTEYLAPEVLLDESGYTKMVDFWSLGVLV FEMCCGWSPFYAEDTQQMYKNIAFGKVRFPRDTLSQEGRNFVKGLLNRNPKHRLGATD DAEELKRHPFFGDVDWTLLTKKLITPPFKPKLKSETDVSYFDPEFTTALDQNGSLNER AAALARGYAASTPLSPSVQANFQGFTFVDESALEDHMRDRAGLVDEDMDDGNGHGRRH RDNDDWDNLDDIDLRKANRMSGIVKTGHDEHMVGGSHFDV FPSE_02011 MNSHPAPVMSWTFLNASTLNSSKPISSRGNASVRYLQTRYHFIK RRAGSFFCKVVNLDIFKLSVYGEVVVPATRQANWEVIMYQQLKLVPSLPMTQLPTHL FPSE_02010 MNIFLSGDGSSGCMSTSSSSSWEKVLDSIVGYREVGHGARSSQL LEVWYYLWLKEKKEQGKKAQGGGVGGFLAGPSGAKAFIELRDGKLSWLRMETHDHSAS NAYYLYYAPSNSMAGGLRSSSTQQHEMTERTANTYVKRAADEGETVRSKLTDQNVSIY PLKPRTQLNRCPQGVTSDMEAMWLQMMKESEKRA FPSE_02009 MGKNSTSVPDAWEDDWETQADKMTKEPEQPSPRAPMSKAERLAQ HAEQNRKLWESADAPPTFNYYEAGTSGVSLTTPFKPQVKVLSRKPVIAKRDGAAGMSG LSLDDDNEPKKEVPLTPEEIRAKQKRDREEKQRRYEEARAKIFGESNPSSGASSPGTV TPPKADGYTGRGRGRGRGGYRNNETRQFDARQPDNRGFDTPRRMQNMSGSGRELFDPN HSPKPEPVSQRRQPEFSSPGRSTTPRDGQQQTQPQAPVRAPKGPDGSGRGGFGFAQRG GKGS FPSE_02008 MSAILSADDLNDFISPGVACIKPIETLPSAPPPQSQSLETEVIL DGQQPTANPNAPAQISLTDCLACSGCVTSAEAVLVSLQSHAEVLTTLDSAPALRLVTD DSGKFTVEGLEDENAKLFVASVSPQTRANLAAACGAGVSEAQVGHMLDNLFRGPEGVA SGGKYNNEFAWVVDTNTAREATLVLGADEVHNPSQSTPATPAKPILASSCPGWVCYAE KTHPHVLPHISKVKSPQALMGTLLKTTLSRTLGIAPSRIWHLAIMPCFDKKLEASREE LTEDVWAEGEIRGRGVRDVDCVITSKEILMLAESRGMNFFNVPKTPLSKSNPFPDPKL HDFLFPRRLPGNPPREAGTSGGLLWHILKSRAAQTPGAEIVHTRGRNVDVAEYSIVVN GEPVFRAARYYGFRNIQNLVRRLKPAKPSRMPGGKPFGSARKPAGKAGSLEYSYVEVM ACPGGCTNGGGQIKVDDPVVMERKNYSGNPGPQEQKSWLAEVDEAYFSGEEAGASEAI VNGSVGAVGGISHSYIHDTLAHWAEITDIGLDRLAFTTYREVISDVGKEATTDETVVQ LAGKIGGGW FPSE_02007 MSAIASYSFGNFAWLATQGLPLVIWPNFIGSILRSENDPSSPLE TYFGRSLGLALLALGLMVVVLSGALPLGTTSDEAPDGPPSPYAAAAVLISTLHHVSSA FYCYGRYAWTGETGFLLGCAGSTIFATFGIYCVLFAGDKAMTSRYHKFDQSTSGFPFS NSQSYRAKKKAL FPSE_02006 MARDSSPPESPLSSTYESEIDEDGGHDYDESTLRPSKRQRLEAG STTSSAVVPDAEPEAVPEPDPLEGMSDVSSDTSGDIPSSPINARLDEEDFQEQVTKCD WDGCPAGDQGNMDKLVEHIHNSHIENRQKKYTCEWMSCSRKGLPHASGYALKAHMRSH TREKPFYCYLPECDRSFTRSDALAKHMRTVHETEALRPSDPVPKSMQSGPQGKGGKIK IIMKTNQHSQGGHDDTDEMNGDEANAEFFTPLTDEIFTLDELQLPVDKLYRKCHWEAK WAEEIGESLAKECKEWENIYFQEWREKEVLVHQAIKSEINWHDRRQAILTGAVDVQVP GAIESVESVEGEKTNGAQYEDQDTATNGIAASEIEE FPSE_02005 MSSSFFIPNKNVGNQADSEDWRIRGYNPLTPPDLLQHEISQSPE SKKTVLEGRNETVAIVNGTDKKNRLLVVIGPCSIHDPAAALAYCDLLLKEKEKHKDEL LIVMRSYLEKPRTTVGWKGLINDPDIDGSFKINKGLRISRQLFVDLTAKGMPIASEML DTISPQFLADLLSVGAIGARTTESQLHRELASGLSFPVGFKNGTDGSLGVAIDAIGAA QHPHHFLSVTKPGVVAIVGTEGNNDCFVILRGGTKGTNFDAESIALAKEALQKKGVRQ RVMIDCSHGNSSKDHKNQPKVAADIAGQISKGEDGIMGVMIESNINEGNQKVPAEGKS GLKYGVSITDACISWEDTISTLDTLAQAVKDRRKLANSNGA FPSE_02004 MDSAKSTSVEPAHKGILRDETVALRWIFGYCRNAQLINGMNWPD IPQTSVPRGFNSDVKNLGFLSIDVDHFRVENGVIQQVEVGVSYLKAQALQELHGKSTQ GDDLASHVIKSYHLVFGSKVAFSWKNYHFLFGKARPIKITDLGTFLNSFTRKPYVLVV HGGRQELSLLSLLNITLEPVFIIDTVKAAQFPLQLWYRNSLKELLKEFEIPYSHLHIA GNDAHLTLRVLLMIAVRDAEIHLEGKNLPDWIPILKAVARSPLPPRPPTKREIAEAEA EQHTTIEAKEV FPSE_01345 MASDDDNRSQKRNHTEFRNDASDSSSDDDMGPQLPSQAPKKKRR VLPHEKLYVAALPKSPRYSKSLMHKEQILFATWTPLTEFLITSSIDGVVKFWKKIGQG IDFVKEFKAHDGEIKSVSVSNDGRSFATVGADETVKIFDVITFDLLSMISLNYAPNCV CWVHSKGASVPVLAVSEESKPLIHIYDGRGEKEEAIHTIKGLHRKPVHLMVYNEAYDC VVSADEGGMLEYWRPSGDYEKPEGVFEYKSSTNLFDFKKAKSVPSCLSISPNGRSFVT FSLPDRKIRMFDFATAKLHREYDESLQVAEEMHRAGTGATKLDNVEFGRRAAHERDIE SDMLKYKTNVIFDESGNFIIYGSMLGIKVLNTYTNQVVKVYGKDENFRAVNIAIYQGQ PQKKGITTVEMGASVNPLLQEAETRDPMLVATGVGKVRFYMFTNEEEVSKSTRDVQNE KPTMLGHKKDAKAKKTETGTAAILRTTYGDIHIRLFPDAAPKAVENFVTHSKNGYYNN TIFHRVIRKFMIQGGDPLGDGTGGESIWGREFEDEFSSLKHDKPFTVSMANAGPNTNA SQFFITTEKTPWLDGKHTIFGRATQGFDIIHKIENVRTHKEQPEEDIKIVNIDII FPSE_01346 MAPRHPLQKLTSPSRGLSLVLHLAGIASFSYNFKFLTEWDVPMS KSYGWHFQFLTIIGLSASLLAFVFGALADLTLSRTLFQVKNYVAVLATPMEVVISILY WGIKFIDPKLLMPTEFYIHIIPDVGFHLAPAVFLTLDLLLFSPPWTVPAYGIMAISTT LAFAYWSWVELCFSHNGWYPYPLFELLSTNQRVALFTFAAVLVTVSSSGLKWLYGQVN GYQTAKREAHKPLKKVQ FPSE_01347 MMDIDDILRQVDPSSHGVPSEARDLQALTRLWVAERSAPELLEW PMDGLFERVNARIKTQIEKVEDMTGDMDPKTNFALIVIQTELERYKFLMRSFLRARLA KIDKHTLHYLSSQELRDRLSPTELSYATKHQALLHNHYLSSFLASFPQQLQNLNDTAG NISMIDSPDLDTAVFIRMLRDKDVYGKGTDADITLPATNGDVLIIRWSSAKHMVDVGD AELV FPSE_01348 MHLRRSSNSADGHSSTPSPSFSLSSTKRRFPLLSRRKTRHSDVS ESSIPPLASPPASPSPNQKGDKPSLRVHVDIKFEGLQSSEHVHDYEASSQLKATDRIC QALLSRLQHCSSELITRHDSNALDPLRKPHRDVKPLRYRITYLVERDGVVLVEKSLRS FQEYELTHDDAREVVAATDRIIGLFLVRHDPGFQWSESVESESASLETETFRPCTGRP QSMACIPRSHFVHDSQKFEFVSGYTIELFLRSRCATRYPESRNASIKIDSHQPSPLPL LLGEELTTRVSNLIIDPVDAWKRKFDKRHKSCAGLEGSGGCSHIEDGAVDIMVKVRNH IGPDYTYLSHRIQTSKVLFNDHNGRDFDEFANQVKAKLEKARDLTDKSMRSLDDLVLT IHELRGKAWAVHEPFTVRLDPTITYCRQTMEAVMERLQTGISNVLEHHEDALATMTVH KRGHLIFDGFLDGAGGDDTVHFEKFATPDLERKALEAHLKERIRSDITMLCRDTCAVD CPEALPNLRFKASGTFASPFGAASSTRPALSTAGSSVAPTTPSLTASPLLRQASESSF NGSFASSHSDNALARKVAMQTLRDSVEPPSTPATPSPDLCRVPSGVEMAKKHGYMSDF MADDENKSEPPSTPSLVDTDSISPRDSVVATPSRSVTHDQSNEGLRIVDDGRRIIYQE QSDMDAIASGMVQFHRPITDDDEPIELTKDKPSENSDKKTTRHPLDTRMPDLSGNVDN LSVSKENEAAASQEKMQFEAPVATELQQTDVTEEETLSKENVMDTPTSQDGQCEMVET RVVPQQYSTEVEANSKSETSFGSTPEASIHEEIETQETQLSNDSEVPTPKADTVATGK QDATGVDAAQKALDVSVLTYFPRPPSIHSQADEVSHGDQKEEEHTEFPFELPVSDVKE PQELLQVDNSQVLEEAPTSSDSGISMQGDTKNQETQPATGTEQAENIPLPPDSPTQAQ VSADSDDLEIESEKVRVADAVPEPHTEMFTHFLETVPEDTLLVPSVTVTEDEQASSTE SSKSLVGGPTFDERPYLPTIATAPPERMPLPEDFSLGPEANEEKGQETPRNFDVPDFS DALSRPRTRPRTNTLPGRRYSTALTLEIDLKAHCDPDEEDSPTDSPPKSANSDWRPYT LHRRQPSAGLIGLPEPRRLHSVGLRSAVLPYRWQQMRREQALLEGRPGTSHSEA FPSE_01349 MNSPAYTVPVDRKRNPSPFSRLSSGESEIHSFIFKQGFMQQVHL SNNEDGRTFWWSFRRRCG FPSE_01350 MPKNEVAVTVFEYDDSESEIVAGLNALALTPSTSSELPSKRMTL MEQWNDYFKKGDLEDFQRLCVDLDLPGDLPSKTKCRQALKGVHVNIIQFLACDDKPHE LKFFKNRRALARWTRKNNAFFPRRKLPNGSPLRTLLKIMGN FPSE_01351 MAPPQDEEMLDPSSSSSSENESQFDSEDEAPQKKPTIALYDKDS DEEELERLVLGNKAGFRAQLFNDDGMFNSNWDDEGKEMQLVEQDPGLEEVEDADLFTF DTGTGAGAANVTKAAKPTDWNVPAWEDSDDDRLAVSLAGATRLRKLRKTEAEDLVSGT EYSQRLRQQYLRLNPLPAWVRESDGRPSKRRRSSAASNSSDDSDSDSEVSAQPLEKFL RDVNSLAGVGSNQKRRLRPEVIDIQRTREIPDKHNAPVGSLSFHPEYPVLLSSSTASV LYLHHIAPAAHPTPNPQLTSVQIKQVDVRRAEFLYPHGDKVFFAGRRKYFHHWDLKSG AVQKTTQILGHRLEHKSMERFKLSPCGHYMAIVASTKKGGGIINILNTTSMQWITAAR LSSRNGIADFAWWSTGNGMTILGKDGQVGEYSMESRSFVGIWHDEGCVGGIVLALGGH QGPAALGDDRWVSVGSNSGITNIYDRNELLVLNSEEVTIKERPTPTRVFEQLVTPITH ITFSPDGQLMAFGSQHKKDALRLVHLPTCTVYRNWPTDQTPLGRITAVAFGNQSDLLA VGNDTGKIRLWEIRN FPSE_01352 MIPSWQVAAVLLLAGPSLADNFTIYNGQIFTPGFVVLDAPQPYT PLGGDTLHVAIDVTANGKLPLPSNDNDNDNNDDNQIFSIEMFLYSYVTGRNFTISNRT ASANNASLGEIMAQEPGSTVKHVNWVWPDCLIGDGAPEGDSDRGVYNISIRQNFRYNG DDYYTIFDVPISVNNSIPEGNDRPSCDELSNEILSPEDIDVEAANEVGVLFAPGDATE LDIGGENSVGSVFGPSMRLYVGVVGVFMALLV FPSE_01353 MPIHQGLLPREGFCADVVVRLIRQTALNPALVLPLVLLARLTKK GQDLSILHPSAAQNLKTLLYLGLARWASGWLSEKTRNNWSDDKYDWEHEIVLVTGGAG GIGGRVVNLFSEMGVKVVVLDVQPMSFTTSANVHYYQCDLRAPENVEAVAEKIRAEVG HPTVVINVAGVARGKTILESQPSDIRFTFDVNAFAPFWTAKTFLPNMVENNHGMIVTL TSYASWLTIPNLVDYGASKAAALAFHEGLTAELATRYNAPKVRTVIVHPGPTNTALFK GYYQNTDFLMPPLAPETVADAVVKQVLTGRSGTVIIPGTGSILAALRMQPDWYAIPVR AKAQSYMRNFSGRQVIEDVDASYEKDGGEKDAADNAGEGTVLVSDS FPSE_01354 MVPSVVQSDDMDNMEIPFPALRERQEGSPPPFQDPLALLRSLPE PPLECQVCVVGAGPAGLMLATNLTRYGINVEVIDDRADQTPVGRADGLQPKTIETFRQ MRLSDTLLKQGVRVFDIAFWRSDADQPLRRLGREVHYPPIIDVLDPYILLVHQGMVEG LFLEDMKKRGKEVRRNMAFESYSVPDNKTGPLQINCRANVNQDKRSVLTQYLIGCDGA HSKVRKSIPDVKAVGMSQAAIWGVLDGELITNFPDIWSKTLVYSQEHGSILIIPRERN MTRFYIELKAGAKIDRRDLGQEFMMKRAKAIMAPFRLDWKYVEWFGRYQVGQRVASRF TDGHLRAFLAGDASHTHSPKSAQGMNTSMHDSWNLSWKLNLAVRGLSKPNLLESYEEE RRKIALDLVNFDYEHANQIAGGDAIALAENFRTNVRFISGIGAEYGENAINRPGVGNN QFVMGDAKPGCLLPPAKVTRYIDSNPVDIQLDIPMLGQFRIYLLMWDVQQSAPFLQTF CHAIAGKDSFISRLSAAASASYAAQPRASAPEDVYSRPERYTVVSHLFTFGLITTMPK TEIEISDLPPLLQDSRWTFYLDDIPDQDTRGSLCTNKWLGSLGPGEVAIVNVRPDGYV GSVGRWDSSVDESGVEAARWLDEYYDGFMQIPTQ FPSE_01356 MRRAISRGLGASSRSTPALSRASILTSSSGPSHVAARRIHATTK QLQPVTAALASTASSYPTTHAKVEVVDTPYFIDNKFVASTADKYIDLHDPATNELVTR VPQMTDAEMKAAVESAEKAFKSWKNTSVISRQQIMFRFVQLIRENWDRLAASITLEQG KTFADAKGDVLRGLQVAEAAVAAPELLKGEVLEVAKDMETRTYREPLGVTAAICPFSE YFIFSPLLKLTSNPDFPAMIPLWCIPIATITGNTLILKPSERDPGAAMIIAELVEKAG FPAGVVNIIHGAHRTVDFILDEPAIKAISFVGGNKAGEYIFSRGSANGKRVQANLGAK NHAVVSPDANKNQFINSIVGAAFGAAGQRCMALSTLVMVGETKEWLHDVAEQAKNLNV NGGFEQGADLGPVITPQSKERIEKLIDSAEKEGATILLDGRGFKPSKYPNGNWVGPTI ITNVTPDMTCYKEEVFGPVLVCLNAESIEDAIDLVNKNEYGNGTAIFTRSGATAEIFR KNIEAGQVGINVPIPVPLPMFSFTGNKKSIAGGGANTFYGKPGINFYTQLKTVTALWQ SADAVAKKADVSMPTQQ FPSE_01357 MKFNAVAAAVSAAMLTGNVHAEDVKEASPSVPDKLPTFTPTNLK ADFLEQFTDDWDQRWQPSHAKKDTTGSEEEWAYVGEWAVEEPVKYKGIDGDKGLVVKN PAAHHAISAKFPKKIDNKGKTLVVQYEVKLQNGLECGGAYMKLLRDNKALHQEEFANT TPYVIMFGPDKCGHTNKVHFIFNHKNPKTGEYEEKHLESPPSAKITKTTELYTLIVHP NNTYAIKQNNEEVKTGSLLEDFSPAVNPPAEIDDADDKKPEDWVDQARIPDPEAKKPE DWDEEAPFEVVDEEATKPEDWLEEEAVTIPDPEAEKPDDWDDEEDGDWIAPTVPNPKC ADASGCGPWTKPMKRNPDYKGKWTAPYVENPAYKGTWAPRKIKNPNYFEDKTPANFEP MGAIGFEIWTMQNDILFDNIYIGHSIEDANKLAEETFGVKHPVEKALAEADKPKQDDK PRSPSDLNFMEDPVHYITEKLDLFKAIAAQDPIQAIKFVPEVAGGFAAIILAAAGLIA VLFNLGKSSPAVQKTAEKASNKAKQVKDKAAEASATGAEKVKGEVNKRTTRSQS FPSE_01358 MYRFMDNPKVSESQVAGMRTFASLIIAPFSSGQLLTILGNALII SDNEASPTTVSSSV FPSE_01359 MRFTSILAAGAFATMASAQSKTVSMDPAQASQMDCLKDCEAGDV KCQSYCITVPSPNEQDIEKTTKCVAACPKGKGSEADTQKYTVCMNECIADNYWKSVDG TPRGTDVPDVKSQASEAASSAADKATATGTASESDATATAAATNSESGSDSSSDETGS ATGSGTATGTAAEVSETGNAASSLVGGVSFLGLVAAIFAL FPSE_01360 MAAEQQRLTGDALITSEDLNHPANLIPSLCAKFWTLGWVTGTGG GCSIRDDDLVYIAPSGVQKELMKNTDIYVMALSEQDPNHNKLNQRTYLRSPPCYKPSQ CTPLFLAAFTRRGAGCCIHTHSQWAVLVTLLLESQGPGKDRVFEINNIEQIKGFGRGM NKTGNLGYHDTLRIPVIENTPHEEDLTEFLEEAMDKYPDAYAVLVRRHGVYVWGDNVH KAKTQCESLDYLFQLAVEMKKLGLPWISEVEQIAPQRT FPSE_01361 MSFEGLQERLTALQETTIQLKELIDRLGTLEFQPGSVPLSTDEE SSESGELSAEITSTLRDGEEEHELLQEEVEFLRGAEHDKARLKEGVEKIGKELEGCRL SFRKARLAAKHSLAQAQRRERELLLTSFSQPASENNSLYPDDEKPNRPQRHHHHPQQQ QSSLTEEDQQTVGASANVTNALRRTHDLIQAELARSEFAHETLTESSAALKQLNESYT DLDSMLASSKDLLGTLLRSQKSDTWYLQTAFYMLGITLGWLLFRRLLYGPMWWLVWLP LRLMFGLGTSAGSAVMHAGSGSGKVEEAGQPSKGVPVEGLPGDELPTIQVETGEEAEI LEEVDKIANVIRDADELGNIPEGDEDNVRNPKKRMWEEPEVVEQERSRDEL FPSE_01362 MITKFMTEVSAKFNPFSTCAKPARLFLTLLPPNARANGTTITSA ILPRTSQEPSSLRIKFKDGKEFNFDCQKINIKGLVEEVDRHSRQLQKAADLTD FPSE_01363 ISKEELLNPIKQDIKKGKLRYVRNCFPHKGYLWNYGAFPQTWED PNTVHPETKAKGDNDPLDVCEIGELVGYPGQIKQVKVLGVMALLDEEETDWKVIVIDV NDPLASKLNDVEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFTGECKNKDYALDV VRECAEAWERLVTGKTPAGGVSTTNVTVQHSPTRVSPDQLPPLPAHEEVPAEKIDASI DKWFFISGASA FPSE_04402 MASSTARSPVAATGSGSGGRNSAPPPPSSPLPSATSTAKISSCR TAQIARHFSTSSSPTGPVSKQKPDMASNYTVRKVAAPNTLEHRVYVEKDGQPVSPFHD IPLYANQEQTILNMVVEIPRWTNAKLE FPSE_04403 MSRFSFDSSTSRNESISVENPVDVIPFHRNRALSIRSNKSRNSG AAGGSKKGGFSFNSLRGQVQPELSRKLYRLIKSENNLIGAHETAGRERVSIATQLSEW GEQTGDDSISDISDKVGVVLSEMGEQEDSYAHALDDSRAHLKAIRNTEKSVQPSRENK DKIADEIQKLKLKEPGSTRLPVLEQELVRAEAENLVAEAQLTNITRQKLKEAYASEFA ATIERAEKQIILAKHGRRLLELLDDSPVVPGDTRGTYQHSSQARQILNDAEDDLRDWR PEPEGFSTPVQSRSPTLGEKGKESQINDDRMSPVQSEAATVESETSPKEQRGIKSSVY AEVAG FPSE_04404 MKPFAITTALFSLVSAALAGASTESKTSKIILPADFKPPQVFQN ANLVHVISLEKNYVKEQINVLVENVAKEPQTEYYVPFTTEQLPRVGGFEVKDRKDANA GPFVVETVEYDTNSDVQYYRIQLPTPLKPGAQQTLGITYYYLKAYTPSPAVISQRARQ FLAYDFSVYAPSAYATKKQKTEIKTGTSNIPDYTKLPGSGDVKEYPVKQGSKLIYGPF EEKPAGAVSPARVRFEFQKPVTHVKELDRDIEVSHWGGNIAFEEHYDLHYRGANLTGS FSRGDFTKDLIQGNRPSHALSDMRVPLQVGSVDAYYVDVIGNISTSRFRSNKREALLE LKLRYPLFGGWKAPFTIGWNSDASNFLRKTATGGYVLRVPFIEGPKQLEGVEYEQVNI NVLLPEGAVNVKFYTNVPESSIMSTSIDLTRTYLDTVGRTHINIKARNLVDEFRDRHL IISYDAPLSSALRKPLIVFASAMAVFVTTWALGQLQVEFKQKK FPSE_04405 MVGLGPRRPPSRKGSMADVPKDLAEEINKLENLFTVQPSKLKEI TNHFVSELAKGLSVEGGSIPMNPTWVMSYPDGYETGTYLALDMGGTNLRVCQITLTDE KSEFDIIQSKYRMPEELKSGTSEELWEYIAECLYQFLETHHGDCTKLEKIPLGFTFSY PATQNYIDEGILQRWTKGFDIDGVEGQNIVPMFEEALKTRGVPIKLAAIINDTTGTLI ASAYTDTAMKIGCIFGTGCNAAYMEDCGSIPKIADLNLPADLPMAINCEWGAFDNEHK VLPRTPYDVIIDKESPRPGQQAFEKMIAGLYLGEIFRLILVDLHDNKSIHIFENQDIA LLRKPYSLDASFLSAIEEDPWENLSETSDLFSKKLNLKCTQPELELIRRTAELIGTRA ARLSACGVAAICKKKNYQSCHVGADGSVFNKYPHFKERGAKALREILDWPEKTNKKEE DPIEVLTAEDGSGVGAALIAALTLKRINEGNMAGILHPENFK FPSE_04406 MAATTSKIDDSMPARISSDCPEEIREVLYHAWGHDRSGLKQLLK TTGKATAQDPKTGETPLHAAIRACGPASPDDDGQEEDGSVEEAKDIVHDLFLQGAIWN DVDSNNETPGCLALRLGRKSLYQLCIEAGVRAELLFALMGDYEELSSGSEDGDDEMEV QQDDDEEAPQLVSTTEDVEPTVEEPKFIPPDAKEKQVTSEEYLNSKLVYDDAKLVDSD LNGVMMAWETDIMRRSVAALIPDSAPGKRILNIGFGMGIVDGMFAELKPSRHHIIEAH PSVLEHLSKDESKFGPSWEKSGPEEGAFKVHKGKWQDIVPKLLEDGEIYDAIYFDTFG EDYSQLRYFFSECIIGIMDQEGKFSFFNGLGADRKICYDVYTKVVEMQCADAGLDVEW EESDVDMSGLEKAGEGEWEGAADSLD FPSE_04407 MKFGEQLRSSVIHEYQWYYIDYDGLKDELKRSTGPIKASAKGPE WTEDDETRFVGKLEVELEKVHTKQKVKAMEIARRIAVSEREVKEVVGRLNERGLGENG PTEEEFMLLEEDLSDIIADVHDLAKFVQLNYTGFYKIIKKHDKMTGWHLKPVFDSRLK AKPFYKENYDASVIELSKLYDLVRTRGNPVKGDSAAGGGQASFIRQTTKYWVHPDNVT ELKLIILKHLPVLVFNANKDFDPQDSAITSIYYDNPDKWDLYEGRLKKTEGAEALRLR WYGGMKTETIFVERKTHREDWTGEKSVKARFSMKEKNVNAYMKGELLPAAIFEKARKE GKKPEKAIAEDERLASEVQYSVLKKGYKPVCRSFYNRTAFQLPADARVRISLDTELTM VREDNLDGRTRCGDNWRRMDIGIDYPFSQLPAEDVERFPYAVLEVKLQTQAGQEPPEW VRQLISSHLVEAVPKFSKFIHGTATLFPDRIDLLPFWMPQMETDIRKPVTHDFGIRRP GLSGTTNTSDDEDDLDSDDEEAVGSGRNGTNGTNGTNGESSAQGASRRRGPTAVDVEG QITDLPDVNEDCLYDSDDEYDENYELEVAKREGGWRYYSTLLSTKGRRIGQTLGRHAV VALKAAVPAPRSTAVPRSERLQGLFGNDTIQNKKFKAPPGKKIYVPVRVEPKVYFAAE RTFLGWLEFSIYIGTIAVTLLNFGSHPTPAHFWVAGVFTLLAIMSLCYSVLTYLYRSQ GIRSRKVVKFYDRWGPSALCGALFIGVALNFAFEGRERNLW FPSE_04408 MTILKTQSEFGHAPPPQTPYSVITNLPGWDVAKAIRDGDHTPMK RVVHIYPRFVATHYAAQLGNEIAKHVGHAGKAALVYLSPAIWPYTLHHVTHSNRGESR VEEHDVAFKCVDVAGHRLYVTLFNPMRMPVMMLTWQNPGLGISLRGAEQVLKGIDTIK EVSSEVGIKNFPSPSWTPESEIHDALRERIVELLHRAPRDADKVKCTVKDVFLYPTGM AAIFYSNNLLTKHRPGTVVVLGVVFHNTYHHLVEECPQGMKHFGRVDSDGISSFENWL KGEKDAGRPVSYVFVEVPGNPTLDTPDTARLKRLSEEYDFFLIVDDTIGGFGNIDVIS HSDILLTSITKSFSGYANVMGGSVVLNPLSCHYQTLHSLFRESHHNELFIADAQVLLS NSSDFLERTRILNRNALAMAEFLHEAISSPNSPVINVQYPSLLDSKANYDAILRRGTP ELPEPGYGCLLTVEFSSVETAIAFYNRAGLYPSPHLGGHVTIMFPYNMIVFSKNPEEK VYMDELGVREASVRISAGLESEEDLIDTLRDALQAATKLDGRIRKE FPSE_04409 MSSPTKRSTRSSATPRRSGRNPEPNQASGPGNSQLEVPSSPLPY PSSSPGPSGQNDLPKGVSSPFAQMMSSQSTRARSNIPSSPFHQMTESQSDIDPQRTPR ANPTTPAYHGGSSPVRYDPSSSPGRSLRHQSELRSEASGLFVGSQIGSPAPHRRGDIN SDAARTPRASRRVILDDVGRVVREGPADGSDVASFANRDPNTSEADVLGGQGQSLIWG TTVSIDDTFASFKDFLRNFTQKYRMYRDGASDEDVQNDPDAELKPYWEALENMLLLGT TRLYLDISDLNLYPPTRKLWHQIQAYPQEIVPVMDQSVHDMMVEIARTETMRNRSQST AGHQASQHSTQSSEPNFPSSDRPEEAPTPRAQPDQQQASLEDQVASSIYVLRPFGLDK TTNLRDLNPSDMDRLISIKGLVIRTTPVIPDMKDAFFRCNVCNHSVNVGLDRGKIREP TECPREICKSKNSMLIIHNRCSFEDKQVIKLQETPDAVPAGQTPHSVSVCVYNELVDF CKAGDRVELTGIFRVSPVRVNPNQRAVKSVHKTYVDVLHIQKVDKRRMGADPSTLGIA GEEDAEAGENGIEETRKISIEDEEKIRETAARDDIYDLLSRSLAPSIYEMDDVKKGIL LQLFGGTNKSFQKGGSPKYRGDINVLLCGDPSTAKSQMLSYVHKIAPRGVYTSGKGSS AVGLTAYVTRDPETRQLVLESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQQTVS VAKAGIITTLNARTSILASANPIGSRYNPDLPVPQNIDLPPTLLSRFDLVYLMLDTAN EKNDRRLAKHLLSLYLEDKPQSAPTDNDILPVEFLTLYISYARSKIQPVISQEAAQEL VECYVAMRALGQDVRSADKRITATTRQLESMIRLAEAHAKMRLAETVTRDDVREANRL IQSALKTAATDANGRIDMSLLTEGTSAADRKRREELRTAILHLLDDMTAGGNTVRWGD VARRLSEGASIPVEQSEFNEVMRALEAENALMIMGEGARRTIRRVNVA FPSE_04410 MSPGMTLFAVQAVLILSTEDGSRIFAKYFQPPHSAPNAPSSASA NPYSDVKAQKAFEKGLIEKTAKQTGDIILYDNRIVLYKMESDVMMYVVGSVDENEILL YNTILALRDSLHLLFKQSVDKRTIVENYDLVSLAIDEIVDDGIILETDPTIIVQRVSR APTQDMPVGRIDLSEQGVNNLAQLGKSKLADWLRQGL FPSE_04411 METRIVRVPSKGSLGHFRPGRGLAKLDTWEIDRENKEALRALED AAHELRTTDTPVAFPTETVYGLGADATRTPSVKGIYSAKGRPSDNPLISHVCDLDMLR QYIGHTDETQDPIPERYRALIERFWPGPLTILLPNPVPSKLAPEVTAGLKTFGVRMPS SPLALSLIKLAGVPLAAPSANASTKPSPTAAQHVKDDLDGKIELILDGGHCQVGVEST VVDGLCDPPVVLRPGGIGMDELRTCPGWENATIAYKDKSEEGKAAPRAPGMKYKHYSP KATVVLYESSFNKGGDGIALSDFEVANETVNGHATNGDRKRRKIGVIRTQRWKSGAGL RCANFHHLTDVKATDEPDDSPFEVYEGDLLDDKEQIIGTILDIDLGQGTEGIARGLFA ALRDFDRRGADTIFVDGIEDKSDIAAAVMNRLRKAASETRA FPSE_04412 MSSLPNGFSHNEYPVVESSIAVSTSSLDNATTEAEIRDALAALH ARETSITSRLDALVASQADLSRDLGRLDLLRAGLGAQVIAARSIGNDMLATAADTAGR LSDRVKELDLEKSRVEETLGVVEQVAELKACVNGVVGSMGAPQDWEAAAGYISRASNV PEEITKGSFAVGIVPSVEVPDPPWVTLEEAKESLCGLFLREFEKAAEESDGTKVTRFF KLFPLIGRADVGLDVYGRYVCQGVAGTARATLKDAMNGQRREGFFYANALTKLFEHIA QIVEGHGGLVERHYGTGKMVRVIERLQMEADVQGGIIVDTWSDERGIDRKLTDVRSYP FSFLVQSFLPQPPRGGTPRVNSPAIGQGNNPRESEDEGVNMREVDGLLSEIAVMLGRW SLYTRFLAGKCKDAETPDEAPLVIPDVLVKSNLYRKVSTKLTSPYNVMTTFFFRRSVE KAFQLDEYPTGLSLSLSRHIEGNAPYIILAVDDVMYIVNTVIQKSISTSQRDVIASVV PTIGRVLGSDFVGMIQRKMRDESYPRPVVQGGFPPEDRIIQFIVLINSLDMANEYLTR IITGRIGESSDSPNNDAQNGPLKDSFPFERDVIFVANALHTLQTSFIGKSTELLNEGI QVLFNQVVKLRLRPVLTETFRDADYTLSEDDIADIAQQNDEDEDELLEQVPRLFEHGW DQLMKPIARIMTPATYTTLLDITARYLSKIWEKKIMGYAGRTNALGAIRLERDFIALV DIVSRGDYAVREVFGKVLQSLMVANMEDDEWDEIMAQDGEDDGIEWVLTDEERRRART LVRG FPSE_04413 MQLPWGGRDGPGNDTLLPTSNIPSITGHIGDNTTSKDGDHSHRP RHHARTTSSDARNVADHFSYMTPSEAAANLRTSLTLGLTPNEALTRLGDYGPNEIPHE PPEPLWLRFIKQFQEPLILLLLVSAGTSLLLGNTDDAISITVAVTIVVSVGFVQEYRS EKSIEALNHLVPNHAHLVRGQGKVSPTGKTPTWPPRVDDEDSSLTPGSVTPVGDILDA TSSKVMASQLVPGDLVLFTTGDRIPADIRVTKAADLTIDASNLTGETEPVRVTAEARS RGFGGYGLDKNELPRPASLAPAEHGDSHGDGIHNIAYMGTLIKSGHGQGIVFATGGHT HFGTIATSVSGTESPRSPLQLSMDDLGSQLSKASFVVIGLISIVGWLQGKKLLEIFTI SISLAVAAIPEGLPIIVTVTLALGVHRMARHNAIVRRMPKVETLGSVNVVCTDKTGTL TTNHMTTTRMWYFGAQEPVEVESDNEDVGSSMESNQATLRVLRIGNIANDARLAQKYT EHGQAATAVLSSTLGRDQVSTYTRWVGQPTDVAMLDLLDRFKEHDVRTSIGPRVSETP FSSERKWMGVTIGSETKSDKEYAYMKGSIEKVLAACDTYLEKDGREIVLDSSRRQEAL QAAEAMAVKGLRVLAFASGHVSRTARNKSTARSTPGFDRSESPSSHAPEDIYKHLTFA GLVGMRDPPRPGVGRSIRRLMRGGVKVIMITGDAETTALAIGKQLGMTIAAPIGHTGS QNSVKPVLRGDEVDRMSEADLAQAMEHTTIFARTNPDHKLKIIRALQSRGDIVAMTGD GVNDAPALKKADIGISMGRHGTDVAKEAADMILTDDDFSTILRAIEEGKGIFNNIQNF LTFQLSTSAASLALVFICTCFGFKSPLNAMQILWINIIMDGPPAQSLGVEKVDPDVMT KPPRRRGDPVLTRKLIQRVLTSAAIITVGTMLIYRREMVADGQVTRRDTTMTFTCFVF FDMFNALSCRSESKSILRGEVGVFSNNLFNWAVALSIAGQLLVIYFPWLQETFQTEAL GFFDLVRLVFLCSTVFWADELRKYLKYGKRRFGNGYSQAV FPSE_04414 MFYSEALLQKSGPLARVWLSANLERKLSKTHILQSNVADSVEAI IMPNQAPMALRLSSQLLLGVVRIYQRKTRYLLDDCNEAMMKIKMAFRSSGNNDMAVNL QIPNREALTLPDKITPYDNFELPPPPDPNWLLSQVEDVATAPIGRKSRVSQRDINLQE DYDNSQFLNDGMAMDDDMMAPLGNIDLELDFGLDDLGLEETGLEPEGGRREDRTAAGP DINDSELDFPQKDDQDRAMTLDLPRDDVIIHDGGEDVVMEGMELDFNPDDVSQMPGIA GPEYPPPRISESPLSDIDEDRAAQLEEDHSRYQMADLYEPENEDDQIVRRPAQRAKKQ KILTPDEEIALSSNHIKQQQANRDNIIKEAGFLPRDPFLLALMDMQKSGGFVSSIMGD KRSTNWAPELRGILSLDTARGMNDLKRKRDSGIADVESDHGANKSPRMLDIGSDNGLG FEGGFGDMSLPPDESRHEIPAEDEMDDDEGATMMPGFEDTTIALVHPAESGPVSLGTK HVVHQLRDRFGDDAADSPGKRNERAVLFQDLCPRQEATKADATKMFFECLVLATKDAI KVEQSSGLGDDIRIRAKRGLWGAWAEREAGGEMSQDNDVSDEPEHAAAVTPAVAVSA FPSE_04415 MPPPSQLAIATGSVNRLLKEEASYYKELEQEEASIEALKKKIDS GVGDSDENAPYILKQQQTALEQTKGVFGPLREKIALAIEKLEEQLAVSDQLNVPEEQV QQAKETLAKAKATQTDA FPSE_04416 MGSSASWDLLDPEEEAELHKSRLLNVEEKPFKRITKRLNTISQL LLSPEVAANPPEDLKHKLRELKGEVNLDFDAFNSSITRMQFLHNANALERERYKADQH RILDDCNSVRENNIQLRDQLEAAQATLAQRKKFDELAEKITSNRLLRPREDQITNLAK LEEECRELERESETYSETWRERREQFNRIMEEGMLLRRQIRDEKEEVDRREGMNEGAD DDAEVDKVGQTPRNEPSGNATPHPDGDSQMKTEVDAGTPNPEALNGGRTPMRDTPGPD TLKPQHNRVGSRGDSQAPSRDASPMPPNDERKAESGDDVDMDDSESRVHEDGGATPQV TVEPPEEGEDKMEVDN FPSE_04417 MSEEERELLARIGQLAGQINRHKNGQTGPRAPPNLHPAHHRHNS YRHASSPYPARHNRIGRPPAAHQHKTLHLNADSSNASRSASSGAETPPGWVSRNDRHR QLINANVYERDTQNRAKAIEQTHQNKINGYRQREKTQFNEFLKSQAGASSAQTNPAGQ NELTVQGVQFRVMDGGKKLVKIPGMCKVPDGVELCTLPVADAPNSSSSRTPKTATVAG VRFYRTKTGNLVASRIVNDQRRSGAVKKIDQLCKIFSTTGSCTKGPRCRYIHDPSKVA LCKNILKDGQCVNGELCDLSHDMTPERTPNCLHFAKGHCAKDDCPYTHSRAPPAALVC RSFGFNGYCEKGAGCTERHVFECPDFSNTGRCKIKGCKLPHRERASVLRNRTNADGEP LGDVSSDDEAADSDDVDSDEVAEFIDADSDLSDFEEQKDFLSI FPSE_04418 MAGLMAGRWRVSLHQTIVYEPWRGTFKSACDREFASMVLDALPS HVEKLSIFEDPNSELVSAMSRNLWFQRFTNDDMTTGAELARALVLRSCDLKHLSISFL VDARQFLDSLLSTHCCHKLQSLTLTASVLKRESQSWQIASFLGEASLFPQKMKQLERL ILWNSEPGEAYAVTYHRDRSNRRATLTRRGTWHFELNHEVIESWTNVDPDLVLQTEHE LIQAVVKHRGDAIYHLGLPVL FPSE_04419 MSDMSIVVAQCSAEFDEQDLRFEWSCGQAAPGMILVDLVGGNST AQGMPSILSRQPRRSRNPSGVRLPSVFVAEARAATKPKKNLTWWVFITNIALNPLYEA QKDEA FPSE_04420 MGIRDILKKKDDLSTGQPAQNETIDRLAAPEFTIIRSDTSTQER IYPPSMKSVDNLYLAAQDPKGKEKPRRSLDVFRSGRSRSGSESSQTKKESGARRLSHR LHLSRAPPSSENVPENLPDIVTSSDGPDELQWEKRATMLAGQNNRVRTASRPGTPSDS GRSEGREVSLQKIDEDIQEAIRLHEAGNLELSTRMFGRLADPQGANNPLSQVLYGLAL RHGWGCAPDPEGAVKYLSAAASNSASIEQMALQAGMKKGGAAKGELVLAIFELANCFR HGWGINKDAYAAKQYYETAANLGDTDAMNEIAWCYVEGFGCKKDKFAAARYYRLAEKS GNKTLGNSWIWKEKYDPPGEGSRK FPSE_04421 MSSTFQMQQPFYRPASLTLDTQHAQKYFEDEDNSVLDDNVLDHN TLDSGLELSPPMADSRRDSFAVGHPLFSPKTEDWQSVDMQSVPSNNPFLDQHSNNPFM RLDQTQHNAYATANWAFGPSSGACTPLHPFDAMSAEYDTNVPLFQRPMAGPTPFTNPG NMFPALPGGNQSIPTSPQKEWMTPQQPINKNKMRPGSPGIRSHNEMRRGDGIRKKNAR FDIPAERNLSNIDHLISQSTDEQEIKELKQQKRLLRNRQAALDSRQRKKQHTERLEDE KKQYTAVINDMEEEMAELRGKLEQMLLEKQTYTNYIENLNLEKEEMIRAHTIETGELR KKVGVLTDHVQRLESAVPTATDNGFSSGFDDMDGMGMPGAWDASNFLNEYPAEPEVKQ EMAIATVKKSENPFAESEKPAQGGLLFMLFLVGAFVLSSRSTPAIPRVSEDVRVASAN ILDSVLKDAGVSSQSATLQAPPQPSGAANWGNPIAMNDMMMDGVAPSMLSELGDTLTQ PTQEQTNEQIFSLSAAQYNGVNSQDFLQNAPEKSSPSQGRRNLAEALAAMRSNKQSDA ADVYTRSLLWDQIPNDVVRNFAKMVSECNSAE FPSE_04422 MKLTNFPVLVPAFTAQIAIKDPLIISSSLLNIPFVHNDGTLISE PGYEPSVDAKFIHGSDFLRRDPDGQWVKLEVTSVARDISGAMMRFSYNGVVDMAGEEG KVIRGDANATTTGFGNAFVQIRFETDAPSLKVLQDKLYVGSGRFVIEEDRPVIVEYKI SEVSAKCNKEPKLS FPSE_04423 MSGTCNARFRGGSGKASSGPQKSPTGSTASQPPPPELPAQGNQS AASLAPKVPPLPSSPSFSQTIGMDESNGVMSGDDLISSYHLPRPLPLWLNAQYAKHIV KGNFMTLSARPKTVEQGEWIAHQVIEHYRNLWNFVRVLHEKEDDGTSICNSTSCPRMS AGTNHSFTWLNRNREPVELPAHEYMTLMQRWISGKVDDTNIFPTDPSGVSYAHNPSIT TTPLSQLSSPGEPEYIGKRSGFPDKFVDICQMIFRQMFRVYAHLYWAHFSEPFYHLNL EKQLNSCFSHFVLTATALDMLKPAELEPMQPLIDLWAANGTFPPESKAYEYANIRAGE RLLQLSTAPQ FPSE_04424 MSDSDGLIDPIDEGGDDLFGDEGDDDIVPTKESVDEDDELASDP EGDSYARYRNDDEDQAQLETKERAVQTVTTYRHRVPKPKDGALRVLRVPKFIKIMPEE YNPDTYQPSEFDIANAKAEHPKHVARVRRDHSTGELKSNTNVFHWSDGSVTISVGGEH YEINRKALAPPADKPYSEVQDGHYYAAAAELHSNILMTVGHITEQYSIRPNKAVGDDA LTRLAERMASASKPANATNMIINTTEDPELQKKQAELAEKERNKAQRKRENAEAKMVG GVGRSSGRGGGLSIGDLEGGRRGAAGSRKRGAPSAGKNRRRRDEESDEDLEDAVGRHE GYSLDDGFIVNSDDEEADSPADDDDEEELLDDDEDEKPRSKKRQRTRDRSDDDGSDVE QAAGRSRRRNVIDDDDD FPSE_04425 MSLQKLRTWRNNPASKYYDWRLIAERLDSLATAREDANFQQLVN LLRSGLVRNLGNITSPKLYNRSFAGTKYLIEEYITQIAEAVEDIRALPTTPSAVHGHG PSLTTQMKLDCIHDTRQAFGRSTLVLQGGAIFGMCHLGVVKALFLRGLLPRIITGTAT GALIAALVAIHTEEELPAVLSGDGIDLSAFAPKVGTENGELSTFRAFQSRWATLLRRI RRFSKEGYFLDVTVLEECVRANVGDLTFEEAYNRSKRVLNITVATEGQGGVPTLLNYL TAPNVLIWTAAVASNASSPSLYGHRKTTMLCKDAHGNIVPWEPATTIDFRHWTHTSYS DRDSPLRRIAELFNVNHFIVSQARPYLIPFIQSDMHGPSLVESRSKTTQVSAFLVRMV GLEIRHRLSQLDTLNLLPTGIRRFLVDEQVPAASMVLVPEVTAGDFVRLLETPTRETL NYWVLRGERSVWPAVAALRIRCAVENELDRSYQVVRKFKAPGLRRKGSMAASMEAEGR ERNGRFGTDVGAS FPSE_04426 MNPNPSNSQDLKCSFIWILDQKRKLDRITHSTSDGPALGKHKAF RHRVLYSKALYDKPTWWSFSSDETMGNEAQWLEVGYALGQLNTVVEAESEIVGRENVI LVGIEEGCAVGLMYLMEACEPLGAFCGCRLRIPLRSDISFIGEFGRCPQDAIQSCQM FPSE_04427 MDVFDSHENSSESSISLASSVFTHDPDFSPYDSYVVDSDAPDDM DVDDDVQPPQHYGPPSYESLMLENTTVRSDADFFSVTGWTTATIGSSSVVSTSETRCP TPASAPAADLQVQEPRSSDSSMTTPSPVSHVVGRASDISTGVDSLFNYRYAFVFQDDQ GLCGIGAWADIATSPLLAKKKRMTPRQ FPSE_04428 MKYTIATIAAFATMALAKPAFLNTDFALTEGKPYTIRYSGCDSG CTIILQNGESTDLNDYKTLTSGAKGDSFTFTPSQLPSDTYNFKITDSAGDINYSAQFT YEGSYDAPSVTSATKSAVETTAAQTTEQATTLASVTKPVEEATTAKPIIPTHVPIPPK NATTPIATPTKTGGAGQTGVPEVPVSGATRMTSSLALIAGAAMAMVYLN FPSE_04429 MANIIKSALPTHLKPNTGDEQGNERRHGKTRSHMAFENTSTNVA AAQMRNALTNLAETVKDPEQKKASSRSDEYSLGPSQANQMSAAVRDRDGQLLRPVPTI LERQGEGDWDRIAPPAQGQVVDYDDLANTESVQFLNKLAVLKLNGGLGTSMGCVGPKS VIEVRDGMSFLDLSVRQIEYLNRTYDVNVPFILMNSFNTNDDTAAIIKKYEGHNVDIL TFNQSRYPRVYKDSLLPVPKDNDSPINEWYPPGHGDVFESLYNSGILDKLLERGIEIV FLSNVDNLGAVVDLRILQHMMETDSEYIMELTNKTKADVKGGTIIDYEGSVRLLEIAQ VPKEHVNEFKSIKKFKYFNTNNIWLNLKAIKRVVENDELEMEIIPNGKTIPGDKKGES DISIVQLETAVGAAIRHFNNAHGVNVPRRRFLPVKTCSDLMLVKSDLYTLKHGQLQMS AARFGDAPLIKLGGDFKKVSDFQKRIPSIPKVLELDHLTITGAVNLGRGVTLKGTVII VATEGSTIDVPPGSILENVVVQGSLRLLEH FPSE_04430 MGSADTLPFWNYNIPEDQRTDECPEFLLITHEKDRGILSTPDEE YHISTWDEVRDIIQANRLELFKRIPSELRRYKKFTFHLKKTYGTVANFLLEHRLKWTP PVTPRGAPFEFEDDYKILWNDAPYGIDPRIAHLVVWTKFDLKEDPATGDLTDGARKEI DDFVTRTFRAHVPEENVLWFRNWQGLQSVNAIQHLHVMLFNPDPDFVRKITKGDIPRA GMELNK FPSE_04431 MGKETPLVSSRRLSNAEGDPVAVVRVRNLQTTIQGPKDAWGRGS KQQPLLISAAVSLTHAFPSSSADDEVSDTVHYGLLSKSILSTLEKLPKSALSLSDVLN RLWVDLTGFQYTGVKDPSAEQTKAFLQTSLIRRLSISLVLPKASLMGSAIRLTGSCLF VDSAITARSLELGLEGIRVPTLIGVNSNERNAKQVVITNIRIDEYQTAHDDYAVIESI IVDAMSESSFETLEALAASLAFHIARELRTKRDDFYGEIIRIGLEKPTAVPLAEAACV ELTVKTEDVKIEVSRTKDVRFDDTRNRVAGSSGATKSHDGKS FPSE_04432 MANTTLGINMCPPPFYDAAIFPDTGGFIDGRLCKQIGSTVCCLP CPMTDWVYPERFETLTSVANWVSLASQICCIFLLLSWACLPVEKTNRHYLSICLTIAI LLMNMGFVVPLVAQPEQCYNEITPHSMYTSKVCGASGTFILLGGWAGVMWVFLRSVSL HLQICWQVLVGKNFMIFAQAAGWGVPILGIVLALVFSGVSFRFGKTCHINHENSLADF WIPLLLFAGLTVIITFATFGYCIKVYLASLSDNGASSEGSSLPTYTHSVKTMSPRQVY RRVRRVIAHQWRGIAIVLIIIADVIFFSVVFVFQDNVVQSVADDPDKANDWVGCLYLT KGKKEDCFDEASGLVINEATVTAVLLLLAFNGMWLVLFLGRWSMVHGWMDLIKRPFVR SKKEFVSVDARVDVKSQPRSYEMLSRDSSAAVTPVYPTAKSPEDGRRTPDYFGSTARY HAPARSFSSPRPLPGQDADSSPLPIYNKEMNPLGMNRT FPSE_04433 MLFLAVGYVYQWNIENALHQSWVPDAASERFGHSPLPPSPEHLQ ITNYTLPLRTQGRDIVDANGRRFKMASVNWYGASDEFFVAGGLDIQHRDEIAKTIKKL GFNSVRLPYADELVIENPVVDEKHLRANIDLVGLRALDIFHAIVETLTKAGIAVIVNN HITSATWCCGANPCDAGWSNDHLGPICRVKQTEEEWIQHWETIMLPHIKNPLVVGVDV RNEIRGLWGTMPWSKWAPAAERCGNRLLQMNRDWLVIVEGTESSNDLSSICKRPVLLD VAHRLVYSAHVYAWSGWGSWEGRFLQRDYKSFAKTMRHNWAYILEKQIAPVWVGEIGA PGQPSVGDINYWQHLTRFLQEMDADFGYWALNARKPKGNVTERYGLLHDDWKTPVLDY RMKDMLELMAS FPSE_04434 MEIKEGTDVPPHRTPRTLNSAANIGPDLPRKSQQQSGNRKFQPI SVGKCYAQTATDRFGDGAKAAVRDRERLNMGLHPQNSEVPFVWPGNDSDDEKHNGSIY RLVPSMQKFRGNLTALSQVYNLYFSAYQGRIFVYRPRAAPSQALPSQPDLQLKPKQSS VARLVGGALDESRPHTVNHMTIGFLGDEEIVLACYDDGDVVAYYTKDLAKRIFTCRGM TDTLHKTQTAPKQFFHENVGISAWGLAVHQKSRLIAVSSNRHEVVVFALALAQNPPSR RTSVPRTTQPTIQTRTRNWRIVIRLPPTADNIPNVCFIDDRHGNAQRVGAVDVKGNAW LAEIWQGNIGPIELRPISEILIRSEEFYPAPSRGWGIFALGEGDFLKVKTTEELFGLP SDQLEIVPAGNGQPLVNIRNALSKIPDNPQLIPPPLTGMPAMHGPAIWEPGLFQPWFA MPDGAGLLGSDGSDVAGEDEFEDTEESDEEGDTDEDSEVDTEAATVTVTPHNYWNAIN HVQAHGHEHDNDKSVASLPDNLYAWDARLKQEDLKRRQCAKEDKDEAASGGIANPHKL DMTYFPHSNTVQPTPRNTFHMMTFLGNIKSSEHNRHPLTPQTHLADLGKKLFLLRTYE KDIELRSFATSPSENSPSEIGVVCPDVLTFGRFRNVGLRGHFHATSRLNMIALAPELS LMAIGSPTGRVAIITLTRKAFPTEHHEVAWEHGFRVEWVLPTGSDEAKHRKTLRPMHG MALGPVQTGDNVGGRNKRANYAFSDPRRFHLDSLCCFSHARRESWLIIDTRRVLSLGM LGWSFGVMDNYHGSYSLGEAEILKYRRFVANWRVSGAVHNRATT FPSE_04435 MSYVVPGPPTPFNGTNEELGGDSTTENLNQWYQSGDQAFILVSA CLVLLMVPGIAFLYSGLARRKSALSMLWVVMMSFSVIVFQWYFWGFSLAFSTTSNNPF IGDLKHFGLRHVWGAPSVGSALIPALLYSFYQMMFCAVTAALTIGAVAERGRVIPSMV FIFFWATLVYCPLAYWVWNPSGWGFVHGVLDYAGGVPVEIGSGMSALAYSWVLGRRNE KMMMNFRPHNISLITLGTIFLWFGWLGFNGGSAFGANLRAVMACWNSCLTAMFAAMTW CILDFRLARKWSMVGWCSGTISGLVAATPASGFIDPWASIILGVVAGVACNFATKVKF LIRIDDSLDVFAEHGVGGIVGLIFNAFFARGAIIGLDGVNTGAAGGFMDGNYVVIGWQ IAAIVGASSYAFVMSAIIAKIIDLIPGLKLRASEEAELLGMDDDQHGEFSYDYVEVRR DFLAWSPQRGEPAEDGDVLEPTHGIHEHQSMMNPSESDDARKTPSLNTPDVIAPDAVI NEKHA FPSE_04436 MAAASGLLKGKAGSGSAGYQPVYQDEGGDGHDEGHPTPHVQPIA PRGPMRTYRNMVIVLSGLVVILMAMNLYLSLPLAFSSGSGSCPGDPHRVPQYFQTSPN LWPGVTETGKPAFMAQTHVFEPTATFVPNDPLQTSIPIEGMKEGNRSIFQMMGYLSPY SPSTGFGVDEYPIPPGAEIVQVQMLSRHGARYPTPGANVATLGERIANASASLKTSGA LEFLNNWKYELGKAILVPRGRQELFDSGILHSYMYGSLYNPQSKLIVRTTTQDRMLKS AENWMAGFFGLEWTNNATIEVIIEAPGFNNSLAGSLNCPNADKADYVTPVAAWYEIYL KDATARFNNVTEGFTWTAADVWAAQNMCPYETVAYGFSRFCDLFTYDEWEHFGYSIDL GFSSGAGFQSPIGRATGLGYQQEVMARLKNHTLGYSGSQINTTLDGMAETFPLNQSLY FDFSHDTNIISILTAFGFRQFAEDLPTDNYPGDHEFVVSKITPFGARLDIEIIKAPQP ISPNREDYQEGKETKYIHFVLNQRTVPLGKSFPECDVNRKDGWCELDTFIEVQDKMAE KAQFDHACFGDYTSPSYGKVTDGVPPS FPSE_04437 MTSLLLRPVTGPSRAVDLAPCATRMVDAPAGIPEGWPKRLAVPM AWSGAQFKDETEYILTLSELHVQELEEALQIFKALGLDGDCVSRGNFPLPTLEPLLDG VRQDVHQGKGFGVIRGLDPKKYSVEDLTVLYLGIQSYIASGHGRQDRKGNMLVHIVAD NSSKLKAGHHRHSTSPITFHNEEAGDIVSWLTRNTAVSGGKCIIASTYTVYNVLAASR PDMIRTLSRSDWPFALPRFQCRPVLFHHDGRVMMNFGRVALTGNAVHPRSSNLPTVTP RQMEALDAIENIAKATQLEITTRPGDMHFINNLTVLHRREGFVNGEAASERRHLVRMR LRDNELGWNLPMDLRREWDDAFNKDAPKIWHIEPMPDGFFPLRSQAN FPSE_04438 MAPEHGSTSSQGPLMKLPVPDPYDTRHDQYGTPSGWDGCAQTSM SSSTSPLARRMADLTLFLCPCCGWDSRQSDGYQQLSNAAPMVSSPDAPYVAEKQPSMT MPSSEAYDNTVLSTGQQPSYHYQQPELNVAPLVTFPLIPDQTTLAEPLMSDTGPTQQA LLPGGDFYPGQTFKDEAPLETPGMMSNQQLLSKNFSQVEYSSGPNLIDTTALTHHGLV PTDSVSQYGMLVNGQNSEEAVQNGWSLVDQHPNFEILLPNQRGGKRGPFKDPNLREQT AQTRKIGSCIRCRMQRIRCENNPEEGGQCLTCKKVSNSRAGRFPCLRYKITDIRLFKP GQVPGYEWTRRWTNNISDPIQSWATEEPRTIYLSGGLSNKCVKVKVQRFIPQAGDKLE RTWDYRGVKKSVTIPPYAMVNLEEVKSEYLNHIESTMQDAFTKLLGSPDGLLFRTYLR AWKIFKDPSTPPECADLIHQTLLLWMSIRLTTRSSFIVGQETLGMKANILDETNPNHG RIPLPPVLGAQMDLILIHHIQTRLRRELLDKLQKMMSKNKQSTWLVTYLVIFILLHNT ALITAHDAGYAKKHGMKRRFAREEKVKEYHLGANILLAHFHYCNKGIYPFSEGCKDQD LRTLAGLDEEKIKFVRDTSTMARKYETPWGKLREAAVYEHDYFFVSQLFETNWQPRTM I FPSE_01228 MNSTLYPHRGFMLDTGRKFFPVKAILSLLAVLHQYNFNVFHWHI YDAESFPMLWPADGGLTNASIKYSGSSQFYSPEDIHKVVTQAQSLGILVYPETDMPGH SDIWGKWKKNLVVGKVDLKNPDAQLDIRPQRQETYNLVADLVSTTDKYFGSPLHHFGA DEVAYMWNTQDDNKLFNNFLNWLQTLCPTKSIILWDDPLTDEEKRIKLSKDWIIQTWH NGVTKGVLKKGHRVIISESNTFYIGNADSDKISSFAFPDNPNVLGYEVVWFTSEDDDP YDFRKSWVMEPIKAAAKIRRRKKN FPSE_01229 MELSQESVHDVIHPTAAYSDLSPNHGMESMMRDDQPVDWQDSVL NPKNRIDSLSPLERPHWRIDGCTAFGSQFYAVPSFIDSMPPLRVDVFIPEPSKLSPEL RHALDVDVAFHTTSARRIANLGITQHVLRILQYWTSCQEDPVGIFKMIPYGSRIVLKN MPKNVADAEITIAPTHYLERQLWSVSSLQAAWGSDVELPPTVDLDNVVYVSQLHDSVC LVEIEGKTWIFKALTSYTKYLYHELRQLLTIPQHPNIVSRPVHLVTKQCGFGGKVAVV GFTLEYHIHGSLRDLIPFLKLHGMVSLADETKWSIQLASALVHLRATTDVFYPDLRLD NIVLSASRDAIMVDFEQRGVWCEFAAPEVNALEYVRLLAIDEEIPTEVSEKYSNLLSE MLPDWEAMGDREEYKWPSQGYNVPWSCLTPKEQEACEVYMLGRVLWCIFEGNSAPQRA AVWLSYRWEPLVEFPGYTKTPGAIQRLINKCTRGRRSGLSRWIVRERNQLVLRELEKT GLSTPEDVQQTAKTWWSAEIDASEEWLRQRIEGMKRGDWKENFYDRPSLKEVLAELEA FRDESGFKF FPSE_01230 MIITTLKLLLKPMTSPSSCFSRLSLENMSSETYSRARQQSFAHD SEQLHHDESSSSESETDSDSSDFDDDSSDSDENEASTIQSPTKLTYLVDHLPDTTQST IRETFREPPRIVLEKCRRIDNTYAFQMTELVTRSVRIRAPEDGTSKMSCSCSDIEQPC RHTLWLLDQIVKQTLYDEDISKPLKMNPQGYAEAIGDPFQNISKYHLDVLAEGLHSHL VTPDSEYDNEPDTFRAKEAREILSSVYDMDPKQFRPDIFDRISLGKNIIKRHDLEQTI FRMLLDNHHFFHYFRSISRSRDLIKDPFHKLTQRIDRVLRDLDTETPSSDVETPHDVP WVASHILGCVRRMRHEIYTREKPLSTHEALSAARSLVHILSSVVSHNKDEGSGTVARI DRNLYLRLIGDRDQDFILNVLNLIPEAASQFLHNLEEILDKIEIYGAPAGYVQRFRAM LRRLRTSSTGSGLKRSGQAQMGGRKTRRTR FPSE_01231 MWSNCKVTCTYLAFQVVAVLPSPLRSILSLSPSRNTAHRALSVP TEPISTTSNIIQEMSSRGGKLAPEVNRALFVKNLSYNVTPEELFDLFGKFGPIRQVRQ GIANNTKGTAFVVYEDVTDAKQACDKLNGFNFQNRYLVVLYHQPDKMAKSKEDLEARR ESLAQLKQQHGID FPSE_01232 MSRFGRDVLSVFDVASSDQDFLADVIESSRTQATAELEKLRQES LLAGAQDWHYLMQCAISMRRTCRYDRYSTEAQDVLAYVRCVLDGRVLPSDSGSGSEPW NMTDRLIARAKELEGDPEIQPPQIPGNIDNQRLLLRPRPEAFSNTRSIFKTSPYWSDQ PQKKVPNESRKWSLTPYSTFGLSQIHTPLEAQNDTLQDSSLGGLAVEQGSPQGVTMSD KDNTPSVKPNSVISPYFTDTSVSAAKTLVVQKRPPRGTVPSVPFAPLTSPDFGLVQEK VAREPFWLLIAVSFLIKTKGIHAVPLFFKFKQRFPTPADVAYESNTKPIVEMIRHLGL ANHRVALIQKYARGFLDDPPAAGKLFRVKKYDCRDVEFPSASGDCVSSQDKGDNQDLE AWEIGHLTQGKYAIDSWRIFCRDELLGRAEDWKGKGRDPEFQPEWMRVRPDDKELRAY LRWMWMKEGWEWDPITGDRAVLREEMRNAVNEGRVEYDDRGGLKLKDGPIDPSL FPSE_01233 MSNRFNSGYGLGGPAPYGGSQTAEPQQGEGFLEQIRPYTSKIED ALDTISEPVKPYLPAIGRFLIVVTFFEDALRIVTQWSDQLLYLKDYRHIPSGITHLFL LVNIIAMFSCSTLVIIRKHSDYAVAGLMGVVVTQALGYGLIFDLNFFLRNLSVIGGLV MVLSDSWVRKTQVFAGLPQIDEKDRKMYFQLAGRVLLIFLFIGFVFSGEWSLWRVIVS SLGGISCVMVVVGFKAKYSATLLVVILSIFNLLVNNFWTLHEHHPHKDFAKYDFFQIL SIVGGLLLLVNSGPGQFSIDEKKKVY FPSE_01234 MSALTKIREGLAREDNTHVAGTLAPHEKEIHETPEIVSEVSPDH DKEATAGTINPDDKGNDSDVPSEDVQNGVKEIQAITLTWGKGSLAALLCLIWTLFLIS GFRGSFYLVLVPYVTSEWRAHSLMTTIPIVSDAMTAACYIPMAKALDVWGRAEGFLLM SGFATLGLILMAVSQNLATFCAAQVFYSVGWGGMIYAVGVLAADASNLRNRGLAFAFT SSPYMITAFAGSKAAAAFVIDVKNWRWGFGWIALVLPCVTIPLFLVLKVNLRKAFKNG TVTKTTRSRGFFGSVWWAFNEFDVIGIFLFGGGLVVFLLPFNLAGHAPNGWSTGYIIA MIIVGFCTLVFFGVWEYWLAPVPFLQGRFLLDRSVVAACMIDLTYQVSYYTWNYFFTS FLQVVVNLGPAEAGYVNSTFQVVSGVLLFIVGFLIRKTGFYKWTFYFAVPIYIFALGL MIHFRAPNQYVGYIIMCEIFISIGGAVFILVMQLAVLAAVAHQYVAAALATLYVAGGV GGAVGGAISGAIWTNTFIPQLIKRLPESEVANATLIAGSIVNQLAYPVDSPARLAIQE SYGFAQVRMLAAGVGIASLFFIWVPMLRNIDVKKLKQTKGLVL FPSE_01235 MSQATLRTDRLELRPLGPEHGDYIYQLDSDPQVMKYIGYGKPLS ADDSAIVHKLLLETASFGSGLGCWAGFAGENFVGWWILAPSQSNDTPPEVNKKRVEFG LRILPKFWGQGYAKEGSRAVVKHGLEDLNVEEVFGETMAVNQGSRVIMEKIGLKHVRT FYNKYDTPPPGIEEGEVEYRVTRDEWSSQQVCAA FPSE_01236 MAAEAEKAATEEPKKSIFSSLKSFKTCFSYLELLFAAGPTWVDY ALIALGTLCAVGAGVPFPLMGVLFGQLIDNFNGATCAADGSNSGASEAASDPLQYENA INDKVIKTAWIGAIALVLIYGHLTCWNIISQRLAQRLRTRYVSALLRQPPEFFDTRGA SGQVSSRLQGDITAVQAGTSEKVGNIITTLSFFVTVFIIAFTKQPRLAGILICMLPAF LLSGILGGRYLGKYVVKQLEASSAASSISSEALSHVNVVQAFGAAPRLEEKFAEHMAR SRKYAIAKSSIAAVQTGLLYFIAYSGNALAFWQGSKKIVESVARNDGNTTVGEIYAIV YLLVDACVMLGGMAPTLPFLGAAVGAFQRLKEDIDAPSSIDGTSSKGTVLPATGAKSL AFHNVSFEYASRPGQPVLKNVDLEFPAGKYTAIVGLSGSGKSTIAALIARLHDPTEGK VELEGHDLRELNVKSLRSFISFVQQEPSLLDRSILENIALGLINSPKESHQHLKPLLK GPELEKMAAQGKEALRLAASLGPEVAEIAELIRHATEQADADGFINRLELGYGTSAGP KGSLVSGGQRQRIALARALIRDPEILVLDEATAALDSASEKRIQLAVERAAAEKRTII SIAHRLSTIRNADNIIVMQAGQVVEQGTYDELMAKEDGEFANMARLQTVGNNKSGSAS VDGDSIGTSTLRADTQLNEKVDFSETHQSLDAQSLEKDKEQTPKKEEEVKDHELDEVK PFSSVMKGIVWLIRPSLGWFAIAMIAAVFVGATFSGSGIIFGFTVGALNPCANTLDHI RSMGNMFAGLFFMLAGVELIANFFAWLGFGIVAERLLYNLRVLSFRSLLEQSIHWHQS EGRTPTSLLGVITKDSMAVGAFSGSTFGTVFAILINVLIAIIISHIFAWKIALVCLVT LPILLGSGFMQLRMLARYEERHQAAFSTATSLATEAIQSIRTVAVLSLENEYMEGFSR LLKPPRKEVVKASVTTNIWLAISYTTGTFINALAYWWGSQLIMKGEYTQKDFLIILVA MLTSAQLWSGMFSLAPEFSRARLALSRVMTVVNMGSSTHTGKPGQDPSKVGDDPEAVG GERSLSPAERHGRGGARVTFKNVSFSYPSRPDATVLDDVSFTLPPNQFCGLVGPSGAG KSTIMNIVQRLYEPTAGTVLIDDQDITKLYTSFRDSIALVPQEPALFDGSVRFNVGLG APPGHEATDAEIEEACRLANIHDVIAALPDGYDTECGPSASRLSGGQRQRLAIARALV RRPRLLLLDESTSALDAASEAALQEGLERASRGTTVLAITHRLHTVQKADVIFIVEGG QIVDSGRHSQLMERRESYRVNAMQQMLQ FPSE_01237 MAAETVGTALAVVGVLGQLFDGCVKAYNLFTTAANIDTDSQRLL CKVRIEEMRLVVWGRDWGVAEGRLEAHLESTRNPQLRSLALQILEELHSAVTDFKKLK DRYGLVDESAGRASLDVGKTKPRKSPSPSRKSSKDDECRKLNGVTKTMSEMSWGKEMS LRARWVIADKDKFTNLLKDLRDFNDGLERLFPPSHLPSFQRAWTHQLLESAQRDITQL SLLETASTGVYPKLTASANLKKLRINLDAKPQASFKPTFALKVRRAALELSKDDGKGG RSTGRHETAGDVVIEWVDYDRDDVDERVAHVRRLDDLARMMHSASECHPDLHSIDCVG YVDDTSCCRYGLVYKAPSPSFSTLHELIASADLKTPDLDDRVGLAHTLAVALWSLHSL DWLHKSLCSSNILFFPSAISTSAHSSTATAALVPDIQRPYLTGFDASRPDLDTALSVV PRNPSIMTLHRHPASLRGHSHCKPMDIYSLGLVLLEIGLWKVLQTYHKPHYSAERWRD KVLRTALVPGLSSKTGRRYRDVVDKCLAASEDMTSAEAAKVMEDVVTALETIRV FPSE_01238 MAPSSRHPQLQPQPQSMAQIVEYIPDRLYLAAYNVAPTADTPFP YPEEPAPVSPRKRTTRLAAGATPLAKPSRPQPCYFTVDDTLLYNAFHHDFGPLHIGHL YRFAIQFHDILGAKQNKERPIVFYSAADPKSRANAACMLACYMVLIQNWPPHLALAPI AQVDPPLMPFRDAGYSQADYGISVQDVVYGVWKAKEEKCCDLDNFDLDEYERFERVEH GDFNWITPHFLAFASPQHAPVQKITEGSELFPLLPRTIAAVDAHPKLPKPFKNVLKHF SEKNIGLVVRLNSQLYSPSYFEALGIQHLDMIFDDGTCPSLTTVRKFIRLAHETITVR KKGIAVHCKAGLGRTGCLIGAYLIYRHGFTANEVISFMRFMRPGMVVGPQQHWLHLNQ GTFREWWVEERIERRLRREMAAANPIPSTPIRAMQKTTLRNGQASTPPNRSPSNRTPL SEVDHDRNNIGVQEDYLPAPTPGQPRKTARDRHHPYQRSISGGAPPEAQYPVEQEADL IAKYTQASGESDEELHLRMRRRKATSQSPARSEKIRSVSQTTAIYTIDNDASHDAENI GSVRSKYVERVPSTPGVLAKVRGSKRQGESPLRAKESGVRKTSGRVGSASHSTSVSAA STARKVSGA FPSE_01239 MTLYKARPKVQGWLFTLNVPFPTARLATTALKAIQVDPELSPLV RRQLTVVPAPTSKSTQGSPNGQDADVDADARFLEVQYRATTNRMLRVAVNGFMESLKL VVEVMEQLDTDVLAQQQHQ FPSE_01240 MSSTAWNGQDQTMASAGDDDFHQFLDMSNMGSLGDAMTFDFQSF QDGSAQGLMNQSRDVPDTIMTDSDNPGLMVTAGAMPMSTSTAQPTIPAHMMTPASDPI SNIDAQIQYLQQQKFHQQQRQMQEQQAAFFHNHNHNHSVPPTPQSLEMPNNAQFYSQA EQMPASGAYDRGYHQRMKEQDMAFTPLVSPAVTPLDPNFSMDNGYTIPSAYFSPLTSP ALHAQNDPSTIYDPRHTNTNNSPIDVDLDRSAIPVTSVLDLPKKPVRKKAATKSRAKA SIRSSPIVKPQRRKMGPSPAIVSQVLSEFEEMNGSFLPTSATSTETSAEENSSVSPEA LSEMPPPPIPNRRSTSKSPYIQAQSSSQQTPVPAPVDLHPAPATPASLMKLPASRANK HAAGHPEPIVSDHIESLELPESVSSKTMTPVISRSSVQSPVVEAAAGKASGFQPLPSP IFPRRQSGTISATASPQLAPGSTGPSARKTPQLAPRSSRKRSTGSVHVSPALLPRISP SIKPLLPGTPGMTPAESAASQLLMSKSNYQNILEGNKVPGVSYPSELSTNLTSKRTSH KIAEQGRRNRINSALQVMAGLLPGGDKTDIVDEGDKKDGKQANAQNSKASVVENAIVH MKSLEKENVDLKKEVEELKRRLEGLQGSTDDK FPSE_01241 MSLPEPPSSLDNGCSVIHDNILYVFTPTAFMALPLEEDAEWKKL ETGQQVTGGVCVGTDAALFVVGGSGGPAEYNGLQKFTFADKKWETIQTDNPAFRNLQY HGAAYIKNANRIVVAGGNQDGREAPSQNTYYIDLANAMNIMSAAGAPPVISPVVIPWS DDAACILWDKEVRTFASNGWVNNDVTLAAPLPPSSKALVLSGDDGSKSLYVFDLAASP NVVHRYAVWGANNQGIQGAVDANAKRDLSLNDWPDYNSTLAPTITRAQGQYSIAQDSN GKVAFVGGNADEPLAFFDMKENSWLNAADVVGDQKILSAESSTATTKTKTSSTKTSSS DSSTKTKSVTSDASSTFATSTAAASETESLFSSETISESSSATSTDAASIAGGSSDGD SGLSSNAILGITLGTILGFLALLVVILLLLRRRKKAQQQPPPERGQPKNGFPSDQKDP TGLGGAPVAPFRGHQSNMSQESFSSMTILMGGAGKNTKTAAPREVRNLADEKEMGFGG ASPASPHFRNHVSTMSQDSQSSMAILMGRAGKGNGNNGNAAGNDQTQFKSTIGKPVLQ EPQYPTVQGQDAKGVAFDPNVAEPRSRNGPLETQDGLRRSSGWNRYWSGGSALQILGF GGPPKRNTVSSDTDSHYSEQPGFRNPRVTQDSATVPPLNFEFRPEMNRVNSGSPVVSE YSKNIPFRDGVAGKIERPISKVSSGYSSGIPESVNETWDPESKDKPWGTDRATSSIYN PSFYFGQPLSPRVPPPQTPPSGVSTQPQLAMASQSNDMSWLNLGDRARQ FPSE_01242 MAPRGRQNTTGREARNTTRASRGGISKRKAGRVDGDGDMDMDSA GRRAKRTPTTTNPKSSATRSSARTSTRGGPSKKAQNVIEALNNGTAGSLASRVSNVGS GRTPKTRSRDMTGLTMLRVGGLKESKAATNSGGGVNDLLGFMERKAGSFRTGSKRKVA IKKHHPVGDYVYVGATEEDAEELIKLNTFVFAGAPLEVIKVDEIPERGAAVESKETKE LRGRLQDILSSRYIGDNKLLRLDTLASDADLVALGTFENRDRALKTFKGLMAVCEGLF KSPKEKEDAVESISLASNNIDDVGQVEIIATTFPNLKNLDMSGNQVASMQALQPWKGK FKCLETLFMTGNPIETADPNYAATLLEWFPKLQNINGNQLRTPEQIAAQEAALWPTPI PQNGPDFRDVANVGENFLLEFFASYDSDRPGLASRLYDEESRFSISVDTRAAPDPAAA LPISWSSYIKLSRNLTKITNPNARVQRLFRGTASIQDAWKTLPLTRHPNIKEELSKYI MDCHPLQGLVDPSGQSTGGVDGLIIAVHGEFDEQDPNTNVTGKRSFSRTFVLGPGKPG QMIRVVSDMLSLRTYSLLPNVFVAPAPTPADQHQAMIAELSKQTGMTAQYSDMCLAQV NWDFNNALLMFNEKKSQLPPEAFAAAGVL FPSE_01243 MDSDEFDDDIADEDFLTALDQVSSSMNGQSTAASLQTVAGQSGN QRASAAVAALELEDLPSDAFSSPEQNHSRPIASAAISTGAAPRTGLNRASSGNWRQTT LFGGPVANDSPRPPQPTSARVFRADLPREEPTHHEVDHEAMKTWVFPTNLGKTRDYQF SIVKNSLFNNTLVALPTGLGKTFIAASVMLNFYRWTKNAKIVFVAPTKPLVAQQVTAC YGIAGIPRSETTLLTGEIPPLLRVDEWAARRVFFMTPQTLLNDISHGYADPKSIVLLV IDEAHRATGEYAYAKVTKLIRRFSKSFRVLALTATPGSKIETVQEVIDNLGISHCEIR TEDSIDIRQYVHSRTIEQVVLDPSDEMVLVSELFTKALKPMTDKLSSQNIWFGRSPMA MTTFGLMQSQKEWFNTGGRRANQGVQHMMRAVFTVLTSIAHSIKLLNFHGIKPFYDNL ADMRSEQEGKGEKGSKYKRQLVQDSNFQEMMDRISKWLRTDGFVGHPKLAALADTVLN HFMDNAANTATRVIVFSEYRDSAEDIVRMLNKHRPLIKARVFVGQADSKRGEGMKQAQ QIEAIGRFKEGEFNVLVATSIGEEGLDIGQVDLIVCYDSSASPIRMLQRMGRTGRKQE GKIVLLLMRGKEEEQFARSKDNYEKMQSLICEGSRFSFRFDLSTRIVPREFRPEVDMR RIDIPIENTQDPSLPEPKKRRAPAGKKKPPKKFHMPDGVETGFQSVASMLKVGGKAKP AKAKRNPELDDLSTVPELAKVLLSDEELKELNRAYRDLPFNHSVVEEADMPDMTAYPE LQRQLRPVAKLKHGTRTKSFAKLWNRMGNEKESLVLPCRDEDTSNYLEIPVRAFAGSD PETDSEMDDKAATSRTKPAKVTAAAKKKQTRKAQSKAQPRAKRRKLSPDAEPQTFALG SMVDEGSDEEEEDDEEDEEEEEDTLPKARGRSKPGAKAKGKRKAKRKTGGFNSDEVGD DCDRDSDLLDTDGSDDGEDLLDFVVSDNHPVSSMKDPMSLTCPSTFASSPIVKDVDDE AAKPFFVPTMFSATQESEDLPDLGRMLDKPGQTAVELSDDDDVRANRTVRGRRNVVYD SDTDL FPSE_01244 MTATGTSVSLLKFVGTVSLGLLTGVSYSISSLALPALLRLPSSA SASYGLSTLSAALKTPVLALTSLASAPFVISFFLAPRSSRHPYLLYTALLATLSSVAP ILIPTPTPAPRRAASSAPRKSSRAKMEASYEVLGDAHSEPASDEDIEDINGEEVRAEV EGLTRGYLARTAISALGFAMAVVGIWGDGAPQSVVYVS FPSE_01245 MANLPELPAPHSQLAKYISDHKDTPITEILEPYRKYEAELRSVY AQDRQNPILDDPYLNVVPLFTEDTKFITTRARNLEAESEEEKSKYIMALPDEKRRPHD SPATVADLDEFQKNFNIFSESSLTDLDWSNVVAAGSSVVNCLLPVPKEFNTTKRKLRE YYHEKFCPASDVDLFLYGLNHDQAIEKIKKIERAVKDALLNEVTVVRTKYAITIASQY PVRHIQIVLRVYKSVSEILTGFDIDAAGGAYNGSQVYVTPRALGSFITQINHIDLTRR SPSYENRLSKYSKRAFEIYYPDFSREKVDPTIFERSFRRTLGLARLLVLERLPTTTAR ETYLDKRREERGRPRVYRQHQVLHGNIKDYHEDEVADWLSEEDVSNYHTFTVPYGQHF NAKRIEKLCYTRDLLLNAEWNQPDERKVYLHRHPAFFGRVEDVIEDCCGCCPEPVTDD EKEVAEKEGEIYIKGKVSFLIDDPGRQQIGSFNPLTENDWTDMAYVGNVTRLCQSIVD GDVEDVSNWLSQEDSDPNKRDYTGRTPLHLAVMASTPEVVKCLVDHGARLTARLADGK TALHLAASRGDSSMIKILMEKSIENEEAEEERQDKKRQAAKKAVPGTKDGDLEDFAGS EANEDSDGELIDGETTDVDSASMTTGSFVKVKDAGDNNDEDLVPDESQDEPDFFDIDA LAWDLQCSPLHLAIAEGNEDAVRTLCDFGSDSIMPVKSLTDGDAQAILTLVLALSLPN DKAKSMADLLLELGATSAQADSKGCSVFHRYVEAGEEDLVDTLLANDKTGIKAAINHM VCGGSYWNSEAIAPISTAIENGDVALVLKLLTAGAKSEIDFDTWLKSAKVSNMSGRLG DLERNQQTYSEGAEQPLITAIRLGNTEIAIKLLENGADPNPLDIPTHELIFNEYRRDY NKGRSALDLVQSSLKKLRGYKGEKRQPVEPKETPGLDEFLNRFTEGTYSHCLVSCEVE GERYNFVEGKKKYEKEIKKKREPKGVSEKREAIGELVAGFEAVEEALISRGAKPFTEL RPDIKTNGREDSSSRNSRRTDDKDPKPFEYRFSFNNDRDMTERRRDGYIELMEAAWVG DLDKIKQLSLQAWGPEQGQAPLKIAVSDNKGNTPFSIAVLRGHYDVAKALLEIVKAQW SPPKKDKIRFRMDTGDDDDEEYSEDSDDSDGSQNGEPRIVSEKVQQQFTIDNIGHVDL NVESHTKPLQVICQLVPTQWSVKNERLFYHWINTSLIIHMLVEDNMTGLKFLLDLAQH YSSQKFEGDDGEDDDSPTGFVFPQDGFKYAVDHGKTKMLALIIKQTGAGIPLDHLVKK SGVELNRKPRYYQGLTVYGRKRKDWATAGRGMVTRSSGPRTPPLLYAALAGNLDSVEF FLGDAPHRLYSEFAKSKASKEDYRFKHLKESPGGFDRAISKWLGADNDLVIHCAVLAD PSENANEVLEFLTEAFPAAIEKKNTEGDTPLMVACRLGRIQAVKILLSADADQSARNQ KGENILHVALACNPKDYQLRELLDLLDTDLRSHLFLQKKNLTENGTTPMHAWVSQASG VQPDNSNSYHRRNYYGYRGAYDGKEQEMIRMLNLLLEYSKGDELEMLNGAGDTCLHTA IMMDMIALTQALIKFRPSLVYRENAVGRTPAELAHDRLTSQKFEMPSSPSRSQKDRAR DVLRDDPENFIREIEIEAHTPEQRREFLETLGLSDNYKASEASLLQISMGIKKQRSNK SLDDKTVGRILWDLCRTAMQNHPGKRRLVTLNEANDVAKRLGEKYSASRYFSVQARVE DGEEDPEEDENNTTVDFAVQQMNSCLSLAWHENKQPGEGRDSSSSDSDE FPSE_01246 MTRNNLAEHLSWLLNDQARSKPATPSFPVCSDSSQAGLSQSQHS DSQVRQTSQPVTSIGIGDDPQSTRDAPNGRVALDRRSRQTDPVILDGNDDEDAMGRLV TSSKAKKPSLVSRPQQILTPTSITPNFSSRQDTPVKRYNESPPKKTPAPAPARLCTSS PEFPELKTGGVDYMDLTDDTFASSDSLSFGSDVKLWREDYATRPEPEPAVSSGRKRKS NEMTKEEFSDLGDIPDVYELLGTEPPPPSPTRPARRKNGTLSTRTRRTRDGFINPPTT VIPPIGEGDNELLLSPSRKVRSQLTRDPSPQRTLPIISASQSPKKATPPLKAPAGSGN SKPVLEALSPQRDADLGGDELVIPDSDDEFLTPPSRHGSRTIMESLANKEFVEPQKQE PPVPSASIDIHSNSQHQISSGSTALGRPADMSSSSQSTRLVVDDDSALDTLSEALSHS PQEPALLKQLATDPSAVTKWGGFIDRLIQENGKKFSQAINERWSKPQRAEVKAEKERL KRQQAAIAELAGPIEDYRILDEKRDRLAQKIEQAYAESLDTDEDEVRLDDLTDEIQET EDGLLKIIRDARLDVDGFLETLQKPSHVSTPAPVVVLGTQPVFQSSVNMSVPSREAIS ASQMGTQVVHQTQLPRTSAWNPTASRIEASQDMSVLSQEEDDTSAAPFPRDSARAPRT SYQSKAATNPMFMDHIPIEAEFDGLSDLEDMQPPPRPRPVNNSAYPSSSRRTPQAKHH RTVEEFSDFSDDEEMLAFAQDYETRQSLAPDSQDFRQIFSEMSGNTLPSGKSRSLSKK PLHSATPEAIPSQLMKYPWSPEVQRMLKDRFRMKGFRCNQLEAINATLGGKDAFVLMP TGGGKSLCYQLPAVVKTGKTRGVTIVVSPLLSLMQDQVDHMKALGIQAVAFNGECSAE YKRQVMSAFNERSPEHFLELLYVTPEMVSKNANFNNGLQTLHRKGKFARLVIDEAHCV SQWGHDFRPDYKILGQVRQKYPGVPVMALTATATKNVIVDIRHNLGMDDCQTFSQSFN RPNLYYEVRPKSTGEKTIESIASLIQSNYANKSGIVYTISRKSAEKVAESLSDSGITA RHYHAGCDPQEKVDVQNAWQRGQVKVVVATIAFGMGIDKPDVRFVIHHGIPKSLEGYY QETGRAGRDGNPSDCILFYGKADIRVLKKLIADGDGSHEQKERQMSMLNRVTAFCDNK SDCRRTEILRYFGEDFSPAECHKSCDNCKAGLTFEQQDFSEYAIAAIKVIQAQHRITA VQCSDILLGKKYPQNEAELSEEWHGMAKGLKKHELIRVIDKLSAEKAFNEDNQVGRHG MAIQYLRLGPTHRLFLSGQRRLMLSIQVPEPGAPKKATKSRAKKGKAPAEEEVSNMPS TYVSSPVGRQKNKPRAMASDLGNNASASYSWQDAGFVVDDEYDDDDAFDELPQHRPAR PSSRTPGPPISVDTGLENLDEIHRDMVEGFVYEARQAEEKIRNQKSLRKPLFTEKDFR IMAIQWTTTIEKMRRIPGIDPDKVQEYGPRIIRILQKHYNHYQEAMDPRSGGGGQDVV DLISSEVEMDDEDAADEDGEDSHYFNGNSRPDVQAFHNRLQTLGSTQTQSKPRATSKS SGGSRRYSSGKKYPSKRSTGGVTKRRSTGGSSGRKAGSSSTAARASSSGSRTMKKGGI GLMPM FPSE_01247 MSSQNRDATTSAVQNNSNPYPFLATPAASPEANRSIDVGQFASD HCHSDRDHDAPAGGLLNFADVPGQLSHLPFNPGSSTCAPDPNSSSLTSSSFAIDTTAT NFASPTTTASTTNTTPSASVAVTPCATAKATSTSTNALYPFPTPTSPLPSSNIRKTSP GLAARLKALGFGSQKLSTPLSTPHIDHIGRLDEDQLRQLDEKHQAGSIKSVISRRGRP WKGAGASPSPKPVALEPSLMLSTLTIEDNESVAILLPEIEQPEPLDMDTQKYRLPDHT NGNGTKVTLDTRREHIERTTRPPSPDRADHPPPPPPKDTPPVASPAPTSTDYAPNLNS YFTPGHNRPGSIYTLSRASFASQLAQLTSLQLPDADSLASQVNAIPTAQVAAKALINA AEQIRSWISKASEVVEGLDSEDDVEWAAAGGREGLAEVEMAITRFEGLVKAYVGAIEQ LQNRKDIANVSAEDLVRAVSQMESVIQEWSKIRRTLHNVKAQVEIAMEWEELWNNVLG DVQGEMDELSRLVFEMEERRHKSLIAAASADSVDIGDLETIVEENPSHASRLQAPNRY SIPTFPVTPTSPGTPTLSQDDSSLLALFARMQPLRASLDFLPMRLSMFEARAKAAFPT ACEELNMRRDGLDASYRKLEKDAESLRKELGEDRWVIVFRGAGRQAQKMQESVERSLF KLREAADAGLHLTNQPALMKKLESYEAKRTHYGPAIERVLSIIDKGVSERLTVNGEIL RLHTDLQNRWQNLKEQMKDAESIVEDLHADKSQQLRDSISSMLSNDRSTLGSGRETPG SSPPSSVIMSSLGMDPHTPMSKPPKVRATPGATNRVIPPNRRHSSLPAPTSQTGRKSF SRLSTIVGSSSLSNLAAASQIKRPDSKLANRPRWNGSTNTADVDTGHNFKPLTLTTPS PYAKTSPSVQRSTGSATPGASTSKLPIIRGPDARASSASPRVETPTRNTHSSLSFRER LASHGANTQPLPKPRLASHLSTTALSGRRSSLQASRSIGDDGEGRHSRPASSLATMSR RISLLPQPRSETGRASPAAVAGARSAMRKPSPAEPKDSKPRWRH FPSE_01248 MGMNQVRTIWSRTKVIAQTERNEDVASYSDQLQVNGSRWEKQPD YEMPKMEGSKAMGQLVAGSTKVEASLDHPSTSRGATSLY FPSE_01249 MATTNNQTPLLRCESPPPDALPTPALGACPELSRCVSTTSSWSH GSNDANSRDSLGIENYNNRHSTGNLDVTSMKQRRDVSTSRHSSYNLDGRSRRRGYMRP QGTDFAESARSRESVMSLGSIAHLQYYFARTGLLDGKGGQLARKKQQRATLDLSSLDT NTGSLPNIAGSDVDSYASTSSSPDFSSEGFGNDIVESPTEEMEEFFDDTFSDVGGNML PPTVSTYHHRENVVPKPPTIEELKFELEQALGDAKDALDEVEARRVGTFKPPADAPEY PNMPKEHTQGWYELQGMHILDVATLAIRAAKMYYTAHELPDRLDSIKPEKQVRADLLG VMETLRQMATRNFKEGMKEEETKTMTNWIDSVFDILKQEQEIEDAEIAERDGWIWLKG DWTGRELERERQFLLSMDTTSEPLPEWKPMSATDEKPTPFLEAMQDGVRLVKLHNAVV HKSRRRFGAIGSYHTDTQKPYRCADNLRYWVKAAELRFEVMLKVDVLAVQYNTSPQAW VDFEAAILKWSRHVRDEVTRELEL FPSE_01250 MSPPQNPYNNMNFWDFVQQFDPNQGTGRGVDHQTETPIPSFMAG FPFGGPGAGAPGPHHGPPRGPRGPPPPPEADGFVWGPWFAGENTPDSRNRNEQRQQPN EAASTDSRPSDETLNVPDPEEVAPEESHCGAGPQWPGRGRGRGGPRGGRGRGGFPHGH GPHHGPHHGPHHGPHPPPPPYGSPFDFPAMFRGWASHPFFRNMREQFQENQNQDRSAT TESSDSSFNPPIDVFNTEKSYVIHVALPGAKKEDIGLNWDAARSLLKISGVVHRPGDE AFLNTLASSERKVGMFERTVTLPPVGADERDEVDGLGITAKMEDGVLIISVPKAEKEW TEIHKIDIE FPSE_01251 MLTIEETRALGDAHPEFEPIIRAHNPMLNGWDMNTDLDGFREIM AQLRQFQPKPDPPETLSYQMQDFKIPLRDGFEVDARSYTPKDDVSSDGRPGLIVFHGG GFVTGDLETEAGLCAQFTKLGGVALNVDYRHAPEHVFPQAINDAFDATVWASQNVDKF GINPSKGFIIGGTSSGADISLVVSHLYRDNKNDPPLTGVYAPITSGVSDQTVPEKYRD HFISFDQCAKAPVFSTESMRFVHSKYKPDMKSPLAFPVAFPSHAGLPKTYFQACGMDP LRDCSIVLEQIYKDEGVPTKIDIYPGLPHAFWAVFPELEISQKRESDTAEGLKWLLAG FPSE_01252 MDAAQTTLSSPVSAAANVASTSTTATNKPKPCCVCKDEKAKRDE CMLFSNAKDPVADCKSMIDQYRSCMSGFGFQV FPSE_01253 MARRNAGNHSDNEESDPGAIGIQAQHQLVQLKKERNDRMSEIVQ ETATEMADLRSRAVAFQQDRRTAELEVVASTITRVIEAIERRREIERQMETLVNQVAF STQVVEGMMKAGFKGREDNAKETRY FPSE_01254 MSAPAMASAPAGGASSNATFRDKEKPMAVRSSNIVAARAVADAI RTSLGPRGMDKMIRGGKGETIITNDGNTMLKSMAVMHPTAKMLVNLSGAQDVEAGDGT TSVVVICGSLLGAADRLLSKGIHPSVISESFQRAAAAAVEVLHDMSLPITLSDTSSLL QAANTSLSSKIVSQYSNLLGPMAVNSVTKTIDLKTADNVDLKNIRIVKRVGGTIEDSE LVDGLVLTQPVLKNGGGPARMEKARIGLIQFQLSPPKPDMENTIQVNDYRQMDKIVKE ERLYLLNMAKKIKKAKCNVLLIQKSILRDAVNDLSLHFLAKLGILAVKDIERDEVEFI CKSTGCKPIADIDSFTEDKLGYADLVEEVQSSGSRMIKVTGTKSVGKTVSVVVRGANS LILEEAERSLHDALCVVRCLVKKKALIAGGGAPEIEIAAQLSKQARSLTGTEAICWKA FADALEVIPTTLAENAGLNSIKVVTELRHRHEMGDKNAGVSIKSGGVNTNISKENVLQ PLLVSTSAIELAAETVKMILRIDDIALTR FPSE_01255 MVSRCPSATGSNWEKYQKNFADDEVEEKKITPLTDEDIQVLKTY GAAPYGTSIKKLEKQIKEKQQSVDEKIGVKESDTGLAPPHLWDIAADRQRMSEEQPFQ VARCTKIIADEKGDESKSKYVINVKQIAKFVVQLGERVSPTDIEEGMRVGVDRNKYQI MLPLPPKIDASVTMMTVEEKPDVTYGDVGGCKEQVEKLREVVEMPLLSPERFVNLGID PPKGALLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMAR TKKACIIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNR PSTLDPALMRPGRIDRKIEFSLPDLEGRANILRIHAKSMSVERDIRWELISRLCPNAT GAELRSVCTEAGMYAIRARRKVASEKDFLSAVDKVIKGNLKFNSTATYMQYN FPSE_01256 MPFVGEDNHSGRKRRYSDDDERPLYSTYPSGDSMDIYYRKQRPE LPLRKVLPTTKRPRMINDDILDTDDLSTSPRSRRLSQLKTLQVQSATKNRVTNALAPC HICHRRPTKKTHLDSFADCQGCGERACFVCLRECHGWNTDGVSGVSEQEMLSRSFHMD DVDDERQHSATSKDEQQQSNQGWKAVGHQAVVCSRCCIERGTEGDVTCLGCFSRMEGS FPSE_01257 MFARSCLRSTRTLNGLRNGPSAIAKVWHDSTTSANELPRAASSS ANAAGDATATRLNLAAAASTTLALGSMAWYYHLYGPVAFAMTPAEEGLHPTKYPWVHN QWFKTFDHQALRRGFQVYQEVCQSCHSLSRVPYRTLVGSILTVDEAKALAEENEYPGE PDEQGEIQMRPGKLADYMLPPYKNEEAARFANNGALPPDLSLIIKARHGGCDYIFSLL TGYPEEPPAGVQVAPGMNFNPYFPGTGIAMARVLYEGLVDYEDGTPATTSQMAKDVVE FLNWAAEPEMDDRKRMGMKVLVVSASLWAVSVWVKRYKWAWLKSRKIAYDPPKEVKVR R FPSE_01258 MASPNKVFSLEGKGLKLDTAEDIEAHIAPLRSQDVEEVRILGNT LGVGACQRLGEVLATKKNLRIANFADIFTGRLLSEIPDAISSLLTSVLNLPKLTTVNL NDNAFGLNVQAPLVAFLSAHVPLQHLYLNNNGMGPHAGILIADALSELHGKKEAARKE GKEIPDLETVICGRNRLENGSMTAWAKAYSLHNKIKTVKMVQNGIRQEGVSHLLTEGL SHASELKVLDLQDNTFTVTGARALSKVVSNWASIQELGVGDSLLGPKGGILVANALAK GKNSKLEILRLQYNEIPAKGIQAFATAAKDGLPALKRIEINGNILTEDDESIVALQEL LEERKENLAGDIVNEDEWGVDELDELEEPDSDAEEEEDEEEDVEPEERAEKLVKEAEE AQEEPVIPVKDKEVDELAKKLEKTEI FPSE_04626 MKPVVSAFNAWGCTVLSVFAIVILSALAGLYRSNHEEFTGGVND PEDGKAVSNTIFTAVLVYVAFFVFCGLQGLLHARESRRGAIAL FPSE_04625 MTTQNFKNGKTPTHDISFKSNGAKTDQELEKDIPVSHQDFPGTE RDMPNPQATRDELPEAGGNSRTYQSSGKLKGKRALITGGDSGIGAASALLFGREGVSD IVIAYLPEEEKDAQDTKKQVEAEGAKVHLVSLDLSKQENCRKLVDFAVDKMKGIDILF NNAAYQMVVKDIQDLPEEQWIHTFNINIHSFFYVSKYALPHMKEGATIINNASINAYI GRPDLLDYTSTKGAIVSFTRGLSNQYVSKGIRVNAVAPGPVWTPLIPATMDDEAQKNF TAPMGRPAQPSEIATCIVFLASSDSSCVSGQTIHCNGGTIVNG FPSE_04624 MANAHSTVPYRQIRAHFNDTTITVYQAYKESIAKAAVEAQKLNA SPDFKPGRMTWIKPSWAWMMYRSGYSFKDLGQSRILALRMKHQHFIDLLERGVLSNHV QKPEPGEKREKSSDVRIQWDPERTSKLEVLPYRSIQIGIPGALSEQWANEWVAEIEDV TDKACELKRVIEERPDVTDEELLALGLIFEERLYTVPESVQEKLEMA FPSE_04623 MEKEGSCHVPPLKVQCWCPGWCCCYPGSLGGIHLSSKKKRGWTL SSVAHDYTFLRPNFDPRNFPTPPPTLRNLCMSPPEQSAASSGQPVLDETLSSKPDVEV TSAQGPAIGKSGTSDNDDHGSKSEADPQAEGNLIDFGDQPIIQSQDDNADAAPQEGPS ETAKGKQIADGQSTPSGASNTRISAASKIKPITLPPSNDATTQYLTAEPSTYVDPTPA TPTTSQPPSRTPSNAARSHISRDTSPSKSDAGYDEKRYISEDEQEGGSRSEIQSIMQQ FSEFGGGPGEEEVMSPRLEIASPMLGHPSQHPPRKSSLEPLAPTFSNQFKGLHISTSS PPAETSNESAVEDFGPPVPPKDGVHGTPPRPKVERTMSVASPSSPAQSHRPPPPEPEP EPTQPFDFHRFLEQLRNKKADPVARYLKSFLSEFGKRQWMVHEQVKIIGDFLAFIANK MAMCEVWRDASDAEFDNAREGMEKLVMNRLYTQTFSPAIQAPRPIPGAKPKRKGGDVP LGPGRRGQHQEDVERDDIVRQKMNIYGWVREEHLDIPPVGESGRRFLKLAQQELLKIK SYRAPRDKIICVLNCCKVIFGLLKHNKSDSSADSFMPLLIYVVLQSNPEHLVSNVQYI LRFRNQEKLGGEAGYYLSSLMGAVQFIENMDRTTLTITDDEFERHVEEAVSAIAEKHA QSPRVAQQPVFNEKSEHLSGETSARPSLDGPRRSTSNDEYSGEEKAAITGLLKTIQRP LSSIGRMFSDEPGPSLGAGPSSAPRTPVPQERQSLEGPLGPQQLPTKQVLSAEEAAAR QASAEAAEAQRLTRAEHANVVETLAGMFPDLDKEVISDVVYEKEGRVGLAVDACLALS T FPSE_04622 MPRKLVTVRHVSAITPIPGADRIEAATVDGWTCVVSTNAFKPGD RGVYFEIDSLLPASDPRFVFLAPKVVSPNGLTHTPGIRVRTVKIRGVLSQGLLMPLND FPEIVSRLDTIGSDGIKDIGFEDTLNVRKYEGPATPLSQDSALSTPLPDFPSFIPRTE QERVQNLPDVFSTHGSEIFQESTKMDGSSMTVFYLNRSSPLFPTLPDEIRDVGVGVCS RNRVQIENHPRSQPLFYTTARALGLHEMLAKIGRNVAVQGELCGSSVQNNFEGFAKGT HSFFLFAVYDIDDQRYLPPREVYEKWAPLLGVEHVPVHGYRTLDQVGSAITDLVARAE GKGVNGRKREGIVFKRDDGLFSFKAISNSYLLKHGE FPSE_04621 MTRLHSNWSAWKVAMTAATLATGVLGDSILRTSGVTDCGSEAGI SIQKLDISYDNDKQIVTFDVSGTSDKERNVSAILEVNAYGRKVYENSINPCSKDTPIP QLCPMPNTHFAAKGDQEIKPEWANQVPAIAFQVPDISAQATLRLVAIDDPSEQVACFQ TQVNNGKTVSVPAVTYISAGVVGAALLVSGASAVSSTLSGTAGAGHAGGGPSPSFAQT LGWFQGMAMNGMLSVDYPPVYRSFAKNFGFSAGIIPWESLQKSIDTFRNMTGGDLAND SFDVLRNATLVFSDNSTSPPADHSYKVKRAFDTFESLANLAARGVETSMDNETDGAVA TAAQGQTLSVSGISAYVEQYSVPKSNTFLTVFLVVAIIIAFIIVSILFVKAVLEFWAL FGNFPESLSGFREHYWGSIARTITHLILVLYGIWVLYCVFQFTVGDSWVAQLLAGVTL AIFTGILGWFTWKIFSTVKRLRSTEGNVDALYNDKQYWVKYSLFYEAYKKDYWWIFIP TIVYLFAKGCTLAVGNGNGMAQSIAQLIVESIMLGLLVFTRPFERKSTNIIGIAIQTV RVLSVACIFVFVHELNISQDSKTIAGVVLIAVQSALTGLLVILIIWNAINVLVKENPH RKRRKEMEKSKRDMDTLTPLDARNSLLLDRKDNNVSMFAMPPPQEKGHLPSMSPDQYR DAEAGYRQPNYLSSSPPMNRNLTNMDNDSQNLMGNAAPPGWSQQDPHPVGLGRHDSGD TSYGQQPYGYGNGGGYRGY FPSE_04620 MASTFDVSPASLHDKDNRSTQPYSTSDQQVSTSSFGSNQLLPTL SHNDNDSLSPINYLETPDSTSSLGQYQPSDFSDLDDDPFFGADFNADGGTPNFLEQDI TGLDQPLGQGLMPNKDADSGTYPLTPEQTASVHATPPQSDLTYAIRGSAPSELPNCIS PQEIQKRFNPHPINTKTSELTPSQSSSCLSSEDAPGVSTMTSPRVTVSMWGTHNEIPV HSSVERSFDDSPTTVRGGFELAGDLMSSGGNTSARDSSGKWQRNSSTGQAGLDPNNRP SDEVPSINELAHNRDDDERKELVGEWLAHKLDDLSVKPDENSRDQINELNSPRHDPND HEIPFDRETENRFISGQTYYRDQGEMNQQDRDIIASNRNWADAPMFPSIIRGQAGRHQ PESSAAAIEKFERMYRDTDSVLSRAATWGTRRRSLPSIFDLDMAQGTGGGLLKKLSVS RESKSNKPGSLLENLRGLVRRPSATQLLKRTRSSGNDEESLPSSEERGSQDSKRDSIS KRGDSITYLAPTRMGSWGKKPMPSINTAIASMGSNIASIGTTHTRSGSVSVSGTPGGS ITSPKSPFSGLSVKNTLRRARSKSELPKPSQNGMQSESHSTLVSMWKRETGGPPVAVL AKSKQVDLDDDEDDDDDFGDEADMRTNPNVIDNITPNLEGFQQHIVDLNPSLATTNTF LVDRIAYHQVQRYKYLLKNKVAHISLGATCTSGVMCSSMDGSATLLDQNKNVRELDPL SSPNDEDEGSLGEGAINAESFPQDIPMPPTTHLPAEFECRLCYATKKFMKPSDWTKHV HEDVQPFTCTWDKCPGPKMFKRKADWVRHENEGHRQLEWWTCDVEACHHQCYRRDNFL QHLVREHKYPEPKVKSKAAIKKAGGSEPTWQKVEQCHHETTKKPQDEPCRFCGKTFPT WKKLTVHLAKHMEQVALPVLRLVDARASELSADTIISPVQDPPPRNILTTPIEQTGLP MQYPVGSQQQHISQHNQQMYQNHNQNQMAYPVMSSDPYQQPQQQQGFYTEQYNNVGNV GHNFPSAHAEMGMHPMNHQNYNAPMQDMNVTNAGYQQNANAYMMPSNGLNSYPQMNAL GLQSHGMSVGPMGAMVYDDPSSGNGSPFSGQGSTSPYSHSPNLNANTGNGMWGDRRMA GFQ FPSE_04619 MFQPHPDERFHLRLHRARSVVLTTQELVEIRAAQRTFEGAYVRT ALGQFSFALIILKVFTEEFYAIGALFAVYGVAVMLVAVYRRYEGQNQFFTSETADGSL KRKFRTSGNIISDVQQLPWSQLQWLTLRYIWDTIVVS FPSE_04618 MAVAISPSDCSNPYPFGVANSWETLSSGPLSMVGVMSYHYPPLH SDDNEMGIPPPPSFIPSYTIPSQQLSSLPTVDQQAPPLLSQQHGMDMKGVHSAPNGVS LLATEADGQQQQSGSLGEKKRNKLGYHPTVPSPISKTPVETPNQAPASWMITAPDQSP STSSEISAPWQTYASGSPMSTQFSPFTSVAQSPSGWPPGTSESIPQGNVDTAWGHFAP PTRSMSYGGEPLNNSHPSQYSLMAPGRQFERRPSALSDAYTTSMNGVVPGFDGSNVST PIPFPSGAVPPTNYTSWEQTNAYTDYTYMKGNEAYGHDWSQANRGQDQGLQLANDGQQ VMNNAPPMNLYQSQ FPSE_04617 MSDLQRTWAKAKFGVSNDPFDELQEEQGQDVLPELPEPVDDDSS SASSASSVSSTGTIIPSPNQKLFARPQGVARGRTLEQIPWTTYFEREVSLKSEQDPEV IYHAYLTSPVGKGPLFVMHHGAGSSGLSFAVVASQIRKRISTAGILALDCRGHGSTYA PEDKAFDMRLDTLSSDLYNVVQLTKTEMSWPEMPPIVLVGHSLGGAVVTDLAKSGKLG TSVLGYTVLDVVEGSAIDALQSMHTYLSTRPLGFATLQAGIEWHIRSRTIRNSISART SVPALLVFNENDDPTRPWRWRTNLGATQPYWEDWFVGLSKKFLEARGGKMLLLAGTDR LDTELTIGQMQGKYALQVFPEAGHFIHEDLPEKTAVSLVDFFRRNDRTALVLPPKVSD LIKQGKRV FPSE_04616 MKLSVFTSVVLAGLVAAQQEKLPKCAQPCVDQYTTGGGVAGCGQ LDIKCICSNKNFLSGIACCLEKECDAQGKDTAVKYAKQICATAGVTDLPDDVKCDKGA ASSGTASGTATGATTPTSSDSSNTASATGGSSTVEPNAGAREGAAGFIGVGMAMLLAL FPSE_04615 MAPKTIIAPSILSADFAQLGHDCARTMKQGADWLHVDIMDGHFV PNITFGPPVVAAIRGHVDQPTEAHGRGTFDCHMMIAEPKKWVKELKKAGCNLYCFHYE AAFSSAAENPEDQTDEKTSPKALIRYIHDQGLLAGIAIKPDTSVDVLWEILENSEEKE RPDMVLVMTVYPGFGGQKFMASELPKVQALRKKYPELNIEVDGGIGPKTIDEAADAGA NVIVAGSAVFGANDPSEVIAQLRQSVDARSAK FPSE_04614 MKRKAGAAASKANKKKSKPSLSAEEAQKRFRAGLFDKKVLKSYT KQYAQSEPYKHAVIHDLVDDSLLRSVRSEIEANVEFTPKETDIYKIHQSGDLANLDGL DDESLAKLPSLLKLRDGIYSEAFRNYVSHVTNCGPLSGRKTDMAINIYTPGCYLLCHD DVIGSRRVSYILYLVDPDTPWKPEWGGALRLFPVQELKDKEGEVAKTPLPDATKVIPP AWNQLSFFAVQPGESFHDVEEVYRAETEKQLKKEGGRIRMAISGWFHIPQVGEDGYIK GEEERNAKNSGLMQLQGNPAQYDMPQPQVMKVDKSEASNGFDEADLEFLLKYMAPAYL VPDALEEISETFNEMFEITLPDILGKKFAQRLRDYVEAEEKKPVPQDTATIEKKSSWR VAKPPHKARYMYQQPDKMRTSKEESPITELLDVFLPSRQFRQWLQMATKTTVESADLL ARRFRRGLDYTLATGHEGKARVEINLGFTPTSGWGEDEEEEPEDEQNDEDSKGKGKNK GKAVEKKKNEEEVPEVGGQEIFMSGDDDADEDAAVYKTGGDDDNILFFQAPSWNKMTI VLRDSGVLKFVKYISKSAKGDRWDISGAFEVEEEDDDDHEEDGDDDEEEEFQGFSP FPSE_04613 MFTTKSVQSLKNLFASYHEPLPLSKQQSQKLLDGLKTSFRKQLD REYGESPNSPAQPAAKSVDPTNHGRLSAANLHLKDILANPLFSYNKDVASTFPTSLAT PNLATPARDPIEVFDYAVARGLMTPKAAIGCMHAKAKQLQAQEAGLAGLATSGVSGRV IRWLRSCGAEQDLRFMDNQTFIRTLAPFLVAEGMEEVAWEWLVRTVNGSSGDIPKEKR LKRASYLLGELVKTKCQPQYGNLDSGISTMLQAQQLFRTSPLLSELLVSSWRSVSWFS TVESYSRPAPSEELFDAHIATADRLSQPLLLERAHLHLYHPTHPDHLPALNFFKDKER LRRLVTSIGPKKAKPAKLSTVSWLAFLGHDTVNHLSQSGRTQEAQGVTELLQAEVASL FNDKSEPALEL FPSE_04612 MSEATSRTSASRGRGSGRGGRGGFAGRGGRRTNGDKPDHSTADS QSAFEDEGDFGELRKQYGNKTSVIREMFPDWSEADVLFALQETDGDENEAVTRIAEGT ISQWGEVSKPKKASRAKAKDQAPTPSNDATSAGPRAARGGRGASEGGRGRGRATERGG RSTRGRPAAAPTNGAGPKENAALSIPTEESSAWGTKDPKVGTSEEKEPVTEQPAPSAT EAPKPAAPAVKTWASMLRQSAPPKAAPKPKEAPAAPQPAAEPAELESPPEPLEPEPEA VPEPEPETAPTPADETEETTPVADTTTPAEVPQVSVEPEVALPPSKDELTESNLEQVV DESQPPPEGTVASTAADSWDPRQNPASVNATPISAAQQQHQAPPSGFAATAAKATAER TTRVPSHHHQRRVLDQEEAVRMPGNREVDRAAVQFGAFSLNGDEDIDGEREEPETRAQ PPADSPVTHPRTSLPPATQAGVPDSFAQKPAPAVAPIAAPTVPAAQSQAQAQIPGQAP ASSAQQYGRFGQAGAQDPMAAQKPLDPFNQQSTPSSQPPFDNFSSQTSQAQQPGAAFS SAPNDYASYYTANQPDRNPYNYYGQQFGQQGGQGQEATASQRQYGGYGASQADNLSQY PQSGLHNQPRFGGSAVDAQNSGNSTPNPTTQAQQQQAQQPAQQQQQPGQGSQPQSHGQ QYPGYNHPYYSNPYYHQYYSGYGQGGFGPYGKGGMYGQPYQMSPNAPYDHSSSPAGFG QSSLHRDSGLGASGLGDYGRVATSQAASQPGLGASSFGSVHDSFARGATSFQTQGQSF NSPGQPGNVVADDLKPPFGDSKAGSGPSPSLGGARPGSATNAPAAQSGLPPPQNVGGY GGYPSHLQGHGLHGSNAYGMGGNAGANQHGNSPYGSYNQGFGSGYYGSSQQQQQQQRG WGNNYQ FPSE_04611 MADHGNEKRPDLAVEPASLQTATLAVTGEEKNIISETPNRDEKG LSDFGADNRTPNENNSPDEGEGDAESVDSKDELKLSKARCIALVCTVTGASFLNTLSS QSVVIILPQIGRALDVPDTRLQWVVSSYVLTFGCFLLLWGRIADIYGKRLIFILGSFW VTICCIVNAFIPTEIAFDLFRGLHGLGAAANVPTAIGILGTTFPPGKAKNYAFTAGAP LGSVCGNLVSGLIAEWASWKWVFGALAIMAGMIAVAGVFCIPPSSPELRPEYNSLRAK TAAIDWIGATLITCGLLALTFALTEGNVVGWTAPWIPVLIVVSLGIIIAFFFWQRYLE QKTNRPPLVKVSIFNNWRFTAVMIIMGFFFGGFNNYLIYATYYFQDYQGLSPLQTMLR FIPTGVSGFIVAFCTAYFLSRIPTFFILAFGHVAVCIAALLYAVPIPPTTSYFAWGMW AMILSVVGADTAWPCLTLFTSHALPREDQAVGGALINSSGMIGRAISLAVATAIQSSV MAKARGVDVQHVGSVEEWDAPSLKGLRAGAWTNFGILFIALALVIYTFRSMEIIGKLP DRPQRAEGVVNQDADVERHA FPSE_04610 MDKFDIVLLGATGYTGRLCAAYMAQVLPETTSWAIAGRSKSKLE HLYKDLDLQQTTCIIYILDPTSEIAINELVTKTRVVINTIGPYATTCGTSVIKACASN GTDYVDCSGEPAWMQDIIEDYDEIAQKTGSKIIMTTGWAAVPADLSVYLAVLKLRNQF SLPTREVLVCLDDVRGSFSGGSLSSICSLEPTTEAPFDISPVLRTDAEIAKHGVLPAP NMFGVQVIPDLGALVQSSHSQIETAIVGRSWGLYAEEGPYSLGPESYGRNFFFSSRIK CSNPLSAWTFRTSYTILENAITKIPPLRYLVTRMFPPGTGPSEEMRRGHYFKYRVVAV PDDKEDKPTPRVEVKFEYDGDPYVFTSVVLTQAALVLLQGDTSAHRRGGILTPASLGE IFAERLKQPEAGVKIEVNVIGDEVY FPSE_04609 MSQDRPDAGAPLGPEPSKTDSKTNTRRRRSDAGQSAPLANRIKG AAADLYQKTVVELILRRKHIVASTDGRHVPLKLEHEAPLIDDRRGLPYVSNSIRTSRY TVWDFIPKQLFFQFSRVGNFYFLCVGVPQMIPGLSTTGSYTTILPLLFFVLLTIVKEG YDDYRRYRLDKIENAGFATVLGREDKYTGKIKPVTKWRKWNPFLTNSTAQPHPVPNEE FNGLRWVPVRWSEIQVGDIIRLCRDEPIPADLILLDSDEENKLAYIETMALDGETNLK CKQVAHALQGCDTIEGISKCKAEFVVEDPNPDLYNFDGRVTVDEKTAPLTSSEVIYRG SIVRNTSAAIGLVINTGEDCKIRMNANKHPKAKKPALERVVNKIVVSLATFVVVLSVG VSMGYVRWQKSTERHSWYLEQAKVPFYQIIIAFIIMFNNVVPLALYISLEIVKIGQLI MLNGDLQMYDEETDTPARCNTNTILENLGQVGYVFSDKTGTLTDNIMKFRKISVAGTV WLHEMDLEQKVDEIEAIKLDEESDPGEPSVYKTEPVTVVIREEQPEASHEPSTPLALP SPSHMSPRPSMSRRPSMAPSRPSMAYSRPSFGERRSSSQWRSTGRPDHIQPDVTTNDL IEYLRLRPNSGFAKKAKQYILAVALCHTCLPEQKDNGELEFQAASPDELALVRAAQEL GYLVINRTTQTITLRVTQSDGQEEYQKYEVLDVIEFTSSRKKMSIVVRFPDGRVSVIC KGADSAILPRLKMSQIAKQKANEVRKSADIEREMRRRSEQQEPRNSFGGRPSLTIRRN PGISRDRSTSRRPNVDRSKSFEFGQLSRHSEDKPRLSIATRGVSIDMPRGQYLHTPVH YQQPVPDHLAFLEDPALLDDSETFTKCFKHLDDFATEGLRTLLFVQKFITEHEYQAWK KVWDEAATSLSNRQQRIEEAGDMIEQSFDLVGATAIEDKLQQGVPETIERLRKANIKI WMLTGDKRETAINIAHSARICRPGSDLYILDVSKGGLDSQLVALQEDLQAGSVHSVVV IDGQTLSAVEKSPELSAKFFKVMLQVDSVICCRASPAQKALLVTTVRSRLKKYRGKNR RGLTLAIGDGANDLAMISASHVGIGISGKEGLQAARVADYAIAQFRFLQRMLLVHGRW NYVRTSKFILYTFWKEMFFYLPTAQYQRYTGYSGTSLYEATSLTVFNTLFTSLCVICM GVWEQDLSADTLLAVPELYVYGQRNQGLNIWKFARWMLLGAIEGVICWYGVWAGYGWI TPAARDQGLYALGTLTFTAGVLWINWKLFMFETHYKSIIVMISFFVTTIGWFAWLSFL DAAYAPQPSGPYAISDSFTTLFGDDAVWWATLFIVLGLIGLFEMVLKCVKRLLLMHGL WDWPPWGKSRRGENIEEWDVELWQELEQDPALQARLKRMAHDEPVEEEEDVDLAQINI DEEMRGR FPSE_04608 MMPSVRRFHGQTMVAAAPSICSGPAASSTIKMASSSASWTTYLW RLILAVLAPSTALLPFGAWVVSVWGSPVLDLHVQPHFSVQQKAPIQTGIPFEISTTSG FNCFNPNLPNVTIYATGGTIAGSASSADQTTGYRSAALGVDSLIDAVPQLCNVANVRG VQFANTDSIDMSSAMLRILAKQIQDDLDSPFTQGAVVTHGTDTLDESAFFLDLTIQSD KPVVVTGSMRPATAISADGPMNLLSSVILAATASARGRGVMIAMNDRIGSARFTTKVN ANHLDAFQAPDSGMLGTFVNVQPVFFYPPSRPLGHRHFDLQPITNNGRRFGRSAAPGA GSSALPQVDVLYAYQELSVGMFQAAIDLGAQGIVLAGMGAGFWTSKGTEEIRRIVHET DIPVIVSRRPEGGFVGPCEAGIGAGFLNPQKARIQLQLALETKMDNDAIKALFEHSGV H FPSE_04607 MSYPTTHFMGSLAWLVDLEVGPYPVARPGQYKLHASNTRGCERV SDQVHDMYCTMLFTSYDMQPLWGNSDYY FPSE_04606 MMLPNGKENATFQEDLSNVLVCPECNINPPNLVDEWSSGDTVCS DCGLVVGSRIIDTRSEWRTFANDDQGGDDPSRVGGPQDEFVEGQQLATTVAFSDLKAH KALSRTQNNTTQDKAQKGLMLAYKEIVSLCEAINMGQNVSNAAKHIFKLVDKHKFLKG KPQEAVIAGCIFIACRQNNVPRTFREIFNLTSVSKKEVGRVFKQLQSFLQKLQETEGD ATGLNTVTNYENTSVGAEDLCGRYVSQLGLLNQQKVAKISRDLAEQANDIKDLAGRSP LSVAAACIFMACHVVGEACPSHLIAKQAGVSDGTVKTAYKYLYAARKQLIKKEWLVDN GTSMESLPQANNATA FPSE_04605 MASQSQDSGQNALPPVDIKPLLTKLWPAESAVSPQEIAEAISHF FTNQVTEAQTASLLMSLHFTKLDFRADVLAECARVMREAAAPIPVEELREVIERRGRK EGAYRGGLCDIVGTGGDGHDTFNISTTSSILASALLMVSKHGNKASTSKSGSADLVAC MKPQPPIISAVRPDTLVKAYSETNYTFLFAPVFHTGMRYVAPIRKQLPWRTVFNNLGP LANPVEDVLEARVIGVGRRDLGPAFAEALCMAGFKKALIICGEEDLDEVSCAGNTLCW KVNETSSGKLEVEHFIVHPSHFGLSTHPLNTVSSGKEPSENAEILSRILHNELPDNDP ILEFVLLNTAALLVTSGICEADTSNMGEGDDGKVIAERGPGGLRWKEGVRRARWALKS GEAWRQWEKFVKVTNEIGA FPSE_04604 MPILSYLCSWVKPRQSRSDEPSTSFSFGKKKRTKLGLGKTDDQD HQRSNMIRKSLQSRTSSRTTTRPEDNNYDTEEEDSGTSTSLRTDWKAYEADIQRNKST LMRSHPGVDRTRIQTRAGSSSYRPLPQSFGSSSTNPYSPVSPTISESTSSPASPGFGR IF FPSE_04603 MSLYFASRTLRSKPTLINGCARGLRQYSSAVGTGLPLEGIRVLD MTRVLAGPYCTQILGDLGAEVIKIEHPTRGDDTRAWGPPYAKYKEGSSAKGPGESAYF LGANRNKKSLGLSFQHEEGVQVLHKLAAKCDVLVENYLPGTLKKYSMDYETLRKINPG LIYASITGYGQTGPYASRAGYDVMVEAEFGLMHITGERDGPPVKVGVAVTDLTTGLYT SNSVMAALLGRAKSGKGQHIDVALSDCQTATLANIASSCLISGKKDSGRWGTAHPSIV PYKSFETKDGGILFGGGNDRLFGVLCDGLGQPQWKDDVRYKTNADRVKNRNDLETKIE AMTELKTTQEWLEIFEGKGLPYAAINDVQGTLNHEHTKARNMVIEMEHDECGPLKMVN TPVKFSETPPTIRSAPPMLGQHTNEVLQEHLGLSEAEVDVLREKGVIG FPSE_04602 MDHPSDEIRVQHLDHVEWVPILSHDTVINVDPLLSSTPKQPSYT PQFSSFQSSAILRRLNDISQLPASQSFGEMDTANLKGTLSIPTPTKQDQSITAGSSNS GAKRKRDESSNKVDFTKSTIPFTWKAPSPIQQAAVQIPSTEQEARCSKCNGTSQVGSL ITCISCLTHWHQKCHSPVIGDGTTIPSNFTCASCTTGREQTTKLRGKVSQQRQQEIDR LRQKQLSSLPRGVVPAKPHLVGFGVGQAPHNSRVEYFETMPKTDMLNVLSFCDQLKPN LLVDVLISVSKRHPDLPMFDSPDWESQLLNPSRPVKASKHDDKPRHGHVLLNKAAKHK QKTTKKILKRTRVIEVITSAPEEDNVNVLPPTWTRAGEGLYSKLLPETEDRSILVDDN DEESFSHFLVDGAGRQIMEPVGV FPSE_04601 MYFSNILVTLASMATMASAIPAPDSLLDTTSSISPADFTINLDK SGSALEARTSFSCPGAMSYCPWTKACSCPVGQSWDARSKRCAGTKTTGCYAKPKANAY VSAGVDVKLDTYCAASPYKIVKYDTRHSYCQASLKNTVFLAPLAVGAEIALYGGAAID VKAKASVSLRAVCSGLAGLYLESSVDAVALFNTNKYGYSVRPGSVTGGLSFAITDSIK SLTCRLGLGNCAVYDCVSYCSKGCKNYIDVRGNVGGYVNGLAGFCVVKDSVLFVNKVG ACATLKIEGLLRIVGSIHASIKGLFKCNC FPSE_04600 MRKGTRPPPLNLSIVPRPRSLPRLSTTPQPIAREVTPLLTPLPE EADEPSGPVLRAVRIAVDFMQIVSCLLVILMLAVFLVSYTGAVNSCHGLKASVVIAAL ACDVGLGMWSIVNHDKTWCGPAVLLRAFTVSVLVGSLVSFLAVDGVFPEDYTYWGLPL SQSGAPVLSLISAILGWDVVHIVLSQQSTECWARLGSWWQRQKIRRRFMSGRGHRRGR SGPWWFNSRQSALEKRGWSCVSS FPSE_04599 MCGIHASINTSPDQALSSELERCLCNRGPDHIGTVKTQLDNSHG ELFLTFTSTVLSLRGDHVARQPFVDPATGSVLCWNGEAWALRREPVQGNDGEAILALL AETSKSSGDVMDVLRDIEGPFAFIYLDKPAKRLYYGRDRLGRRSLLVKDGLPFSLSSI AETPVDGWTEVEADGCYTLDWSADISAGLLPERHDWTTDTSLVSSIGVFNDEIPQQSF SLELNSTSVQELHSRLTESLRLRVLDVPLPPSAAATDARVAVLFSGGLDCTVLARLCH DMIQADQCIDLINVAFENPRIAGQFPDLSREELYEKCPDRMTGRNAFAELSHVCPGRI WRFVTVNVPYAENLEHRPEVIRLIYPHNTEMDLSIGCALYFAARGRGFGQTSTEATPQ PYSTTARVLLSGLGADELFGGYGRHGVAYTHRGYAGVVKELKLDVSRLGKRNLGRDDR AMSHWGREVRFPYLDERFVKWAIEAPVWEKCDFENAGGEGILDAEKRVLRLVAQSLGM SSVSREKKRAIQFGARTAKMESGKVKGTTLLS FPSE_04598 MSFSHPRRRTPVTRPDCDTENALSFKNSSTLRKGATFHSPTSPS LTSDIAFVPPTLPRAQSHLDDVVDANRRRVALTLNDIDEALAKTQELSLSSASKPMTL RDTGLPIPRGFLEGPFVDPKMTKEEERRTLRPRGRTSRAPEDHSDSGLGTSVASTNEK RGAVTASKEAKVQTRCITRSAAAAAAGKLPSLGPKAFSRIHEHTLRPLLAKPTLKEFK PIVLDIPRRIRSKEIICLRDLEKTLIFMAPEKAKSATLYLDFCLTSVRCIQATVEYLS DREQIRPADRPYTNGYFLDLKDQILEYGKQLAAKNSGDEMDIDASDEIKLIGGLSVNG RPAELVRVRKDGTCISLDTGKPVETDDDAPMKMKRSLSQQLEDEEEIQRSMARRKKNA SPEELAPKKCREPGCNKEFKRPCDLTKHEKTHSRPWKCPVSTCKYHTYGWPTEKEMDR HHNDKHSAAPAMYECSFKPCPYKSKRESNCKQHMEKAHGWTYVRTKTNGKKLPSIAGS VQQQTPPLGNMSTPSSIEYNSVPTPPQNDVTQFVGNDFPLYPTDSDWMSVNNIPSEAM HMDLTLDSTSPASASSYEQYAPYQNGSAFILDNEDLYAARMQLPAHFPSPEQAVMYSN PKMMQQQLPMYQQVPQQIPQPIPVQTAPSQFSPTGQETAMLFTPNSLRDVDEGFDDSF GADGMDFPLFPGGNGMAKTNNYQPLFGEIPSANMGFSQNSQDPFQMDWSSVDFSQTFD FPSE_04597 MCKYHVYYYASCRHNKLIRTDRCWEDQVNGCFTCFSLSSWLYPS GTHCKVTIRTTMTGSCRDCIEQQRNTTRGHYGNFVTQPTCGVGGSRGVSQAQASDYSC GGLNERPVRAPERSYQPDGYQPEDQMGYSAPEQSAPESSGIPSYGYAKQLYRARFLGQ PSRLQVSHGRDGIELTNLQLLSNRQNNNRSVNNFSDPSPYKLQVSNESSRVESESPRA TSHDIRAEPSPYELQASLSKSNNVRTTSGNQDNTSNRRRPRRHARRRARRGNMDVMDR LPRPAPEPRDGERPPVHDRVAALRGERRGRSMSRSSIGRSQAVSPLTESDLRAPFVPI PEHDEYPEYPGHPPYI FPSE_04596 MPLPKKNQASVVAGRRQEECPKATTLCPAPRGEKETQHYSDGGS DILVPKARDNAQYEIDESRQRSASALKNVWRFFSSESSSNPPVPKAQLKHERNSDAWS TIDLTSPTNEGRYTAPQPSPYPKGRRGRATRLDESQMQPYWNTPFRPESPMPPVSDST IWPSSSDFEPEKDLDIEIITWNRGPRRTVRTVHDDPRQAVEHQAAIIVDLSTDDPPSV SEDESYGEEQEEDIVVQARRVTRVGRATLIKLPKLNRNQNQSQDVQESRPSSVDLADC SVERDDAQTPARTESG FPSE_04595 MVSRTNRLYFRRLLRSRFPKIVLVLLVLVNILDVLRIHKNLLDA DRTPAPKLSQPPERIYIASMHFNNERVIRDHWAPAVIELSKTLGKDNVFVSVYESGSW DKTKRELNKMALELQNMGVPHRVDMSDTTHKDEIENPDKAEGWIDTSRGKRELRRIPY LAKLRNRTLKDLIDLQKKGQHFDKVLFINDVVFTTEDILKLLGTNGGDYAAACSLDFS RPPEYYDTFALRDTSGYAHAMHTWPYFKSSVSRNALVNHLDAVPVASCWNGIVAMPTE PFTSSSKLQFRGIPDSLAKHHLEGCECCLIHADNPLTKTRGVYLNPHVRVGYNSAAYK AVHPEQGAWVSTWQIFSGLWVNRVMRWVSAPFDSWVVRRRVAAWEKEGMGRREPGEFC LINEMQVLVERGWAHV FPSE_04594 MARSSSPSQGSLRQRGAPSKKPSEESFNPNPELDKLAKAGAQRA AAQSETEHKIGLAVITILSFVTRFWGISHPNEVVFDEVHFGKFASYYLERTYFFDVHP PFGKLLFAFVGWLVGYDGHFHFDNIGDSYIANKIPYVAFRALPATLGALTVAVTYLIM WESGYSLPACVLAAGLLLLDNAHIGQTRLILLDATLVLAMACSLLFYIKWYKLRHEPF SRKWWKWLILTGFALSCDISVKYVGVFAFVTIGCAVVIDLWDLLNINRPGGAISMQEF GKHFAARAFGLIVLPFLFYLFWFQVHFAVLYRSGPGDDFMTPEFQETLSDNVMLANAI DIQYYDSITIRHKETKTYLHSHEDRYPLRYDDGRVSSQGQQITGYPYNDTNNYWEIWP ADNNKTPGRIVKNHDLVRLRHVGTDKILLSHDVASPYYPTNQEFTAVTPEEALGKREK ETLFEVRLEHGKKNQNFKSVAGHFKLIHNPSKVAMWTHTKPLPEWGYKQQEINGNKQI APSSNVWIAEDIASLDADHPRRQKPERKVKSLPFLQKWFELQRAMFYHNSKLTSSHPY ASHPYQWPFLLRGVSFWTQSETRQQIYFLGNPVGWWLASSLLAVYAGILLADQVSLRR GIDALDRRTRSRLYNSTGFFFLAWATHYFPFFLMGRQLFLHHYLPAHLASCLVAGALL EFIFNSEPAEEITIKDKKGPVSPRHHVTARERFSGQSMASAWIACGVVLALVVAGWYF FLPLTYGYPGLSVEAILRRKWLGYDLHFAK FPSE_04593 MSFPLVARRAPRFITSSIRAAPRQHAIAIIAAKFTRSSRHQSTM STDQQIVFTKNAPAALGPYSQAIKTPHMIYCSGQIPLTPEGELVQGITEQTRQACKNV QAVVEEAGSSLSKVVKTTVFISDMSYFAEINTEYEKWFSHKPARSCVAVKTLPKNVDV EVEVIALP FPSE_04592 MGAPEVHHLFHNPIADHSFSADQSVLAVARDTSVELYGKVGSAF KLKDSLKGHDKTVTGVDIAPNSGRIVTCSQDRNAIVWEPSPEGYKPTLVLLRISRAAT FVRWSPSENKFAVGSGDRVIAVCYFEEENDWWVSKHLKKPIRSTITSVAWHPNSVLLA AGSTDAHARVFSAFIKGMDARPPPGVWGERLPFNTVCGEYLNNSAGWVHSVSFSPSGD SLAFAAHDSSITVVYPSGPDQAPRAVVTVSTQQLPFKSLIWTSEDEIIAAGYDCEAFR FQGGEGGWQLAGPIEQKGRPGLDEHREESALNMFRQMDLKGKAKDDTQLQTTHQNTIS TVRPFESSGDRVTKFSTSGVDGRIVLWSA FPSE_04591 MAAVATAPRGLQPNSSLPAKVQPIPPNQTLYVTNLPSSKIQKDD LRTALYLLFSTYGPVLDVVALKTMKMRGQAHITFRDVQSATQAMRSLEGQSFLGRDLK IQYAKSKSNFVAKLDGTFKIPSMNAGAANVEQTELQQSIFNAPPPGSAPAPGSGLPPK PTANTDQVMEDAGTPGDRGQKRTRDEEDSDSDVAMEEDSDDD FPSE_04590 MSIENFPSLNSEEFTEACHHLDRQYCQASLGSQRARWKLRLCNA LCTDFSYGGGFTTYVQIRRPLEFDLDHGDLSLDLGGFSFSDQKSPHVSIAEDKDMLDA EEADEAALIRQRARPEIAMVEYEIHLHPTYRVPCLWFTLRNLPADEPAFDVDTVFRRL VPDEYKAGLRTLGNVGGISADHHPITGVPSFFVHPCLLGDAISKFECDRTNYLMIWLG LVGGCVGLWVPKEMAM FPSE_04589 MIRDPFNLHRNINTFNRPPTRNIPSPSRVEADEVAMAFSMPRTA PDFNSRQRELEDKFWGSGQRSGKSDRLPMYKDKPYASPYDDEDRPWWKRKKVLGIIAL VFTLLYWFGSSSKSPKKRTVTTDWSFAGLSKHDKKVDWDHRRDRVVEAFELSWDAYRR HAWGFDEFHPIAKTGENMAPNGLGWIIIDSLDTMILMNLTSRLQDARQWISESLTWDQ DQDVNTFETTIRMMGGLLSAHYLSTEFPGLAPLAEDDEGAIGEDLYLEKAKDLADRLM SAFESPSGIPYASVNLQKFQGIESHADNGASSTAEATTLQLEFKYLAKLTGEKDFWDR VEKVMKVVDDQGAEDGLVPIFIYASTGKFKDENIRLGSRGDSYYEYLIKQYLQTNKKE PIYRDMWYDALEGVRKHLVTYTSKQKFTIIGERPNGLSNALSPKMDHLVCFMPGTMAL AATEGLTEAEARKLPTWSKKNEEDMKLARELTETCWGMYKYMATGLAAEITYFEIDDK PPAYGNPRPGPANFEPSPEAEWRKDFTVKSGDVHNLQRPETVESLFYMWRITNDNQYR EWGWEMFKSFMNHTAVRNGGGFTSLRNANVVPPKVRDNMESFWLAETLKYFYLLFSPP DLLPLDKVVINTEGHPLPRFDMGQLFTTGWQRQPRDASGKIAKTVKIDGDVNEAVMQD TKKVT FPSE_04588 MAPHDVERGSISKMKEESLAPGSSFSMREPEYADILPPSYWGRF VDGFRRDQRSSLFTNDPLGQQEELGRVHDGAHYYDLQSAMLETANSGLARELKGRHLQ MIAIGGSIGTGLFVASGRALADGGPASILLAFTIVGAMLFCTCQALGELAVIFPIAGS FSSWATRFIDPSWGFAMGWNYAMQWLIVLPLEIIAASLTLSYWDESLTRAIFVSAFLV LIIVINMFGVKGYGEAEFIFSIIKVIAVIGFILLGIVLNCGGTPDSGYIGGRFWHEPG AFNNGFKGMCNVFVTAAFSFAGTELIGLAAAETANPRKSLPTALKQVFWRITLFYIVA LTLVGLLVPYNDPRLTKGTSDADAAASPFVIAIEEAGIQVLPSVMNAVILCAVLSVGN SAVFGSSRTLAALANLRQAPKILGYVDRKGRPLVAIAIASAFGLIAFLADLPQQGAVL DWLMSISGLSTIITWGSICVCHIRFRRAWAARGRSVSELPFQSQVGVVGSWIGITLNV LVLIAQFWVGAFPIGWQDLTSSQVAQNFFHKWVGAPCVLAFYIFHKLYFRTTFVRTRD MDVDTGRRDFNVPILVAQEKQERARWPRWKRYYKFMC FPSE_04587 MAATYKQFLAAPSSSLLADTAALHYVTTTTTFAGPTQIIKHLSG LQKQVSKKKEDVFNIVDGGNVIVLEIDTGLGFQNGGGPYLPGLDDNFLSGREVYLPIT HFVTFDEAGKIAQIRLQWDQGSLLKQVEVIGKSGRNWPIIDSREQIAFIQSCIRTAGT AAPAAPSHNETVARDRTNSKNALRDPHANRLFGSRDEFEDAEPATVVSPYAGTRPRQR SFTEILGDEPTSPSAGRRAMSPSKGGQGKNFQPSRIFDGQEDVEREPVELQQHTKKRY IKPHPKKYSHFDFADGTDPQDSPQKGQHFDDLPKTKRDSQWSFEDFTTPQKVKPSKTM RTQDIRHWDTDKDATEDTRVYQVAKPRRDGDPHFELPDDGEREPRPQRTSAQPPGHAN NEGLGLYKNQVSDRKEVGKNELPLGNITNVKDRGKDFDHHFEIADNSPAHPQQHTNEG HKKAIQMMDRHWDTYDKSPASQKENRPTENTASHKINIAGDGMGGRKGTNRDWLYGET DDVAQPIPTRKQPSVAASDVPLTKSTKISIAGDGMGGRKGADRDWLYNETSETANDEP TNTKPIPGQTPASNTAPANEVKENTDKHKITIAGDGMGGRKGTNRDWLYGETDELANN APTNTKPVPGRTPASNTAPANEVKENTDKHKINIAGDGMGGRKGANRDWLYGDASDVA EKPLPSRRQNTSSKKDDFWDF FPSE_04586 MMRSSIWAAFSWRALVFSLLWTAVIAKQDKPAVDVSVAKHPPLN LNYFEDSDVIVFQDIEERNIWRSEDAGKTWAQVSNIPDRSAVFLYLHPFDSQTAFVLT KDRKHYKTEDRGKSWSEFNSGSMPSAFQPNTLVFHAGDPKRIIFNGMNCDGIFCDEET TYTTDGFKSVNKLRPSTSGCWWAKTNREFTTGDAELDKTRILCIVTDPLSLFKTSQKL CVSDNFFAKSSGGKYDEFEPSLDGHRDVTGVVSVAAVKSFVLLASSSVGSDEMTLFVT SNAQQWHRAMFPTDDSHDHSHKINQEAYTVLESTNYSIQVDVMTSHPSTPMGVIFTSN SNGTYFTENVPYTNRNVKGNVDFEKISGIQGIFLVNTVENGKDVDGKNAKKVVVTQIT FDDGRTFEPVKAGEDRIHLHSMTDIDNIGRIFSSPAPGLVMGNGNTGASLGEFADSHL FVSDNAGLTWKKALDGPHKYEFGDTGSILVAVRDSLKEEVDKVSYSLDYGEKWESVPL PDGLKVRPVILTTTQDSTSLKFLLVGEKNRAYHMIAINFEGMDKRTCENKDMESWYAR ADDKGEATCIMGHKQTYNRRKKSADCFLKADFRDPEPVIENCECTDADFECDYNFQRD PEDNKVCKKAGPIPIPEGSCKGKDESFKGSSGWRLIPGNTCTRKSGAQKDDPVERKCS DGASPGNGPTPGAPASGDISVKESEFADVTGQDMQKFYLMGDDSGGSSEEVVIARPMG EKTPDGKVEVENKLWITSDHGKNWKRILEKEDILNINPHTFFKEVVFFLTKSKKVIYT VDRGHSFHSFEAPFADPNAHISFHPDKKDWLIWLGNRCDDISGSKDCYQEASLSTDRG DNWKTLQRYATKCEFTGNSAFKFRAQNQIVCLVHKDEDAKKDKTIVTIEDFAPEDKII HNGTVAAFATMNEFILATDEVSADGKDKVGLQAIASMDGERFESARFPDNFHDSHSSL YTVLDSSNHAVNLFVATDLSEGNRRGSIIKSNSNGTTYVLSASNVNSDELGYVDFEKV AGLEGVTLINTVANPEEKKGKKAIQTKISHNDGAEWTFLAPPTKDVDGKSYSCSSLGD GKCALHLHHYTERENKGRTFSASTAVGMIFAYGNIGSSLGDVKDADTFMSADGGITWK NVKKGVWTWQYGDQGSIVVLAQRATRANSVKSKAVSYSVDEGKTWTDLEFSKKEVTVQ DLTSVHSGTSRNFLVWYRTDDKKMFAANLDFSGLTNQPCKDADYDLWSPRHPLQEDDC LFGHKAKYLRKKADRKCYNQASMSRLREYENCECTRRDFECAYNFEPDNHGQCKLVPG HDALSRQEWCSQHPNETTWNGPSAYRRIPLTTCDGGQELDQTNDEWPCEGHEEEYERK HRASGWSIFFAIVIPIGVAFAFGWYAYRNWSGKFGQIRLGDNSATFDSEQPWIKYPVI AISALAAVAASLPLVLTSVWRSTAGVYERVSNRSRGGNWSRRYTTRDSFARGRGDYAA VDDDEGELLGEESDEEV FPSE_06420 MGQGDGLTALDSRLRSSEGYWPNPGTPTESTDSNSMMSLRDSSS LFNQGPGALDDIMSFLDSETLDSCPESLSHRLHPRPLHHYTNQPNHAKGRVHAESSHA HAYDIQNMHSQLERQKPPLQPQRQRRVPTKLDTRDFAHHVDQDQDQNQDQGLGDLSFL LPSRPMSIATTAMTTTTMDNGFEPYPPPARPGREQIELRVPPDARLGRDSLRYNSQQY RLSAIDTECLFPDDSLSTSDRRPSSSRSHLVQWRRTPSVKSDSNKHPRASSDLQPPTS DNRPSATITREEFESLPPTIQRKYFSTIERSQLAHSPDLGRHQRSGSVGQDQLLTPWS SLPRPPRRSYEPQERPITSDASLQHLDRPRIASTDQRFYANLPEKIKRRHLTDEELLF AYQAQETRSEATEELPDKTDLEWQSQNIMLQSPIPSPRLSGGRPSYSSMLPRQNDKAG KSSETTRPDSFYDSFRWLDDEEGLDLRLYLDDYHINLREEVPVPNKSRRPSFRRHLSI NKLPFGRPSMTCDRLAQEEIIPSPTAVAPPVSRSSVVGPFGHSRKKSRARALSLISAN RQSMISPLQSPIDPAATHYQDPEARKKLRECLASPQKFDEAIEFGFFSDGGSRPQTGV FSKNTVHQQDRLRSFLEDDRSSKYSDDASAAEPDSPKTPQLFDKPHHIRSVRNSIEHS SHSRMDKYMPDTMASREMTLRMTLTRPDLRQSEDQIYGWKQGSSHAGSGHNEPASSPA IYARGGNPKQSIERQLSALDQWEDHQEHDSGAVKRLWNRVKRS FPSE_06419 MPLMLDNPMPVDLNDVDDLFGDAVGVGISLSGRVQSKQLQQRMD DIRIRGCCQAVAWSRTGSIANITPDGQNVELRYLRRSPGDGSWDLSDPTACPFAKGTP AVPIVHLIWAGTSTPDLAVIDAAGRVTIVSFSISLNHPFVQRKWDADPIDDVHTIVGA YWLTVAPSNQQSYNIMYGPANKQHNGYTYESSFVHHSGPSHPNPAKSALLTITTHGVL RMIWSQNNSRVEETTIELESISASDELITHATFTSEKNHLLLALATTSKQLRLVKIEI QWGQASQADKVPGRPAGNLSPSLVEKHLATTNWLQGGPGDSSIDASMIELSHLEVLPS LLDNTGKNPTSPMVVTVKSRTSSEGSYQAAQSVLDRWEVVEQRQNLSSAWEQLGSRRN SISSELPPVTQLRKVAPITSNKVVVAFHTLTFGKILVLAFADGTVEYHDRLTFDEIYT TQEFNKIMNLRQVGWTFTDEGPCKSTTQYPYSPG FPSE_06418 MEPALAVSAPGSGVTEPSATSDTRSDTKTPPGASATSAAATSTS YYPVDNSADSRPSSAASSHSFQLQKQSHVPALRSPATLARSQTVDVLPGSSSVPGPES VTSPTGSVQSSGSFIKRKPLSTSASAIALRFSSSGSPLPSIIDLPLPSQRFSRPGLID SPTLYEFSSPSRNGPPTAQVPDANLASLAATTLGTQNIPGHSPTASSSDFSDVLDGYD DLISESKSESGPDVTRETILDGSDTEDDNDDNEDKKAKNITSRDKEIATVPDPAEPTL PKEHSEADAQRAQSPSSDNTISNPKLAPAPMFVPKQTPPHLKLDKVTIDVANYDSSPD DTNSPSAQNTPSPQLNKPLPKSPGQNSPFASLFNWAAPSPSPSGTEFSSAYSPISPSK YGAANDTVSNPQSVVQEYAAGSPAYAQIDEMEDELKAISVELASSIRREMDLEDLVDR LQEQINNPQAPGKRSSDYYSDSGYSSAKLSDAEPSREELEKIQRRSEQEKASIRLELT NKLQDERGRRKELDQQIKELAEKASQVDLAQMNNQDATGRLKDLETTCGDLRRRLSEE RVVKNNFEDLINAIRGELHEATSERDNLRDEVVPQLRARVEGLEIEAAEYSNLTYESS KMQQELHMLRKEYDVLRSGSRPGSPTPSLNNTRMSRAMSGGFGGGLARSNSVATGSFR GQRPSGLSRSNSVKNVQIESREALSERLKDVEAQRDALHSALKNLLDRQEFQNRENEK KIRMLQNERERLLSANPRKAGFEREISNLRTEINVLRRRAEDALEQKWQVESGLGGLK MDLDKAEQEIALLRALLEEKDILIPPSFARSSGSSTGSDSFGSTPVTSESLAKAYDQL KAAYAESLERIKELEHETGADEKTRLAVERLEHTLSIAVSERDAAKYEIDSLRNQYET MSESETKGIESERALADELNDSARRVGELASLVQQQLAANAQLRERLSNAVIRGDNDR KSNSDRIAALQVSLKSMEDKLIAAQTASEDRVNRHEEEITAIRDAHNAQLQRMSNNAS YYGPRSPSFQANRKPSLLSPLSPRFPGALRSPRLSEKSFEEAAQMEVLRQRVTELEKA LEDAEKDMQDVIARMSTAQIEVLTLQDEREAAVRETRRLQKLLEQEQVKSFEDRFKTL SGNV FPSE_06417 MAALRKILTDRGLVSDIIDDLEYPLVGLVFYFLSRWAMTLLEEA KQRAEQEVKFSGLVTPVQPEEPEKELIAETAENANIKANGNGNGNSNNRGKNARKRFA RGQNKARGQNRNSSNQNGNNSNQNKNNSNQNKNSSNQNGNARSHRNRTPKPPVQLDLN KISKKRLLRKTPVTWDESIRRAVSYFAHHCLEELYFLSLILLFTRAKLPGLEESPGGD YGQMAARVLITFMTLGTLEPLRCSRWDIEACGLGMFTYCCVLCDEGPGPWASVEMGAM GLCVMGALIECLLWIKVGHFVCWPALWLTSDDISVPDMLIRISALSPLIDIVETYTRY SHKLFVATTYLIFPYITGLLLQALSDVGKHGTWSDTEGFGQGAHSVKYGTDLG FPSE_06416 MSPSRRATSPVSTLTSLSLCNPSTLAFLFLYHSSQARNHVAAMG GDGDARGATNLKYNGTGAIGANPLDMNVNDWYEAGDIAWMLSATALVLLMIPGVGAAV TTFQWFFWGFSLTFSHTAGPFIGDLANFGFRDVLARPSVGSAHLPDMMFAVYQGMFSA LTVALATGAVAERGRMLPCIIFIFVWATIVYDPIACWTWNPNGWSNKMGGLDFAGGTP VHIASGSAALAYSMMLGKRSGHGTHELNYRPHNATHIVTGTVFLWVGWFGFNAGSALS ANLRGVMAAVVTNLAACVGGITWCLLDYRLERKFSTVGFCSGVVAGLVCITPGSGYVP PWAAVIFGVLGAAGSNYATKVKFLLGIDDALDIFAVHGVGGLIGNICTAFFASPTIAA LDGYSRIKGGWVEHHWAQMGYQLADSICGGLYSFTVTCLILFLMNLIPGLRLRVDGEA ELQGIDDAEIGEFAYDYVELTREVVSDMDNESGSRYSADPTAFQHYEKNHIPMIDARM FGGQPAHAPVAFPQ FPSE_06415 MASLEEKEAWFKQLQTPDSDDEFDTEEEQERVRCRRFFASKSKP KSQPTLKSQAKESLVLDVTHDEPQHSRVTPRRVASAPTPAPAQGSTIIKGTPMTAMGQ KSRPGTLLNQHGDGAILVDDTPIPDTARPMQRILPRSGSSPLLACLRSLGQAVVESPS PSLTTKKRKRESLPKFRPESEQILKGITFFYVPDDDIAPVRRLRITRAREFGATWVRA PHLATHIVVEKHIKFHELEGILKKINRTLPPKVVNDEFLIDCVRFKTLLQPNQKQYYL IGQPVTTENDSSVVPRSPLESVHSLPLKPLVKNQRRWDHVPLQGTPERSEQSPHPSQI PETPVPTDSQPVILDLQDTASSRPGSNGSSAFHSRNTSLSALQDADGAKQLQKEDNQK DELSEYIKIMAEYKDLPLDADDEDAQTVTYMGDMEPEEQCLSGSEDELARARKPKRKM RSSGKYIAFEDRFSCNSAGAKDAKAGNPNARTIEVLQKMSDYYSRIDDHWRTTAYRKA ISTLKRQETKITSAEEAQRLPSVGTRLAQKIEEIVTTDRLQRLEYAQKEPMDEALQLF LGIYGVGNSQAQQWLAQGFRTLEDLKAKAKLSPNQSLGIEHYADLNTRIPRSEVEALG LVVKRSAQHIDPEVELIIGGSYRRGAETSGDIDLIITKSNTESSADLRPFLDSLVQRL ETEGFLVARLASSRSDSDGSKWHGCCVLPEISGFSDDDYKPVWRRIDFLLVPESERGA ALLYFTGNDIFNRSMRLLASKKGMRLNQRGLYKDVMRGPQRVKVTEGELVEGRDERRI FDILGVKWREPHERWC FPSE_06414 MAMPSSLEVLKNTLEEIVKNPQYHDLLSLVKTARNGIIYGTKVR FPHALVMVFLFRSGTFREKVKLVLRATRHHATNLARFATIYKLTMLALKYFGAEPGKE GTYDSFVGGLVGGYFVFGGRSKRTGKISSVNQQIVIYVFARVVLALARIAVKPGAGLP VVSSEPLHSTINHYAWPAFASLSWAMVMLIFRYHPEDLQSSLRGSMTYIYKDCNEFDS LRTLLWVNK FPSE_06413 MTPNPEVASAISKAESAPGEKGPVYEQFIPTIKNLSPPPTADDL NAIVDSFFGQALGVVATRTILSSFIAALREIENQDLWIEVGNRTLDTIAAQPSSSSFV DAGATLRELIATAHEKNEDFLDAAKTLADIPLDSSQRKITDEEKARTWVRIVRNYLEV DDSTAAEMYINKLKNIMHSVADQELNLHFKLSQARILDAQRDFLSASQRYHEISFFPA IDEDDRVHTLSMAIKCAVLAPAGPMRNRTLGRLYKDERSAQLEEFGILEKMFLDRLLS PEEVDKFAEGLQPHQLATTSDGSTVLAKAVVEHNLLGASRLYNNIRFEALGSLLGLDT DKAEETTARMIEQGRLVGRMDQLDGIVYFEGGEASGEKGSGRAEVTVGKEMRTWDSNV QSLAEEVENVTNALQKEFPEFVAANLVV FPSE_06412 MKLYQVTAVLAFIATGVIATPSGYDKDNKSPEKHGDGNYPGKKG DDEYGPGGKGYDGKGREDKGKKYEWDIERCDWCPYNPKSWYGYEPKGRGYKRPCPEDK KKCQKYAYDYSKATYSGVFSEFQKCSKGDNYKVTGKIGACSGYGKVQCLIVQYEDWEK WSDKIAYLGLYSYKEGPKDKKYLNFNKYCKKNKCVVPIEKVPGYPKFKDNVWVGIDDD QCREDYKKGDKENDYEYKKGGKGDDYDDGEVEEYKKGGKGSSGGRYEEVKYLELDIET KYSKKCAEFCCCA FPSE_06411 MSNVMPQSRASSVISSTGSPPPSLKRRRRRPARSCEQCRRRKIR CSLGQPCNGCARARLPMQCSYRDGSPVKAAHETRASPATETRPARDEVIAPQNQTNTV GHVRDQLSGPSRPENNNTLVLDREYHVSPSVSLPQVAATTSFSSSIPPFTPRLRHVPE KTKLFGQTHWLHTAEKYPVSGNFHPVEVEPSWEDAKSDFYDILKEARGLRFSLKKQSS SNLEQPIQDLRATLPAKAVCDELVACYLRSFEPLYRIIHVPSFRKEYDRLWVSEQPSS LPTVSMVRLTLIMAIGTTFARFDSEAEISQLWRPAQSWIQNAQWWFTGPNEKTTYNLD GLQVFCLLILARQTTFNCRGATSWLSSGSLLRAACTMGLHRDPKLFPGLSLFQKEFRA RLWATVLELAVQACLDLGLPLHLAEEDFDAPTPLNFNDSDLEPSASQTPKSMDTFTDS YLQILLSKSLPMRVGVIRFLNDIRREKTHEKALAMGTQLRMACRDVAAFFHSLNQGQV NLAHVSSLEANDFHRKLMDIHLRRFILFLHRDFMLQAKTNPQFYLSRKLCVEAAVVIA SGSKGVDLELPLQVWDDMSRLSFVGRGLFKCALSFDAMLVLALEVISELQDESTPDHE SDILREMARTARAPLIQTLEEVCKQLRCLIARGNLSLKRLLFSNAHLAHIRALEAGQP VKAIVYEAVTSTLRDCVAMMRKVQVATAPNDSVVTSDNLSIDLFGPYMFFDVSDLINI RYFVFLTAQIRTTSWSGIYPTFWDYQRLAIGQSRINIGFKHHGGIKLDCSRQGGAPSK HLCH FPSE_06410 MPPLKRSNSCTDIGFTLRRQFHKDDFRPYQREIIEAALDGYDVY VQAATSFGKSLCFQLPAVIDQGITIVVSPLLSLMINQVEALKASGIEASSYNSLTPYP DKVRIERDLESGHPRLRLLYVTPELCSSPRFRERLQLVYKQKELARVAIDEAHCISEW GHDFRKDFSRLSWFRDTFPDVPIMCLTATANPQVRQDVLSILKLDENPEKTKKFLMNP QRKNLHLEIRYTKDEEDTRLNDFLRWIKSVYARREGGERRAELDQVNERVDNVPGIIY TISRDECESLAASLRSEGIGARPFHARLPKDVKEETLARWINNEVGYDIIVATTAFGM GIDKDNVRFVVHWRIPKSFEGYYQEAGRAGRDGNASYCFLYYSREDLERVTRLIRSDS KEETNQIARLKSLQALAQYCEDTGKCRHAAICKYFGETSIPDCDFACDWHKDPQELET RFMRGLASEEWVSTQAQQGTYDIPGTYDAYYD FPSE_06409 MERPDRLAGSSVGSASQASIPRSLPENHTSGRRPVPNSAATSNG PPPPPPIPSLRSVPSSSSLSTAGMSAAQVINLAREAMQNAIESESQAAEAGAVSTGLR TGVTIDLSRKGIQKLPDEVVDIVKGELERSASKNSSSNTRPDADTYTVSRLALSHNQL TSLPARFSECTSLRYLNIRGNQIKEFPMPLCDLKSLEILDLGRNSLRILPADIARLSS LKVLSIPKNQIRELPLCIADMGSLQVIKFEGNPISFPPRDAIQVQAASPPHEGVQKDN EVTEVAVTLQIKRYLKQYTINGRSESDNTGDESSEGAETPRFPLKRVASGRFPVKVQG ADLPDIRSPNIGSRAPPIPSRSHYRGLSQQNTAFRRPGVMPLTIGNVNERVRSNSESL LRAERSDGRSRRMGIVSRKPSDLGTLDEMQVNNRFSHYRGLSHGSAMQGNQATTKSPA TPTEPYLARPIYVRRLSILPERRRESKIFDPVIETAKGILYSVFQIHPMIQALMGLTS DGSAKRSSLEIVFYNTNSHVEELEQELQKHENALGEPHDHSQRENENVHRACQTLVSA YGHVCTLLADNIGTFVDFGDARYIRSLLMSLYNSIMELRVTLSSVSAADVRRRGGPKG GSTGERTARPHAREPSATPTLSRSNGARNRNGTLVHHPVNLRVSTDVPVPTPLSATYL NGNGRTATLASATPRSGESFSSIGSRGMSSEFTEDDRQFERIFLALQRSTDLVMGTLP NFNAQLAGGLRNAMYQRTPESVLQHWKQLIAMCGQVIQQTEILKNRLSMIKLKEPGVR TQANFWSLCSNFSHSWADMISQIKYGPNSVPLPPDTRARLRPIQQSIKETSNIIYKSP WHYLFHPSGAMNGQAYPRGMSPTSVPITPQSAALGPAMQATVPTTPSNSSFAAAFHGN VFDRADALMANPGISMGRNGAFGKGHMGFSSLSSLSSMSSDEGILPTHGPLGPVPLRL NGGKVAF FPSE_06408 MSAAATSSQQPASSAAATGSSSSSSSSLSPCPPTSPPRTIASPS VAHAHAHAQIPSAAQQSSPPASHLQPTLPSAETQRHVAEARRAVVATLENMMDSELQW RASTLHSNASVLNKQAQDVQRATDGLRRENIKLAREADAAAHKLKEIGNVQNWAEVLE RDFLVIEETIRLANDDRSCSCSDCGSYSRSGSESGSEDEGERAMDLDKNVDERGKGIE VNKTLGTDMEIDTENHAPGTFSDASRSMTEGESSVGRGAKGSDTASMSTMSR FPSE_06407 MASLRTLIITNRSPKQPIKNLPESIEVQPDTTVEDLKVLIAKET KLGDHNRIGIYDPTTKKTLKNRKARLVDEPAVVAAGEVLIKDMGYQIPWRTVFVVEYF GPLIFHALFVAARPFLYRNGDGDMSQTQWVTFAMIMLHFVKREYETLFVHKFSANTMP WMNIFKNSFFYWAVSGAACAYSIYHPNSLAAKADMPAIDAVGVALYCFGEFMNALVHR YLSTLRSTGGTERKIPVGYGFNIVTCPNYLYEVLAWIGIIIVSRDWTVAVFISIGAAQ MISWAKGKERAYRKEFGDRYKKKRFVIFPGLL FPSE_06406 MNPCAPTFVPTLEDGSSNEDDPLPPSTKTRRSTLGVHAKPRQSL ELPSITIDPDKYDTMFPALQGAQVDGRSVRSPKLVKKRRGKRPRTSKLTRGQVRQSSP DGEEKTTTEDEKSEDQKADSDTTKVDVESPNSSPEVVEYLKPTVYTPKKSLEYLKPTV YTPPTSSRESNGVHSSVVKRSGRSTNSRSSTRSPRRSWRPPTPHPEGKPRGPRSRRSP TPVDIATRYVPSTPFPPLSYAPCYPDYHGHVQQHIDYPPFMVPPFAVPPMMPLAAWHM PPAPWPMPLHQSPIAGCPLDCECHFERAFGPLPNGDGRVVYGPPPPPQVYLHPQPQPQ PQPQMQQQSQTVTEYDAHSQPTSRSSTEKDESKRPSHSISIKSRQGQSPSMSSSVLIA NASTVATPLKTQVERIKQESRDRQLLGVVCKDDTKREQIGKELREVAEHTKTTGFIPT RQVKSAQDSPSALRAAKINIKRESQANTQEKSRDADQKPGNPPQNAPKGPSSTRTFAQ SFSATVKKALNLKSSESGAWSQSKSWTSFATKERQAFQKMMANLRYMSADQSPFVPQS PAELTAFKASLAESKTKKLGQEVQQRLAETNTKDVEGAGDKNGTPMKLLGGKKFEDQL SPVFAAVNCFNKARMTPPYGAEWPSLAELKEEGDKRANREGRCLPLPRMGLVAHRFLH WDDEAYDLDGSIQWDKKVVQVKLGSLCPVTGQEPSMFPPTELQTEEALFLPADLLHDI DAVDIEKEENEKEDKDGKDLKKDKKAEKREISK FPSE_06405 MGISRDSRHKRSASGAKRAYYRKKRAFEAGRQGANTRIGPKRVH TVRTRGGNHKYRALRLDSGNFAWGSEGLTRKTRVIAVAYHPSNNELVRTNTLTKSAVV QVDAAPFRQWYEAHYGQAIGRRRQKAQAAKEGKTEEEVKKSNAVEKKQAARMSAGGKV ESAIEKQFEAGRLYAVVSSRPGQSGRVDGYILEGEELAFYQRKLHK FPSE_06404 MSQPQEVASPVIESHTGPDPVPVPELEKQEKQETHDTEEKHDAP VMDEKKDIPEIPDKQDITLDMEDKPGDEETNEKPDERIEKPETKIEEKLEDKFEDSSE DDSDDDFGKKQEQKVDEKPEEKPEEKPSQNDANIKRLSSGMESDDSVSASDADDKFED AVAQSPTRSLTKRTISHVKAPSSSTEEQVDQRSEESHTREDIQEPSSAQHKATASESG SIASSIERQARRKPSVASSRISNTANLDNVSLDDDTPPSPLPKDLPPKPTSKTISLNS ISLPSMPWSPAAEPAKSPVPPTATLAPSPPAPQPPPPPSRKLTSPFSWLSRSSSKERE PPLPPPPTGRRNTAGSIATLASNPEMMLSRLEEEKDGDSLPGDPVQRVTLQDRFKQLR QQEEAARALTGGENSKYDGALGLTLPDDGQTQDKPPLSPVPQPISGLAPGTVSGVNAG PSAISEEKVDWDLWQSVVYEGPTAVARTSAEELNKAIATGIPSAIRGVVWQVLADSKN DDLELVYKALATRGTDKSKDRNSNSTTASSLSNGNLSVHSGDAVASSASSINSDNSGN GTASPPNEKNAEAVLKAQVAAAAERKRKDKEDAASLQKLEKTIRRDLGARTSYSKYAA AAGLQEGLFGVCKAYALFDEGVGYAQGMNFLIMPILFNMPEQEAFCLLVRLMNHYKLR DLFIQDMPGLHMHLYQFERLLEDFEPALYCHLHRKGISPHLYATQWFLTLFAYRFPLQ LVLRIYDLIFSEGLSAILRFGIVLMQKNASILLGLSDMQQLTTYLKDKLFDVYIDKDP SQGSLLENGFFGSSSSSMDKEVYRADQLVKDACEVQITPEMLKAYSTEWEEKTKAEKD RETELLELRSANHSFSIRLRKMEERVEACDREQADLATELVHTKVENQELKDENDSMR GQVRELKIVIEKQPMDLEERWKLERDDLMKRNMHVHEENQRLEKELGELEEELVQTKL RYAEINSQHETLNRKWTDLKRQFA FPSE_06403 MGKITKPMQQKHKDTLSPWLKAYVETASTVPLPHLPKELASFPS RWPFGRGDLYHWIPLLNRFDSILEHFCATYKLNEGPQARHFECDVLLNQDKESAFGSE KQWSANELTDLGFSSDGDRQLVEAVLRFTRMLLEHCGNRSIYASSAHLNDLLHTTSLS VLIATLEVGSELAQRYQASVKRIITPSRQISTALLANHYNIDLDRVQQLALPFVKTPI INLSDPVPSNTPGSAKGKDRASGGSSKTANSMHANDLVALAVSDDKRWVGWGDVKVIY YPQTTQHEPTGGGDSRASVPSTPTPLRRSSTMASQHQTPKSRSNLDDSSPLGPRTPGN AEDSSSAGSKVFELPQSVVSSASIYRLMASVPADLPPAAKYEVFHRIRASKALMGSNE SRQQLLAVRLLSINNLAYIHGEANFLEKVLRQDADETRRFQLVYQLAELIHPTAHGNV DVPLWLQAVALALLEAISNFQARCQDVLSALNANVNHGILLYVIRKAVAGMKEDDDSD KGNQVTEMDEWRNNLFSLTLHLSMSTRVGSEMVSAGLMEILVEILNIRSAVAQRNHSM VLAFLDGLIWTYQNAFTAFFNAKGLDAVSQLVVDTVQEAQTLHQAGQGISKSQQSGQV DYEIPYYQQQTLKWLLKFVHHIMSNSYSYGGNYDRLLRNLVDKSDLLGKLRDIIEDKK TFGSVVWTNSVTILGDFINNDPTNFPAISESGMIKTFLEAVTNRPLPSEVLIEQKSSD KDEDDENNSTGNTVSSLVANDPRPHPPTEQALRESSRGPLAAGILPSTDAITVVPQVL SSISLNNAGMNLVVASQAFDAFLEIFESPAHVRCMELDGELANNVGGSFDELARHHPA LRPAIANAVIDMVARIRFLGIEKARNDGWGARLLLVDSEGKTVSVDENGNTSSPSVSS EKTQSQDISGDADVSMSDAVPSNDADSESRKDEGSSSPNQSITPYIYALGYFLGSYMS NQVLKNSFVDEGGLELLLDICESPSLPTNFCDTMASRNLTQVVSQLVDSFPVRGLPSL IRRAQLAIDTLKPLSDKTDTLPPYFAPFLATDLKLAQNDQEPAAVKNGTKMIKALSNS LTFYPVNVWDYYLDLVNSIGPLLRGVLTEEAGELNAVPQHWSFKRQPSSEASLNAISS EPDAGDNTLLPDILSSTAAFQPKASSDETKPNRPTEEEQASPCYQNYETLRHLLHPMI PTTFPLFQTLGKSLLPRRERDPRDGYQRPRHLEIAKALANAILSQLRPSVAIVEPTSK DFHFWIIMLHTISEMVMDQPNPRPSDRSGVHIILPVLIAFKEEGGMDVLNSMLRIFAE AVRKGPDAAADETSRSKVAAFGLKKVLDLVLVLVNGKPLADAQQVFGLQPRSADRSSH TYQQFVVEFRASVLPDITKLWDSEFVEKVPHQTVTCLIDILKLIATGDHEPSPSSRDR PAFHLFDYTDVRFDWRSQRDAIEELRLKGYETDLIHEALFRANGSVGAAEMYCSAHQA GLAGARNPIPPMDSDTSVLQETSQESSGANETEGTNAASSAETDRMSLDGPPEIATPD LADRFLGEALGEIIDPPQTTDDIRESQNVTTATPVNVSEESHKKEKEPSRTATREDVD KFRAELRTNLIDRCLDVVRAHPETAIEVSELIRTMTLKQQPLNETDDEIGQTLALALS SLAQNEDEDKQRNGKCIAAYAHLLALLLQDERFFNNNVASLEDHIGEYIGFLKVPPST SSEEIPPWIPYILLVIETLLRHDERPVAAQWKTPKSEDEAVSEPIIQVRTLTIGDEQR AHVLEFVLEMLPRIGKEETLAVAALRILAILTRNRRLAKVVGDKKNLQRLFLMAKQLS GSGSERFKQSKLTAHIMTVLRHIVEDEEIIKQIMRAEIKIGFPTLQRTQRGHPDVQSY LRHMAPIALRAPDLFVEVTNELLRFTRWASTSNDTSRTQVLAFKEDPTETSTDKVNEN AEDGTEKEIKPSTEPVDKEMVDAPKPHESKRPVVENPDGVIHFLLCELLNYREVDDKE VQVAEKDSKPDVGSNSEDTSLSPNDINATDATDATDAKDKKPSKPVFKSEEHPIFIYR CFLLNCLAELLQSYNRTKMEFINFKRNAPLTTSTPIKPRSSVLNYLIYDLLCQGNLSG TADSIAGKKKAATSAQTQKVLVALVSKTSEKAIDRGKDKFAYDDEPDLLFARKFVLDT MLKAYERAPFSDDVLETRYSRMQCLAELMNHMVGEKDKDQGAPGRGADNVLARSHAQL RRLMYEKGYLEKLTASIAEINLNYPGVKRAIKYILRVLRVLTETAKELSHSNILLPSD SLSDTADDDLGSTSSLSDLDDREETPDLYRNSALGMLEPRGEDDDSDDDEEDDDDEDM YGDDYDDEMEYGDDDISDEENISDDDEELGDMGQIEGLHGEPGVVEVIMDEDDDDDDS DEEIDDEDEAGSADMEDVEDQVEIVDEDGNPLDDDGNSDWESASHDDDDEDQEEDDLE YDGHVHEEHMHMPGDLLDGMARAIMGEGDVYDPDMMDGLEDHYLDEGHDEDEEDEDED EMEDDEYLYDDEYPVDDQPQPMPALGWDAMTGESDARRQMFIMESQHRRRLIRNDNRG AFPPSFIVGNREMTGDFRNFFSRNHQPRPQSNADDGTNPLLRRGDQNQDSPQRTGPHH SFGLRVPEAIFGPGGRNADGPMGFLSEIMEFLPIMGRNGQHAFHLQITGPTGNRDSRE LGAIRTSRTDQRREGLNQDPSQAVSFAIDGTIERYQEEARMIFGANTTTEAAKLLNIV TAKLTPAAMELERKLKAEEAEQQKRDEEERKKREEEQRLAREAREAEEKAEKEKQEAE AREAAERAASEAAAVSQAAPAQAEGAESAMEGVEPTGQRDADHPDPATQSDGPRVMTT IRGEEVDVTELGIDPDYIAALPEEFREEVIAQAISTRRSEAREETNGNSTEAFQEFLD ALPEELRMEIAQQERQEQRRRAREENNRRASAGTGQAVVPEMDTASILLTFPPELRQQ VLMDQGEELMDQLTPEMAAQARALAQQNVHPAVVGRSPPVVNSARQARPPAPPEGAKV QRRTVVQMLDKAGVATLLRLMFITQQGSIRNHLFNVFADVCENKQTRLEVISTLLQIL QDGSTDMGAVERSFGQLSLKARRPKDKGQESEQKTPQPLKRSLTGLAAGNTTQTNSET SPLLIVQQCLDLLVELSAKNPHIQWLFLTEHEAVGSNLKRSLSRKGKGKDSKSHKYAI NSLLSLLDRDLVMESSVVMTHLADLLNRVTLPLQNLERRRKEAEDELKAIDAKPEEPA AAEPPTGQPSDTEPADASTADEPSAAKEESKENVPADDAAERQAKRDSVQKKLRQLQP PVVPAQNLTLAVRIFVARECSSKTFQNTISTIKNLSAIPGAKATFGQELVHQARLLSE NIVADLDDLLPHIEKASSGTEIQGVALAKFSPGASEQNKLLRVLTALDHLFDTKKKAD EAESNKNKDERHDLVTSLYHNSTFSAMWDKLSACLSAIRQRENMLNVATILLPLIESL MVVCKNTTTNDDPQQKDMVLSSPPPESRTASLFFSFTEDHRRILNELVRSNPKLMSGT FALLVKNPKVLEFDNKRNYFNRSVHSRSGNNQSRSNYAALQLSVRREHVFHDSFKHLY FKSGDEMKFGKLNIRFHGEEGVDAGGVTREWFQVLSRQMFDPNYVLFTPVSSDRTTFH PNKLSGINDEHLLFFKFIGRIIGKALYEGRVLDCYFSRALYKRILGKSVSVKDMESFD PDYYKSLCWMLDNDITDIITETFSVEDDEFGVTNVVDLIPNGREIAVTEENKHDYVRL VVEHKLLSSVKEQMAHFLQGFHDIIPAELISIFNEQELELLISGLPDIDIDDWKSNTE YHNYTPSSQQIQWFWRALRSFDKEERAKMLQFVTGTSKVPLNGFKELEGMNGVNRFNI HRDYGNKNRLPSSHTCFNQLDLPEYESYDHLRSQVMKAITAGSEYFGFA FPSE_06402 MKLNISYPANGSQKLIDIEDERKVRVFMDKRMGAEVPGDSVGDE FKGYIFRITGGNDKQGFPMKQGVMHPTRVRLLLSEGHSCYRPRRTGERKRKSVRGCIV AMDLSVLALSIVKQGDADIPGLTDVVHPKRLGPKRATKIRKFFGLTKDDDVRKYVIRR EVQPKGEGKSPYTKAPRIQRLVTPQRLQHKRHRAALKRRQAEKVKDEANEYAQVLAKR IAEAKVNKADARKRRASSMRK FPSE_06401 MSSAKLYPPSKESTTSSPLPQLLQTPSGLALLELQGTLNVPEDS NGEALGDVDVGRLDFPDYTPGAEGSAWMKQVHLYVGQHQRLTGEVKKLPKAMAVIRKR ENKKIIGSGGESEEQGENFEVVEIVKYKIMFSNRPEPVGTANAP FPSE_06400 MEEDRRQTDLGTAADIEEAQLAQASPSPAIENETELAAQTQNGA TPLKQPKKRFVGRRAATEVAAKNGSSGATGESGAVATAKPRRAPRLLNQVPKEILENP DLKAAISLLPANYNFEIPKTIHRIQTSGSKRVALQMPEGLLLFATTISDILTQFCPGI ETLIMGDVTYGACCIDDYTARALGCDLLVHYAHSCLIPVDVTTIKTLYVFVDISIDAT HLLASLERNFASGKTIAVVGTIQFNATIHGVKSSLERGGFRVLVPQIAPLSKGEILGC TSPRLTEDDKIDLILYLGDGRFHLESIMIHNPTIPAYRYDPYSRKLTRETYGHEEMQS LRRTAIHSARSARKWGLILGALGRQGNPHTLGLIEKELKARGIPIVHLLLSEIFPGKL ALMSDVECWVQVACPRLSIDWGYAFPRPLLTPYEALVALGEKKDWGDGVYPMDYYGKD GLGRTRPLQVAS FPSE_06399 MADPRESSSYSVVPRIRYNTVGGVNGPLVILDNVKFPRYNEIVT LTLPDGTERSGQVLEARGDRAVVQVFEGTSGIDVKKTKVKFTGESLKIGVSEDMLGRI FDGSGRAIDKGPKVLAEDYLDINGSPINPYSREYPEEMISTGISAIDTMNSIARGQKI PIFSASGLPHNEIAAQICRQAGLVQKQGITNKGVHDGHEENFSIVFGAMGVNLETARF FTRDFEENGSLERTTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDLS AYCDALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVAGRNGSITQIPILTMPND DITHPIPDLTGYITEGQVFIDRALDNRGIYPPINVLPSLSRLMKSAIGEGMTRKDHGD VSNQLYAKYAIGRDAAAMKAVVGEEALSAEDKLSLEFLEKFERQFISQGQYESRSIYE SLDLAWSLLRIYPKELLNRIPAKVLNEFYQRAAKESKSKGKARADTEARGQQQTEENL IDA FPSE_06398 MSLLRSSVCRACRRSQQIRWHRGFATASSGISQDNRVKLVEVGP RDGLQNEKMSIPLETKIELIERLARTGVSTIEAGSFVAPKWVPQMSNSSEILQHILNG KVSSPGPISYSFLAPNNKGFKSAADILAANTGRFATQLEPAATEDEAKKPNIEVAVFA AATESFTRKNLNCDIKTSLERFKEVIRDSKGMGLRVRAYISVVLGCPFEGFDVDPHQV AEIATDLLEAGADEISLGDTTGMGTAPRTAALLQCMSAAGIRTEDIAMHFHDTYGQAL VNTAVSLEHGIRTFDSSVGGLGGCPYSPGATGNVSTENMVYFMETLGMDTGINLDAMS DIGAWITRELGKENGSTVGKAVLGARTRAIENAAREAIKAKTQGN FPSE_06397 MREVISINVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAQD PDQGFSTFFSETGNGKHVPRAIYCDLEPNVVDEVRTGPYRNLFHPEMMITGKEDASNN YARGHYTVGKELIDGVLDKIRRVADNCAGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQTATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG LERPNYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYSPVI SAAKAAHEANSVQEMTMSCFEPNNQMVKCDPRHGKYMATCLLYRGDVVPNDAHAAVAT LKTKRTIQFVDWCPTGFKLGICYQAPENVPNGDLAKVSRAVCMLSNTTAIAEAWSSLS LKFDLMHSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVATDSMGDEELEAEY FPSE_06396 MFLLSNNQIAYLLVLASVLTSVLANVEKVIFTAPAVFPIPQQKP SLADLRLPVLTPYASEIRTNLSRVFPSKAKDYASGSATWVLLDNLNPEQRYEFRVCWA AIQPTGFVLNVFELDTVWETPELIQSLAGYAFSRQDDGTELHEELPQPGERERKASLL LLQIKASADYFTDDEALMKDPPAVLVDLILDPYLFNIVPRSLVPTAGYIVVLAVVSWF IARSVASRLQAIAITADSSDKKKK FPSE_06395 MTGPASPQAEGPTFAPPPLPAGWIAQWDGTSKKYYYVQLSTGVS QWDVPTEPAKTGNTPAPPSENPYGTPKPPELITHPDGSQTVRHADGTMEPIMPDGSRG VDGPSGDRGLGSMAMNALLGGKKTSGGSGGGGSSSSPLGSLGGLANQFLGGSHGGSGS GGGSSGGNKPSGAGKLVGQLAQSFMHSASQEKPPAPQNYHNSSGQNSHQQQQQQQQQG GGGGSGGIAGQIIGGVATMFGGKHGSSGQSSNFGYNSGQQQQQQGGGTYSGQAPTYNP SGSAPSAPSAPAATGAHSYSTPSPSHHQQHGSQSGQSQSYGSHQQQGSHQSYNVHQQQ PAGGQQYGNQQHSSPTQSQYGSHQQQHGPSSPYGGHQQQPGSHQQYGGNSFGGSPHLS HNNSFPPPPPGGPPQQGQHGQQGQHGQQYFPPPPGQQPPHQPSGHGYPDTKAHGGQFG GAPDQHAQQSQGYSAPPTGGSQHYPPPPPGGPPGGYSPSYGGGHGNQQPSYGHQSHQS SGAPPVPHGSHPQQQYGGPY FPSE_04729 MHFNSFALCLGLAGLAQAHMEMISPAPFKSKDNPHAGSDVDYSM TAPLDASGSDFPCKGYHSLFGTAQGASVADWDAGSQQTMKITGGANHGGGSCQASLSF DKGKSWQVIHSFIGNCPAAGTSSFDFKVPSDAPSGEAIFAWSWFNQIGNREMYMNCAA VTIKGGSKRASAMSNRPAMFVANVGNGCSTEESSDLEFPDPGPDVSNDSQKTTGPKGT CAKGGSGGGSGSGSGDGAAPSAAPSAPADNGNNGGNNGATPSVPAGGNDGSYNPGNDG GSGAAPSSPAAPAPTKPASGTPDVYVPSSSSATDSGPNSQTSSPGGVFITAPQPAEST AAPSAPSAPADDGAGSSTLLTMTKPAPAAPTSVVTPEPAPAAPTPPATPAAPDTGAGG SGAEEKAGSACTEEGQWNCIGGSKYQRCASGAWSVVQSMAAGTTCSGSKTQAMAFGFG RKLAFRFRRA FPSE_04728 MPGPKKDGIAGGGSGGKQNGNRSSFRTDSAISKNRFGNERVLQP WVPDANDTFNGSLEKASGSGTWDQFAENERLFGLKTNYNENFYTTAIDKSHPEYRERI AAADRKAREIERSAPTTAHVAEERIMDYVGGEDGGDEEDKYSGVRRQDFPPLSAGREN KYTPPAKRAPMAQSTVKGAPIDPAIISSQIKAPPKKQNTPTPEGSKPQASEVNKNGPA PKTEAPKASAEAKATEAPTSNAKPATQPSNNKAAETKSNDTSKANPGSSNEAPAAPVA TSTVERDVLKEFKAFANRERQITEKARNNKAKQDKEVKLTELKKFANSFKLSTPVPTD LVSIIAKDPLKQQQIQAKAIKNAEEVAKAKASAVTKDKVTPSKDAQAKTSGQGTPAAA GTSVPPSDARAARAPAGAQPATTVGNQPRHTSARQQYAQQPYHGQQYRNNRMPPPQQT GNLASRLRTVEQQKFSQPPVPHQPHAPGQGQEMRAPPTGPASGVEAVYNNRRLSGNPS HMGAKLNPNSHEFRPSPFAAAFNPNSHASTASSPRSAANNVDAATTNNTVSDSQLIRR KTKAIDVKKCFILSHIQTLTPPQGRNWDDNAGLRPSYDTLPTWRQLQDNEKPESTMHL TYKEYFERQPFGGPALATPNPQHVVPQIPHQHQLPFHLQQGAHNMAPRGSPHMPPMQM HTPQHSHVPHPPYGNDDHRMMHSNSAQSFASPRLGNVPVAYNSPQVPYNQAVFMGPGT PQMNQYRNFSNNHQYMTPQQGQMGGPMMMPQQFMPGPQGMVPGPQMMYPGGHPQFMPP SGPQPVPGVNGYPSPGRPAAPMMAHQGSQQGQPMYGMSPNVQYNQPVFNPGQQGGPMR GGYGSPGPQHFGTSPQQGHQYGGQHRSGSNYNKGYANQGAHQTPQANHAVPAANPARP SEASDEAK FPSE_04727 MISRSTLARTAQQAARQSCRVQRRTYAAAASTGSYETSDASGLK IASRDAHGPTTKLAVVAKAGTRYQPLPGLTAGLAEFAFKNTQRRSALRITRESELLGG QLASSHSRETVVVEANFLREDLPYFTELLAEVISMTKYTTHEFHEDVERVLHHKQAAL NADVAATALDNAHAIAFHSGLGSSILPSSSTPYQKYMNEEYIASYADVAYAKSNIALV ADGASADSLSKWVGQFFNDVPSAPRNGQTLKTEATKYFGGEQRTNSTAGDSIVIAFPS SGYDSAKPENAVLAALLGGQSTVKWASGFSMLAKATAGTAGLTVNTSNLVYSDAGLMA VQLSGPAASVRKGAEEAVKVLKTIADGKASQEDIKKAVSNAKFNLLSQNDLRQPSVVL AGTGIVNSGKPYDSAALVKAIDGVSAESVKAAAKAMLEGKATVSTVGDLFVLPYAEDI GLRV FPSE_04726 MLVTKHAAELCALLVNDLHGELPSRILNALLTKGRSTIAQLIQH TSLTLRYLRNGLAVLIQQNLIYHHTDTNNNVTNYQANADACYNLIRSGKILDVIETQY GTAERELTQTLIQLGHARIADLSQAFGSRAPKINGHTNGDHDSHDGLIESEAHLNSVL VRLIRSEIIETVRPESLRNPKDAYREIEDDVTKPRPGEKVTKSKGEAQREIIDRTRTY RDQPKTLKRQLDMSGGPKLKRRKLTNGASQNGHGYDAPKLNPNVVVRVNYERCLVELR NQRLAEFATEMLGEVTGEVYRTVLDLLTSDFPRCRPDPLLDEAAAGQQPTVTTIDIFE HLDEDVNVQTGIGKTPRDKIDSQSAERIRETVPESDDDSDDSDAGPPGRKKTFDVDEE DDEWAEDDEEHGATNGQQENKVRFEDSTNGNDSRISQMRRHLLLLAESKYPFIRHCGM YGRGQWTVDFDRLVGKLRETELDAIIEQSHGRHGLRLTRILREKGKLDEKMLPAAALM KKGDVQGKMLAMQMAGIVDVQEVPKDSSRLANRTLFFWYFDKERAQTQALDDIYKAML RCLQTLEVERHKERNILSFVERKDVQGKEEEVMTAEHYNKYNTHLEVQNKLLGQLMRL DELVSVLRDY FPSE_04725 MSPPGGSERESGLARVLGSGSAGIAELAVFHPVDTIAKRLMSNQ SRISGSSQLNQVIFRDTASATVGKKFVSLFPGLGYAAGYKVLQRIYKYGGQPVARDFL AKNYGSDFENAFGKKTGKAIMHSTAGSLIGIGEIVLLPLDVLKIKRQTNPEAFRGRGV LKIVADEGFGLYRGWGWTAARNAPGSFALFGGSAFAKEYLFHLEDYNKASWFQNFVAS IAGASASLVVSAPLDVIKTRIQNRNFENPESGFRILSNMAKNEGAGAFFKGLVPKLLM TGPKLVFSFWLAQTLIPAFDIAFKK FPSE_04724 MSLSERDSSYDGEFQLRHPYAASSDPNLRALVPMWDSSDPERCP PPLPMNPGSPLTSRAGTSSAIASAHAALNERAQQSAMVPAKRIESPTKGHRRLQSSVR DISLMIEGASGHNSPSRSPERQQRPETPTRSRDHDNRPSDSHSTVSSSTPVPGPSLTP IMRPAARRPPPQSILGENTPPQSSTMLALQHMSSTPSKESENPLSDITNGSTAISKGP QNLEALSDQILSLTSIATGLQKEMSQLSRRSRDNATDLLSLKEATNSRDEDIRKSLRE LLGSHGNGNDGQNRLPAARDPFGGYFLDNKPHNLSPPSTRGFQLPRIPSPKSFGDSIE RGSIISTPSLIGSEANSSLVLLERIIREMGTKEGQESLLGRLQEVSNKLSGMATSQKL DEVIDQVRAQSEQAIILGNSFGSPRASRSRNLSFESENSSMPSQARSAVSQRVEHIMK NEARRNSEPLARGSDILNDDLMSIIRSVKDSVSQGGGLTAEVKALVRELRGEVLGMGR EIGKRLDQQAASKRGVEDHDTPSKDEVARVIDEGLEQMKDQLNHVLREHRRQSAASVN TQKSAVDYQEIYNAMRAAIQDNEATKSNLPDLSREDVIEAVRDAWENYKPEIEVQQLG LERDEVLSCLKQGMQEYSARGEHPPAASRDDVLTAVVEGLQHYVPPQVDQPATLSRDE IIDAVRDCLEEFEFPVAPGAGDFTHEDMVDAVREGLQDLDVHSSRALVPASAGNNDDV SDRLREIMEYLRQEFKTLSEESKENVAATGRETEQVLGATKDGFESLRQAMESYVDRA TGAAGQEEFMDDLLKSLEDFKDEMAGIVSTTNQESREQLQTELEGLREIVNSSMIPAP PPQSNNTEVLEALNTGFNNLRQEVLRPRAETSEILDALNDGLNDLRAGMDRVTNKPTD LTANDEILDALKAGLDSVRADIESIRDSSNDQAVATLNATPTANDEIMEALKSGLSGV RADLEALRDSQTEKAVAVAEPKENDEVLEALKNGLDALRVDIEAIRENTSEKAVVAAD TTSNDDVIAAVKTGLESLRADFEAVRDSSEKALAPVDKPSSSDEFVEALKTGLESLRV DIESARETSDRSAPDENTSNDEVIVTLKNGLDSLHAEIAALANQEKPEASAENASSDE VIVTMKNGLDSLHAEIAALANKEKGEEPTENTSNDEVIVTLKNGLDSLHAEIAALANK EKVEEVAENTSNDEVIVTLKNGLDQLHTDIEAIAAAQKSAAPVDNTTNDEVIEALKNG LDSLRTDIEALQENNQKALAPVTDTKPNDEVLDALKTGLESLRSDIESLRDTSNDRAI APAESLSDEKILEALKTGLESVRSDIEALRDSNGERALAAISTAKSEDGESGEGMKTD DVRNLEVLIAGLAIKIDSVKAENQGVQKDDLSRMEELLRTVQDSVDEIASRETLTRSV SVKKKKEEGAEEPESGIQGDAEEPASKEDMQAIETILRNTKGKLDDLIDGEQAVRKDH IDNIEALLLETRETMGSLTTQLETVSRKEEVTALEALLTQVSTGLDEIKDQATKESEN PDKVSKGDVEAVEALTLEIKTALEGFTGTDLALLARKDDVSNLEALLIKKEDIAGLET IVKEFQEKLDTSVDAQTKAIAVRDEESTSVADRVTEVKSFLEELQGAINTKLDEGATG VDGVSKLLETMGEKIDKNENVHQDLKDMLDMIKAEFEDSKAVVSGVKVESNEKLQEAT ETLGTKLDEKIGELIAKYEALETTLDERSKVSEARDEVMEAALVGSKSVTDELKLLID TLGSTVTDSLEKMEEASKTVFTKVEELSTRTEETHTEDKAEHQQTRDQITEALTAVEG LKGDISESHPKIIESVKDLLLLVGEHYEHTKTSTTEIQDKILEHKSPAELMTLLTLLT DDKYNNTQVHEKLDQLIAQIYNDSEVKERLDKIIEEKYNDAEVREKLDKIIESKYDDT PVHEKLATIIESKYDDTAVREKLDNIIESKYDDGEVRAKLDKIIEEKYDDGEVRAKLD KIIEEKYDDTPVKEMLGTIIEQKYDDAPVKEMLGTIIEAKYDDTPVRDKLDLIMDSKY DDTIVREKLDLVLDGRYDDAVVQDKLDKLVDHTAVADQAFTRLDTLDKVHASVLKTAS DISDFLQSQKRRIEKAHEDHSRHLQETMASVERKLAEKDHVETAVLNLRDEELRLRQS VMSLRSEQENLIRQKTRLTGDVSSLETALSMRKEELYDMESRAENLERRILEGVMDHS RVLLMSKAKRSGSDAMSRKRVRKPAEEDSQQDGRKSMVGMALNAKRNMAAASPTGAAR RIVSLSQINNNVASGGVKRSQSVRTPAAGGGGKTYRKRSWGGGLELTDNDKENSVNET VEEVDEADVKPSLTSEAVEVPDEETIVLEQEINGEGSQRGDSDNETLRRSSRGTVITN STDMYTDGDSYSEYSYTESEWTESNVGTDIGSVQGNEVAIIGN FPSE_04723 MDQTQAGGLLDPPELTPLEQEVLDEYERLADNMNKLATILEHLA SNPSSEILDGLRELERKTSLAFTLLKASVYSIVLQQEIDWGDGSTAQ FPSE_04722 MSVARPARNLLGRCIASSRTASTSITVPIIPCSQFHTSAPRSKR KSRFRNVTAQDLGLIKESGEHTSKMKQYKQDMFGELSKKDMENLRASYTPEQLEAIEL GEKAVNPEDLIIQGRIRDDPYKPTYIEDYSTLDPRYDIRPEIEGTPREVQWPDKNEWI DNYGERMMKVTDKKTSDQLTRAMVRALRRVKESQGEDLIDLTEEELKDIEKDPELIKR YIVTEDGPEPTANDKGPEFMTRSQAEKLDEAVDEAWKSELDKIVGFGSQGEVEPTNLE LIQDGPAGVDRTYTAEAPDLGKVPGVKGLYKHAVDPEDQGQDDSGKYQEIKRLTGMSL KEIRSLLTKGLVTRWVSNQTRLGKVRSTSVVAIAGNGNGRLGLGMAKSTEPGVAEETA QMLAIRNMKPVRRYENRTIYGNVTSKISGTVVELMARPPGFGLRCPHRIFEMCRAAGI HDISARMPRSKNPMNSVKAAYQALTNQPDPEQIAIGRGKKLVDVRKVYYGGAVY FPSE_04721 MAQFDWFSKIGATDEAVAVLNDQPILFTILLVVLVAVILQMVLL WYIHYATMKPEQRKAAQDKKDKKKAAKTKKPANAR FPSE_04720 MQSNHAGPQNAHSTPGLAAPGPYSTASSASLNSNLESDSDPSAP TSNHRDSVGSIIDDPFFQTYDPAIQAATTSEDDDKSDGSSVYQSLDDQDHEHLFHDTK GHNFGNPAKPAKRRGRGETRKPVTDPDSDDNEANQHWPPPRRESLTAAPSSYWAQTPP TMESFNIAVIGSTGVGKSSFVQRVLGLSRPPISNASSVRMLVDNNSHAVTLLELDLEY FELNPTQPIQWPKQINGHIVPRVDAALILYDVTNHESVRELPQTLAALTNSGLPASLV ACKCETPEAEWQVNAEAIASHTYFKSCVSTYKISSTDDIEVAKTCLHTILRAAMAHRR EENGETVSRRRAQSAANLEAPDIGTGRPISQHSKHSRASSDFSLLKGFSIPTISEGYR TQTSRSPKRGQSASPNPEAGELGPTQTLNNMLRTPGIRLDRPSTDSFLDVDESDPESY RYSDDIPILQRNDDNSLDKQAKAAGVTFEELVDRLLAPRLTRIDNNFSDVFLCLYRKF AAPGELFTAILTRLDQVREDKTAHYLSKTATQLRIIEVVAKWVSLYPGDFARPQTRRN LEEFIRYLSTEPIFSIAAQHMRRHLQFNVVEDDDTGWANSDEASDRLAGEILSKDMNE LSSGVSVLTVESDTDGRPSGSSEVSLADRSSSVSSQVHFHTFEDYERAAASLEPTDNL PMNKFRYHIFMDIPADDVADELTRIDWIMFSSIRIRDFVRHVSLSASQKERCKSLRNV NRMINHFNHIAKWVANMILLRDKAKHRALILEKFMNISLKLRQLNNYNGLAAVLAGVN GTAIHRLNQTRALVPADIQKRFARLGILMGTQKSHFAYRLAWENSSLPRIPFIPLHRR DLVSAEEGSRTFVGAEGDRINWKKFEVLGEVLLPMMKSQGTSYPNLAKHDNARELILG CRMPTDEEDIYQRSVHVESGSAGFAEPPKKKFPWFAK FPSE_04719 MATTMLYTTGASVEDPPHSLTNTTNGSGKPRHRPTALQIKPLDP SELSAKLGGLSVESNDQLSPSIHIENSTRPPLSAMSMGVSPRTIPETRLSPSAKTTVS SASCKRDSDRLNLPSPGKPPRRGSIFDAFRFKGSNYTDEAQSQDTGNAQKPAPYKHVP QVAAQQFARTTTVETLSQKTSPKGMRPAPGPRSMSNATISLQEYHRQYKRAQSICTGR TSSGYNQSKLAGTVDANDRISQQKKQNARLSSYGNRPELPRRMSTGNMSGKNDPQPPS PFRQDSTGIGGFQGNNAPSTRRDSASSSGFSDTSSSFTRDSGPATPFKSEFGGNISPN RRGSETSAATSGSRRGSFVNVPNPQHTAEIHRVDWSQSDQSAKVQRDSKWGGLKHRKT SVSQQKPKDEKDGPHVIETALQTTNSQKSPKPGFLKRFMH FPSE_04718 MSEQQEQQETPDVPILQSEEAPTPTDQAQTEEVDKPTTTTPNPS LCGICNTNPPKYKCPRCRLPYCSVACNKIHRENHPPDPEVITQPEPSQPESQSQQVSE PRPFDPSNPFQALETSEKLRLLFRKYPDLPEQLLKIDAATLPPAESKPAIPASLLKGL PPKQEAWNHDIGIQNGKEALRKARRAGGEKGDAIREYSELILHLINTGSASADVDSIL RQQLAQEDTKLIERLMQQEKR FPSE_04717 MASSRTMSRTLATLTRPVTETSSRAVPRWTRSMATVRSQIPSIS SGLRPLAQRQRIVKTATPSLAGIGSGVRTIFIQTENTPNPDALKFLPNHRVVPEEFST PFIEYLNPRATISPPHPSPLAAKLMNIDGVTSVFYGADFITVTKAADANWAHIRPEIF ALITEAITAGEQIVTISERREGEAGAPVEEDSLAYNENDSEVVGMIKELLETRIRPAI QEDGGDIDFRGFDDEGYVHLRLRGACRTCDSSTVTLKNGIEGMLMHYIEEVKGVKQVM DQEEEIALQEFEKFEEKLKQQKGQAASA FPSE_04716 MSPSAVEGNGVVDVKTTLKPNVGVYTNPNHDLWVAPAEPSAEAV KSGSDLKQGEVSVAIRSTGICGSDVHFWHAGCIGPMIVEGDHILGHESAGEVIAVHPS VSHLKVGDRVAVEPNIPCGTCEPCLTGRYNGCETVQFLSTPPVPGMLRRYINHPAVWC HKIGNMSYENGALLEPLSVALAGMQRAEVRLGDPVLICGAGPIGLITLQCCAAAGASP IVITDISESRLAFAKELCPRVITHKVERLSAEDSAKAIVKSFGGVEPTVAMECTGVES SIAAAVWSVKFGGKVFIIGVGKNEINIPFMRASVREVDIQLQYRYCNTWPRAIRLVEN NVVDLSKLVTHKFKLEDAIKAFETSADPKTGAIKVMIQSLE FPSE_04715 MSSVARPMLRSPALRVAARRFESTTAQKAAENAKQAATRAQEGL SRVTSTAGPAIAGYAKGVASTLGKVGGRTGKIIGFVERQVPFVVYYSKVGLELGKFVF HNQKMSPPNMATFQTTYQNLIKSIQNRTIIQSSQNLVQQVRNIGPAQLAAGGVVAAEV LGFFTVGEMIGRFKLVGYRGEVSSHH FPSE_04714 MAVMPPPNSVNGSERRYLEDGIWQHGRFYGSWKPGKYLFPIDSE ELNRLDIFHKVFLLARDNKPFQAPIQRKAPRMMDIGTGTGIWPINVAEECFTDAQIMA VDLNQILPALIPPGVLPKQYDIEEPAWDSLYTDCDFIHMRMLLGSIQTDLWPQVYRNI FEHLAPGIGHLEHIEVDWTPRCDDDERPANSAFEKWAELFFDGMDRFNRIARVVPQET RQLLEATGFTDVKQEMIRAYVCPWSSDRQEREIARWFNIGLSHSLEALSLKPLVEKLG WKPEDVRKLCTTAKRETCVLRFHTYCNIYVWTARKPGPPQ FPSE_04713 MSNQAVGSVYKAIIDEVVNSSRVDFEESGVEESVLEELRQGWQQ KLTQLDVAGFPWDPKPDPPPAQAPPPAMAAAQTHAQAQFSPHPSNPTGLSLPGMAQPQ VQNGVKPEGSYVKTESPVPIKQEPGLQGNPMAYQQYANPNINMNDKNNVAANRAAQQL QAQYGQRAAGSINALHQQQQPHPQQGQQQQQQPALPQQQQTHHQQQQPGQQQNLTQQQ QHQQQMYRQQMAAATAHQQQQHPSNGQPHIQNGQTDGAGDVDDYEGVLMQRAASGDFR ELGRVEIDRMLHEQILAKAKSMEGGGLMVPLKEATRHSSASTSRKAKGKQPAAFDGGD DDEEDDDDAINSDLDDPEDEHDDDDVDDDGLGNIMLCMYDKVQRVKNKWKCTLKDGVL TVNGKEYVFHKATGEYEW FPSE_04712 MLLRSRALVLVAGLSTLILFCYWARTPEYVGPRYGHGPYNHNQK HGWPVKASLWRQDQDDNYYWKTVKVNYPHTASQPLPTSPPVTYPKVQATFPEFSISAA ELRRERQQAVKATFTRCWNSYKKHAWMADELSPVSGGQVNPFGGWAATLVDSLDTLWI MDMHDEFKEAVDAVDKIDFTQTELKEVNIFETNIRYLGGFLAAFELSEDMRLLRKAVQ VGEMIYKAFDTPNHMPITRWKFHEAGQGSNQVAGGVLVAEIGSLCMELTRLSQFTGDP KWFDASQTIMDLLAAQQDSTKLPGQWPLTVDAKSEIFNQGTIFTLGAMADSVYEYLPK MAALMGGQLPVYQTMYEKAVDSALKLNLFRPMTPENRDILVSGQIRAKDKDGGTSFEL ESQGQHLVCFLGGMLALGGRLFNRQQDVDAAAKLAKGCIYTYEAFPHGIMPETFMMVP CDSTDQCEWDEKRWREEVLKEAKINEGDAAQIDAIIKDERLPKGFTRIPDRRYILRPE AIESVFMMYRITGEHEYTEHAWTMFKAIEEATKTELANTAVWDVTVAGEKPRAVDSME SFWMGETLKYFYLIFSSPDHINLDEYVFNTEAHPLRRLVA FPSE_00631 MASVTLSTPVKSHKGLFTARTAGGRMPLTPSPRQQASANIPINL NSSPFTPERQSSNDFKPAGRSTYGGNLMAHLARSTTSAHRDSPKSNIARVVHTPRKAL ELGVSDYTLTGTGSSKTANKKGIRSKVAKTTVNYAGDRFIPNRGASSAISNAGSGKLD VPDRQRPKTSTVESSNVLSTAADEALAALESLNINDDDEPDNYSRPSPNTVAYQDSLA NACGVSLNTRILEFKPAAPESSKPIDLRQQYNRPLRSTTTTSAQIRRRIATAPERVLD APGLVDDYYLNLLDWSSGNQVAIGLERNVYVWSADEGSVSCLLETTPDTYVSSVKWSG DGAYVSVGLGTGEVQIWDVAEGQKIRSMFGHDTRVGVMGWNKHLLSTGARSGLVYNHD VRIAEHKVAELISHTSEVCGLEWRSDGAQLATGGNDNLVSIWDARSLSVPKFTKTNHK AAVKALSWCPWNMNLLATGGGSYDRHIHFWNSTSGARVNSIDTGSQVTSLRWSPHHRE IVSSSGFPDNSLSIWSYPTLVRTVEIPAHESRVLHSCLSPDGQMLATAAADESLKFWK IFEKKAGATASIGSSGASSKASMAKQMTIR FPSE_00632 MTHPSTPGQSIFGGPVAATTQTFGAGNQALTASTSLQPTPPSAA SSNNTYIMPNSPAKGRSVADGYRPKVTRTLGQRPACLVNASVTYCGNNQIYAFGGFDQ YTDEVYNHVLRLDLVSHQWSLVDNYGDIPGVRMGHTATLYQGNKLLVFGGENEHRTYL SDLIVFDLKTAHWTQPLVSGPIPKGRARHAAVLHEDKLFVIGGITGQNNYVLDDICYL DLKTFTWSKSWRFVGRFDHSAYIWGDRVWVFGGLSEDMDKISDLWWLDLKGSPQFDSR PQMGLFDRGSMSTRASNSPRPPYTMPQAPVVGASGYAANSRTAQVNPPSHQLKTYAPL APGSISALKFMSGPSIPSQGSGLHYHAYSSGTLLDFVTPAATLTSRECSLSALDLGTL RWTKLAGGREIFKAGYRWHYCCMNEDGTKSWLLGCPTDPAATDIGPNGYEEYLSDIME IDLRRYGFLGDNLTPEPRSESRPSATTRAMDQPSKGLGSDLARLFNQPPETGSGTDFI ITALAGDYEDDDTLTSSMTRNDETSQNQSWLSADAPTSQPIHVHKLILQARWPHFARL YNAQMAEFHTKKMHIPEPYSVVKAFLYYLYTDSIRGTSDSDSDATTALSDVAGLLVMS NIYNIPHLRLLCVNRLAKELDVDHACIIWYCAGLANEEWLRKRAAGFCMIHWGRVVRT QGFVRLPRTALVELSQEVDMEGRVIGGEELEWGGMNDSMGHDARKESISSNQTQTIED DGDDDEGMEMN FPSE_00633 METFFGQRFQKPSDTMGTQEPRAFTSTNCTRVWDSANTIRPQGL APSDIVPAATANASCCDRASSNPSACACDSRTHFVAGAAYDPDSPGSLVNSGSSSLTQ SQSPSPQPGTPTTGHFLPGAYAKTAPTPAAPAAASVLSRSITTAKAAAPQLSIGGDFD PAILSNTSIEDFDSYLNNFRDSPTADSSVYIHIPDPSGLEQQLYDHNMTTGPSLDPTM GRRDSFVSAGPKPISMNNPNRGDNANRNRRESLAGSLMGGGMSWGGMSFGSFVRDDIM MATSPSPFGGAHQSPSFHSSSYLPKLEANFMRDFTCCGKILPNLHDLLQHYEEAHTQP SPNTARNNAFSQFSQMGMSSAPRMSISRADSAGPGNNSQLSSQLGQHNRGQQSPHDLH GNSAMPSNLNDEMDAVADMEMDDAVGTMEMDDSQQRMSQTRQLFGQQRPELNMNTSGL TQGLRTSQPPTPAAASFGLQNNPTVSSVNTPTLTTQGQTPQGQQVDMDEDLPGMPMGG NTNDIGDMGFGGNQTGNDSNFCINDPGKHLFSSNGFPPNRSIQAQLQQLGINQSQLND PQTNKAIMQRLQSMMMPEEHKPFKCPVIGCEKAYKNQNGLKYHKTHGHQTQQLHENGD GTFSIVNPETSAPYPGTLGMEKEKPFSCETCGKRYKNLNGLKYHKAHSLPCNPDFKLQ ALVNMNLPGIGEDQMMQ FPSE_00634 MDAICVLHQVLWRELVLTRTLLNLNAEHDLEINHVQYLFSAMNA QWQVTESRLDDQQFIGLRYEHLDSLQWSL FPSE_00635 MKILTKEEEEAHYSAVLKGGFIGGSLGLTVGLGGVFLASRRYPA FRGLTLPFRTFLVTSAGTFGAIINADRWSMDFQKKQNPMNFYEDQTQRAQQIVRENQT AMERFMEYGKENRYSIVFVSWLASMGVAFAMVSRSPMNTANKVVQARVYAQGLTLAVL IISAIFEVNDAKKGEGRWQTVMVVDPNDPEHKRLIEKKIHKEEYEGQDLWKDMVAAEE RRLAEKKQAQGSKA FPSE_00636 MADPRRSPDKSLLKTRGAQPQQRSPAPAAPMRGQSFVDDDSDDD DESSDQMPLPRSHTPGHLASKSIQANRRKPSDLSIRQRSQSASQTASQPPSQPASQGA STTSKTATYKPPPALSNNAGDASSEGDRRTRRPTASRSTSAITSRTTAQAAARYGSHR SRTFYEEYTPYPLLPDPKTSPKVDVAPASGMYWSRAPVSGASHTSLRAHTTTIIGSNV YVFGGCDSRTCFNDLYVLDADSFHWTIPYVVGDIPVPLRAMTCTAVGKKLIVFGGGDG PEYYNDVYVLDTTNFRWTKPKIIGDKMPSKRRAHTACLYKNGLYVFGGGDGVRALNDI WRLDVADVNKMSWRLVSSSDKASPGTKDYRPKARGYHTANMVGSKLIIFGGSDGGECF DDVWVYDVDAQVWKAVAIPVAFRRLSHTATIVGSYLFVIGGHDGSEYSNDVLLLNLVT MTWDRRKVYGKAPSGRGYHGTVLYDSRLIIIGGFDGSEVFGDVMLLELAVHAYYSQIS HFTIEV FPSE_00637 MSEQPDALMGDVSPGHEHAELVADASNIETSTDDGERASKRVKM NDSIPTESVPGEGLHDAPASKENGNVTNGDKENVTEEPNPDSKPEHVDGRTKGIAPIK KEYLVDLSTFKDTSTDVVNDDDAAEARGTADNEGQDDNRKAGKGKKDKKDKKKKGQNT ERDFGKFDDAFRLCNSRAFYPEFSPRECRFGDRCNLSHDLRKYLDEGRRGDVETFEGK CPVFEAHGHCSAGWKCRFVKSHMKEIEHEDGRKELVLISTSANDGENGEPADEGSEEK RPAIYNVVGIDKKIALNRKRTDFTQSEQYIGWLNKEAKLSEEFMNRRKNQSDEGIEDL RARYVDPPFKPSEKRRLYFGAETPTLAPLTTQGNLPFRRLCVELGAQLTYSEMALSMP LIQGTKADWTLLKAHESEITPPKFNPGSVPIFDDYNHSKDIKFGAQISGNNHWVVTKA ADVLNRFCPHLRLIDLNCGCPIDMVFKSGGGSALLENSGKLERMIRGMNAVSGEVPIT AKIRTGIRNSRPTATQLIGKLAFGAREHRERLGAPGCAALTLHGRSREQRYTKKADWG YISECAALIKTYNKEKDSLTDTIAEPDASSLPNAKDGRMYFLGNGDCYSHVEYYEHIE KARVDTVMIGRGALIKPWLFEEIEKGQYLDKSSSERLRYIEKFVRYGLDAWGSDELGI GFTRRFLLEWLSFAHRYVPIGLLERLPPDLNDRPPAYEGRDEMETLMASSNFRDWIKI SEMFLGPVHPTFKFQPKHKSNSYEAEG FPSE_00638 MASPPKPWEQPGAAATAAIPSISPSVASTIDSPDAPPVPNRPAS LTSTVNQNAANYSRMNSSPYGSMGGTMGGAYSSPYSSPYSRFGMGGMGGMSGGYGSMY GGGYGGYGGGMYGGMGGGMYGGGMGGMPGDQNSLTNSFNNSTQATFQMLEGIVTAFGG FAQMLESTYMATHSSFFAMVSVAEQFGNLRDTLGSVLGIFTLMRWIRTLIAKITGRPP PVDATALTPAAFARFEGRSIGPDGNPLPAKASRKPLIFFVLAAFGIPYLMSKMIRSLA ASQEQEQKRLQAQAIESQQPVDPSKLEFCRLTFDFLPQPNTGMELEARKGDLVAVLNK NDPSGNPSEWWQCRARDGRQGYLPSTYLEVIKRPTQEPKKLKAAPSENSRANSLTSSI MRPEDGKEYASADGMQRSHFYS FPSE_00639 MKAAPLIINWHDQNAPVYSAHFEPTGKGRLATAGGDNHIRIWKV QVDGEDRKVEYLSTLSKHNQAVNVVRWAPKGELLASAGDDGNVILWVPSETPQTAFGS DAPEDKESWRAKHMCRSSGAEIYDLAWSPDGVHFMIGSMDNIARIYNAQTGSLVRQIA EHSHYVQGVTWDPLNEYIATQSSDRSVHIYSLKTKDGQYTLNVDDKPPKLASHIKTDL PPRRISSSSPAPPDFGHRSSLSVLDPPPSIGSPVPSAPGTPTSFALPMNPPSVVSHSR RSSFSSRRSVSPAPSMPLPAVMPMDPSPKPSSAMSSGMGMKNASLYANETLTSFFRRL TFTPDGSLLLTPSGQYQNQHQAERDAKPTYEVINTVYIYTRGGINKPPIAHLPGHKKP SVVVKCSPIFYTLRQSPPVTRNITIDTSSSEEPIPALPEPLSKPSPAPSIMEPPPPPA NTSETKPSGADAASTTPGPKPAFSLPYRMVYAVATQDSVLLYDTQQKTPICVVSNLHC ATFTDLAWSSDGLTLMISSSDGFCSALSFAAGELGDIYKGEVGPPKPQTAASSNQSTP LPTPTNVFAPPSPFPNGSHHQHRNSASSFTAPSPPQSASVASQRPSSPARSNSASSIA TITTQASTVPAAGVVTNPTLISGNVPGIAATNSGKVTGVPLTTPPETPRSANATVVGS KREASESEKEDVKEPKKRRIAPTLVEPKN FPSE_00640 MVVDNLDVVLTGAGLGLVALATAPALVAVTNQLRKRTPKDNFYE DVDGKSTPESIAAFSNRLPKIFILVSSATGLGTSIAVSVLSSLHGSSNELLLKNWLLT GAWILAVLHAISLSAHHSSVKVHDLGLWLLLSSVTVATITVVQLTKEAQHASDETIAT LVLRAVSAGTAIFLGFFSVLLPRRPDVFVEDRKVDGQWTVSAFNRYTWSWIGPLLRFA TQKNDLDASDVPEPDRTLRAAGLKEDWFASKPQNSLFRSVLWAYKGPFFFQFSITISR NLLALLPFWAMLRVINILEQRDVGLAGSSNLELWVLVFAMAGFNLLDAWVEGWAFWYS FACIALPIRSQLSTLIFEKSLRRKNVKSAEKSKESDTSQEAPDKKSEGESDDGSSVLK SRQAIVNLVGVDALRISNFTGYQFLIINSIFKLFFFSFFLVRLIGWIPFTAGFFAWGL TLPANTYFSKELLGRSDKLMKLRDEKLAVVNEALLGMRQIKFAALESRWEKRILTMRE RELKTLWGLFIVDTGLFGCWVISPILLAATSLAVYAIINGQLQPSIAFVSIGIFKALE VSLGVLPELITMGVDTYVSLNRIQTYLNGPEMKHTLSEGTEVAFENASIAWPEDDDKS DEDRFILRDLNFSFPAGELSVISGKTGTGKSLLLSAILGETDILEGSVCVPKTVSPDE RHDAQAHLGDWILPGSVAYVGQTPWLESASLRDNILFGLPFVKERYNNTVEVCALKKD LQILPDGDKTELGANGINLSGGQKWRVTLARAIYSRAEILVMDDVFSAVDAHVGRHIF EKCVTGDICKGRTRILVTHHVGLVQSKAKYIVELGEGVALHSGLVADFIRDGTLDEIR HHEEAAQKSSEDETDSSTAVNSEDVSVTDPTETSENMPLQKVPSKTAKQFIQEEAREK GMVKKHVYLTYLKDSGGALMWSVCAVVFLGFQVGILARAWWLRIWTGDVDESVSAGSL QQQHGHASVFSLQHFPYSSTPELRITTSHYDLKYYLWVYIAISSVTAIIGTFRFFWAY YLAIKASRSLFEKMLFTVLHTPLRWLDTVPVGRILNRFSSDFNIIDNRITLDWTQFIA NLLSLIGVCVAAFFASGIVIPLAIALLGLGILLGNRFLYGARPLKRLESNCKSPVFEL FNGALAGVSTIRGYKKTQVYIDRMYQKLDTWAIITSYMWLVNRWMGLRMGLIGTLFST IVGIIVIVSPSMDAALAGFTLSFAMDFAESILWTIRNYATMELNMNATERVVEYTELE MESLEGEKPSAAWPTSGAMKIDNLEVSYAPDLPPVLRGISFDVKNNERVGVVGRTGAG KSSLTLALFRFLEARSGSITIDGLDISKVDLHSLRSRLAIIPQDPVLFSGTIRSNLDP FEDNTDDELRESLTRVHLVDSQPATPANEPSSAATSTLAVKNTNVFRDLSSPISESGG NLSQGQRQLLCLARAIVARPKIMVLDEATSAVDMTTDALIQRSIREEFTDSTLIVIAH RLSTIADFDRILVLSDGQVAEFGTPRELWEQEGVFRDMCDSSGEKEKLKQTIFG FPSE_00641 MGWISSILGTDKSADPLAKLDPSLRDFLEKESPLKYPANQPANP AQAVQKPSNTNVPVAETQEQKPNAPPASLYKDGRYAHLWKNYRPLAEIEAETASDHEK LMSVLEAYKERKEAIGKAALENCAEYQEEWVNCMKHGSWEDQIQMCRNQVRSFERCYL MQSRFLRALGHGSVVGRSPEIEDDIQMHADALYQRMIKHEEAVEKAKAEGLPVPVFQS KLPKAKDDSVVPTEELQQQWKEQLDKLPAEERAVEEAALRADLQVKAEVAGNVQKIWD TQAEQRKQRQAEGNSTFGDYMASLFGKSGK FPSE_00642 MKRDGDKLIVGCVNFVQPPFHAATELTVQSERAFQKQPHIFQNS KTKTKSTRPGKGGRRWYKDVGLGFRTPKAAIEGSYIDKKCPFTGLVSIRGRILTGTVV STKMHRTIIIRREYLHFIQKYSRYEKRHKNVAAHVSPAFRVQEGDQVTVGQCRPLSKT VRFNVLRVLPRTGKSVKKFSKF FPSE_00643 MAPGDATLPATRRTRRSIGAHTDVNKAFGKENATTDVTSSLAAN RKKSRSKSLGPGGLDALMKANGNRRASLAAPARAPRSILKPTMPIPEIPPLKPRQSKI NNSREPTQEFSTPTLSGSKIAIKTEEEQQAAAREREERERRDARRKSLANRRVSFAAE ATLHTFHEVEFNQDSTTSTDSTRRNSTAAQQREREEEDKKNRRSSGPPQIDFHESDDD TATTLYSSDSEPADAVEEVADVEEDGDDDSSESDDGTMMTIDDVTGTTAASDRSVDSD DAESSTLDEALRLAARRAGNQQLRDDEDDDDLDDDEEFIPSFGWGKDANKQNIAAQDQ ENQPPPARPQPKQDLQDATETNMSMDLDMDMDITRAVGGILKPQLTQQYDPDEDMSMD VTRVLGGILKRQSEERNPDEDMSMDVTRAFGGIVNKPQNASAPIDDEEDEDDAPMEEA TMEFTTAIGGIQRPAPIEEDDDSDGNEDMSMELTTVIGGVLAKNKRKSIAASRRRTAS QPDDENDDEAPMDMTLAVGQILSKPDDNGLEDNVDEEGDATMGMDMTTAIGGILNKVT GGSRDLGKRVMEEEADSAANPDEAIEAAISKSAVSHYLQPLAASEKQTTTPSPRPLRS PASALRNTANPKIPTPQRTTRNSRTPPPVKATTTPQSAKVRTPASAKPATPQLESVVS ERTATPRSTQRISRSASPKRPPSARTTRADSRTPSPVKSTPKKSLFQNNPINGSRTPT VTLTPQRRLSGLGADRPGLGSPQVTALFDRRGSIGDMATSFVPGKRGVMFEDPKEMTQ DMDREAREEEEKENRRKILEREADGVEATLNLREMIDSLSPKRKPLKGRKSLHVGSAK GLLGKRPNELDDEKEAEENYSVKRLKGHQGSPVKNIRLQQPPSKEETTGRLNLSFRKS LLSSTVTPTLSSPAKSDAATTPRHQGRFKDVADDRPGHQVNFDETPVKDIEQIEEEVE AAEEADGDRIHLQDFLNMTSIRFMELTTTKRRHTQAPGTLENGFLDEGEEDLSLERCV VAGACTVPMLELYQHSCRELKKYISEGRRIVKEIENDTFEDNPQLFKEYMAATPDVKT LMDKQFMNVKNHARLLSKAMWYEWRMKLQEGLRQGLLGIDEGMEADKELLDKQKTLLD SVLPAIMDRYKLLVEESDNLEEVARELADCDPADLDAARDELAFLDEDVEYKKKRIAE LREQFQASEVEVEDLAEQKQNCIDDIAESERIREECRGWTSKEVNSLKARVDAIETQH GWAVTGISGTVLSMSYKREIEIVFDITAFQEHQPNSTIDLWYIAVNREKNPLPKTAEK EFFLQMIRDYARALPHNRTEVSHLLRSVQAAWDKANFVSSQVERLNATFPTTVQRTSD SSISITTSMLLVPLESRVEVKLSLSTQTDGDGLEMILKPEAKVVYGEHFNVPKVADFL TTRIGKTVEAGEEQWGDVMVELQGRLIARGRKA FPSE_00644 MQTTDLPTWFWLPLKGDCEWDSPFNMGNCFDEQYHNVFFFLIKA LIYLTRFTTFPFVLFFAGMHGEFLATLPMAILSTITIIPMVLLRLIWAEDYHTHGGLG NPMVEYSVKTWAILSMPNIVSWTPSAAFAVSQSVRIVRETFVSLRIQAESSFDAETLF PTPFAGRDWDSDLSTSGAEAWMRMLVQEDQEERHARREEEKRVAVEQKRLEQASLLMQ KLEDDTDIAIWKAISKRRPQPIIRRQSALTHRQETELLAEERGLTKKQFLAQRYVVVP VDQSTQSDPSDFADDRAMKTPVSPIVEIPSSHGVQSDLAESPVLTQIEPSEPAPTGSS PSSLSPPSPHETTPKKPASSTTTDAAAATATPGRETPPVREPAPSAVSPRQEVVEAIT TLPSPPSPGVVGVVSSSHVVDEPIFPSEEELPPQAIVPFAEPAGLEPLRQLPSPEQAA GSVASFAQEVPGIPDIPEELLFAAANGSEDGWEKSLHQGLFQPAPCISPTWDPTELDA AIDDLLNSGDEVGEQVWDEMAIDDCQVEDVEMEEQGEGEVEVLTPSQAVDSEMEEVGM TEEEQERMVQEASWAALAALLDEDEDEFCDEPSQMVIDHAAPAQTLSVPQLPLPQFNR PPPPPQASVFHFEVTPQAVPAPYVMSQQEQDDLEMEMVAAFDIEMEDAEDEEEVGMGP QDLSQRKILIPRAQGSRHNPSQPLPQPTPTTSQSKRSRSSSIEQAAPEPKRAQYQPIQ SLSSAPAQPLAVPPVTLQPEGASPAPSNTNSQQQTVAPIMMGGLMLPGGNQRITNAEP PAPTPSAAPAPTTITPEEKKAAFEKKKQAQIKAQQEARRNKKPSLFHQTKKPATPGRS APATPHQQTPNHTSPSPMPRIRDPGLRAASESAFGTPGSAQKEAGHNILQTIPAYLLD QVAGRAAEDEDEE FPSE_00645 MDCDICHRSHDAKRLPFLCTVDARAALYDGRVENVMALIENEDL HKQINDLLDETNAPTKDRKDALQAQQRTAEDRTTQILAAADKLRNDIKAAKEEIQARR AALSRRKSDIAAVSDGLIERRVKRQKSVERETGMHKYRWTKCADELARTRSFLCIEAA QLYGLKRIKEGSPSKYEYYLGGIPVVDLTAMNSSTPEMISTSLSHICQILILVSHYLS IRLPAAITLPHRDYPRPTIFNLSASYRPGDPVFPSQASVSSPSSTTDTESQRVSRPRP LFIDKPLSQLAKEDPATFSYFIEGVTLLAYNIAWACNTQGVSIGDKALFEDMSNMGRN LYNLLINHQSAGKDPDTLKDEADGQSSRFGQYSHGTTFYHLGGAEGTEFSKTFKLPSP MKLADKLKKKLLSEAPTPDWEVLDDDAWKVEEELADGSQVNKNLLMGDKNSPRRGTSG WMRVKNR FPSE_00646 MDSPLPPQEGGEDHQSKDGLKIPDSQEANMNNMQRHSHANSRTP EPQIDRQASNSDPPDTPGVLEPFDWDEFEARYEAALHDADDQEREILKEADALAKYFK VWAAAASAHDDERAAKRLQTRRRFVNLAEDKMERKQQHYDQVVRAFENALALLKSL FPSE_00647 MPQPKDAKAIGMCGIPSVSHDDLFAFHEAHFSQAALASFGSDFI EAPSQDHSQDDATVDAGDEEDDGLGYYPDGVKRTLTDEQIEIFRHSELEALRKEKEKA EQLKRKAGTMTGEPVDSGDDIAAPAQATNVLPTSFHSNKKRKKKKGAKRPEPKPDLRK RTWDVVDKGLDSLEYD FPSE_00648 MARVYADVNQSMPRSYWDYDSVNISWGVLENYEVVRKIGRGKYS EVFEGINVVNYQKCVVKVLKPVKKKKIKREIKILQNLAGGPNVVALLDVVRDSQSKTP SLIFEHVNNTDFRSLYPKFNDIDVRFYIFELLKALDFCHSKGIMHRDVKPHNVMIDHE NRKLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGNSNSDQLVKIAKVLGTDDLFDYIDKYEIELDAQYDDILGRFQKKPWHS FVTSENQRFVSNEAIDFLDKLLRYDHQERLTAKEAQAHPYFNPVRDPEVFKQHLAAAS GAANKS FPSE_00649 MEPPTKKRRHGPSPPKAAQDEDDDDELASHPQEIKIRRDPDIQL ALKRANANQKLHATMAHIIEKYSRDFEGIGDEIDMATGEIVVNNGHLRNMRDEGDVEG LWVEGESNIDEDEGILLEDLTDEYSDNEGQADEIRHSQPENENSQASRTKESDTETQP QDQNVIIDEASNNVTNIASSQNDAPHDKSMALPPGLPPDDPRLGPPLFGPGPPSGFGM PHPNSGPWGMMPGFPMQAWGRDDIPPYFNMPPSMPGPWFTGGRYDTASNNGQASIWGR KWTRKTKRAGSMKGSFKKASDKRPSDAAVDVSSETPESDRGLEPKSTGGSPNRQEAPL SDRTTNASDEDEDFLCSETSDATPTVQQKPSLVPSGDNALSKNRSSNDPPHDTLKETN GNTVKVSQQPDEKDDSGQRRSGRARKQTEYLGRVSWDDAREFKRSGKTFTIQIHRADP SLREEFLSVDLADEETEGEVSLPSEQTRKGSQSIEEVGAKVTSGKLVAPDSKDTATPF NSSAPQAPQSKNIEEPSPFIQTMIPTTELSDDEAPLALSRIRVPRRQNAATMTVSPAS GLRTEHHERSAEPANATSALPKNYDEPSPEAEIIVDEVDGTVQPLKRKRGRPKGSTSA AKATPSKASVTVRAKEIEPTSPTCIIISLADNLLQSTKRKRGRPRKSVGVTSTIQDEA NNPAKELANVDDGVPESDHQGPVNEIPDNEVSGKSPPLSNELKWLPKTKPKDSTTDRT CDAFLYKFVRPRKSKEILQQAKDDEPESQVTQETKGAPKDIEDDLKEQTILHKPQALM QEADTIMEDIPETREDSESVQDDRPSPSSPSLLSHGDGTPDDDESREVDEPIEDDTPI LHIITDDEAIESEGSLPPLPQDAPIKEASTPRKSKHRRSSIMEPPSSSQKPRTPRHRS IHTNRAPSSRRSLLSFVSDSESDNEGFRDELTRRVKSHSKSASVRPSSKKIRRPATLT REIHRTPSKKRAHEISSPIGTIKTPGGTLKTCGVDGYHCGRDYCFTCI FPSE_00650 MNCQKCRQPLRLDGSLEDLNPAAYDVLVASASPQTLKKSSVPNP PLAQPQDQSRKSLYDRVSRNAGPPTFKRNHGSHPRDSSMSFVLLSESQMARPTPSSDP PTTPTVLRRASSSKSNADNPDAPIGSEMDRINRLFDVLSARSDIDHPICVECTEMLVE GLQKKLEIASRERDSYAKHLKEAKANKPSEEDMKAQEEALRKAERDRAAAMEELKKLE SEKTSLDEELVLLEEESRQLDKEEEKFWRERNNFATRMADFQAERDSINAKYSNDSQL LEKLQRSNVYNDTFCISHDGSFATINGLRLGRLSNKPVDWPEINAAWGHALLLLVTVA DKLAYRFDGYDPQPMGSTSRIIQYEVPSPSSSRLGTRSASVPPKRHVLELYSSGDMPL GLTFMHRRFDNAMVGFLELVRQLGAFVHRQTEATGTPLSLPYKIDGDKIGDVSIKLGI AQDDGWTKACKLTLTCCKFLLAHASNVTSNARNGGSQ FPSE_00651 MASCANCGKEASQRCIGCIDVPEYLDGDSAGIFYCDHECQTTDW PNHKRRCNNLKRRKSLLRAAKLLKKTLLSYKEVIFDWDLTEIEPRDDALILKHDNRRP SWEKPINFPDHLTSVPEHKEAALMKRMALHALSILGPMTRALVKCLVCRLETVYVQIK NPPYPAIMDPPDAAIFDMMKPNVHTVVIGTLRGSGERWVIDITRCQFGLKGVLFPLDK YITETNCNVEWPASPYLHSEIYDQQEIIAVLGTPPPEPMADILRITRYRLHFAELVKE CVDNSLIKGSDAEFDAKMEEFSQKVKTHMSLCQSF FPSE_00652 MDTSQPHEVDVVGGEDNISIVSFDEIDSRARSTSRNVPVPPMAP YPHMVPYSPTVRSPWDNPESLYPRQETLKVNGKVPEVLHTVEYFDSRDQIIKRRQSTR AFKNVPPFSRSDEEGDVDGENSNNKEHPVLEIITEVYPARSNRPYNRPPPPRPLRNQD DRPITDVFEGYGSRLDDGPIDIDHEPNAYAPRITQPFMNIHSAHLINALKAVVAYYPK FSLEGKIIEVDSPYQVLYHHRRELAHYKDHQPSIHSPEYAATTAKHIGILLSFLDDNL GEEIHREEERHQLETPRATFDLFWLLLKPGEVFYAKRHDIWAPYVVSSVNTGKNTNTS SRDSHKVNCWMLETNGTKINRYMHTFYLSPWLGEQEIGGLSVIPIGFWPEDLEAQGGV AMKEKNIALGKLYWELLKRPTYMDYEGLLVNSGTTNRQSRGPTGFMSGRVICDASGFD SFYYDGPDDIRRGRYRSPVRPNSAVFPPKDFLPRNLPRCGCDACMDDRSSEDPDGPYT GFEDLDPTRNSPPESDLFYLVCSKTMPGFILGDRRWGHLNIANLKPVKTDKEAFKYLV LDDEVKMTVKALIGKFATDLGEGRVAPWGNDFVKNKGEGRIFLLHGAPGVGKTCTAEC IAELTNRPLISLTSGDLSVDSYHIENNLSYFLELGQRYGALVLLDEADIYLERRRSSD IKRNGLVSVFLRALEYYRGVLFLTTNRVQSFDSAFLSRIHVALHYKNLGHEDRERIWS HNFDRLSRDSNGLVHVSNAARDYVWNSEDVRSLKWNGREIRNAMQTALALAENDAEEE STDNIAICVKHLRAVVKMSRGFRDYIKHSAPVEGFEDVVVDSEEDSE FPSE_00653 MIPSLTILRTVILSDICNMKLNFTTMAPNCRVKGRGRFMQDSSQ LGAVLYSSDTSLDAPTPGIKQDS FPSE_00654 MPAPMEPTQQAPMTSDNGDIVTQQPTAEPQPDMSMRGGEEAGCE ICCGLCACDEGCC FPSE_00655 MSEVSDIEKQQTKLEDDISKLQSALKHWQTWDAEYEMLKEEILA VCENGENELKTIHAGFEGELLKDRELDEIFGERAHRSGEQILNILDRRIDYVIKNIEN LQKQISVAEDKLTELQPDFTQDDGLPITEIIEELDDDDNVISYKLNQPDSALPQIQQA LEKAGVEDFENDDSSPLAESSKGKKPVPKASVPKAEPAPVVPKLPSKENLPQSTDVQT TKLAPSASKGVSFAEDTKAQDGPVPQVSRNVKRVEEIMSHAKEQEKITNEQAYIPEDE DEDDAELRRQMLEYSGEVGAVVAELQLEEGDSDDYEYEEYSDEGFDEDDDDEDKYGRY TGRVVTEDYRQRMLELEQKLGIKSRFTERPEDKDAEASSEEEDDNKEEGIGRIVVKST PSTTTPTPTSQSSASASASKPAPTKSNIKEKQPEPANGKKGVRFASSLDIAQESESTS LPVREAPIVEKEPIVEPLSDIVERSTSKTPDTTNTKSTRKPSRFKKARDTVPPGPLDV PATFIDQDRPMAPTGPDGTTLADTLVEREPTRVAQPPDEFDDELILQEVADEHHKLRK KFIQREGGFLKEDESPIQPLEEQDGGRERVSRFKAAKLSKY FPSE_00656 MAEPNTKTLEAKCYCGSVHFTVDVPVSELPLLTHLCHCSLCRYS SGAPCVFHATLPDGVKPKFVEPSTRNSMASYPLGANSWPWIFCPTCGSHIASTGPPEN EYWTVSTSIFIDSSDSFDTRKHIFSESTKDGGIAEMLTHMKGKGFIDWNPSRDSPEAQ IVESQPEVGENGEERLRVECHCKGVSFTIPRPNQKAREDKYYSQFVSHRDEKKWLATF DACDDCRLSNGTHVVGWTFIPLSVCEPRIKDDLLIGTAKTFKSSDSVVRSFCGTCGAT VFYSHSDRRPSDDHHVVDLATGIIRAPEGVMAGNWLTWRARIAWADSGKRFDNDFIAS FQEGMRKWVLEREGEIEDYNIG FPSE_00657 MASSGRCYNDAIDALNSLQTPFDIVEARRKAGIKPNAVSIQEMK IYLLRIGYTPSDLNKLNIVHVAGTKGKGSTCAFVDSILSQYQHARGTPRKTGLFISPH LIAVRERIRINSTPISEELFAKYFFEVWDRLEAAPKDEADKLMPPRPIYARYLTLMSW HVFLQEGIDVAVYETGIGGEFDATNVVENPVASGISTLGIDHVFALGDTVAKVAWHKA GIMKKGSAAFTIEQVPDADEVLRKRAEEKKVDLKVLDIDPRLNVVKIRPDAAFQKRNA TLAVALAETALEKIGIDLPQRSEPLPKEFVDGLEKVVWRGRCEVKKEDKVTWHVDGAH TSDSLKMCSKWFKDETSGRNGPRVMIFNQQGRSEATEFLESVFKATKRDGQPAFDHVI FCTNVTYAESGYKRDFVNHQFDPAEIDKMTVQQRFAEKWAALDPSATVKVMPTIEQSI DYARHIGEDLLEGETVQALITGSLHLVGGALGILEKADAL FPSE_00658 MATRRSIGTASRDYNEALKLLSTLYSNRQATNLFDKPNAKNAPP KPVKDLNALAIPEMREWLRRAGYEPKDLARLRHIHVAGTKGKGSVSAFATGMLRQYET VGTYTSPHLVSPRERIAIQGEQVSQALFAEAFFELWERLSHAAKKDGQTITDANGPNS KPFFFRFMTLLAWHIFLREKVDSVVLECGIGGEYDATNVVPPEAVSAAVISQLGIDHV AMLGNTVEKISWHKAGILKPGVRGFVRRMDEKPRVRKILQKRATEKGAELIELADADV ERWGGVAGRLPGDFQKYNQALAVLAVRQHLGMDVEPTTALLNLPDKMITGLKEASLRG RCEIIQQGHIRWHLDGAGCIAAFGSFAQNY FPSE_00659 MLRTSLQSVRAFGGRPVVAAAARQWPIAATRTASLAGQRFYAVD KKPELDPLKQPVLPTSQTITSERIHETTIDDVGETKAVIGEVPRTPPPPPPPPAPKKK GFFRRLKNFVFTLLVLGVVGFAGGVWYSRVSDNFHDFFTEYVPFAEQAVLYLEEMDYK KRFPNVTGRSKTQATEDAVRVPAQSGASWRVAEAERHSSAGPVASAAKKVKETVKPKP KPKAPAVEAKTVAKEEPAPAPKSNTGFKAPEVNEPSKMPPLKPIDLLSLEHAREPVVQ DLVHMVNDLILVINADGAHGKYGTSVDKAKSEIAKVGGKLKGMKGEFERKAALQVREK IEEFDKAATDLIDRVENTMINQENQWRQDFEDEMKKVRESYEGRISVLLEREKKVNEE KLQNQLAQQALALKKEFTKDIEKQVEQERESRLGKLNALSSAVGELEKLTTGWNEVLD TNLKTQQLHVAVEAVRASLEDDQHPRPFIRELVALREIASDDPVVNAAIASVNPAAYQ RGISTSSQLIDRFRRVANEVRKASLLPDEAGVASHASSWVLSHVMFKKQGLADGNDVE SILTRTQTYLEEGDLDSAAREINGLDGWAKTLSKDWLGEVRKVLEVQQALDVIATEAR LQSLRVD FPSE_00660 MASRQPPAGARGTNTRFAQFKLVLLGESAVGKSSIVLRFVKDQF DSYRESTIGAAFLTQTISLDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDI TQSASLDKAKAWVKELQRQANENIVIALAGNKLDLVTEQPDKRAISTADAEAYAREAG LLFFETSAKTAENVQTLFTAIAKKLPLDQAGPRHARPGQRPGVSLAPENSNTNVSGPC SC FPSE_00661 MAHLNTPKTPYRDINVKIANDSYTFTSPSSPDAPTLVIDRPTGD VRLTDGSAASAKRTTRVSSIAGILGIIQLRLDKYVIFITKAQPVGRLKGHMVYKVAAT EIMPMRERLIHDPDEDVFIQLLKNFLASGPMYFSYSIDLTNSFQRQAQADISKPLWMQ TDDRFFFNKYLQNDLIDFRTRGSRSQSGAQPGVDPYILPCIFGMLEIKPTTFKGNPLT MVLITRRSRHRGGTRYFTRGVDDEGHVANYNETEQVIILNDSNSGLGGYAGSSDMQSG KFGAGASQEMQIFSYVQTRGSVPTFWAEINSLRYVPKLEIRGIDTALTAAQKHFDEQI RLYGDNYLINLVNQKGREARVKDSYEKMVEKLVSAPRERREADLLTAEKFTTIQPESK HQEFDRLHYVYFDYHSETKGMKMHKAYALIDKLAEALEKQGYFRAVDTPSNVDGKLDA RSYQTSVMRTNCMDCLDRTNVVQSMFARHKLDRIFEDVGFMPRGSSFRDEDAAFEDMF RNLWADNADVVSNSYSGTGAMKTDVTRTGNRTKVGALQDARIGVTRYFRNNFFDGPRQ DSFDLFLGTYRPGSANIGTTLVFTDRRPILIQSIPYILAFSVFIILTGLFTRRAPDAS ALPLRIFLFFWMAIAAWSFYFIWNHGMLYVNWPRLNPRPFAVEGYNEHFAKARKDSVI GTYVAKHERGLSTARYLNAEEGKKRIE FPSE_00662 MADHRAASSLSAYGRRDGPGTPEPPARFSYDVAERGDHSIDGLL NVPPERPLAFSDDESLPRFNPKPRGAAATTSGLQAGRPKRARSKRPHKIQTSGAFLLQ EPIVADGDSGSQRRGHRSKSRHKGKDVQESQTSNSQRGFGLGISSAIGPRARIVSPDT SQEDLHVSKRSDGQKRGRRAHTSHPGTALDVDSTQIVNMALNLSESRRIASRRNISRG NPPRLGSVQDSQVGSNLRAHLQQQRKAARGASPIPNQSLTPRLSGVRSSSPLRPQPFE HAPDASYRYHFSTSTLARAQKAREHLELMAQYRRLLQVLPPLKTGYDRTSGSSPPGSP TEEKMRNFGNRELMIPLGREYNPLQYIRNRKVRARERMVIDGEKQGFSDVESVKDWVE EASDRARELPSSDGSVLPPFPTAEEIDAQMTADAAAKAALRVRRPRVDWFFEACDLIA DAYWLEQDHHKQLIEDRHLNKIYPQTEEAMSRPMSIQSEDPATGVSPFITKTMEEING NADIDDHKLTKTDTDTSEPGHRDRAKQKIQGMGSFHRHSGSIHHRRFRRRGSSSDDSA SEDETPSEGRARRGTISSRETELLEKQMLEMVARDMRQQQRLSYVPETEAEYNEVESL TSPGRNSQTKLSTGRELNAADHKDPESRPAQSRVSLDVPLLPKLGRHNGGGSQHGRNY KEGVDSPQPMSPELAPRVSLSAPPTGLNLSAPSSRSSSPSRNPFSKVKQIFKEKIRDE PEEQIVEVEEETRRPPSPEPLSLPPIEAKPLERHPSNSRPIFEGHKHQRSLSSAKPRG DEVGLRGMFKGPRIDTVIRGGVSKIGDILWKKDTPMEAPNDILSSDESDNDQPKGRSR ISLNLSRTNSKRNKPEPQHGAKHFLDTMPQFQPMADIRGQSTTDVKKTQSSMSRVNMT RPPPLDLSNTSSPAKTQETEKAFAAEPAMSEAESCQESAKDGTRSTEKDLDEVMPMVR FDEPDNFRARKWSIANQPMPQEGRLSKREIARLRTLILTSGVKAMEISRRSQELNNPF ANTGLQGLGNSLQSTVVGVPWPDIARLTPKKSPPSKDAIPCADHFRLASDTLGAAIQQ SMEQWQTSADKFTGQTRPRVEERIWCVRSRIADELSGMTREASDQADETGKDLALGQL LKVKHVTDLTDKMMRNRRRRFRWLRRGMWSFVEWMLVGFMWYVWFVVTIFRLFLGIGQ GVWRGFRWLLWL FPSE_00663 MLKSWKSTLRLPRSSFPARPNPKLAPQYLRQCTDDLYVWQGENR PEEKSFTLHDGPPYANGNLHVGHAMNKVLKDMTVRVKVQQGQKVTYRPGWDCHGLPIE MKALGSSATKGLTPVQIRDTARRLASKTVKDQMKGFQALAVMSDWEKRWTTMDREFEI RQLRVFQNLVRRGLIYRKHKPVYWSASSRTALAEAELEYRDDHVSKAAYIRFPIVSDL SSIPELANFDGKLYAAIWTTTPWTLPANRAIAIHNEIYYSVIQVGSDGYLVASDRVEA MREMLPDFQVVVDSIPGSSLKGLEYQNRLRGKSAPSQSIIEADFVTADSGTGLVHIAP GHGQDDYEACSKLGIEAFAPIDDGGHFTKEAYPDDPEKLTSAPSILDGGSQAVLDLVN DDVLGTHKLRHKYPYDWRTKRPVVTRATAQWFADVGSIKEDALAALKDVRFVPEGGRV RLESFIKGRSEWCISRQRSWGVPIPALYDESGNAVMTVKTIEHIISVMQERTSSAWFS DGPDDPAWIPSNLQGKYRRGTDTMDVWFDSGSSWTETESRADVYLEGSDQHRGWFQSS LLTYVATQKSRETTDKISAPFKTLVTHGFTLDGQGKKMSKSLGNMIVPSQVMDGSLLG PVKTKGQGGPQSNGLGADAVRLWAASADFTTDVLIGQTILQPVQTSLIKYRTIIKMLL GSLNQEARQSPLTKLDQIALVQLSDTMSQVMESYNDFEFYRAVSMINRWVAADLSAFY LEGLKDRLYCGDGGGVLEPIFIGFLRMLAPITPMLVEEAWSHRPTWMAEDSSLENPAR QLYNAPLIDPLRLTLPQDVVRKDQAIITEVHSAVKATLEEARTAKVLGSSLQSSVILE IEDGKAAAVLGRYVEELQDIFVVSSVQLNQPIPDQPEWAYQKEFEIQDAKVKVHVLPP KQEKCSRCWRYVAPAEDALCGRCEEVVGTLPASA FPSE_00664 MAPVRRYLRISKYSVLECRIYLDNPALAQSWLLNPRNPILQKVI ESIRPLVLPKLREERERIKKKSKKKTVKDVIVTDEFEVSIFLTETSTRHSLIYRTKHF RDKLPPKLESNSSKLIGETDSVPVDVDDEYHAPVLQEEDEEDVRLSDIPIAETTTRRS KRQRGMLDQGQDGSEVSEDDETTSAIEIDSDTEAPPHKRPRARENNGLDASDDKKKLA MDVSYEGFAIYGQVLCLVVKKRAGAATTSNSNSGDAKSRPEGQAMMENWISSTQVPVG EDMA FPSE_01410 MVQARFLGGWTVEGEPSQQSREEERGYGFPAADDTVEASKNGKQ SCQGYSKVRPPG FPSE_00302 MLELHVWGSAFGLPSIDPECLAVITYLHSSNPASAWRLIPSNDP SVSPSNTLPALHHEGVWISGFAPIVQYLTDKSLGDNLDAELTSLDHADRVAYAAFLSA HAAPLLDLSLYVSAANWSATTRPAFSTLLPFPLTWTVPPLLRAEAVKRVEHLGFADMD TDFDPNGGLHLSSGRDALPETFRRHIPARTKKTIHEEMTPEQAVLIRLYGITEDCLSV VDDFLKYGSEEEAYGFFEGTELSSLDCLAFGYLALMRDAPVPRSFLKDWLMKKTPRLY KFVDHIKIRHLQNSGSLPWVESSQNTVLRIGARTLHSVLHNVPGLGEEYATEVRKRAE GGITGIDSRSLMLALSLLATGAALGYSYHTYKAMQPFGSRIQVWQSFQNRSRSSEFGA LGSMLGSVLGGPSGAPQPSHLSSQARFIETDTEVD FPSE_00303 MTILGGFKAYKYTVQEGLFLYTNNVSPYEGGVFHQAPLLLPLFS LLPDVKSWPIFTHLLYIAVDLLSADALYKIADSGVASNPRLFKSPRRANKFGSAAVAA GFLFNPYTIATCIGRSTGVFTNCAILLAITKAIQGSPFNAMVALSFASYLSMYPILLL PPLVLLAYDCQVEKRRVASSSKFATTSVAVVAGCMVSLLGMSFLLANNSWEFLARTYG IQLTLSDLTPNVGLWWYFFIEMFDSFRAFFLGVFWLHLASYPAALSIRLRPQPLAVLT ILLGTFSIFKPYPSLADASLFLSVVPLFRHVFPLLRYAFVTTSTLLYATFLGPAFYHL WIYAGSGNANFFYAITLVWSLGQSLLVTDLTFAVLRDEWEIDRPDMAERKGNHEYGCE KHSSYIA FPSE_00304 MPRIKKKGQAGASKNYVTRNQAIRKLQISLPDFRKLCIWKGIYP REPRSRKKVSKSSTSSTTFYYTKDIQYLLHEPLLQKFRDQKVLEKKISRALGRGDVTD AARLEGNAARPEKTGKPRYTLDHVIRERYPTFIDAIRDLDDCLSMLFLFANLPSTSSV PAKMIARCERLCLEFQHYLIVSKSVTKSFLSIKGIYYQANIQGEEVLWLVPYKFNQRV VGDVDFRIMGTFIEFYMTLLGFVNFRLYTTLGLKYPPKFDQAKDEAGAELGAFTLEGK TLVGADEKEQKTLEASEHKPDPKVQAAVNKVIKKIKGNAGDESTDATEVEGEEQSGAI DKFEPAAPGGDILPQPSNTGSNPNSLFSNITVYLSRETPRQPLEFLLKSFGCRRVGWD AVLGDGAFTTNELDPNITHQVVDRPPIEATGDEEEANGDDNQTSQKLAANRRVPGRTY IQPQWVWDSVNDGELKEAHLYAPGAALPPHLSPFVRNVQGAYDPTVPLEEQEPEAEAI EAQSDGEDEVDDTAEGMDVADSDEGEGEDDEFGGFSADEQEDDEEEEEEDAEQRQDEL EAELTGGAVKSKNTNAKAKAKDEARKALSKKAKEEAEDLDRAKGMLSKKKRKLYEQMV YTNNKKSAEDQKLRAKRRRFEKEKNKGKAQA FPSE_00305 MEASATAWELDSDEIASIASEDLHAHRPNRWTGPKSTWRTLTEE DRLLWQSMKQIQDQNLAVHLYDTFALKRQGQNEATAQDLVVKTESGQDGVWAPPKSWT AWPLKHKHVHKQRLVDGQHDEDDRFTFRRQEEILPSTELEEEISATILRTAKRRFRKK KSKMAKPSIEDSQPHSIESGDSTPNVLSRESSAKPDTEEENGKMALDDDEVTPTRKRQ SPKTYEPVESTNDEASYALLRPSTRHILSKLDDTLTILQNSREAGMNYVSESSTEEES DNQSQSGKKKSRGRPRKITPEGASSASTSPARGGKTSRRGRPRKVHVPRDGETQEEMA ERIARETHRKMPITTEDRDAAFEEWIRKGDEVIERERSLSIKRARSQGTDTGDESASS TNVERKRVRLGLRDWSDVLGAAAIAGFSGDVIARTARRCADLFGEGMVVRTLNEVPAT KNKGTTSIEYRPEPIQLSYSDSDADDESDDGADLAQRRVASRQVSLAHSSRSPDSIHS GSRRSTRSPGPPSAAPTRSRSGSTGGLVFCPIPSCDRAANGFNRKYNLRRHMELVHQG QTEELDSDEEVVGGVHVDGFLKPIVPGRGWRGEDTMQRQRRKKEWGERSGTSREASYA EQDFSF FPSE_00306 MEVDSDNGPLSQFAAGAAVEKDVWSAVRSYMITRLDKIAHNDFP IPNLPPPPPAHHPVLESHKSPPPPSPPRAESSQETNNDASTTESLPATQPIEGALPKQ LADMLIHITKHLETFEANPPHTIQRLAELILRPKAHYRALAPYLHAVDRVVQVTSSTI TYPLPPPIPDMSSMHLNGEDPQDPAVSVAWSNPTTAALGTDEALGGALLTPIPWLTRR SPESSQDTPGAQIHSEGTETIEGPNGVGSIETVSVSVNGIHSTGHARGVTQGELLRQE QRAGVVPVSQLSRAQEAAHEGERMTMDEEEDEEPPEEEEEVPHARGPEEIGMSDTGPQ STTTSFMSEGGMGMQGIDVEAAVGRKHDDDEEAKDDVDADGEAAGESDAKSPGAESVG TKREAEQDLEADANKKLKEEDDQGGEKTNGDAADVTTGAKSDDNIEGTAKDTKAEDDP DKMDTEYRIGVATGTRAPNTRPGVRAGSRAPPTRYGSSAATRNGAASPTPSVASVATV NTIGTKRKERDFEAEASTEETNIQVVVRCRGRNEREVKENSNVVVTADSVRGKVVELS MGSNALSNRSYNFDRVFSPAADQYMVFDDTVKPILDEMLSGYNCTIFAYGQTGTGKTY TMSGDMTETMGMLSDDAGIIPRVLQTLFTKLELDNAESTIKCSFIELYNEELRDLLAS DEGTKLKIYDDTSRRGHASTIVQGMEEKHIKDAAEGVKVLQEGSLKRQVAATKCNDLS SRSHTVFTITTYVRKPNEHGVEALVSAGKLNLVDLAGSENIQRSGAENKRATEAGLIN KSLLTLGRVINALVDKGSHIPYRESKLTRLLQDSLGGRTKTCIIATISPAKINLEETI STLEYAFRAKNIKNKPQMNPMIEKKTLLKDFTMEIERLKSELIATRQRNGVYLSNESY EEMTAQSESRRIVNEEQSAKLDTLEKNLRNKVQELFSLQSTFLGLKKDHEGTRAQLDD TKEVLDQTEIVLSATRQSLSEETKIRKAHQKTEQKLTEVGGELIDKLHKTVSDVGGLH AKNRRKSDLQSINRNTWTTSQNQVADVTSMVERRIGEFQEEQQEHIASVGHRMGSFVD EELRKLSSTQAFLDEHLSTFADSKKELLESKQKSKDDMDGVLEEIKVVRDTVKERMGE SLQSISHSAERIAADMMNEMTAFHGQLHNSYSALGKDFKSVFEELVKHITAQRAECDN LKRQLQSATNTIVLQNATISSRIQDALAEERRLAVDDRQKLMAQISTLINTQAETQES RMQATASEIQKTITSTSTNLEQAVDTYGEGMSSWDLKEGEMLEEVKKSRDQLKTKLKD DWTAADGHSSSIQATAKSVHAETVRAVDEQIKDLDVQMEALDDFVTRARTENGHHHET HSQSVDALSNTVEESFGNISAHFKSTFDRVKNLGEEMEVDLGDLQDCLEPLKDQLCQP LANLREDVAGAALQEYQPTGETPAKVQYHYPTDLPRTEDHDLIISSIDEVITPTKHGE SADKDATIVFADLDSSPHKMMTSPVRPATRMSMVSASEHMGMPSSLREVNPNVPGSLT TGTANYNPRSSIISMPPERTMPLFKRPTRVTRSTKKVGSRDPIISEGGENVLPTALEE SLSRRKSPRIN FPSE_00307 MCFGSKKEENNDPAPRPAQRPASSQSQGDVKKSKYNPQNDPNQL AGESSYSAPPGPPPDRKQASDFAPPPGPPPGQSSTQQYSAPLGPPPSKAGAQYDAPPG PPPGHGSSSQQYDAPPGPPPAQCAAPEYAPPSGPPPKHDWEVAVPDTSLFPPPPAIFS GYERSPTSNATEDEANAGEDWCLSYPMTAPIHMDHTGKAALQAGNIRLMEPVGFNGQL TWLRPGHWQGWTKKNSPDRCVIGYPPLYSVTEHDPVRLGQPRTIYYEVKLARDSPEVF LALGFTALPYPSFRMPGWHRGSLAVHGDDGHKYVNDRWGGRDFTQPFQRGDTYGIGMT LRPIGGPKPQVDIFFTRNGTMTSGWALHEETDAEEDLPVTGLEGFHDLSCAIGTYDGV KFEAMFEPSKWMYNPYQV FPSE_00308 MKEASSEPPNIDGSRSGAQPTAPTAQGLSEQPRLQEFDEKKTRS DNQNGRKTKSLHKIPSAGDVSSPAAGSGSVLEGPSKAEEPAQGETSSTTSKNAGFFAE LKRSVRIVITYSWLNVFMVFVPVGIAVSQVHGVPAGLVFGMNAIAIIPLAGLLAFATE SVALKMGDALGALLNVTFGNAVELIIFILALVKNEIRVVQSALLGSILANLLLILGMS MLLGGLRFREQVYNSTVTQMSACLLSLSVISLVLPTAFHASFKDNDKADRESLKISRA TSVVLLIVYVIYLTFQLKSHAYMYESTPQHIIDAELAPGPAAGFLDSSSSDDSSSSSS DSSDSDSSHGTMRKRMKKVLRNRRHRRSSAASTDTADTKGTRSASFATNNTTTPQEEV SEAKASRQRVPRTASYEGSEHAVDDDDEEEAERSHRRRRYRRHRHRRSKKEARKAKKE AKNAQSQNNLPESIPDTKGEGEPRRVDFAVQDDLEAGPSTNGGKRPFPLRGISVKALA PVFTEKPTAASGPAPHVRYGIRRTNSLPDRLQLRAPGAMLPAQIPPKLNAPGVKSTDS DEEEEEGEHLSQLAAVILLLISTALVAVCAEFLVDSIQDVVKTSHINQVGIGLIILPI VGNAAEHVTAIKVAYKNNMDLAIGVAVGSSIQIALLLTPFMVILGWIMNKEMTLYFTL FETVCLFVSAFIVNFLVLDGRSNYLEGALLCAVYIIIAVVAFFYPDSEDASVWGGGT FPSE_00309 MSSAALQTAPHQHTALASSPLGTPSSRQYRPSQSSPNSQAYNAQ QTSTASSSSRRPPSRKTNDSAPSPSYHLTPGFGSPVTASAANHQEPVASDWQGNMPPV APPRSSSNHQSGSSRRSHYPNEKPPSSPRRNESTRTGSRGEQAAAENGYRSSKADAAA RASSRDGKTAPSQLPVRSAHSTPSKPVHDATDSLTKAIAAAQDTIDRDRNHASNQHNG DDSTAPPPAVATGEHGEERRQRSRHDHSRSNKGNTKFGDFILGNTIGEGEFGKVKLGW KQDSSVQVAIKLIKRDTVGSNPSRFAKIYREVAILRGVQHPNIVRLIDKVETDRHIGI ILEYASGGELFDYILNHRYLKDNAARRLFAQLVSGVGYLHKKGIVHRDLKLENLLLDR NRNIIITDFGFANTFDAHEELTKEEELNLTDKEFVKRMGLDRVKMNGSRKGDLMQTSC GSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDDPANPEGDNINLLYK YIVTTPLTFPEYVTPHARDLLRRILVPNPRKRADLFEVARHSWLSEYANLVEFITSST TLPSEVPDSGVSPDDYAEAPTVARSNSVREASKHKQSHPPVVGGLAKTHGSVDPDSEA THRGTPKDAKRRTVQVEYVAPTTKTQRGADAAPSKPSSHSLQQQQPADTPEPVTEKPL PREPPLTKDNPSRAQQSRRPQSSHKNAPPPHRPSRDTRATSDNAFMTGGTTTTRPRTQ GSMQSSTSMGLQSQTNYGQPAPPTIADTNAHGRIQQPQNPEEEGNIAKDVGSVPPKVM KMTTFQNETKAAGRGHKRSNTLGDIGSKIMGRSGSIFGGRSKKRPEQQQQQQQQQQQQ AEKSSRKYPPVSMSNTMLPGEDAGPRPSMDSKASRRSFSIGLGKKRSGSVQGSSDKQN RRFSLAKAMGLGRNEGSVTGSEADSQQDLPIQHPRTEQLRGYSAHDEPRYSEPYFDAP YEQYPQRDTAQSSPVYNTRYGSQQQDGRRPSAIPTYIQGGSHLNTGSDSSVDMRRPND PRSRPYQEDFSGSEGYDGRPVGSSREDRPGVLHKSHKKFADAYDGENYRGHEGSSGAA KRVMDFFRRRGKARGGEDR FPSE_00310 MPDTASYKQQKEDFVSNLSGGSVAEINYVTSVAAVAILLWSVLQ ARQSFFEPYTALAFAVDFLLNVGAILLSVTLYSNSPLLLNLLLIAPAILVFTLPPRSR SPKKKAKIPPNARSNESSAQLDILSTKPFLTNFRGCMLIVTCVAILAVDFRLFPRRFA KVETWGTSLMDLGVGSFVFSAGLVAARPVLREKATGRAGAVGNASSLSSRLIQSLRHS IPLLVLGFIRFLSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFVAIFQSVRKLIPSFAA LSLLVGVTYQVLLETTSLKAYVLTAPRTDLISMNREGIFSFIGYLAIFLAGQDTGMFV IPRNLVPKSTAGPGAQRNKLLKITAVWGGVWTGLYVLSTNYHYGFGLAVSRRMANLPY VLWVVAFNTVQLLGFAVIDTIFFPTFYNAQDAKTEKEAYTHATSRVMRAYNRNGLAVF LLANLLTGLVNMTVNTLDATPIATMGILVAYSATLTGVAVALDVYNISIKL FPSE_00311 MTTATAEARSRPAIEPIRTTGPDLNVRSLRSRIVQKSPSWTPSP LSPRSLPIPRVRLARDDDTAQDDGMNVDQVESPKLDPLKQDANLRNVSLRPIAELALP SPSTLPSRRSPLSAPLFAPHVFQRPTLAEETRSMLLHAPGSDSRRNSVFLDRERRQSA PGGPLHTRAIQIARQRDDLHRRRLAGSYRPREPEILVQPTELRRLSMLPSSDTGPPSP YPVDGRLTTRVVVHSPGKKSLVLTRTFDLDELRATLPPISPVEQTDRRASVACLQPPA NISRSSSPGYPRDRRSSYGAIPRASHSRSPPGERRVSRQSLPPVAIRLDYARRYLPVL AAVILSELVQPGDMVEVPLPHPRAWEETVTHVYTGRNPLTEPIRQNILYLGGSV FPSE_00312 MSESEHQNVGRSPLGFAGPLSLSMPSFDFWYSSTFWLYLFLGLW VHRYFRLLVHCVSHWTYKSKPIPSKPTFTSKDVTVVIPTIHNAFEELRPSLESILACE PAELILVTTHDKRKGLQKLADSLVFPKVRVLDTPIANKRLQVCEALPKVETPITIMAD DDVTWPSTLMPWILAPFEDPEIGGVGTCQRVRREHDGSWSTKAWNWLGAAYIERRNFE ISATHNIDGGTSCMSGRTGAYRSEILSSHDFLHGFKNEKWRKWILNADDDNFVTRWLV SHQWKTWIQYEKECEIETTLENSTKFLYQCSRWARSNWRSNWTSMVTERYIWKQQLWC TYALHFATFTSLAFLVDPLLLASCWWGTADWDMQNRRYAFWSQFVFMFAFTKVVKLMG LFIRNPSDVMFLPVSVVFGYFHGLVKLYALITLNMTSWGSRADGDANDEQRLAPAPQP SIVLKTPPGKGSLIRYNARQKGRQTQAQQVSWEKSDYASYDSSTSYVPIRVHTPMATT PIDAKLYTNESSNTCWAI FPSE_00313 MTHSSFDGQLNSYWSFAPVNCLFLRYHLHRQRIGTATVAESTLI KLASSRAFTKSDLGQQRLARALQYGGYPSHVCPVRILQSIPSYQPASVGPKADSSFNA AQRSSTFSATVIVLQTTAEHRPYCLPGWPE FPSE_00314 MYLLHFSSSILYEFRVESVTLENREPGLVIMFWPGNYKVQSVAV EVAVVSHVTQTMPDGRVATESPEACCGDDEDKCKHNEAKPMTDSPWVSCSYASYQ FPSE_00315 MAQTSSPIPIHGYGHGHGKITKSRSRPAVKPILKKLQSHHSHSE KNSLDLDRGWDEQGQFGYSSYNVDGDDSSYTAGASALPTPAGRVRDVSFSVSATELSS GGKRSTKYSHARSTSGTSHASHASVATSTSGGRNGSFVHPFQQTPRTSTPPLSYANSL ASLDNTSGPRDCSPTITENEDDVDFEPANLQSSARAYPLQHSAPHPRRPSLASQRTSS LSDVNPVRITAHGRTSSGISSRKPHSIVTRSRSDLHLNTGSTTAIDSASSASPPSGSW VSPQMIAASTSSNAMSPLRSSLDMSGFRIRSRSEVDTATRQENVREARRKFEEKEKAK EEKYAREQIRKQERAESREAHRFTKNGRKSSFATSRMSCDRMSTSIDIRPTISRKTTG NGNGTVGLGLTTENEKVEFAASSYDTAHRGTTPGSRADDVHFQSTHRTNTAKRKTNSA WTAFILWLRTRLLKLGRR FPSE_00316 MASHSLPESYASLDLPTLQLFHHPPSSREVTPVIVIKLHRPEAR NAFTDTMAASLSHALNTLSGDPRVRAIVLTSSDPKNRMYCAGMDFNEEHALGKDAADH RDSGGIVTLPMYRCNKPVIVAINGSAVGVGITMTLGANIRVVSRDAQIGFVFGRRGFS MEACSSFFLPRLIGTSRALHLTTTGGVYPATHRLFDGLFSEIVAPDEVLPTALKIADE IATNVSGVSARVMKDMIYRGASSPEEAHLLESKIFWDLFTGKDAKEGMKSFLEKRKPD FTGTMDKNAPSIYPWWTPVDIARPKL FPSE_00317 MVYQWEPHKNVCYQLYINERRSLEDIMVHMKNVYQFTPSKRAFQ VQFSRWKFPTKQRLKHKDDRLVKRIHELWQKNIPQGEMLRILNEDDGFDINSRELIRV RARNRWLLRVQHGDRAKSCDTDNDDALENDTSLDEEEEGDVTNPLPGQEATSGQSVNS FQDGAASATPVPKPRKISKRQSRRNRQHLGEEKGLVRFPSEMTLNDSKEVLSLDATTY AQTRECFAGICQEESIVKKTLAGPGRWDYVKNRLIHERPNLQQVLWISKENLERKQLA LDIICTDVTKRLRNQETKMTLLDAKNELGLNPEESHDIRAALHIVLSDAKFTCKSDGT PEQWEELKKQWLEKVALLKDISLDGDDEQSRRKARAIEIVARDVIKRKRDEKRAKAAK ANETTKPKETAQRNVQNSGLEHDFQGSQVTPERHQSTHRHQVQQERDPSPAFSSIQQT GASRSSPSTSPAVIVDGIMESNMDTSGYGSINARTTSRMEYTTTRQPVPPNRPVQMQP QTPSMPTPQAALPQPHRMLGSSATAGVPMNNQYASSMYLGNQPQPNYMEQQYVQHQFA SPAPSTMFQPVPAVASSFAVFLRMHPSSTYVTNTSLWISTMASQSIQELRQTAVAKFP GALCVRIEGIIKDPKGNELPLQIQGDDELGAYFAHMQGGSPTFSVQLV FPSE_00318 MASLQLRAQRAALQNLRTPTTASCRASRLPETLIRAYATEIEPG KQNKPAARQSNETKVGRSFQGQVMGSIGARLRREKEQRAQYEEWRNLTDPTRNWMMTF VFLSGVGISYWLGTYWPREPEPSSTLPLGKTTAPKHNTKLENMQAAWADFVKIVGQDN VSTAENDIEHHATSSWSSHQAGADERSFCVVYPSTTEEVSEIMKVCHRRRIPVTGYSG GTSLEGHFTPTRKGVSIDFGRMNKIINLHKEDLDVVVQPAMGWEALNDHLGQQGLFFP PDPGPGAMIGGMIGTGCSGTNAYRYGTMREWVLSLTVVLADGTIIKTRQRPRKSSAGY DLTKLFIGSEGTLGLVTEATLKVTVKPESTSVAVCSFPSIRHAADCVSKVVGQGVPVA AVEILDDNQMKCINDAGMTSKAWAEAATLFFKFAGTPAGVKEQIAQVQGLAKKSGSKT FEFAKNQEEQDELWSARKEALWSTMAVKKPDDHVWTGDVAVPMSKLPDLIEETKEDMA KSGLFASIVGHVGDGNFHTILLYNDSERPKAEAVVHRMVKKAVEMEGTVTGEHGVGLV KRDYLPHELGETTVDAMRQIKKAYDPLCLLNCDKVVRVERPKKGEVSEW FPSE_00319 MSLFTAQLHPGRALGFLVLGATLHDVLTRLKAEPQRFPKIELLY SPDRPVTEPVGVSLPANGVRLRFDGPEQRLRLIEVTDFTKNHITFKERDLVKPAATGS PGPGDSTSGPTFRHIYHKLLGPTYAGEFIPPSNTHDNGIYVLSYPGVAFNFSLNPSEY SPEKDVVSTFASASSQVATSMAVFSGDSWADARPNLWTEKLPSIKSTTVIPRGKDVYP DEISLVRLYGAGKIQMLRKWTNNSFMITLGETTPQDLLMELGPPNAIYRKNDQKMVIH KMRTASNTKARPEAVDIGRPEDLTDTDQSSLHDGSDASDNEEAVDDHIGLNVNGECFY NYFYLGFDILVSTRVPPSQAPPGSNPNEAEGQNGIISHSPDRLVATKLVLHGNIPGSY EFNRHRRCRWEIAYLDSFIDDVSGPTDSETPFPRIEDRMNATWAPAFPRNDSQQRQRG MVLNRGWGDSPGSSCEFLGGWEESGAKRAEANGDSTTTLYGFPGMVFEVLKNGHVNTV TVF FPSE_00320 MAPSDFADYPKPVEAPAATYNPHIENNPVFRGIPLAIGASIVSR VGFLQQYFWNNAHFGIIKNIPALDDIPYRFHPTVTPLGPTGPMLEFGPELVKSQYAES KARYYSASDYHEMYKSGKVTPLQVAEALLPHISRPDGKYADGWAENHGKDQLVLEAAK ASTERYAAGRPLGVLDGVPIGVKDDTDVKGFHNHIGMKYNPEIDTFKEQKESSWPVKK LQEAGAVVIGKNAMHELGSDTSGCNAAQGTPTNWHNKSYYPGGSSSGGSSAVSVGIVP ISVGTDAGGSIRIPPTFNGVYGLKTTHNRSLYMNNTMCITGPLAANVADLTIAYRVMS QPNPDCHVQGRFALSVPPPKDQKKVIGIYRDWWNKADPRVQEVCERAVDYLTNKCGYE LVDITIPYIPEAQLAHSVICITEMAESARRRTPNPADWLSLVGPANKVLMTVGTRTPA ADLLKYSALRELIMQHLAFLFQKHPGLLILTPTSPMIGWPIVPGDEAYGMSDTNTTIR NMLYVFLANLTGTPSLSAPVGYVDPDQGEGKLPIGLMATGEWGSEEQLLAWAGEAEEY LHNATESGRRRPSEWLDVVDLVKGT FPSE_00321 MDKLINAAKEYLDDDKDKRQDQSQQSHGQQQGYGGGQQQGYGQE GGYGQQQGGQYGQQQQQQYPPAGGIRQSPNAPHTNDSNKDTEAQGAGAFGGFHGGDVD FDNAKEEAHQKAGSSGDKDLFGSILGALGQKKNKLADEDLDEEDAIKQHKNTYDNDEP QQDSKSLGTAAAMQALKMFTQGQSQSSAGGNSQTAFLGLALAEASKLFDNKAANGKVA DGTSKEGVIQQAGEVALKMYFKQQAGAGGQGGGAGGIMGLASKFLSK FPSE_00322 MSHGPPPPPPPHGAPHQNNFGNFYGFNLDIGSLPDMTGGQMGAG GQDNDLMSMLDNNMLGGIDDVPMGLESADDSNMNGSFGNGQTSTEESVARAENQFNTA ANNVPGAGPLPAGAFAQMNLAGASTLTEFTKRRNWPAKVVEELRDFLQILDANGRIKY ASPSILQVTGYSVDEIQDVFLKDLIHPDDQGVFVAELNESIASGNPLRLFYRFKKKDA EYAIFETVGHAHIAGAKFAPNPNNQSPFCQAVFMMARPYPTKNAGLLDSFLEHKIENE RLKRRIAELRREEEAENDEAQKQWMQSQEGRSDMTPSEGTGVSSSTFYRPSSERGMSE ADRMALNKALTRENLEGSGGNRQDSLKDKMARYEGASHTDTIEMLTGLRYIEGERSRG ITTGNASPTLIKGDAGIAIPMERDPRTGDKKKKLKTSEEYVCTDCGTLDSPEWRKGPQ GPKTLCNACGLRWAKREKKRTTSHPPTVDQSVA FPSE_00323 MSRIDKLSISGVRSFSPSVREAIQFNTPLTLIVGYNGSGKTTII ECLKYATTGELPPNSKGGAFIHDPKLCGEKEVLAQVKLQFRSINDRQHVATRSVQLTV KKTTRSQKTLDSSLVVVNNGERTTTSTRQAQMDEMIPERLGVSPAILDAVIFCHQDES LWPLSEPAALKKRFDEIFEAMKYTKAIDNLKVLRKKQVEQLGKLQNDEAHNKVNKDRG DRAEKRMKALQAEIEGSRETCESISAEMEETQEKIRTTRETANSHLAIVQNLDTKREQ LEYREEAVKELKSTIEELHDDDGKLESDLAHYEDRMQHLQDDADQNKAQYNELQKELG QSRKELSAKLSEQGKHQSDKDKYERQLKIQKEMIQEAAQSYGFSGFDGDLPDSQVKSF NDRLQKLLAEKKRELERLQKENSSELDQATGVITELEGRKAARTQDRVSAKQRMSAIE KRTAVLQNESSQIDVDEGAKAVLDGQMQDLDSRFKTAQKTFENADWDRQLSDENDKLH SLESDNDRLGRELVECTRLASERAQLDYRRKELNDRKRKLDTLTSTWKPKLDKVLGSD WEPQTLESKFQALVKNQNKVVSEAQKRRDQTRQKQQKVDFRLRTTKESRDKKAKEAAT CQQQVVNALLTVRDNAIVDDYKEEVEAAESQVEELRNDLSLFDALVDYYTKCKRLLDT KRKCLLCDRHFDESQAAGMERLSKRIDKHLDPKGKVDTEKDLKEMVSSLEKLRSARGS YDTYERLTAELPSLREECKTTEAEFDALERQVEEQASIVSTEEEKQKDLEDMSKTVAN ITQTIRDIAESETQVDRIMSQQMSGGATRSPDEIHELQASLSDQMRTLKNRISKLTTD RQRTKDQLNSLELEKSELRNKISRAAGQLDKKQDLQNQIQALKEEVSHQRDVIQRADD ELETIEPSITEARSARDEVLRHGRAKEQVIVDARDNVANSVNEMKMMDADIQGYIDRG GPSNLASNQRAIATLEKTIASTEKEVTDLTVRTNKLKQDIDNGDRKKKNIKDNLNYRK NLRTIDVIRQEIAELEERNADEDYERLQAEARMQENHYNRLLAERGSVMGTMKTKDEE LGRLLQEWEMDYKDAKKKYRESHIRVETTKAAIEDLAQCSSAVDKAVMQFHSMKMAEI NRIAGELWQSTYQGTDIDTILIRSDNESNTGKRNYNYRLCMVKQDTEMDMRGRCSAGQ KVLASIIIRLALAESFGVNCGLIALDEPTTNLDRDNIKSLAESLHMIIKARQAQSNFQ LIVITHDEDFLRHMRCSDFCDSFFRVRRDERQNSVISRESITKIF FPSE_00324 MAVSAPTPEHRTSSDSTLDVERDGINAGSNNPTAATEATPDEAA TAPAGSSPLPGAPGAGDPEAGRTRSENILIISALCLALFLAALDTTIITTAVPTIANE FNSSQGYVWIGSAYLLGNASFVPTWGKISDIFGRKPVLLAAAVIFWIGSLLCAVSNSM GMLIAARAIQGIGGGGLIVLPNIAISDLFSIRNRGMYFGILGMVWALASAVGPILGGV FTSQVTWRWCFYINLPISAVAFVILVFFLKLHNPRTPMKEGLMALDWPGSILIIGGTV MWLLGLEFGGVSFPWNSATTICLLVFGILAIGLFLLYEWKVAKFPVLPLRLFSTRNSI ASYGVGFTHAFVFMSGSYWLPLYYQGVLGASSLLSGVYLLPYVLSLSFISAGVGIYIK KTGQYKLAIVMGFFVTVIGFGLFIDLEPRANWVKIIIFQIVAGIGIGPNFQAPLIAIQ TNVEPRDIGSATSCFSFIRQLGTSISVVVGGVIFNNEMEKQYPKLEEELGPSLASRLS GANAAGSVELVGSLTGEDGRVAKTAFWHSLRTMYIVYTCFAGLSLVISLMIKQVNLSK EHKEHKTGLKSLKRRGDENNEANEGEGVIPGNEKTTDN FPSE_00325 MALADKLDVRRIAIIGAGPSGLAAAKYLLAEKKFSKVRIFEQRA TAGGVWNYTPLAREQGFSVPRTQPSYAADQALWPNDHGDVEFMSPIYDLLETNIPHSL MRYSDKEFPEGSSLFPRHSVVLQYLKDYAKEITPHISFQTQVLNIEKSRSDRSQPWSV EVLDLKANKVIKEEYDAVVVASGHYNDPFIPDIAGITEFDKKYSGVISHSKFYRRPHD FKDKKVIVVGNSASGVDVSAQLSNVAKHPIFISEKEKSTVVAPTKEPWAAGVPEIVEF LPEQRGVRFANGQVEVDIDAVIFCTGFHYSYPFLKSLDPPVVVPSGGHAAHLWEHILY TADSTLSFLSVPQRIVPFPVAEAQSAVIARIWSGRLSPPSEAAMEAWVEEQHEKKGEG KAIHVMAFPEDVDYVNRLHELSKAAPRAPELGLENEGQGKLPPYWGLDKRWVRERVPK IKLASRAAGEKRHELRGLEDLGFDYEEWKRTVEEGEKLF FPSE_00326 MAAEMKASIHRFRLRRLSPSPGAVGRARSASPPVSADQREAQAR GQYYTSTTQQASGTRTSGAKTTPPACDRCRSFKKKCSRTFPVCSLCATAGQRCSYTSP TANAEAQIHQLRSRVEWLSQYIDQNLLSPGPQGRLDGIETGADLVSILGRGSQNGIGA ITNPESTSPYISRVLGPNTPPLDATAALPLLRRHDEALAGQQNTEIRVEERDPGSVRT ASSHFSPYQGSTEGSTTRRRLIARQALPPDIAPCRFVDAFFRHVNRAYPFVDQARIRR DLETLGDASPAYLDPPMTLLYLVMAIGCTSLVRAGQIPSDTARQFDVVYPDVIQECLC SESIESVQILVLLGLYSLFDPAAISAYFIVGIAARQAMVIGLTRPDEMPRTAVETELR HRLYWSIFVLDRMMSASQGLPAALTDEHADVPLPGLTVEEFAGPDRATYARNLQTSRH IIQLRQLEYRILNTVHFQRNAETSRLGPADRRAILNSLRSEIEDWYSNGCLMSPMEAD NLPIHSSVTWMSAQYYHLHVFLYFPNHFNSSVSPPAVTRKEQLEFASKQLQANSALLQ QRQLPLNRVTLSRLLPVGLILMSGFTAGQGRTPFVAQNDLIILVTLLEAFPQGWTTAR EAAQILQQFVDIVTGPGGMVTTFFGTATGETMEELVRPCIVSFTKLLHQFLGKATCFQ SIEYSQEIQDVDRMSQVGVVAQQQSSANALWLDGANPDDAGVNEESVLGYGWGSWDLD FL FPSE_00327 MTTRLDSRKSLLGADNGYGYGHSYNNSYDNSYAPSPVPSPQPIP QPPAFQAAIEPTYNQGFAYREGLTPSVPPQSMKNGKIDFMKSRWPACFMAVSLLQAIL CICFEAYIFGKFQVNLGAHNPNPQVQSQYKTIPTFLTLFIFGFLYTLVVVWDALRQKN TIQIIGVCFSNLALMVYTTIQVDQIKEAFDILGEKNALRPEMPATELWHDVKPYLVAI PAIIALATLVMGFLSWKIYQEYAWDILKNIGADYRMKKRFLHYQIYIALLKFDFFFFL GFIVQFVVVVADKDDPEFALTIVTIPITILILLAAAFFTRRENKAGMIAIIVLYLGGL SYFIFKLVRIYQPGHKQNYEAVRRSLTAFAVITILLIILTIINAIVCMLNFGKGLKPH LQALSRKRKVEEKPDVNSINMQDVKPQIPSRMTID FPSE_00328 MATDNDRGSPFAPLMAELARMRNKTLKTAICDVDNVIDLLTKAR DQIAQDPDRATMVMTTLQNPVKASFERITTDLKDVTKAQKGFGKALDKALPHRELPME TDAMADHPGLINRAIAMHLLREGQFSVASTFLKEATDHPPHREIHSVPRTDEDGDDDM DDGDDEEDTDDELEGLHSEDLQRKFSEMYYILSAVKTHDLIPAINWANMNSTQLEAKG STLEFELIKLQYVWLFKGPSVNGLPDDPARNGLGGALNYARQHFTRFQGRHLPEIQQL CCAMAYASNLAGSPYKHIFETDSAFEDVAMSFTREFCSLLGLSAESPLYVAVTAGSIA LPRLIKYTTYMKEKKTEWTTENELAFETPLPESMIYHPIFVCPVSKEQTTQDNPPMML GCGHVICRESLQNIIKAARYKCPYCPTEGHPKDATKIRL FPSE_00329 MAFRFPDPRLRVDDEFLTGITVKGPVARTTTTSNSSTTRESPPN GILPIEVSAYNEATDAQPVPSPKRKNIVFADPFAFRYLEEDSNVVVVERRGVLRGYES YLVEQWACSRKPPTLLIVTYTGDEKHSVVVSVLSVPVDENLWPPRLKFYFDQLQDSHA RPKDTELGELMVTNLSNFPSALTVIMVPEGDIRKYRSSFIVNEDLKRLGCSGRSGMTL SDPTEATQLKFLQLYKTSDRVPVAQAVVELIKLCQVTLYMFDKLGHQYIDGLLCDKTE MAINNWWIEVGAEHYNFEPTDGILGPSTVAALLGMFMGVRNRLHWYGEPVSKDVFDLE STKRGISHFQKAQKLEKTRRLDRQTLLKLYAVSAKAAAGESWGVQRAVKSTLTEVGGK RGELVMGMVSGKDKGGLADIETLDIGTFANLAYGERAKWLWHGKPRRSPAEIPTHSPE LNKTVPWKEDESNPNPKRVYSAPTETELDPKRLEDTTDVYVAHPSGSAVSIPDGSGDK EASKKNVFKSVAGKMSDARSGFGRIKDVVGGGLRGHANRPSVSTKDDFAGSDPRTSSS GLTTGLSQPQAPTQGVGRAFTWNNKPQEYLAAMKRADAEGVSTFPQLSHFASTSTVDI KAASAPVDPQKREMEGDLFDLGIKVRKGILSKAPSAVGSLVDESDLQGPFLEAELKDD ISKKRLSRRHSIQLSHCPTKRVLNENRWPRRMSFSDAEEAVLEWEQLIDVTDATDDIS TLEAFNYLAHHFHQCMEEMRNGMGPWVCEKIKAIELLDDRFAKDKEELHALYYQLNEA CQRMRISSHELLAEERSHLTEGLKEVEVLIARLDYEINALLQKVVDVEDGIVTFERQV EEMEKRAKDLKIHLETEGWFHWLFRTITGIGTGPDITRSS FPSE_00330 MSFLGMGRPQPTSEQKIAAVESEMRMMADTYNRLQQSCQKKCVP NDYREGELNKGESVCLDRCTAKFLDTSMKVSEIMQQQGQALGGQQGGGGMF FPSE_00331 MSAKLHTVPFLINGSDHVSETTADVVSPVNGEVTHRYSSADVKD ANSAVDAAAEAFKSWRKTRPSERRDLLLKVAEIMEKRQDELRGYAMTECGSDAAWASF DVNTGISHIKEIAGRVGTIEGSIPTVADPNTTALVLREPYGVVVAIAPWNAPYILGTR SVLFPIAAGNTVVFKASETCPRTFWAIGDIFREAGFPDGVLNVIYHERANAASVTTAL IEHPQVKKINFTGSTPVGRLIGKIAGENLKPVILELGGKAPAIVWEDADLDLAALQCT LGAFINSGQVCMSTERILVHKNIKEEFEKKLSATIDQVFSSGADAPILVASAPVEKNK ALIKDAIAKGASLAHGDIDAKEASNTRMRPIVVRDVTTEMDIYKTESFGPTVSLIVIE TEEEAIKIANDTEYGLSSAVFTSDLQRGLRIAREIESGAVHINNMSVHDESGLPHGGA KSSGYGRFGTSVGLEEWTRTKNITFKN FPSE_00332 MAASGAASMSPTSPVSSRHGHAHGNSNGIKRKRSSVAGIDSSPG SGLDDHDAEQEKKRQPGVKRACNECRQQKLRCDVVQDPFQSCSRCNRLKLECKIESNF KRVGKRSKHAEMEKEIDRLRRNLARAKSQGFTPEGADDDMDSPAAASNPTYTHTHTRN PSLMGSDEAVSSLLHLKRGGNYNMPRYSHELENVQLTEDDVNNLFSQFFQFYHPMLPF LNPRQAPDLFYQQHPLLFWSIVSVAARRFSPPNVPALLSNLSGPLTRFLWSTIGEVPS NYYIVKAMCLLCTWPLPTSTTSSDPTHILCGVMMKTATGIGLHRPNNIQDFSRVSVEL NKEQLSDRVTTWAVCNIVAQSVGTGYGQPASTLYDWTLASKLGEESAFQLSRELEARL QIERFCDKVSKEMYSNASDPRGVAGDEHRAMLMRVYRRDYAELHASVLSRELGPFVNL HLRAAALHMRLAGFFDSSKTPGYMDDLMGLWRATTNFLDEVLQADKDTTPGDGGGNLL LHCSNYIGQMMVAAGFALLKLMRSFFSKTIDFQRGRSLFHQTIRAIRTTSVVHNDLQW RLAELMVQMWNGARLDNNQHVFNSNDDLPIQMDDSLQLKVRCRHSMSLVFDSVWRWRE DFQAIGRGTIDAAKQPTNPDSANESSASSTHMDNTLVSSHTLPPSSLLNTNTNNNNIP TSNGALTPGATGIPAVSAAHNMMAGVSYGDTTNFDFFDPQHWMLDGLLDFNYSFVPAL EGA FPSE_00333 MGCYHVRRKSLLIPKKDGKSMLVAGVLKAEVWSPCDRLGSRRRG CPMPHEIGSGKAKGSLNDDRPIDDEINRQETQK FPSE_00334 MVEQQYIAPGLPQQAGFSGAPTLLNSIPTTTASAYHELAAYSSI SSSYNGIQCDPNLLTPVSVIDSPPLHGLTKIQYPPPPSTPNQQPSPPGSSEMYHQQWA GHFDVNGQSPSASSPMTSQAPGGGEFLHASYVHDGRRTPGPPEPYMGAFGVSNGPEPP SMDHPYYVDMGPPVDHQVQMGIHHREMPAAPLLSDSQPIQYRRHHSPEDSAPQNRSAG VPRSLTASPRRKPAFQASGRVKKRHSKRPGASRTAAQEDPVSEHKNCFGEEAPPKIKS TCPDEERCIFESRWRHRHQKGQDMWDSIQNDYYNRFGKRPGKEMLQMKFKRGRAKYLE WIPKDEDILYEAWLLNEKNRHQNTLDLFYEMGGSRNMRLNASDIEIKVVNDLKLEEGI YMESYGSANIRRRRKPDSVRKRAGHGVGNDMSVNDEMMSIGSHTTHEDEVINQVHGLP NMKMEEQGPEDHPNMMETQMWDQQMKMEPGTMPQGNDHMQHSMRPSPISQPMYGARRE A FPSE_00335 MFYHGFDNYMKHAFPEDELRPLTCQPLTRDRENPAHIGLNDALG NYSLTLIDSLSTLAILASGPEDDSGTGSKALGDFQDGVADFVQYYGDGRPGVSGQGIR AIGFDLDSKVQVFETVIRGLGGLLSAHLFAIGELPITGYIVEPPWTTQTDDPLELTPI EWPNGFNYDGQLLRLALDLGQRLLPAFYTDTGIPYPRVNLRHGIPFYTNSPLFRRMGE DKPEASSVEITETCSAGAGSLTLEFTVLSRLSGDDRFEQAAKRAFWAVWGRRSEIGLV GNGLDAEGGQWIGPHAGIGAGMDSFFEYALKSHILLSGHDMPNKTSSNRQGNTGWLDP NAMHPPLPAELQSSEAFLDAWHQAHASVKRYLYTDRNHYPYYSNIHRTTGQPYTMWID SLGAFYPGLLAMAGEVDEAIEANLVYTALWTRYSALPERWSVRENNVDQGLGWWPGRP EFIESTYYIYRATQDPWYLHVGEMVLRDIERRCRTPCGWAGIQDVRTGELSDRMESFF LGETTKYMYLLFDRNHPLNKVDVAYVFSTEGHPLIIPKRNRPKPALRRSLTKRQASRN STDDESFTHSCPVPTMAGLAGSATAARPDLFSVALFVDLHNTPNVHGPLEAVEVMDKK RGLVTKYKATTNYTMFPWTLPPTMLPQDGICTAPAERVVAWIEFPPGDTASSLVSRFG TSLVWYSYNGPTVRNLDGMRLQLERQQGSGSAGRLWRITHAAGRELGKHENVFFHAEH VRNYKDEAFTCIRRKDLVEIDLLLDAPEKLNASAPAPAQGVNASGEIIASHAALPSES LFKSLLRAVSSVFEPAYTDLPESEGGGPGPTTLRWSAYTATGPGAFPMPPIVDTPMAG SPSYNSRKPAANFPWRTVFLGGQACDTPLPESSSRQHQIIVLRRGGCSFSEKLERIPN FLLSPHSLQLVIVVDEEDDSEEGVEDIVRPLLTVAQLTPRGMERLNGVPLVLMRGAKG DYARFGEAKGVGMRRKYLAESQGMLIENAIVL FPSE_00336 MSAQDPLSSTNPSSVTNNTQDASGRQPSSAATGSSELSGAKISL RKSLRSFPDFPIPGINFVDIMPLFADPTAHATLVEALELQIAEAFPTKPDVIVGLDAR GFLFGPGLALRLGVPFAAVRKQGKLPGPCVTAEYIKEYGKDLFQMQEDAVREGQKVLI VDDIIATGGSAKAAAELVQQLKGEVMGYLFILEIPGLNGRDKLGAPPTKILLEDA FPSE_00337 MPSFFQFTQGTESHVRPNDSAPLLGRFRAVPPRPGAVARRRSQL GLLSTASDNRGSVHILGYGAFDAHADGDTDDSEYDFEDDRTIWQRAWQRWVLDIWVHP RQSAVKRVVDKWWTRYAFLVLMPALLAVAWCAIPFPQYKIPDEDFIDGDEDSLPNGRK VPGHGAARVQVNFWFFLFVYYSFYNMTALIWITKVFNLYSLNWWPQSLGFPVTVSLIA ILSIALPIPIYYNEDLRDILLHNTAWISWTFIIMALPVTIAFIILTTNERHIGLRHSL SETQRIFTTSWWTGEPDTFNGGRDRRRRNVTADLFETDVIQVEPELRPQDIRMRRRWL PASFVRFLWFCVALFIGLMAYVIGEAYAEIYLRTLPHNNLETVVYVYGWVATVHLLDA LSGWVLGIREGERVGSYPLSWIFKLYFMLTYQMYVRALYARLRSPKQFITLQIMSSTS LVVITPIMMTSFMHKTLTLLGLNAQSFGSYQKLQTRNIFIRFLAENVSMVAFLGSILV LHFGANKDVYPYFAFDTEGDEEYNFGLTFYASSVTWACELVASVAVRVLIRICFQIDV GLEGKLDLAVWPELMPTCVAVMLHVLQNMLFSIIRLQFH FPSE_12448 MTDTTFAQAQRRVAARRQTREAEAVARITAQRQASRPRANLERL PYPLNRIGSAWDAVSTREGTRPAFRVGQVDAELLDVELLDILKDQVSEGLKYFGGGHL HDDWSAEIMLALRAVLFKLTVWDHDATYGAALQNLKYTDARNDGLILKAPSKVQKSLY GLVTVFGNYAWTRWEDWLLEHDDGYDEPSPRLKRLSKFTSAISTVHSAAACVSFLVFL LHGRYRTLLDRILRMRLAPPTSQVSREVSFEYLNRQLVWHAFTEFLLFVLPLIGIQRW RRWLTRTWRKTKDIIRTGPQEEGKANGEYAFLPERTCAICYQDQNNATSENEVMAAAA SSGVVGSAQTDITNPYETIPCGCVYCFVCLATRLEREEGEGWTCLRCGEHVKECRPWS GDLIEPSAKHASAKTVAFSDDITGGVLVDEHDEGSLVESLSTTSEQG FPSE_12447 MSVCQRMADSSQDDISGRAELDSGQKRNRPERSNAKVRASIACV PCRSKHTKCDGFIPSCTRCKEEGKRCHYVSSRRGIRNPKKRNMIRDEVSMTDQDDTTE STPSPNLSIFHHPLRNPLDLYYANFHLAHSWAPPKRILERLLEENPNDMRFLEATIAY IASRYSLVDTGSLWERVYKMSHEQLPPTLWNVQALLSLSIASFGERDNFYPSLFNRAR GLALVLDLQPKVYADQKDGPYLAESCRRTYWGLYVHEMLLSMRDDPMHSSLCPPKSTE SIEAPCEEWEYESGKFPAPVPLTEYGHLGASCGQSSWACFVNLIRIYDRSVAQFLHTI PWDTSFGALEDASQLIDRWRNTIEGSKMEWVDEDGTVDMILYSALIVSYDLQIRMQRH FYDVRKFANGKHPSPYIPRLHEGVPVEFDPSLSSILQAAPLRLVSLFNHALPQKISPS CIPCLDRAARLLNEQSYYRHKVDFFSSILRKAGEHWSRLKGTSEYLEQTPMGAENGTS HATQLPTLTANASAVAYAVPQADNPNWNPATEYWSGLTPQSVRDMSHFMDWTEQNFSG SSVPYVFPTTTAESFQSLDVNAGSSGEQSPHVKTERHWT FPSE_12446 MVSSRQRELRPEDSWRFVEGENDSFDTSILPSLGESSPPNSSGN FPSQPFSQGSISFASQDSIRDFGADADDEQVILREPFRPSLSRASVSANGERVFRTPD PEFHMPRLDASLEGSARSERTIRGLGIGYGGDEGVRRRGNPSASASAQQQRMVRARHD AEDEYYRRQRRNEAPPAVGRQFAQNIPTAIYNTLGWFLNVIGLAFRYAQKPFAILLSI YLCFGGLIIAQNMATRSIAASLSPVCRIPGASILNLPFCPSPDAIVPPGGNVEFDDLM SVQSKFEQVLEKSSDGVSLPFEMKRSETAIRDLRSLVRHSELQARDELLFEFDGYVDT ARQTAADLQKFNTHVGSAVDAVISINRWTSRYLDTLAPEADDAHSLSLPSPIFGWASW LFHPFQPTTEHIFNERVLLDKYIEHTALVSDRISTLILEAQAVLRLLTKAEDHLMLIY DISSRSSADTASRRENVLWNIWTLVGANSNQLNSLSRQLALLRQVDAQRSSAVAQVNA LILELESIQAGLGDLRDRVAEPEVLRNGIGGGPPIPLSVHIETIDRGVERLEDARSRI RAAENDRVRDALARGGVRNEPLLEGQGK FPSE_12445 MEWRKYGSVYNHPKPYGSLGAFTFLSGTLRLQLRRAYKERISTN VTTLTTTNPDASNGVINGLLYVPDLDNNRECDQLQYQFIPRNVTRRSNLPPQNYNLIA LAPWFSIDCTLSYLASARLDPIRAFIFYKPNNSSNQPQDADSPVWNLEDGGAWMTANK YPIYAISGQEGQNMMKQLSYYSGSVDDIPYGENISTIYGPNPRDYVRIWTELSVEDES DIPPLWAFFLIVIGALLAIFAFVSITMHLVQRRRRISLRKRVESGEVDLEAMGIKRLT IPTTHINSFPLFTYNADPESTAPPPTPSSTRRHRSHRGFDQQSVRSGVSVRSKRSGIG ATGDNAATNFQPSCHICLTNFEHRVTIIRELSCGHIFHPECIDEFLSKNSSLCPMCKH SMLPRGYSPRITNGMVRRERALSKLRQRVDLEDLSEEGDNTKVKDWGKRLFRTSSSPS RPPSAPLISLKLPTLIRRPAAEPAATETQEGPERQSPPIGPAAQPEQESNIRPPAPTT TPRTRRPKPKRLNMLPTQPENSEMSTEPTPRRGSPSSFARQRMREIAAKNAPFDDPDS QRAIWLRALSKVFPGYF FPSE_01402 MSLGLADWLKAEAHPSPVAWPSPGMTGKASVVSHWFKACWLILD TQSKLVTYRRSCLGKPAKIMFMSGKATQIDKA FPSE_01401 MFTFRSRGLLVGLVILVALASPAVAFGAGNIASISKVEGQNWRH GDITDALLILSQAQALNGKKFNKINVSRVYFGNWLRDYSQAIDVGTVKSVSAEAIRLL LCVLGFMTFGYGSGEFEVTAERLGCYRPEDHIDNPKDYAENVDARQYDERLRGPIDEE RELSIDPETGMKNYIANERARIMTSAKHIKKLFSGTIELARRYKDSRRKADKYEALRL MGTGLHCLEDFFAHSNYCELALIELGERDVFPHVGRDTQIEIEGARGPVYPIVTGTFG GVDFLHSVTGEVSDKLTQNEIEELEGTLQQGANSDTSMLRDLLDKIPDGIFGDKHQSD RVDELQNSAAAAQMENTTVSPRDPEEFTQYIQNVFKQVMPAIEFHDDIMKGISTAMER IPVLPKIIEQLEEQLSVFIFSIIAPFIVPLIQQIRNELKTGSDEIIASSEREQHIVFN DDRSTDPTHSMLSKDHFSNILNEIAGRNAASMVQWVVPQLMDAIDDDGTDVDRLLDDI VDGVLHHPAQRDLGSRKAQEGRNRFFKGIEEWWQEMGDVQREEYRGKLTRDGVQNGEN HKEGVQDTGHGHGCAGKLKMRKLYGGGPETLEDKIAGAAADAIFKGATGALSGMVEQN TGYKMPSSSQKEEKEEGGLSGFLNQASSLLGGAFGDKDTERQSSSRREDDGSYTRTEV EYGRHGDRYGQAEYSETQRPDGSERQEYRRYEQKDSSDGRHTGGYGYEERTETHQSYS GGYEQRTDRTEYYGSNEESHGRRRDDDNSGYGGRRQDEGGYGGGSSYGRQEESSYGGS SGYGRQEESSYGRQNESSYGRQEQSSYGGGYGGSSGGYERRQEGGDSYGRQDDNGYGG GSADDYVRQSQGSYGRERRGSRGSNEYGGNGYGGDRRF FPSE_01400 MARPKKTAVEKQQPAPVPIPPQHIQQYPQHPQPIAAVPPQAMAV PMPAAVAVPQPQTVMAQRVVDNDSFLRVRDSAVGRLTTILELLRSFTADYVRQTNLLL GEPTAEGSQDNLLANFEHAAQQLIINPPELAPPVEEKKERKKRTIDPNAPKRPLTPYF LYMQHARSIIANDLGSEAPKGAVQEEGQRRWANMGPHEKQGWNNAYQYNLRLYNARVH SYKNGNPVAKGMTDEEALKYAEDFQIPMPELKDVTQTEAPANDQDAIAEQLQAVAAAP AAEEPEEAETPAKTPKKAAGGRKRKVATPAAAAEEKPAPASPDKKRRRSTKAAVVEPQ EEPKKSGRKKTKSS FPSE_01399 MSSFIRWYNARLAARPLLTQSVTTAFLFATGDVTAQQLVEKRGA QKHDLVRTGRMALYGGFVFGPVATTWFAFLARRVNVRNNKKAEVLARVACDQLGFAPV MIGVFLSSMATMEGKSVKERIDKTWWPALKANWMVWPAVQVINFSLIPLQYRLFFANI IAIGWNSYLSWVNSQ FPSE_01398 MLPSSVRRVVASAVSTPQTGVVSSLASATLKTTPVFVRNHQRRC SSSKPSKSDNGPNDISAGQSVPATTTPKSGGEKRKRKSNKDASDRAASVKKLPSVPST HHMSQEALGLSSFFSLHRPISVTQTMPRAVTDEHFASIFAARSRNNKLADTESTLSST IEQLEGPMAQMTIGGQEGQEVMHKVDIKNPDGTESSMYLQIDTMSGEFLPFRPPPLPQ VEAAGESESVVAEAEAVEDVPQHRVYKALFTIEESTDPDGQIRIMAHSPRIMQDQPRS FLERLALRQLKFDEAQGRRDMHAISVKRQRKLKMKKKKYKKLMKRTRVLRRKLDRT FPSE_01397 MQRKAVDSRIPALIQNGLQEKKRSFFVVVGDRSKDVIVRLHYIM SQFDIKQNKSVLWAYKNKLLGFTSHRKKREEKIKKEIKRGIREANTEDPFELFVSLHN IRYTYYKETDKILGQTFGMCILQDFEAITPNILARTIETVEGGGLVVLLLKGMNSLKQ LYSLSMDVHSRYRTEAHDDVVARFNERFILSLGSCNSCLVIDDEMNVLPISGGKGVKK LPPPDLDNAKTESQIELEAMKEQNEGRQPVGPLISLAKTVDQAKALMTFTDAIAEKTL RSTVTLTAARGRGKSAAMGVAVAAAVAYGYSNIFITSPSPENLKTLFEFVFKGFDELG YADHADYSIIQSTNPDFHKAIVRVNIHRQHRQTIQYIRPQDAHVLGQAELLVIDEAAA IPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIKQLRDQSRTASTAGEGMEIADR STGKTSKTEEFQAGRKLREITLSEPIRYAQGDAVEKWLNAVLCLDATLPKAKSSINGC PDPTQCQLLNVNRDTLFSFHPVSEKFLQQMVALYVASHYKNSPDDLQLMSDAPAHELF VLVPPVSEDSARLPEPLCVIQVSLEGKISRQSVLNSLSRGQRPNGDLIPWLVSQQFQD EDFASLSGARVVRIATNPEYVSMGYGTKALELLVDYYEGRFTSLSEDEDQVMEETMTR VTDAELANANLLDDDIKVRDINKMPPLFSKLSEKKPETLDYVGVSYGLTSPLHKFWKR ASFSPVYLRQTANDLTGEHTCVMLRPLENSGDRSWLGAFSRDFQRRFLSLLSYQFRSF SPVTALSIEESANAGAKLDSFELQPLTRSDLDIYMTPFDLKRLVSYSNNMLDYHVIID LVPTIASLYFTGRLKGNVSLTGVQQSILLAIGLQHKDIEVISGEIGLPTPQLLAMFIK IMRKFAGHLNEVVTTAVDAELPKAERLGVSREDADGAHDDEIVDERFVPLTTTLDEEL EEGGDEALRELKKKQRELIDSLPLDQYEIEGDAPAWEDAEKQVKSAAKEGKSNPVVSV KSTKQKRKADQKTAAEVYEEEFGEKKKNKKSKRSKKSD FPSE_01396 MCQKVETVCCHCGEILITYFIACNAWLVAAKQTSDDNGRPLALP LASQCEHLEKKEPQSSLLGCPNNDSCPSWFGNLFRCYDKAASEGPEVKQFRDRVAQGK YNEWKENFARKHFVKKPVLPRQEQNGFWNMISQDFLSDIQSAETVPTASVSSGGETTL KTYEVIMQSEDENILI FPSE_01395 MSAFKTFGNQEITAFECANRSGQVAIYELTDISTSQSDEETSTT ADPDTEPSNSPPLAKPHIWDSENTSPIGPIVGGVIGGLALIALIGFGLWFIRRKKHNS SRDARGYSPGNYHSPSQSLPGYGYVNHTSPDPQYNPLPMSSTPRDVSQNFSNLPYVAT PQSSELPSTPGRPKTPEMRG FPSE_01394 MAEQKDRWSTNAYQHSASFVPKLATKVVSWLDLQKDDILLDIGC GDGILNAEFAKILSQGTGSMHGIDSSASMIEAAQELCKDHKNSTFEVLDATQLISKPE LQKGTFNKAFSNAAMHWILRPEEARAQFFDGVYAALAPGGAFAFEMGGLGNVSEMRAA ILSVVTRRVGLEKALAADPWFFPDETWITGALEAAGFKVERAEREWRPTPADKGGVEG WVRLMGSQLLDAVTDEKEREEAAQECSSILREVCRNPGGGEMISYVRLRAIARKPE FPSE_01393 MSEHDALVLSYSHLAKLPRASEALQTLKKVASIVKPIMRARNWK VRQLAEFYPEQHNLLGLNVNRGAKICLRLRHAGDRNQFMPIENVVDTMLHELSHIVHG PHDAKFHALWDQLRDEHEGLVLKGYTGEGFLSEGRRLGGSRIPPLEARRLAREAAETR RSRPGAGSGKRLGGSAPRPGEDIRRVIADAAERRSSTLKGCGTDNLSETQIQNISDSA TKNGFRTQAEEDEANDAAIAQALWELVQEDKSVKYGSSYIPPTAENPTGNGGGSVIPN GRPSHSSGRSREPSGWTCDTCTLRNPGNYLCCDACGMERSARPGTQAVNDNRRSRSPV SERQPAVVDLTQSPPRDRRRPSAMTSRKSKPPSAAQGTASLGPQVWECSYCGTEMQKQ WWTCSTCGKLKDNSR FPSE_01392 MSPPAQPRDSSQTRAIRLAGAVHDAGFPLNRSEPHHNHIQAPIP TAFDHARPRAAFDLVNDVKSQDATPRPFSGGRFLRDFGDSSRSPTQSPFRLSMPSMSP GQLAFSAMQYLPVPTMVLNNLKTVVLANEAMGRMLGIITEDSEDDDSLPTIENLRGQT LSQVGVDILQDGQPVWATWEAFLDSQVEEMGVRTAAKDSRRPSQSGDDATPTTSTMPV PDRRPSPASRKPQDSVVEVVITRKGVKKPRLDNLFNPKETDCQIYAKMIITIWELEDH QIFFTLTFTSTESPPSTLHNNKRVVARPSILEAAERKSITHSNPPSVASSRDSNSPSF HSPGIVTMSSSPFPPMGPPSAATHSSTPSLLQKMLLMKDALLNNTQMPILAMWKDGSV TFPNTAARKLFEKDAPLDTSLNGFELMQYWHIYSEDFSERLGTEEIPICKLLKTEMPF TGFRVGMYDENRSRRVYDVLGEAIRDDSTGEFLAGVVTGRDVTVMTEEITQIKERDEE RFKLICDTMPQLVWTATPDGLVDFWNTRFYSYTGLAPEDSLGKSWVNAFHPDDLPEAE KRWKHSLETGDSYVTEYRCQSKEGEWRWYLGRAMPQRNKETGKVEKWFGTCTDVHESI QTKMAMKRTRQQLLSVIAHSHVTIFTVDPNRRVTMLEGALIWNNTHEESHDGTRWFIG EDMYTVFNRLTAQLADGERPEFLEPIELLLDGKATEDLKEHGIDDRWYRTRFLTMLGK RAQEGDPRHNTYVEGVIGVIMDVTELKIREKALEKQSKEKRKAMANEAAAKEANRLKS QFLANMSHEIRTPITGVLGMAELLMGMELDQEQQEYVENIQSSATSLLTVINDILDFS KVESGRLDIEEVQFSLSHIVKEVGRMLQFAVERKNLDFQSDVDDGIENDLVVIGDPGR VRQILTNLLTNSIKFTNQGYVRFSVVAEKETSETIEVKFTVEDSGIGIQDDVRKKLFQ PFSQGDASTARRFGGTGLGLTICKNLLDLMHGRITLESKVGVGTRATFWVPFNKPSGP AEAGLANAGAIPDRLQSELSLSCNSSEYDQIIGTPPISEGMQGNTSGPRRARFGITST SSPDQELPPSERAKIHVLVVEDNPINQKIATKTIGRLGFQVTAAWNGKEALEYLAGVQ KGINQKPDIILMDVQMPIIDGYKCTHLLRHHMPYKPLVQDVPIVAMTASAIQGDREKC TKAGMDDYLAKPVRGVILEKMLLRWCHARRRVPTAPDPSASDCSEMSEHCDNADIPNV GIDDDDDTPRGLDDFNGSPITPRPLTTNGYQNEQSPFDSTELSLQVRRQEGETEWSNK LQETKLIDAAGGPSSYRRNSYHELQTGDSLTEENVNKLRSENQLTTRR FPSE_01408 MSGRDDAEERRRFTTPYSAKHPIPTISKYREERTARQDAAEDRK DSSPKAGDYSEPNGDYQLHQKSREDAGANLEPVKTREDDGEEEKDDDNQEDGGMQDTS QVDAYASDPKQRRKELKKSKRERAEREVTDPVTHLPVTIHDFTDDALESVSVNEPPFG TTQKTATGAENKSKSDEQLGHEQREVQQSYDAMGELFPPPDFDALRLELAAINKRGVT FGLTGVAIIVSGAFAFERLVREGLLQGAKAGSFLVGGTLWLALAAASAGAIWFLVVSV REWISNKVNNIFHDEVWDAHRRGVVKASKQNDTETTVWLNSLIGSVWPLINPDLFASL ADTLEDVMQASLPKFVRMVSVDDIGQGSESVRILGVRWLPTGAAARAVGEDGQIMTRE QSENDTQEKDDENSDSGSHIQDGMEAEEGDFVNLEVAFAYRTRSSSKSLKERTKDMHL YLAFYLPGNIKVPVWVDLQGIIGTMRMRLQLTPDPPFFALCTLTFLGQPKVNVSCVPL SKHAINIMDVPFISNFVQSSVDAAMAEYVAPKSLTLDLKDMLAGDDFKKDTSAVGVLV VNIKRGYDFKMGDSGIPLIKDGSSDGYVSVGWAKFGKVMWSTRVLENEMEPYWDETCF ILVSPQELNIDERLRVQLWDSDRLTADDDLGRIEIPVKDLMKDDRSNGKMWHREDGFR ALKSSDDMPGKLEWSVGYYSKTRIQQCQFEKQTHNPEIRNMDQLKESVKESSERKLRE TMFKEGKKEHDASELEQQTAQELKAEQDAMIISAPPPEGYPSGILSIQIHNITGLELE RLNKRKAANDAEATDEEETGEGLPSAYCTVILNHRKIFKTRTKPQNAKPFYNAGCERF IRDWQDSEVFVTVRDARLKEDDPLLGIIHLPLAEVFREHSQVMGFWPLTGGVGFGRVR ISMVFRSIQLQAPRNLLGWQYGTVEVNPTATVVDCPKDLRSSKLKFRTSISSAKMYTE DNEENQGTVTWKSKRDKSLALAVASRYSSCMSIAFREKGFFGDDTAAFAVLWLKDIVD EEETELELPIWKGDFQRATSCCLEDCGERLGTIRLKLTYWSGLGSAHSRWASRDPHLK NVVEVIEMAHDNLEVGSQEKKAGIVGSDDDEQSGRRYRSGSIGSNSDSSSSSSDTENE DEQEPSVPNGSSSQKQGPIDQLRDYRRRDRRLHRQHRGLMQWK FPSE_01409 MGSQLGFVELIKKQFVPGKLLYHFLFWTFHWGIFAYGWWKQAVD PRLAGLNTLKFSVWISRGAGLVLSVDCMLILLPVCRTVMRWVRPKIRFLPLDENLWMH RQLAYSMLLFTCLHTGAHYVNFYNVEITQIRPVTALQIHYAQPGGITGHIMLLCMLLM FTSAHARIRQQSFETFWYTHHLFIPFFLGLYTHTVGCFVRDTAEAFSPFAGDEFWEHC IGYLGWRWELWTGGAYLLERLWREVRARRSTKITRVVRHPYDVVEIQFNKPSFKYKAG QWLFLQVPSLSKYQWHPFTITSCPFDPYVSVHVRQVGDFTRELGDALGAGAAQAKLYD DVDPMGMYEVALQNGDQMPALRIDGPYGAPAEDVFENEIAVLIGTGIGVTPWAAILKN IWHLRNSPNPPRRLRRVEFIWVCKDTGSFEWFQTLLSSLEEQSNEAARMPGSTGVEFL KIHTYLTQKLDIDTAQNIVLNSVGSQMDPLTELQSRTNFGRPDFPRLFTTMRNGILDR TYLNGLESHIRTTVGVYFCGPSAAARDIKLACKAATVPDVDFRFWKEHF FPSE_01259 MHLVPKEIDKLVISQLGALAQRRLARGVKLNHSEAVALIANNLH ELIRDGNHTVSDLMALGATMLGRRHVMPSVCATLHEIQVEGTFPSGTYLVTVHNPISS DDGDLRRALYGSFLPVPDNSIFPMAAAKEYQLDKQPGAVVPVKTKKITLNEGRKRIRL QVTSMGDRPIQVGSHYHFIETNPQLEFDRIRSYGYRLDIPAGTSVRFEPGDTKTVTLV EIGGKRVIHGGNNLASGVVDLSRADEILARLQKAGYAHKSHPAGDMAHIDPFQMDHAS YATMFGPTTGDLVRLGSTDLWIKVERDETIYGDECKFGGGKTLREGMGQASGRTDAET LDLVVSNALIVDWTGIYKADIGIKEGMIVAIGKAGNPDVMDGVTEGMVVGSCTDVVAG EGKIVTAGAIDSHIHFICPQQVPEALASGVTTMLGGGTGPSAGTNATTCTPGVHYMRS MLQACDQLPINIGITSKGNDSSPEGLRDQVNAGACGLKLHEDWGSTPAAIDACLSVCD EFDIQCLIHTDTLNEAGFVESTIAAMKGRTIHTYHTEGAGGGHAPDIISVVEHENVLP SSTNPTRPFTLNTLDEHLDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVLHDKGA ISMMSSDSQAMGRCGEVILRTWNTAHKNKVQRGWLPEDEGTGADNARVKRYVSKYTIN PAIAQGFGHVIGSIEVGKFADLVLWDPAWFGTKPSYVLKGGHIAYAQMGDPNASIPTV QPIIARPMFSPHCASTSILFVSSASIETGAIASYGLRSRVEAVRGCRSIGKSDMKHND TKPRMRVDPESYTVEADGEICEAAPADTLPLTQQFYVY FPSE_01260 MPPGPSQKGTGKKNSAIQKQSRNTTPAPAVATASLPPTEFYDPD YLNTRVILFRNLTYDDIVDQSASNATIPDSKSLDGMIERLKNLSNIMEKRSTFYDRGM RHLADERKKRPSEDYGSRDGEQEGKRPKHKRKKPDSLAPQETNVERSSPLRDSKNRKH DRDPSSPLSPTHAGSPGDGDKKLKTEDKKDDDEESSSSEDEGAPPRREQPQAMTFGED PSTFPDPTIYEIRPTYPGMPDEERKEIYSVATYPPSDLADLIAGDPPDKDFSNAKPNS QINFSTFSTYVEPFFRPFSEEDLAFLRERGDRVTPFVMPKRGKRHYTEIWAEEDGAMS IDTPQQGRDKLPQNQPRGSIDNMDDDVGETDKLSVGPWLTRLMQTLRPEARAQSVDDK PATNGTTNGDISMSMNGDADVDEKPASSDDKSNQQPAAFMSESSTEAWKKASHPKLEY SQVDERIKQELRHIGFLPLEGFEAEYDGHYDDEVAARLRLLQNRLKDQMLINGARKSR LTDLVRERMAYQEYQTILEDLDSQVQAAYLKRTRTMGKSKKTKRPGGAGGGSHFVGGA AGTARPGIGDVTKTLMERRKRWIDTIGSVFDDESLRKVPRMTDSESSIFKPADMTELM NKEKEQWDEEVEEE FPSE_01261 MASHGGNMLSLANAQSLLAGIVAAALSTLCLISVFSSKSQDESP GLIKSFFLFFYSSFLKPHQGDSKGNQQDALESFYKKQAGAYDATRKVLLRGREDMLAL VAAQMQAKLKDNKKTKRVWVDIGGGTGFNIEAMGAFVDVPTFFSSVYLVDFSPSLCEV AKKRFDRLDWKNVKVVCEDARKFRLEDYESGIPSKSIPPRSPALSYFDKPRPDFGGAD LITMSYSLSMIPDYYSVIDSVTSLLSPQGIMGVVDFYVQNKVDFAFRNYTGGLVDRHV NFLSRSFWRSWFDLDRVGLEPSRRDYLEYKFGTVLNVNTRNKGLGAIPYYIWLGCHKK PFSSSSLPHEIVERIDALVTESPYLYPANHGDALTRAIERSAPEIRSKAFLTAVSNLS SNLPLPSFFYQNHHWRIYYDEQLAKHTQFKDEYIYAFTWEDTRVDERILKLGADDKVL AITSAGDNILSYLLQSPARVHAVDLNPTQNHLLELKAASYTALPYEDFWKIFGDGKHP RFRELLITKLSPHLSGRAFQYWLKNVHVFQNSSGYGLYDTGGSRHAIRVFRWIARIFG LQKAVKQLLEAKTLNEQREIWRRKIRPALLSKLVCNLVVSQESFLWAALGVPKNQLAM IEADHATSDLVKGPKPAAKNTRSHAIWHYMVNTLDPVAEETHIAADNPYYYVCMDGKF SPKCHPDYLSPRAHAKLSRPSALDGLRIHTDELEEVIARITPGTLTVAVVMDSMDWFD TGSRAAAAQIAKLNRALAIGGRVLLRSSALTPWYIKEFEGHGFTPKRHGARTDGACID RVNMYASCWICTKSENLPPPTPEMDRAGGCEITSLTI FPSE_01262 MASIARSSLLRQTAMASRLATAAIPATRSTFMPSIRTQLKGVAA FHNTTRRSAILPPGPQRIEGGINEPAPVPEPSSTHGSYHWTFERFLAAGLVPLTVAPF AAGSLNPTLDAILCSVLLLHSHMGFQQVVIDYIPSRTYPGLRKTFNWLLNIATVLVGV GLYEFETNDVGITEAVRRVWKA FPSE_01263 MTSRALISKLPSTRPTIHSTCLSGQRPLQVRYIATTYLNKVAAG EARWKERAQQIKKGEIPHTWDILQERGYIKDVAGTPDKIKEIMRVKRIGSYVGIDPTA DSMHVGHLLPMMPMFWMWFHGYPAVTLIGGSTARIGDPTDRLESRQILSNADISKNIT KIHVQLTRIWSNVHVLKEKYGYPNDWAATHRLLNNNMWLGNLTLYDFAKRIARHTRIG PMLAKDTVKRKMTEGDGMSLGEFMYPLLQGWDFWHMYNKLGIQMQIGGSDQYGNITAG IDALKTIRETEEAPHLKKPSTWDHEPVGFTVPLLTDASGAKFGKSAGNAVWLDEFKTT PFDLYGYFVRRSDDEVEKLLKMFTFMPMEKISTIMEQHRANPQERKAQHALAFDFVSL IHGSERAVKEAQQHEFRFGSRLSGIAKEPSPDSGIITPNNAPRSDIKLPRSIMDLSPA KILHACGLASSSSEGNRLLSQQGAYIAAQPGQKRGLVPGNLSWTPMKAWFPEETAKFL IDERMLIMRKGKHNVRIVELISDEEFKKSGEIYPGQHGTGLLRRMKEELKKEMEAAGE NLSDMEITRMAERKKARLQVANNPNIELPDKREIRDRYRNGGSDK FPSE_01264 MIQGIFYARFFPQEGPKIVAQSPAGCITPVDGSTKSPLIDFDVL HEYIIPRQAFCNRYLTINTPDGKYTVLGHPVVIPHTKYSRNEFIFNFGLVLDADVDHV PYERVVRGLASTFSEMEKQNEYLSQNAETKGAGRRPIDSLLEIVKEDLDNYGECMIPV DEANTINMKLFPHHIDPPRVRGWHVPVPKAKFADIMDPTWDLTLQKVISHIDGVSDVR RIAHAASVSLELAKTALRHLLYYDTILLLDMFFFSACYAPRPGIHDFIRNIDGMVDEC ASYVSHGRGLVSNYHLIKLMSTFTPGKSVMEWLKGHQDSGFEVLRFVDVRRFVQFGVI KGCIYRVHKFVVSKQYLAALASGQSRPITGGDPLQKYTDGCHHFDQIITEQNLTDSDI MEKLKKLPVPKGDLAVFYR FPSE_01265 MADNPEPSSDSQITFKVKTSSEGHQTITMSETATVLDLKTKLAG EDFENIPVERQRLIYSGRVMKNDDALSTYKIKNNNTIHMVKSAASNQQPNQTPTASAS AATPAPANMAAGTANQPFAGLTGARYAGFGNGLPGLDMFGPDGGMGAPMDEARIQRLM SDPNVQSSMNEALNNPDFINMLIDSNPMLRNLPNAREIITSPVMRQMMSSPEMMTQAM RMQRQMNGGAPGAFPAPGATDTTPQGADTQGTSGQTQADQPPPNPFLGLPGLLGQQSG GNPPDFAQLMQQLQGLGSLYGAGGQGQAATGQTGSANTPSQGTTDSAAGAQTSGTTPG QGGEQQGSTTSPPPANPFAALFPPSGGNPEMMQQMQQMMQMFGGGGMGATANPPAPAD NRPPEERYAEQLRQLNDMGFFDFDRNVAALRRSGGSVQGAIEHLLSG FPSE_01266 MRGIQITEYLKGPDQLQVTDLPDPKPSEDQYLIQVHAAAANFFD ILQIQGKYQNQPPFPWVAGAEFAGTVIATPTDGPKPKFPVGSRVFGASQGAFATKICA IEDTLLPVPDGWSFKEAAGLFVTAPTSYGALVVRAGIKKGDYVLVHAAAGGVGLAAVQ VAKAFGATVIATASTQHKLDIAKSYGADHVISYNDASWPSQVKKLTPASRGVDIVYDP VGLVDLSTKCTAWNGRILVIGFAAGKIEKVAMNKVLLKNISIVGLHWGAYSIHEQGTI PKVWGGIMELVKEGKLRGTEYTDEEFVGLERTGAALKALGGRGTWGKVVIKVPEEGQS KL FPSE_01267 MSFSIEVAADANPLSLQSLYQTLQSATSTDYAQRQTAGQQLTSW ELQQGYYSSLQAVYLDKSLAYEVRFLAIIQLKNGIDRYWRLFNQVKNSIKPDEKSLIR SRLFQGSIEEEHSNLALHNALVVAKIVRIDYPGEWPDAMGNIIEILRSSRNGNQRHLH GILEILLRVVKELGTARMRKNQTALQSVTPEIVHVLAEVYSEKSQAWMGFLTGGPGGE EEVRLAMFNSLLALRVLRRLVIMGYERPHSDNTVEQFWTLSQTQFGQLLGFVSHDSTV PTNYQDIVGKHLLQFTKLHIDMAEQHAASFVFLPNSLPLVQSYWELVAKFAEVFDKSG GIRQGQSEAGSAKSKVEGPVLERLALKGLLLLRSCVRIAFQSVQTFRWRSPETKAEQE KAKTLVKSELLKPDLVIQIVNSIITHLFVFRKSDLEGWEEDPEEWEQQEQSEGNAYEW EVRPCAEKLFLDLLTNYKDLLVPPLLSYFQTAQDPQADIATKEAVYTAMGLAAAHVHH VFDFDAVLASTIVNDARLQGGLCKVLRRRIAILVSQWAPVKLDDSSRPIVYQIYRHFL NPDDETNDVVVRITAARQLRWIADELDFNVDAFLPYTSDVLSQLINLVQNVDVDETKL AILESIRILVTRMEEQVSQFGDQLMSALPTVWENSGAEEYMIKQAVTSIFAALVMSMG PDSQRYQHFMVPLLSEAARRGSDLHLHLIDESLELWNNILMQSHAPLAPELVNLAELA LPLLEYDSETASLALQAVESYILLAPASMLEDQLRRPTLGALANILDSKSREQVRLGT GCIENLIRAAVELGGSNGVSVILQDMVEIGFMNKILGNLHDAWESHQTTGPNRKVSKL STITETDYFAILARLALAEPTLFTQMLTNMGSLDQVWSWLASEWFSHMSDIDHIERQK LYLLGLTRLLELPSPMQELVLGKLQDYFDMWINVISELQDGVANGTDTLIWGPLEPTE YDTPKIIAESQMSAKDPIHTVHAFDFVKLRLQDLVSRVGGEQAFQEQWAVNVDKEVLD KFQHMASGVQRQE FPSE_01268 MIRSRTALAALNTTLRRQWACQKCLSHSRFYSQKTEKREPPDHR KLGLQQELFISSIYSPGSPIFLPNGARIFNRLVDFLRKQYVRYGFEEVITPTIYKKSL WAKSGHLENYADDMYAVRGNTEPPPPQHDGCCGSHQEHLKPEEEEEGDYGLKPMNCPG HCLIFASKRHSYRDLPVRYADFSPLHRNEISGALSGLTRVRRFHQDDGHIFCRPSQVE EEIKKTLDFVKVVYTVLRFGANYRLALSTRPKDHYIGTEAEWDQAENALKRALDASGM EWDVKEGDGAFYGPKIDIVLTDSDGKDHQTATIQLDFQLPKRFELEYQAPAPEYEVRG ETTEDPALLAEYGPVRPVMIHRAVLGSVERLMALLIEKYDGKWPFWLNPRQAIVLTVN TSAPVLDWAEHVRDVLVGQNKQFYEQLESGALPSQDHAFRPTGLAVDVDTTARPLGAK IKEARNNGYGQILVVGEDDVKNQQVALGKERLTPEDLRERLKTMVETFA FPSE_01269 MSSPNNKSPSALNLDGAGDEKPRLTEEEKKQNHIASEQKRRQAI REGFDRLTELVPGMEGQGRSEGLVLKRTVDYMHDQIAERQRLIARIEAAGGQVDEQHK RALYQQAQQFSNLQNQTRN FPSE_01270 MADTPSDPPPDYDKSTASQSTAQRPLRKGPLPLELPILKHLNSK RVILASASPRRKALLQQFGLSNLEILPSTKPENLDKAALGPFEYVAATAHQKCMDVYT TALEVHLKSIPDPDLVIAADTVIVTKDGRILEKPRSEADHIRMLKHLRDQRMHKVLTA VTVLAPREDARHPGYEIDSYTEETKVYFLSETEGLPDDVIEAYVKTREGADKAGGYAV QGIGGMLLVEKIEGGVDNVVGLPVRKCMAMAEKVIFRQQEEDFEGEGSEEE FPSE_01271 MDSKRKANGPSSAENDDRGSKRRRLTNDFDLSKGENSESTTAYG LSFLELLRKTADKSGRLVATNFEELPPRDGNDDYYENTRMPISISMIENKLNNREFEN LSELEGYFKRMISNAKEFYSRSDPEFDDAERIRKALSNYMTKTNPAYEARGYQVLPTP FPDEDGEDNQDDDEIEENGEEEDEDDEPEEPEEDDEKDDEKDDDEEEEEELPTSRRRT TITLKRRGPDRTPARRASARGKETPKPAAPAAKPDHQYEDLPFKGLSFQQAQEKLVEE VIRREDPGYDGPYFEAFINLPPRSLKEYYKVISDPMSLRKLQRAVKGMHGRGGSTGIS DFKSWAAFEEKAKLLWTNAYFFNEEGSEIYSVAQELEEFFTEQLKLAQADVPEPLQPK IKLKVGGTSDTPAPGPKKITIHVGGQRDSADSPAPGQQKEAANGLGVNGTTRTSTPAQ AINPQPEKARSTSVSAAPSPSPSTQAASKTEEAAPAASPAVVQQPHNAFPAGQATPGV PTAATGPIFAPIPVAPPQPITNPLINGYMDQKHPRRPGKGLDDALIESVKIQVHPTLQ SHSPVLATVKPNPKEMDQAATVNLPSHLTRILVVTAIPNHLHNRQYSLWTLVNKQPFK PLHLQAPGQKPNERAFEAMLHHGINVIETHLIAAIPRDERVPGGPEVELEVFTITVNV LRN FPSE_01272 MLARQVVLRRAALSPYRPSTQRILACQLRPLDRRISFLPWRKKK PDPQNYPVYFAKPNSPSHGQLTPSVAAAEKKLLTRIKWSIRHERFRRWASTIIILYIC WQIFCTVVFDPLFDWADAEWEALSEKEKEEAEANHDPDEPLLFLPFPFTTEEVKQPLY RGSDPEWQTFVKISQDKKLLQEIKNGLANEIKRGVERNPAFNKLLGGEVAVRKFWLDI IFPPAPPPMHYVSGIMIDEDGIFWGDRPIDSLAANFLAKALYPKALAMGCWTFISFLS KQVAQDIAKSIGLSEPDPPESAWQHVPVNSLPGTMPQQTPRAVVKHDAKAHPAHLPPG FNEILGAAFGPDAKLDPRLQAASLAGAFAFLRYWKPTKTLPSRGCIKVDGIVELKGKT AVMAVLVAGYYDPKTRQYVAVQTRLKHLMQLRQRPAPG FPSE_01273 MKLTIGLSAALAGFAAASHQAATDLAKVYILRGSHHIEPTTSLT PSEARLILHQRLAPEGEGPSFRDFTDSDDEERIISLMNKYGKTPAPLFSDDTTATPRQ LVINIDGMPAFEYESFFGWLFNTKPDFTLDPSKENGEHPEIFQSIIAKMPECPLSQIV AMDDNCFHGKAALATYSRSQDGDHPTTLLNELPKLQKLAESGELHTTLVFLPLVADRE NDSGEDQDLRRRQAETVISSIEEVQEAPAAETSSVPEVPKFSSVPASMCFKSESECNK RTGNCSDHGKCKSRYGKGDESCFSCHCLSTKSKAGSVTHWAGGACSKQDISVQFWLFA GFTISLLTILYLAIGMLFSVGEEKLPGVIGAGVSRSK FPSE_01274 MSLSGGIFHMMSSADGHRPKPATAKWGAACAQCSIAKAKCIRSN NAPGSKCDRCERLVKNCTEQVHRPRKKRTVKPSRTAQIEERLNGLVNLLKAGGLTNAD LATDTTCIPTEADPHVIDQQKPSSKETEPSFKSNTQGWSIPDTYNSYAPPQCICRQIS GEVPPPPGSDQELLNLYRKELQAMNPFVIIPHGVTAAKLRETRPFLMASIRMVTSSRS LRSMRAQMYHLMKHIADHMLIRSERSLDLLLGIIVIVTWYQYHCFMHAQLNNLIALAT TLVGELGLNRSPVVNERTSLMVVIPFQPKTRTNEERRALLGVWYLSSSMAVGFQRIES MRYTRYGQSCLSVLEQEREYETDARLVALVRIQHLTERISQLNAPNEPIEEVAGFPTA PMSAYVSAFQTELDKIRNGLPLELQNDIIRLYEPPLLDTSRIISMSESLTTPTSGAAS ALDIFYQSRNALKQFFDHWQSIPISEYHSQTTPVAAQLIYGMTMLGRWTKFTAQIPLT GPPMPMPEDTSVQNPNLELYKADSEYSASVSPAHVTPLGTTPSACENHSQAASPIVLR EGTDPRLPAAVATLRSQIETQPGLYLDVTGILSGIYSKFEEVNASVQASSTEPGTSDH NLWSMSAIKVRITRAKLERWVEIVASGTAALKIHDGDIRDTDMDDRGESDNGRATAPG IAQPGNEIQMDEFGMSDWNEMPWNSDMLQGVDPSIWFDGYLDWGAVMNSMSNNEP FPSE_01275 MMDGILVKQTSGRKKAEKVNGLVDHSGRIPLLRPSTLSIIDRMP FAFSFGCSGSQTADESEVAAPLFYPQIPIVDIAPRRKVVWVWICCVCGYGGMKVSVDP CPNCCNPRCPNCDTRRINTRSHKDSHFQRGSLSPGNVEAETGPASELDLLPVVHNGPP GVPSRYFDWVVTATSVIWTEQDEWKKQYRMVKYSASEHNRPFTEMLRERNGYDCPEIS FVMDRHPSTRGERETLCVEAFCQGADLVLDGIDPNELLGHQLANVDEPRAWISDRNYW LLIDTGAENPLYENVLGRLEFYKVLQNERFREGPSGEMIGPIRHLPTPSIKLKLSDFW NTSYVISFTLPFYAIGLSGRQDKRTLHDPERKFRARYLLDSLNIQELRPLLGHSEDSC LEGKLVLHEGVYSFATTGVTEEHWTAYCFDDDFFESEPRLLEEGEELESSEGFVDPII VEVEVKDTATQWRPRQYSLVALAIQLDKIHGHHARIHDVFKHSLDSYTPSARYGPSKN LNPSNKQDWKQFPELLSKVIFYNTKIIEEVENFLENDIQLSPVSVPQGELWQSLRSDV KALKALRAIEGILKELKDIGDKLEETKRSFKELRREKKLDRADEQQIREERSKELAVA AVVIMPLLSLDESKANMTQAFAILSLIAQVYSGKPQKGDEASWPGYLFLVASFIVICA AGILYMLRKHVALHGKALLAKLNGFLTTTGLDRRYNNMNSPSDSLLSSLNTITETIVA MAVTTCSVSGIETLLGKAGLDTPIPEYPGADIVHNPQDIFRVYLAETIQKLTNCDRLV AYDAIQTSNVTGMGDLVVVAPRLRLKDVNNEDLAKDLLQKLPRLPPFGCPIRDGIRLQ VFFSPNTLSRLSLSYISDRNESYGYDTSLGLTDPAVPDGQKKKVIVEYSSPNMASEFQ VSHLRSTLLGPYVANIHARMGCDVVRMNYLGDWGKQIGLLAAGWQRFGSEDEFAKQPL RHLLQVKHKIEELFKPEVEKCKAMKANDQDTSEIESQGLYAERDDFFKKMEDKDPEAI ALWQRFRDATVKDLADSYARLGVTFDEYSGESQVTSESVAEVEQALKEKGVYEEHDDS WQIDFSKHEAKGLSIAVLRYRNGTTSYLLRDVAAVLDRFKAHSFDKMIYVAAMEQEMH FNRVIKTLKLMDRQDLADRIQHTSFAKINSLPEELKGAELLSDYLDGCRSMVQADLEE EDEEVSHVDSSERSVDILGLAGLFVQDHYHKRNTSYTSDAKKMAPLEGETGAAIQNCY ARLLKKLGPEPATFDYTTLDYTSLESEDYAELLRILLQYPDAAHGSFRSLEPSFIVVY LLRLVDQLMVTLDDDDKKDWAGQESASEARLALYENARQVFENALKLLGVTPWNL FPSE_01276 MARDKRSGATLHTSSSSAFPCICQLDDTLLRNSLLQYTYKTCIM GDDAPQDTPQQDWWEAFPEPKAECTRTDPAIVSKLIEVNAALGKNAKRDFLLVDVRRT DWEGGTISTSINLPAHTFYQTRSQVYQLVKQAGIKRIIFYCGSSNGRGPRCARWMQDY LDEVGETDIKAEILTGGIKGWQKAYDGQLMDFYDEKAWEKKE FPSE_01277 MAPAQPELKKYLDKRLFVQLNGSRKVIGVLRGYDVFLNIVLDEA VEEKDNGEKVRIGMVVIRGNSVVMLEALERIGGDDRRGDR FPSE_01278 MSFAAKRKAKVIKVADEDDPSDDVSATIGDNGTSDELSKPLFSA KSGRKPFRQSGLRKSFNPAGGESLGDASATNDDEDDGPVVVRPAISRSGSLKGKKKLN KSSRLSFGGDDGAASNDEPAEVFTPKKLPLGRALENSAIKRGLGTKGLPMRSIGDDDD RPKYSKEYLEELQSSTPNTPQKSSTLPDDGDLMDLDASELEGALIVDSVELSNQPQPT AILSEAEVREKKERRQRLALEKDFLSVEDDDDPFARKKKDDTRLIAEDEDLGEGFDNY VEDGGLSLGKRAEKERRKQDRKMMEELITAAEGHTSDSSSDSDAERRIAYEAAQTRAG MDGLKKPRKDPSQDLLQAPPKISPLPSLTECLARLQTTLKGMEDEMKGKQNRVEKLKN ERQDIVEREGQVQALLDETGRKYQEVMGQGKVAEGMAADVPANAPAEMVGARGLETLG MPTSQPEDEEM FPSE_01279 MSDPTDHHDILILGAGLSGINAAHVLNEKLPNRSYTILEAREAI GGTWRFFRYPGFRSDSFMTSFGLPWHPWRHEHKMAQAGEIIEYLEEAVDAAGIRQKIR FNHKMTGCEWRTDEQNWRLEIEADGQRKTYIANFVISCVGYYSYDKAFETTIPGIEDF GGKVVHPQWWPEDLDYSDKRVIVIGSGATAITVVPSLAEKASMVTMLQRSPSFVISRP VTSNLDFYLRMLLPWSWAYWLIYWKDVLMELISTQILLQFPKIGRKLLMGELQRELPK DVDVNVHFNPAYNPFEQRLCMCPEGDFFKALHRNNCEIVTDTIQTVTKNGILLKSGRK LETDIIVTATGLHFQLFGGIAPKVDGQALDVGSHYTWRGCMVDSLPNMGFVMGYVTTS WTPGAVLMAKLLTQVIKEMEKTGSKSVMPVLDAKDRLKPAALPVNATSSYFVKAAARM PKVTNEGPWYGRVSLAKDWCAYMFGDVTTGLLYSGGRRKKDI FPSE_01280 MEQEAIAAGRRIYLGTIIPSNLPYEAKPFEVEEVLAKNGFDRLD KIHISVDPVSARNPGYCFVDFHDRETAERALSSLYATVYGRTLKVGPCEPKKPRERRG FQNDDATSRRWGDWNAKSSTNGNSLGHANGRGEDRGPNWAIDHFEGVIRDQGGRRLYV GGLDKMVDQAQHQEELARIFYGFKPAAIGKRITPHESKRTLPGNHHYCFVDFETKEEA SSAVEQLNRKMIPGGQLKVSVSERIPQKLVGRQLDARESRQLADRVNNPRSNKPETNN AMVSNNWRRKD FPSE_01281 MATVQHITPPSVLLKKPSHENLPQSRPNSMIIRSVVNDEGLPEV VNSNHAMMEYHDAPIPVDSESDKRFNEAPIPIGTLDDSPPPLPARKAASQYPYGPESY VSQHQPPYNTGGYDPQVPLQHPDNSGFRPRPPSYHRNEVVTYVTPLTELGDHPKFVDC PFCRHRAETRVKKTSSRVTHISATVLGFTTIAGAAVPYAGKWAAHTTHYCTNCDRKVA IRKWGTKEMKALGTPEHMREISRYPSAGSPSMSSSSRMS FPSE_01282 MSSEQLVLFDIPSKDGGAWSLNPWKTRFLLNFKGINYKTEWLEY PDIKATLESHISANPATGTWTIPTVKFPDGTYIMDSAKILERVEKDYPEPSVHKDSPV LAKLFEIMPNIMGALRPIYFTHVPKHILNENSVPYWQETRSEAAGEPLDELYKRDGEK AWDKAKPHVQDVEALLKENSEGPFFLGKTPSYADFVWGGFLIFMQRNQIIDEVYKISG DAQLHKNVLEALAPWHKRNNH FPSE_01283 MASKDLTSLKPKQKRRLYEPIVLYKALAEITHEEGALRQTEPSD SAETEEQQYHRFLHNIASVCDRVKRGKTVTSVAILDGEDKFTYVFGCNQVFDKDLRST KDFMTSLLKRLSGFHLLSSTERTSAQNEIFEMILAFNSPRIQCYLDTLRKNIAQCLLY CERSTTQNDTEVGNGLETLSIAIKETTFSDMTGPQYHSSFSGVLKALKSFLTSQTTRF IEINAKAGRIRDGRSFECWSELRHAIYRLQSYKKALQCLIDSEETWPELFQEFVVVPI ESSKPDSNPLGRKSEAAHGIIGRMCSDAASIERYRGLARSLEWINLDDRIKTQSTRPS FKPYVHSEVLVLEWLMTQPNVSFFRDWRYIGSSKGACQLCRYYFDAAGQHNDIKTRSS HGNLYVNWRFPDIQEGETSFGKTRRQTIYNSMMTRIREDAFSILVDKSSKGKRHDSST HPLTSVMYASTDIWTDIGARDMPNIDELGESFAKNLSLKPVIETVGESDVDDEDGGIT LG FPSE_01284 MAYYMMQQQQPATVNPNEVLLNPGLSYASPSYASSAYQTTPYAE AEASSSAAQPSAQYHCSGCDRFFPGNRDFNKHMKTHDKPVKCKADAGCKVTKAEQRDM DRHYRSSHRAYAASKGILTEDAMCGFQGCTSKFTRKDNLLKHWKKFHGYEQPGN FPSE_01285 MALSYQQTKLIRGTIPALTDHGERITTIFYRNMLRDHPELNDYF NTVNQANGRQPRALTAVILSYANNINHITELIPKMERMCHKHCSLGIKPEHYAIVEKY LIAAFAEVLGPTMTTQIREAWTKAYWMLAKMLIGREAQLYRDFGKWQGYRKFRIEKKV EESDDIYSFYLVPVDGKRLPSFQPGQYVSVQIPIVDKGYVQSRQYSLSDAPRPDYYRV TVKRDEGIHMTRSGRYLGGDALNPGVVSNLLIDMKDEGDVVELAHPAGEFYLDMSNTS NVPIVLISAGVGVTPMMSILNTVSERQPHRPVSWIHGSRRSVPFYDQVRRIARNRSNF RTNIFKTHLAESDIFGVTYDHDFRMDLAKVSKDDLYLCHSSTEYYICGPEQFMLEMAE YLKAQKVDASRMHFELFSTGDMEFKVDNLSISSASKSPSIASAEQRCPSTGAVSADGA TCPFSAV FPSE_09250 MASADELKALGNKAIAEKNFDEAVAKFTEAIAIQPENHILYSNR SAAYASKKDWEHALQDAEKTTEIKPDWAKGWGRKGAALHGQGDLLGANDAYEEGLKHD GNNAQLKSGLASVKKAMDAEVGGPQDPSGGLGQMFNDPQLMQKLASNPKTSGFLADPS FMAKLQSIKDNPSNASEIFSDPRLLTVMGVLMGVDLEMREREVDPNAQESQDSPMPDA PPAPKQPEPKKAPEPEPEPELDEEAIEKKKKKEEADKLKAQGTENYKKRNFDQAIEYY TQAWDTFKDITYLNNLGAAYFEKGDYDKAIEACTKAVEEGREIYADFKLISKSYARIG TSYERKGDMEKAIENYNRSLTEHRTPDVLNKLRAAERIKVEQGKKAYIDPGKAEEARE EGNKKFKEMDFPGAVAAYTEMTKRAPEDPRGYSNRAAAFVKLFEFPSALDDCDMAIKK DPTFIRAYIRKAQAYFGMRKYSECVDACTEAQQIDQEHHKGANAREIEQQQQKAFSAM YSARDNETEEQTRERLTKDPEIMGLMQDPVMQSILQQAQSDPAALQEHMKNPGVKSKI QKLIAAGVIRVGR FPSE_09249 MSSVDIQSILSSLTLEEKISLLAGKDLWETVPIPSKGVPNVKTS DGPNGARGAAFAGGTSAACFPAACLMASTFDVDLAKRIGTALAEETHSKGARCLLAPT MCLHRHPLGGRNFESFSEDPLLTGKMAARVVEGLQEQGVSATIKHFAANEQETERLTV DETISERALRELYLRPFEIAVKEAKPWAIMTSYNKVNGHHADSNQFLLKQVLRGDWGW DGLIMSDWGGVNSTAESLEAGLDLEMPGPTRWRNTEDVIAAIKAGKTSEETINERATR VLKFLERLNCFKDPTIPDERAVNNPKHQALIREAGSKGIVLLKNQDNILPLSKEKVKG KKIALLGHAKIGLAHGGGSASVNAHYRITPWDALHEALGDSVEFSYAKGAHTFRMLPP ISENVVDLDGNLGFTYKMFEPGNPEPIEVRSGHASSEVSLLSGFGFSNKDITLEGTFT AQETAKYYFTVSGLGPSKLAIDDKVVFEQKENCRDAMGFLFGGVNPPEFKVSLEAGRK YKLAMYTSPPVPKEGVDIGILEGRCGLRVGYMSEAEHDRDLLSEAIDVAKDADYAIVF TGHEPFWETEGQDQVSFNLPKDGSQDRLVAKVAEANPNTIVVNSTGVAIAMPWLDEIQ GFVQTWFPGQECGNSIADVLTGAQTPEGHLTCTFPKRIEDCPAYGNFPGKHVDGELRV TYSEGVFIGYRYFDCLSADKVNFPFGFGLSYTTFDYSDLEVKESGDDYIASVKVSNTG KVTGAIAVQVYVGAAETRKGDPMKQLAAFAKVTLKPGESTKVDVPVRTRDFAFFDESA QKWVVNGGEYKFMIGRSAGDIALESKVTVGDKSFKP FPSE_09248 MSVHPEVPRGESWALIPTDLILAELKRRQKDGEKPECGSRSKGS YDTSAHVFALILILVLSTLACGFPLLSRRTMRGRKQKSIIFYCQHIGTGVLLATAFVH LLPTAFESMTDPCLPDFFNKGYTPLPGLVAMVSAIVVVAIESYLTARGAGHSHSHNHG YFDSDDEHESELPMMDTSGLSDRRTGVRPPDIHLEPMENQGLVAGISPLPGSSPMGQE SKKLSDDFNDADSDLDLDMDELDPSGPSNMRSRSGPYASLKAGGADEEPLTPLTPMSP GPQSPEEQQRKMLQCILLEAGILFHSIFIGMAISVATGPAFVVFLVAISFHQTFEGLA LGSRISAIQFPRKSIRPWLMVLAYGATTPIGQAIGLVVHRMYDPKSAGGLLVVGFMNA VSSGLLLYAGLVQLLAEDFLTEKSYKILKGTKRLRAFLAVCGGALLMAAVGAFA FPSE_09247 MAGQRLFGLIVAQVLFAGLALFLANSPYLNMSVAPTKQPWADGP MKLVTTPQYETKKTDLFTTGATHMALLHNAIIRGFNSIYLQAPHVKDADKADFIGYSQ TWFKFVKSHHDDEEDNLFPKVQELLGEDPVWEETHHEHESFLAPLAEFNNYLSGLASP TDLDGAHVIKLMDAFKTDFEHHFHSEISTIAALSNHSKAPKEDSPEGAAASLTFKAWG KKTVTKAGVLDVVPFFLLNLDRTSEEGMWANWPPMPAPVSWGLTNIAGSWYGKWWKFS SCDSQGKPQELYALRGMKG FPSE_09246 MSAGACIVVVTLLAFMSAITRLIVSRTMAVGFPGAVSTPRLWLR CAEAVAHIFRDLGSTDPNIQAV FPSE_09245 MGGCLSVPQPKPKHKHRHRTENSDGHHRRARESQNVVLLTPNGW ERKKRHKKRRREE FPSE_09244 MASDRHVLILGGNGKISRLLTVMLLKKSWTVTSLIRNPDQIEDL KKISEGLPGTHKIVVHDLITITSQEKASAVIDEVKPDSVVFSAGPGRGTDQDTIIRID RDAAIFFIQASVANKAITHHVQVSYLGARREQAPWWTPEDWEGWKKVNSTFLGPYYEP KVAADEALVTEGKKRESLTAVSVRPGGLTDKDEGGVLLGQTKQARGMTTRASTARAMA LVLEQERVKGHWLDVLDGEEDAETAVDRFVREETECAEGEPVLKD FPSE_09243 MCGLVQLIIHFFKKKEEAGSAHEALELGQISHPYDVRRVDSKGN PIDSQDHPLPNNLPVTDPVVTSCFASTRGGRPNLDAVAIFRGLPIPDGSAVTDGTSTA ESTPPATDGAAVDPKVEAGAQAKQDTDPVVAGPAPKDI FPSE_09242 MTMDMPAASTSMAMDHGGMMGGCKISMLWNWNTVDSCFIAESWR VTSKGMFAGSCIGVILLVMSLELLRRSVKEWDRYLLRQHAAKFAESTAAGRPGSFNGK EGNTAVVSCDNPVPPFRPNVWQQAIRALLHMMQFAVAYFVMLLAMYYNGYFIICIFIG AYLGAFIFQWETLSPSGGTSASKEATVCCG FPSE_09241 MFRHLMSSKVRSNAGCWTCRLRRKKCDEKRPVCDSCSALEITCY FNDEKPEWMDGAEQQKAMAEKIKAQVKKQASQRRDRKYMEMLETGTRNVTLQTQNSQQ QQQQSHDPAMAAASDTDPASGHEFGSTPASSNTSGASPPDMPWHSHFAVPREDSSSST GASTEIHFVMIYLDYVFPHLFPFYRPPILAGGRGWVLDVLQSNKSVWHTVISLTSYFF GIVMANGEIEHVECTNRMVHQLQSQLEMGLRELQREMTAVNHKVSCAGARDRLLVLQA ILQMLIFEVSTSNKDHWKMHLDAAITMFQQILPQPEHWKETLEALYSYRWPPPEMGVR RPFSTNQAALRFFTANVLYIDVMSSITLEQAPRLQKYQEIIMPSCKAHVAPQEVRISG SLFMEEYVGLHNWVIQIIGDISTLDSWKKEQKRSNSLSINELVQRGKVLEGAIRGGLS VIEAQAQMPGPMYNPLMSVVASPMHNQDINTKQAPALAANNMIWLQAALTYLQVVISG WQPSCPEIQCSVRRMTHLLTTLPSNTCLRTLVWPFCIAGCLSPPEDEDSYRAMVEDLG PISVFGTIREALEIMEKVWSKRDQIDESWDVAKCMQVLGHGVLLI FPSE_09240 MQPALGALGHTWTAMRAMEFISLITIIGLTSNFIAEMVGADYAA PSALIGTLVVSIFATLYIAISYILYWDGMLPLLLATGADVMLLVACIVVACTVGKPVS YLACPKFPSDGNTANFINSLFHNVYHSRGNVFAWVDPDKASCYQLKSVWGLSIALCVL FSFSAITSGCLWKRTKSTSRPAPKDIEGPPMMSQNRSLGTMAMAKRFDSDSSDAESVR QPWCPPPPTAPVRVKRKEVAKPVLHLPPLPPVPVSPGRMNDDSPKSPLERIWSKRKTI IERVEGWWDLDLLEKRQTLFGGKRI FPSE_09239 MDMNDIKNTVSNLTLYDLKAGFRKAQNAVMNFTEMEAKVREATN NEPWGASSTLMQEIANGTFNYQTLNEIMPMIYRRFTEKAAEEWRQIYKALQLLEFLIK HGSERVIDDARGHISLLKMLRQFHFIDQNGKDQGINVRNRAKELADLLSDVDRIRTER KKARATKNKYTGVEGGATFGGGFSSGSSGRYGGFGSESAGYGGGSGSGSGPGNFGGYS GGVYGDGGGFGGETDDWRGDGAASRGERFEEYDEFDEGERPSASSSRAAAKRPERAPA KKAAAEPPKKKEPEVDLFSFDDPAPASSAAPSASNSSGFAALAPSNAAAPAQADDDDE FDDFQSATPAQAAPMQSPAVTSMASSTAQFVAPQPQSAPQQADISQMVSMASISPAPS ASGTPGANYSAFSIPAAPAAQAPKPAGFQSGPNYFSPVQAQASKPTTSAFSGMGTSNA APSTPTTMANMKPVTSSSAKPAAAGGDAFGALWGKASVGVKKPETPKAGPALGQLAKE KSSAGIWGAPAAGSSSGSAPPKTGGSAMDDLLG FPSE_09238 MRPSLFKPLLPRHTCFSAARTSSSLPQLSNTIRSIRFFSATSAV MGNKVFFDITWQGPVMQNGKATSTVQKQSGRINFNLFDDVVPKTAENFRALCTGEKGF GYEGSSFHRIIPDFMLQGGDFTRGNGTGGKSIYGEKFADENFTLKHDKPGLLSMANAG PNTNGSQFFVTTVVTSWLNGRHVVFGEVADEESLNIVKALEATGSGSGAIQYQEKPKI VKSGEL FPSE_09237 MPTPAPTEGDAAVAKLGVWKEALYERCRESGTDMFSQDDLMRLD VIPNRDLMLLARVVQSLTDDKLFITMREASGQVLWKWRDSQEAHKYKQCSTDEQVMVY SLIDDSGGDGIWSQTLQKRLNMHDSVLKNALKQLQAKGLIAPFKNVEHPNKKMFIKAS IRPSDRATGGPWYTDQNLDEAFIDELQRVVFDFIKRQSSYHSTHGGGAARTQVPKKGV VKGGVDKGKKRDASHMDEPPAKVPKMSPTTTVKKDALLPLPAGYTGYPTVRDIARLLS ASGITHNTILSEHDVQKLVDVLVWDNLVESVKVAGKIGFRVSRVAKQSLESWAGRDDP TGREGGPESFVSAFTEAPCGRCPVFEICEEGGPVGPSNCEYFKRWLGVE FPSE_09236 MLTAAEKQAIEQELDALFEDYCFTTPTNKDAKTDNISPEETTAQ VAALEPRNNGITNEVSARLLRLARLTPELASHAIECERQRFLCEYSPWNQAEARLRSL QHSSGMPPTSVAPPQEPSTKRG FPSE_09235 MGDDIRVELGSVALNASSPKKVAYFYDSDIGNYAYVTGHPMKPH RIRLAHSLIMQYNLYQKMEIYRAKPATRGEMTQFHTDDYIDFLQKVTPDNMDSFMREQ GKYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRQKCDIAINWAGGLHHAKKCEASG FCYVNDIVLGILELLRFKKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPG TGELRDTGIGQGKNYAVNFPLRDGITDASYRSIFQPVIENVMKYYQPEAVVLQCGGDS LSGDRLGCFNLSMDGHANCVNFVKSFNLPTLVLGGGGYTMRNVARTWAFETGVLVGKE MDRTLPYNEYYEYYAPDFELNVRSSNMENSNSREYLEKITSSVIDNLRQTGPAPSVQL QDVPRKPFGGMTDEEEAELDDLDEDENKDVRMTEHRWDKHVEHDNEFEASDDDEMARV NGATRQNGNKRSFTDYRKGEMDVDNPDAPPAKAPNGASNDEAAEEHTGEDGHDVNDDT IDDISAPDQPEKDQPEKATETPTENAKEPEPSKVDGDGDVGMEDSAVEETTIKKEDVE PEAPEAPTEPSAPTEKSAQDEPAAEAAAADAATTKPVPEPTPAEKPAESTEKAQSEQP ADAMDVDTEKDKPEQPKEKSKSPAN FPSE_09234 MERFRSLLGNGGMGLGGAAHGTDNTNLIDNSETVYISSLALLKM LRHGRAGVPMEVMGLMLGEFVDDFTVKVMDVFAMPQSGTGVSVEAVDPVFQTKMMDML RQTGRPESVVGWYHSHPGFGCWLSSVDINTQQSFEQLNPRAVAVVIDPIQSVKGKVVI DAFRLINPQLLMLGQEPRQSTSNLGHLNKPSIQALIHGLNRHYYSIGIDYRKTALEEN MLMNLHKHVWTEALEMNDFRHEGCKNKDRLQQLVTLADGYEKRVKEETELTKDQLKTR YVGKLDPKKHLEDVGQELIEDNIVSVSRQMIDREATMPKRETPAGSKGQVNGEEMDVE EEL FPSE_09233 MGFRSSLRSLFSECFSLAMKDSDYEKQKQKQKQKKHSRRSKKYP QQTPCHCKARNDYNLGTRGQQQGNYHYKSQGQGQSQNQSQEVRQASGGLTQARRVNRP DLENPLPVVPRQRPPQHQSPYRTPIPLQQDGRQVQKPASAPDEILYPFALADRTGSNE AIIILDDRSMNYEAVCEVIDMIALRFSHIPYAVSGMAAMVYYGYDARPYKVSMLCPEH TRENQKCWAKALGMLPMPKRHDIWGVSTSDGMLRQIRVRFPYDFEEMHVLKVGNSAVS MLSLAGLADELARTYVNELKHSDQDRQENLANEMVWILNRIIQCRMTEHMLRPERIPH LIQERFWVPFSLAYPEVVPLFAKAGWRIPDDELY FPSE_09232 MIAFTLLLSFLVALVAAEPTTCAKGAHVIVARGSLEPQGPGAMG ELAEKVLKLVPGSDMEALVYPALYNEYLDSQPVGVRTLTSVIQAYVKNCPKTQLVLMG YSQGAHVIMDTICGASSTGFPATLPQPSFVTDKISSVILLGDPSLTEGQTFHVGTSVG SGMFPRNLPAGCDSIAKKTVSVCDKGDPFCEAGGKDLSVHLGYIPVWGDYVVKESVKL AKAFIKKAKAC FPSE_09231 MIFLITYLLLLVVLVSARGCNLVTNLTCTSGAHIIVVRGSLEPQ GPGIIGVVAQHISSKIPNSDISALEYPAIYDPYKPSQTEGVRALTKVVKLYAALCPKT KMIILRISQGAHITADVMCGTSSVGFPATKPQPRNIANKTSADSNRQIFPRQKPNGCR YVSGKTMSFCEAGGHDLSTHMRYVSTYGQTAADFAVSMFHLA FPSE_09230 MPSSTLDDLVKAPEHQIRAILRALCQDQGIRDRVLGHLDDLLAT DDTPTNSKKRKADDELCICVQCDEAFIKSENTDDTACYYHWGELEVDYDADAWADHDE SCHGTIDTEEMRKENPDGFIWTCCDKPGGGEAGCKLGKHEADPAKSRRETGFEPSDSD EEEEEEEEEEEEEEEEEEDE FPSE_09229 MSISLENEMVHLAPEHQLRAILLALCDDSSVCKRALNHYRALKA ADNPTTGLKRKACNDLFVCVQCDEAFTNEDNTKTSCRYHHGDLEVDDSEDFWADHDED CHGEIDTSEMREEMPDGFKWSCCSKLGGTRGCTKGKHQADPARSQRGGDVPAGSNLRK NHGSHGAPAEEEEEDDDDDNSSDDEDDEDDDEGDDE FPSE_09228 MSTIQQLKNFIRHGKQARAAPEEAPRKTEQQQAPAVQHKNASDP STYARSQEPAGHDYGDDEYSRGKSKKRVDDEKLAKLIAEENASKSKFPRYPGLERWEL VDKMGDGAFSNVYRARDTTGVQGEVAIKVVRKYEMNSMQRSNILKEVQIMRQLDHPNI IKLVEFSESRQYYYIVLELAPGGELFHQIVRLTYFSEELSRHVILQVAQALEYLHEEK GVVHRDIKPENILFEPIPMVPSKHPKPKQPGDEDKVDEGEFIPGQGAGGIGRIKIADF GLSKIVWDNQTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLYTLLCGFPPFYDES IEVLTEKVAKGQYTFLSPWWDEISKSAQDLISHLLTVDPEKRYTITEFLAHPWIAGNG PTPRDEVKKADGMLRAFDATKFEEAGRRYDFRSPGAVNLREVFDVGYAVHRQEEEGKR RAQIGPKGTPARFLGGLNEEEEDEDHMEIDGQENDDAKPNAATKALEQSMRKANIRDQ EQQQQQSRGRERERTEKGYGQHSATVAAAARQQVRDRNRQRGAFELNLDNATLLGKRN KKVPVMGV FPSE_09227 MEKDPIPVPFPPPAAVDDVDSTLGKEDGVAVHYGEAMSPLEKGL LWKQDMRIIPLSAAIYFLSFLDRTNIGNAMILNSSTNNDMQRETNMSDHQFVISLMVF LVSYAVFEVPSNMLLKKLRPSRWLAFLMFCWGAMTILTAATNSFGSVTAVRFLLGVFE AGLFPGLVFYLTFWYKHDERSVRVAFILASATLAGAFGGAIAYGIGHMNGVSGLSGWR WLFIIEGIPSCLAAFLVLFFLPDYPERAKWLSEAEKDMAIHRLYYEGSKESHPTMNWA EAKETLMDWRLYAHYAIYFASGPAFASLSLFAPSITVGLGYFDLKAQLMTVPPWAIAY VCQVLVAWSADHFNARGIHTAMAATVGAVGFIVSAALPADSYKARYGGLIMATAGSFA CMPPMLGWLSSNVFTTASTGLAIALNVSIGGGIGQIPGVWIYQTSERQGGFTTGHWVN AALLLFVAVMALGLRLFYGWKNRQLREYAEAHGVPYRCYKL FPSE_09226 MVRVVGSDTASNNVRDEETPLLGVSPINPLNKKTWTHRLQHELS CSWADAVLLICYVVTGLLDSSSIQVWGTFVSMQTGNTVYVGLGLASFMTSTPGPRLYK SALSIASFCLGSFLFARFHRLFSPRRRWVLCASFTLQALLTSAAALIVTFRPPSQNPD SLAWNVLLPIVLMAFQSCGQAVASRALKQNALISLVLTSVYCDLFSDKDLFALDNVSR NQRAAAPLLLLFGVFVGGLFAQSSVGITGALWIAAGLKLCMVMAWFVWPSEEEMDED FPSE_09225 MMLKYITKPTRKGVADALLILALIGSVISVILVFIVFIAGTTII RGDPDHLLWRPLALVSFDGVISNNDTSRFLVRLHWYASSFGWEHPTAPRGLPKAGITS SGLRYHSTIVNDLHQIASTLRLPEDTWNCPKPGPYEDPCGNIFFEAWRSFLASGGLPI PSWNVWINIVAALLLGTMDIFREWIIPNRPHWMKCRCIIGKRWCPLPKGSKEEIEQFD DYVWDKVRLAYWALSAGFFGIAAGHTCLNSVFFVRYLSYFEERLPDGISMHGRRRLAS EILLWVAFGIKTFGALCMAVRWKLSRRPSGWTDGQNLGRIDRAKPNGTDGAKYTD FPSE_09224 MLSSTRFQARALRQVISKQPSHSISTSAAKSRLITPSTQLTAKS LTTFNSSTPRSASFATSAEATAEPVNTNFAAVATGGIPYPGIPKIEDPYKKRQWQLEH MAGAFRVFARMGFTEGAAGHISVRDPVDRNTFWINPMGVHFGMLKASDMVHINEYGQV IGGNRVAVNAAGFTIHSAIHKARPDVDAACHAHSKYGKAWSTFGKPLDMISQDACIFY KDHSVYSDFGGVVFEDDEGARIAQALGPKNRSVILQNHGLLTAGGTVDEAAYLFSLME RTCEVQLLVESTGLPRNTISDEAAEYTYRYNADPNTLYTEFQPDFEYEVWKSNGELQS GVN FPSE_09223 MASKTTAPFGDWESPISLDSIVSKTRSLSAPRVNLESGRAFYAE SREDGSTTIVEILKNGRKEVLPAEYNAKNSVYEYGGSPYAVLPDDRIIFSNEDNTVHI VDPDSMKCFKLAGGPKLRYSNFEANPKSDWVVANQEDHEHDTPDGVRNYIVAINTRTK DTVKRILDTADFYYEPSFSPDGSKLAWLEWNHPELPFDAARLYTATWHVQGSISDIRL IAGKDREGVAEPRWGPDGSLFFGKEVGNYRRLFHIFPGSDEQQEVNVKGLDNAEFGEL RWFQGCHTYVPLSERQLVAAPVILGQSRVVLIDLESKSWNDIGDTGRLSEVNLDAVAR LSNTSVLVIGAGDTTGKALYQIDVEGTSQIKELRSSTDDSFPESFCSKPLLKSIRSKG LPERELHGFLWLPHNPDYQAPEGHLPPLIMISHGGPTSYLGPGLKPRVQYFTSRGYAV LAFNYNGSCAHGKAYRNALWGNWGLVDSDDAAEFADNLTETGQVRAGGVGITGVSAGG YNTLRSLTRHAKTFAGGVCLSGVSDIKRLDDSTHKLESDYTDHLVLATDVDGSEKDKI CRERSPLFEAHKITAPLLLLHGGADKITPLDQALEMASAIEKAGGEVELIVVDSEGHG FSQPKNVKLWLEEEEKWWRKTLLKG FPSE_09222 MDDPDVAWPAWKFGMKRDDLFTNLHQQYNTFTFNLQDPEAFHHD VYEISHDADTVDEFHRLMAERKQQRLCELHESLESLAVEIIANPKLMDSEHWQHALQL FRTKSFDSIVRYFASYLPANYVDRNERDHDTISIASSSYSEANSVKTDSTTASSVDGV SSFFDDEPVMKKKSPLSIHTDMRSSSIVQAPPSPPHSVVAHSDESSASSPMESHRYSS NPPSRSMSFSGSVSGPFLPDLPRLLVHDDDETSQSDDNDEAVISDSDCAESQSSLDTM DEGEQPQEYEDDLEDEDEFPTAQFPEDASDAFDFCNDTPESDNTPTPRQETTASCYVE YKSVAAWRIPSPRRSTSPSPKTHAYRRESSVLMDIRRSPEESLSKIQKTMHDSQRKRP VIWRRLD FPSE_09221 MAEPRLGLSEFISEERTEPFSQSEIDARFKGIRVEEPVPTTTSS AVSLDELHVEDHHHKQGIRILFRPLASFPNSRAVSPGSESCQWLALRAEVASPEQPEH KVLAVTQTSKDIKFSVRIPGETQDASPRPPLWCELYYDPASDKVIFLNKSDVPISLSS VVPTPLSSPPVSAAHIINPGSAKALKPGTWRITVRDIEVIDFRVLEKRPVTIFQPRQP TIPEDVPPTSSTPTINSSGKRALTPEYDEKRVKRRISEPDTPGDDGVIMFLRPSADPL VFSLPNGRESKELSTVNGHALLDAEKGDTVAIPSVCELDEYQLTKREPIASTSLSAVY TATHSHVPDNIVTVKVLKTRVANSNDKSLLHERNVIRQADMWLRECRSQEDLQHKSIV RYYGGDARFLSLYMEHIDAKDLTAAPRWRNKANDEFLGDINDATRILCDIAGALNYIH GRKLVHNDIKPANILYSPERGAVLCDFGLSTLAANSPTTGGTPYYIPPEFIGRKQRGP ASDTWALGVTMLYVLRKISFPDSRARRQHPRPLYWLIAGVNSPNTSHKQHGNGQPAII QMRDWLTEIFDARENLNPKDRLERIVKEMLYPNPNHRITMAKVLQELATDQVAVAAG FPSE_09220 MIASKTLIGLATVQAVSAHFGLVFPTWRADTLTEENEDRYSQWT YPCAGVDYNKKNLTDWPLEGGSLTLDLHHDWSYIFVNLGLGENTTNFNISLTPEFLNA TNAGTLFIEELTLPSSVNVSDGDIGSIQVVTVGESGSALYNCADIRFKNNAKGPSNKT GDVDYVMIKQQDGNGTEDSGSNSSSSGDKGDSGNGAGMVGVNTMALTSVVGLAAAFAM GFSL FPSE_09219 MDPGRKAMLAGRRSPTRRDVTSPRHDPTNRITKSTKPTASKVNP KYLTQDEQSRQFVADEDKFVLKQSKKKANIRIREGRAKPIDYLAFNLRYIDTDRDVFD DDDADAEIDVPAPGDVIASLDIEQIAELDSDIASYHVLETNATNREYWRSLQTICADR KAKLDPHGHERRVVSSVSDDIDKILAPKTHDQLEALEKQIKAKLQSNEDIDTDYWEQL LKSLRVWKARAKLSQVYEAIKEIRLKQLNERDPAKAKALGQTTSAPEMTPASRPAAPV SASPDPTAAQAGVSSSSHAAKPAPPGTERFSQADEDFSQATKALYDREVARGVDENEE IFTSEEAVTSSQPQWADKYRPRKPRYFNRVQMGYDWNKYNQTHYDHDNPPPKVVQGYK FNIFYPELIDKTKAPTFKIIREHGRRRGESFAAAGEEDTCLIRFIAGPPYEDIAFRIV DREWDYSAKKDRGFKSSFDKVYSSPLMALHVATLLMHWPFWAHTVANNGWPLDEMHFM QPRIVHVYGSRFKHRACKRHVFHYTLNNSIN FPSE_09218 MDPPFPGEGQPSSYTNNLPGHGQQSPCVPELTGMESYYALSSGP QDQASHLSSACPLPLGPVLPQHQENQQQRSHPTHDANPYASSFSGFQYPPRSHHQGWP SPPPGPDDYDNYSYQSSPSSGSAPMSCYNPSPISPRTWSSPDFPLHQPEPLQSQQNSL KNLRIGTPTSNEGYPVRGPGVLTPFAGGNTRQGFEGENDGLPRNYSPATVPSSSAGAL SCTSSPQEHLLGTPVHMEACQDSPAGELGYRASSEVKTETTELRGATVNAKAEEPYAK LLHRALMSAPDHAMTLQEIYQWFRENTDKDIKKDKSVRRPGKNAEGWQNSIRHNLSMN KAFVKREHNKQPSDLASNGTDQGSTKAGDSKKPTEWVLVDWAVRNGVESTTKYRQKEP PRRSMGARMHHHHPYDPRLQHGSPISAKGSSSRKSDPSANRLSLRGRHYTHETNMPPT SHHVMAHPHPIRRTTMPSMYQSQSQSQQGYEDMMIPRIERMPQTVVKQEYSPMTPDSN TFGFMLPEPSLIHAHAANSSSSASTHGTTAYALPSGAQSMYLGSSPCEYPYGMVDVTG VYQGSGHNTASVGVNERLMGIGPNPVYNWNNQGL FPSE_09217 MSLNKSWRPLPLQPSPDLPVLLVSFHTETSAYTIHITDMANMWT ESLDRKQIFMRGWSENTSIDPSDTPDNTVKFLASISTALDTSQPGHYETSLCLDHDNR SEAGEDDLVLRITCEIPGLQPLQWPMYLKKLPAINIATNLVLPLIQTHHAKDLEIASL IQSLGQKDAALTRLLDKLEAMGTGMEHIFHTLSGKKKVSRAAAAEKVPGLAPFDRRRW KADLQYKQDSPDNPQSLVESVFENGGLEFEPMSNSTESPQLDQWWRNFEGVSSVAHQQ QHKAAVADSSLIPKDTEIIPVEDGDDDFQVQSTPPHLASKRKSIDAKETLATDDASTE GESAGSVSPVRNAQKVDKPTRRLGALGKKKQSTPPPSPGPVLSQRRSDSKSQQQDDSE TASEAEEDDVVVSPPAKDPTPSSVSPARPLAKKSGLGRIGGAKSKLPAEKSSAPDEPE VIEISEPSTAAVSYRPPRKLGVIGRQTAKVDESTPNSDERGQRGRSAVKEATPEPKVR ETSQERADRRREELKKDLEKKVAAGPAKKKRRF FPSE_12017 MPSKRLKRESSSPSAAGTAKKAKTPKTNGSGSSDALRRPHHKAQ EAEENGIAIRKFYPAEMSNARARAYNDNEIPRPIEVLVDALEVTAKERKSIDVKDAVV HWFKMDLRISDNRALALASDKAKEAGVPLIALYIISPQDYEAHVRAPVRIDFMLRTLS VIKEDLAKLDIPLYVETVEKRKHLPDRILELMDEWGASHLYANMEYEVDELRREAAMI KDFAENGKAFEVVHDTCIVPPGELHTGAGKQYAVYTPWLKTWIAHIHENLDLLELYEP PEKNPDSARKKFKKLFNVEIPEAPKSKRLGDGEKERLRSLWPCGEHEAKKRLGKFCEE RIGNYHEKRNIPADAATSSLSVHLASGTISARTCVRTARDRNKTKKLNGGNDGIQTWI SEVAWRDFYKHVLVNWPYVCMNKPFKPEYSNISWSYDNDHFAAWCEGRTGFPIVDAAM RQMNTIGYMHNRCRMIVASFLAKDLLLDWRKGEKYFMEHLVDGDFASNNGGWGFSASV GVDPQPYFRIFNPLLQSEKFDPDGDYIRKWVPELKDLDSKAIHDPYNRKAGPQAKKKG YPAPIVTHKDCRERALAAYKEGLAIDE FPSE_12018 MENDRGEIVDLYVPRKCSATNRIIKAKDHGSVQISIAKVDENGR AIQGENHVYALCGFVRAMGESDDSLNRLAQRDGLLKSVWSGQR FPSE_12019 MVSPDVLGLSPLRDTRRQLLLVNSSSPDLPPLREVLANSQPQNQ NGHRTSTLPMNEMPGFVSARKVTSTPSLEASVTTANSKAVAGSADEALANGGFIAGDS ATPIQQEEDVIVVKITGKSSRKLRERKVTKPAAPKRTKSIPSTKSQSSKDDAADKDIE DTQVKSKIKKKRTGTMSNHFPPAQKYDATEKLEKANMNEPLHLEQAPARRLDWTPPAQ KAVVSIDSDSSTFKRLGSSEPDQPLPVFKNLVGGYACAEEPSELAYRTAHASDEDSSF LKKRKRIELLATKATSSSVAEPEKSPTKKPPKKKKPRTITELATAAYRVPSQPDPEAS SASLLDHFSIVNHDIGGAAADAQATNLKGKGKQRRKTAKASKKKAPPQPVLLSPSAAL AQVANQDFVFGTSSQLAREESPTVLRDLQVALRQSDQNDDIDFTIPIQSDGIEPEQQR SKLWDAAARDAEGDLFDVEVINLIEDTTIPPVESANANPFGYHVGGDDSIIMIESHAP SEPNPRVELPETPALPGERAMSALEGGSPYFSDSDFSVSTNIGPARPEQNYAADETLV SPTEEIERLPELPPQPPRPSYEDFTDIRLAKEIKKFGFKPIKRRSAMIALLDQCWQSK ARTGQASFHATAVSPAAAKPKTTKVTKTKTPPKAAKSSKKAKGQSRRNSVSASEPQEP PPSAQPPETPKRPGRPRKDSLESSPGITSPSKRKSASPPKPTASSRRGKTSQKSVIEI PDSEDNGSDFASSPQSNLQQTSPSSAPFDISISTNGDIETVLEVTQTDEEVALFEHIA NAIKSAPRTKDPQTPSWNEKILIYEPIILEDLAAWLNTGELSRVGYDGEVDSNDVKKW CDSKSVCCVPRTNHRGKERKRF FPSE_12020 MSNYMDSISLGPGFNNIGMSEGLDSAQQSTTSPSSIAHQDASFH TQPTSFQGNVTVYQTTGPDAHTSPIQQPGSNTPALNPRSCVTCRRRKVRCDKQMPCSN CRRAQIPCVFPAPGRAPRQPRRKDPNAPPKNSSQREIELMKRLRKLEGIVEELSGQIE VESGGKGQSSASSPEAVHPGQNNSFDTSAHNAQRHLSNASSQQGNAAITGESPRGSEA TSEQSESTRKSMHKKFGRLVLNDNDTSGSRKYVSHGLWAKLNDELDSIREETQRLTDE DFDESDLEETPDCSPVAALSANHNAFIFGYRSTEVDLDKYWPLPTVIPFLWSVYQENV EPLIKVLHIPTMEPVFRDARRDHKQLSPGNEALVWAIYYAAITSLDPDEVRANLSVNK EDVLVQYRFAVEQALAKANFLNSLDTPIMQALLIFLLVVKGQDGSRFCWSLTGLVAHL AQGMGLHRDGSHFGLSPFETEMRRRLWWSLLLLDLRSADELGTDLIITDYDTQMPSNI NDADIKPDSAEAPEPREGHSDCAVAIVRFEIAGFGRRLVRLSSASMSFCPKNMPLENT TLAERENMLIDVYQRVEHKFLKHVTADTDPLYWMAASIARIIMAKMTLVIYQPVLFPG SEGEGALSEEARERAYLAALEIAEYNHILNNDTRCKQFRWLFKTYTNWSAMAYFLIAS CRRPWTPLVERGWEAINGYEDHPAQLVRSGDNTSVVMPIRRIYSRAQRHRAAEIIRLR SNPEEARRLEFEERTNPRYQTRFGQETDRNVNKMDEIREKWRYLVRADGSNIPTSTPA ELSPAPLPEMLQPTTQAQIPSRPRTPSVNDIPGSFDLSDTTMDFMNNIMSQGADFNMA GFWPLNDAGAAEMKAMNTGTTPMTTTAQMPQPQTTQALDMGQQFHPQSMQIPKDDVPP PYLWGGVYQNMNPNFGQTAGMDDVDMLGEDFNWHHWSQNVRGM FPSE_12021 MLGLASFITYVMIEKCIFSFFFYVNVSSLFLCASTGSDVSFTKL YQYHRIVIATGTPQTVRSFGADLHPHRIVKSGPRLAVLQVLTCPYPAVQLEPYSAFAG YVVYACQSLYLVLMSLLFRAIRRSLSRQPLRDTNYAPHRCNHIPRPYLPLMYFRQESS SANKPPPSVPRPSSSVLLVSPANEVLLLHRVHTSSSFASAHVFPGGNLDPYHDGAIPD EGSPERHQDGLAYRIGAIRETFEETGILLARKNNELINLDVKDRDAARKMIHGNQVKF LEWLESVGAEPDLDGLIPFTRWVTPATNNKRFTTQMYLYMLPQSRSDMPSEMLIPTPD NGVEHTAALFAPAQSFLSRASTNSIILFPPQYFLLTLVAKIITSSSEGTGPQQILEQR EQLLSFLKQVPTTETEKGKQHKTSMIPWANKVMSPHNLFIRKQDKRIVLGLDKPGPEL RDSERGGDWERVVLVNFGKGGPTNVEVRGREEVLEEERQNYKSDRLPLQRHKSHERKE TERLVRTSGHISDTSQ FPSE_09944 MAKISRGAPGGKLKMTLGLPVGAVMNCADNSGARNLYIIAVKGI GARLNRLPAGGVGDMVMATVKKGKPELRKKVHPAVIVRQSKPWKRFDGVFLYFEDNAG VIVNPKGEMKGSAITGPVGKEAAELWPRIASNSGVVM FPSE_09945 MDRSRRMPQRRRPLGASASPTSTSEASFDLNPLTSPVVAQTNTT PAGRRSTKPLQHAFTFSPPGSSRNSNSKRRSTTMENFPMPDEGEHGPKKGGHSLRKRA RVDYTFEHIDDDVVVPNSTSSARGKKRRSEINFDTDDFYTNDSKRRGASMGADTPSSR RRNPTRKPSDLKVFHQAALQDDDNEVQDTIEVGAYYSDVDDSELREGAASNNSSPQSK MSPKNSPKKSPQNESTKNELPAPQFPSSHQTELSTENTAHAAELSQIPSEKLNPVVEH ISNSIEEPVSQPAEPQAKNFPNVEPEPETFNDNQQQSPAVADTNDATAKLEVTMPSTE PEPASTAATAVPAVSVKTEQVDQPVSLPVSVPVPVSAPVPAPTIAPPPIIDENNQSES IHSPIVPAPEPEPVNGIMELPTTAEPVPQNNPESDSFTNNTNIEEEPSHQPTFEQIPE SPDLLSNQHQEDKMDQMDIDLVQEEQPAQDVEMTDAAANETQDVQENVSTLPPELAPA PVPSPAPSPAPSPTSTPTSTPTSALAPLPADLPSPLPSKSIPSSPPRSLAGLRSPSPA REPDQPPQDNIAPSQDSIALPQDDAAPPREDTASPQEVVVDDISMSVELENDSIEAEL NNESVGNNENNRDNDDNEDNEYNEYNESTEAPITTDEPQIPDSPQNPIVQEEPAALSS PIELKAPTPPPAETIETPASSAPSEPKSSPQKSVASRIPLELMPQPTPAGRWSHLKPY VDGEFLLYPEKKGGDEDGANDDATPEGKDTDREGADMEPMVDDQDDTGLEAPTPALNT PTRGSPVPDSLDPTALNSPAPVGDADDDADTSESQDPLERTRYYKYRKLRDPEEYISA IENYEDMSTEDLYELLEAINVSMVQWQDEWSDLGAIVDDYENSLRRRAADSKYEARTR NLHQHGVNYEEPEFAVKGYKSRDKEGLTETRYLQGQDRIMAATYGFEYDPHPSKIGKQ NPETQQVGVMTRGRSLRNQPRQTVKATETDEVVGKRQRKPVQLFDPATQDVSRSSTPV PTTRGGRRRKNANAEDEPQTNLAVSFNSDVVSDGEGPKTRRKRGTRGKNVAPAEDAAP TPDAEDAAQEEPVKPTRRGRARPAVKYEEADPNEFVDDEPQEEEEEEEAEAEEEEVEE AEKEKEEKPPVKRHIVTLKIPSAYLAEFTPEMEILDNGDSRPTTACSEESSLTAESSY SFRPKRQRHFRDNPDGGEESGQAPPKKRMKRTSGGTTVTETPSTASTPAPSTEPAQVL SNRKIQKIKVVRSGQDIKNGGTPQAQPALPPPPPPQPATPTPIPVDDNDDTPKDYKSM TKSEKMSASMKNRWANGNMAGAVEKRKATLAAKKAAQAAAEQRTGVVAPKPKGKAPVK RESGLKMQMVPEQPQMPPQQQQPPPPPPPPSMLHQQPPMHPHQHHHHHQYPVHHQHPQ HHHQHPPHPAHMQQMHQPHPQHLPPPPPPPHQPGNPPPPFMHSHGQHPNHGHGHGMPG MGYPYQ FPSE_09946 MASKKTKPAAGDDVDIDELLSGLDRDTKPPKKTTKSKPTSAASK AIADQDILADLESELAEQPSRPHTPRLRDATRRSTATPPAGDPRKSTDSARSLKATFT PSATSSELHENEKKPTSEPVQQQDPAPQASGGGWWGGILSTASAAMKQAEAAVTQIQQ NEEAKKWADQVKGIRGLDVTTLRTYGDELRHRALPTFTNILHTLAPPISSHERLLIHI SHDLVGYPSLDPLIHNVFGHVMAQVEGGDLLVIQRGQESHSRRSTDSAAGWHDGPWWR QTDTARELGLINGLPEGTKLCRANAESHANEYFSANGGVEAAKLKATEDVSETNPVRT SDLFLAVQAIAVDSDGTLFARTASAEKEKQSSNVQDQDDEDEELICFAVFILDPVHDI EFYTVSQSIPARWVQWLDTPAPLTPHSGEDGDASDANVPDEIRDIIESGGVDPREWVA EWLEELLNLSIGTVAQRYVARRMGVGEGGLGRGKKRMEDLVQDNAGEAARAGVI FPSE_09947 MFKLTKIRSPRVYRFCSSPLLPMRSLTNNYATQAGKSQSTTTKN KSNSKYENLPLSTSGPINCALSGTSLLNTPYLNKGSAFPPDERRAFNLTGLLPQGVQT LEQQCKRAYEQYSSRPDDLAKNTFLTSLKDQNELLQTHLDEMMSIVYTPTEGDAIQNY SRLFRRPEGCYLNIQDPDHVEHNLTQWGNPEDIDYIVVSDGEEILGIGDQGVGGILIS VAKLVLTTLCAGVHPNRTLPVVLDCGTDSRELLEDELYLGLKEKRVRGEEYAKFVDTF VQSARKLYPNAYIHFEDFGLGNARKILERYRPDFACFNDDVQGTGCVTLAAILSGLHA SDQKLKDLRMVIFGSGSAGLGIADQVRDAIATGGNMSHEDAAKQIWLIDKSGLLTSET KDVTESQQMYTKDVSEWKDKDANLLSVVKEVRPNVLVGTSTKAGAFTEDVIRAMAEHH ERPIVLPLSNPTRLHEAKPEDILNWTGGKALVATGSPFPAVKGPWGKNGEEITINVGE CNNSVVFPGIGLGCILSRAKHLTDRMLVAAVEGVSSLSPVLKDSTAPLLPDVGSVRDV SVRIARNVIQAAVKDGEATQEGIPEDEDDLEEWIKEQMWDPEYRPLKQVDLESATREA RGELKKAGTVHRTGHT FPSE_09948 MVNFKNLAVAATSLLGLANAAPTAKVNSDEVIPGKYIVTLKSDI AASKIESHLNWVGDVHKRGLNERAEKGVERTYNGKYGFHGYAGSFDKDTIKEIKENPD VALVEEDRVWTINWTDEPEEESLSKRAETTQSGATWGLGTVSHRSKGFTSYNYNTNAG SGTYAYIVDTGIITSHNEFEGRAQAVYTAFSGQNADTNGHGTHVAGTIAGKTYGVAKK ATIQAVKVFQGSSSSTSIILAGFNWAANDIISKGRTKTSVVNMSLGGGYSASFNNAVQ SASSSGIISAIAAGNDGANAANTSPASAPSAITVGAIDSNWAIASYSNYGTVLDIFAP GTSVLSAWYTSNSATNTISGTSMATPHIAGLVLYGISVNGVSGVSGVTNWLISTATSG KITGNLRSSPNLIGNNGNGLQ FPSE_09949 MAPRTVTPVNKNWQFKQEKEDDASYLPVAQFPTNVHLDLLHHKK IPDPYIGKNELQVQWIGETAWVYKTTFSSPKIGDGEKAVFAFDGLDTFATVKLNGEKI LETENMFVPERVDVTKHLKEDGENELHISFDAAYLRGWKLTEEHPDHKYIAWNGDGSR LPVRKAQYHWGWDWGPAMLTCGPWRPVNLEVYESRIVDLNSESEVEESLQTAKVIVHA EVEGKATKVRFDVSLDGKGVASETAQVKGEHATTTFHIQDPALWYPIRYGKQPLYTIK ATLLHGDDEIDSVSKRVGLRKLELVQRELDGQPGTSFFFQVNNIPIFCGGSNWIPADN FIPRISKDRYRDWVKLVAEGNQFMLRVWGGGIYEEDVFYDACDEFGILVWQDFMFACG IYPAWPELLRSIDQEARANIKRLRHHPSIVIWAGNNEDYQVRESENLTYDFDDHDPES WLKTDFPARYIYEKLLPEACKDLNSNAVYHIASPWGGKVTTDPTIGDIHQWNVWHGSQ QNYQDFDKLVGRFVSEFGMEGFPNIKTIDAFLPKGKDDPERFASSYTVDFHNKADGQQ RRLGLYMAENFRFTIDPLEDYIYYSQLLQAEALASAYRLWKRQWQGPKKEYCSGALVW QTNDCWPVTSWAICDYYLRPKHAYYTIKREMAPVTIGMTRREHKHPKDKYTRVDVETK TQVEIWGSNLNLRDVTVDCVVKAWNVETGEETYSQTVSSELVLQSNRSTEIKILDVPV EKPHADLEAKTVVGAYLYLDGKQIARYVNWPEPLKYIHFQKPKELKVKINEETNTVEI SAEVPMKGLAMECEDDGVRFDDNLVDIVPGEVVKIGIKGAKKDTVLKTQYLGMQIHA FPSE_09950 MTRPRRHSGASEESSGTAREQELGSMYDYLAKIILLGPSGTGKS CLLHRFVKNEWRVLSSQTIGVEFATKIIKVGTGSRRKRIKLQVHHSLLRNNREWDIDV TQLWDTAGTERFRSVSRSYYRGAAGAILVYDLTSHASFRNLQPFLNDARALASPNLSL MLVGNKVDLTDTLVDTSLPPPTPNSVTSNSTLTSGMLGGGGSSYTSTATTRDRGASIS AGNQLRATIAPEGREVTRAESSRWASTAGISVVTEASAFNGEGVDEIFERLARIILTK IELGEIDPDDPASGIQYGDSGGWNTASDGGSIKSSMTGATVDDVHNGLRRRRKKNRTQ NWGLREWEEVFTLSSRRRGGGCC FPSE_09951 MKIQDRTFIISGGASGLGQACVEDICANGGNVAILDMNEESGQE LVKKLSTSTKFFECNVLETESVTKAVQDAAKWAKETGKPLGGVIAAAGVSTPATILDR NGTAFDLENFDFVLNVNLRGTIDLVRQTLEHLAKVDPQGPDGERGVVIMVASSAAFDG QKGQVSYSASKGAVTAMTLPMARDLARFGIRVVTIAPSLFESRMTSVMPEKVRKSLEG AMEFPRRAGQPNEFAQLARQGIENVMLNGVVMRLDGAMRMPSKM FPSE_09952 MASTKVAILDDYQGIADQFFTKLDSSKYQVVSLKDTVLPYNHPD NTQEGRDALVERLKPYEVICTMRERTPFPAELIERLPSLKLLLTTAFRNRSLDMDALK ARGIPVAGTVVKPQIGKKTGGVSSTVQHCITLILALARGIARDDAAMKEGLWQTGLAA DLSGKTLGVLGLGRLGSDVARILHVAFGMKIIAWSTNLTQEAADEKAREAGLAAEDEV GEKTFKVVSRDELFSDADVVSVHLVLSDRSRGIINSQDLAKMKPTSLFVNTSRGPLVV EKDLLDHLKAGHIRGAAVDVFDLEPLPKDSEWRSKNWGRDGSSQVLLTPHMGYVEGDT MKSWYEQQAENIERWAANEPLENVMT FPSE_09953 MVQFGSRTDASMTGLPTEQAVADRRVGNPKRDRIRNAIVIILGE FCGTFMFLLLSFIGAQTALVTNSPSDPGSALLPFSLMYIAASFGTALAVNVWIFYRVS GGMFNPAVTLGLVLVGAVTPIHALLIIPTQLVAAITAAGITDALLPGKLLVTNALGNG TSVAQGVFIEMFLTAQLVLTVYFLAVEKHRSTHLAPIGIGISVFIAHICATNWTGTSI NPARSFGPSVVAGFHGYDWIYYIGPFMGSLLAFGCYKIFKVLEYQTANPGQDDDDLDK SGHHHFFGHGKEPMPHTHTDTIEPKDHGVPQRNDSVIDDQMV FPSE_09954 MSSKVGSMLKTAAYALWSFQWGFFTATFCIYHELSIPNPFYAVL TTVLTLPIPLSLELFLLYTTASRFSCLDLHTRKFNTFFYKTRDWAMILPIFSILWFLC VLWWGLLAMMDLYSYGPLAKIVEFLCLSFKPIGYTVYIGGVLLYIPLPFWVIVTGIGA CRRVRNLKSPEEEEATNLTTKNDDEEWNAFQDNRGI FPSE_09955 MSSVKATKKSAFSNPTLSYTQRLEERIKELEEQLAAIKSPPSIA ASNHSSPGVWNGHDLNRHPDEHAMTRSFMGLKIDDKGGITYHGPTSLFNLPSDPHKHK PDSISSIDSDAHRRERLVNNAWHQRAMENLSDIPILLTLTGDMQSMGPYYSHTLLNAM LSHSIRWGKSDPSTKQLLDQSYDGGAVFAKHARSMLFDELNRGVCTIPTVQTLLLLSA GECGHGNTTQAWIYSGIAFRLIDHLGICVDGQRYPGSVHLTDEEVEIRHRLYWSCYFW DKIISLYLGRSPSLQHTLVSPPQIIMDDSAENELWVPFDSPNGSDWKYPPATAHSTSC FMSACRLSVIFNEILIHMYDPLLENTEAEMQECLQTQDPAMKLWWDQLPPYLKIELSA MPELAPPSHIVTMKPMLSWQVYPEDEGPHPMQNHLVECVKSATAIIAIFDLFCRTFTI SHCVLSLSYSVYIAATIFLLQVQATPENQQAVRKLNFCVRALQQAKTVNPVISSALDL ITREMTNLGLNPGFNTPLQQPIPQQMPEVPILTDIPVTETVYPSSFEIPAQQSPYEPE QLFQTPWADNMNPDAMAVDRGVFEALSTFEPLSHSKSNIQVGQLDCAEHIGPQTENGC QAQQSALTPLDGHKLEMTELGHIKSFDESLTIALTRHDANRPQDQYGS FPSE_09956 MASSELAQLIPPDSWDSHMHIVDVENYALDPSAIYRPSSHTLEQ ALNFETSVGLRNIVLVQPSIYGLNNSCLLDGLRDLGSERGRGVVAIDPEAFDADELRK WHELGVRGVRLNLQSVRAELDAAELEQQLTLYADAVRPLGWVVQVYVPMKMIELLEPI IPKLNIKFCIDHLGHPPLKDQQVVEMYDLPGFSSLTRLLKNGLTYVKLSAPYRIAAQS DYSDLDPLAKEVIRIAGNSHVVFATDWPHTRFEGLDIRPWMQKVVGWCGDDEHLKERL FRGNAEDLWDVKRSPRA FPSE_09957 MAFNLPPFLARLARPFTQSTRLSIAPDQSAASVIPEGAQRCTVA AGCFWGTEHLYRRHFADKGLIDAKVGYIGGDLENPSYRAVCGGKTGHAEAAQIIFDPT KVTYAQLLEFFYKTHDPTTLNQQGPDTGPQYRSAIYFHNPEQEKIAREVTEKANKQWW KGGIVTEIAPAGKWWTAEEYHQLYLHNNPSGYECPSHFMRPFPPLE FPSE_09958 MSFTLTVRQGPARLAQRLPELSKTAFRNSASARSFHVQAKPTAN FFTSRITSTATRNAFQRTSRAGGRSYHQAPPTGVATAPQSISRRLLVGGAIFGGTLVA INAVFNRETREDGGMPVYEREYLNNTFLHTGLGIGIIGLTARQMVQTGFVYRIMVTNP WVVGIGGLALSFATMIGTRSISPDNYIPKYAMWTAFNATQAAFVAPLLAFVPPVLLGR AGLYTIAMMGGLAIVGATAKQEKYLYIGGPLLAGAAIVAASGLAPLIIPATAVRTLAF TENLWLYGGLAVFGGFTLYDVQKVLHHARLAQAGVMRRDPVNESISLELDFLNIFIRM VQILMMQQNRRK FPSE_09959 MRRGRSSSGSPYRALAHHQNAAAAAASSDSISLIRSFNVETNPT RPVRPSPLTASLIRDMPLDLVDRIRSFPLFMSAPEEFLVAIGNHLKPQIQSPNDHIVT EGDEAKAMYWLVRGVVAVTSRDGEAVYAELNPGSFFGEIGVLMSMPRTATIVARTKCL LLVLKKEDLHAVIPKFPDMEKAIREEAQERLNLLKKKRQEGGRLTKSPPQGDFTAREA APGEVSTGESGVIKDGAVVNNKKRKSPSPGIMEDPTAGSAIGSGQINIRTTLKELPLF STLPADILHFLGLSVQPKSYTPFTDIVRQGLPGNEIFFIVRGEAEVIHDEPVYDSNVQ NKAMAIPKRPRLKAGQYFGEVASLGLSRGRTATVRSITSVECIMITGDVLDEFWRRCP SDILTQVKETARSRYIKQSDDVDMIDVDVREKANKSDPTTPTASMRASLPNLTFTTPS KPGSPSKEDIANVAPKDPDPFLSVNMENIRNRRRHSLAPPIPPTDSSAPNTNGARSRL SEVTPIKFAFSETPSDDDDVPAKRVRTTLPTRPITMSKPVLSDEILIYIFKHVDIGEL FRLRLVSTHWRKILTTSPRVCQDVDLSVYNRRITDEVLLKVLAPFIGTRALSIDLSNC FHVTDEGFGALWRSCGKNVKTWKMKSVWDVSANQILEMSENAKGLEEVDWSNCRKVGD NLLGRVVGWVVPDPPPSKSVVISSSTARSRTKQQQQKHAPQNVLPPGTVVGCPKLRRL NLSYCKHITDRSMAHLAAHASNRIEWLSLTRCTSITDAGFQSWAPFRFEKLTRLCLAD CTYLSDNAVVALVNSAKNLTHLDLSFCCALSDTATEVVALRLPKLKELRLAFCGSAVS DGSLESVALHLNDLEALSVRGCVRVTGRGVENVLNGCGRLNWMDVSQCRNLESWIRAG GVSNWGFDDRLGQRPAESTPGEVSGSGEGEDDAPAPKAMSVAMLTSQNFMPRSAFGYR SKRARRPVRFVIEKGRGSLR FPSE_09960 MRTIFMVLDLPQLISFSSCLPTCFLLDNPLLETIRQPSFLGSRS SFGKSLHQIPVFVRVAVIAYSFQSTLWHIYTITFDAQLLHSHLQQLAPCSRVTEASKP PSWNSV FPSE_09961 MALSLTPTHPAVPSPSAPSAPGYFDLSALLKAKMSRPESPNSNS DKTARSSFSSVRENDDGLAQTFTRSKISSYTQGTEEVFDESPSPSAELASPTFQAPLT QPHSRLHGFWFPADNFRGWKQIPIKGKSASRSCEDLHKLSMTWSSPAPPAVTKKPSLS GYEFGTSPLERLPSEVLGSIIDLLVIEIPPNGLTPRNADLMALLLTSRSIHAATLNTL YRHITIPHSRIFRKFLATITEYPALASIVRRLDFSHFNPSTIFSTASERAQTRNLTSE TLSKCLELTPYLQEFLAQEYIDEDLGPEVIKKLFFDMPRLQAVDFAGCSSPFFKNSFN SLLEAQWPDSLTLTRVSFHKCLNLPGSVFEAILPRLERATHLDLAGTRVTDKALQSLP ETARLTHLNLAKCRELTSEVVVKFITTHPAITQTITVLSLATNASSHLLLGKADVDAI LPRLPHTIRSLSLKGSRMDPSQLPLLTPLVQHLEELAIGRGLDLRDIHQLLYQAQEWI PHSLRYIDISDLDTIIGSASALLTPASAPLQVIELEERAYERAAKAKKNLERAGWTPK EFGSRYWLVRMETDLDSGARWWKLGAESWGMRKIPVAKADVGGMYGTFMFGRRL FPSE_09962 MAPKPDTPFRSADMSMVQLYVSNEIGREVVTALGELGLCQFRDL NENVSAFQRTFTQEIRRLDNVERQLRYFYAQMDKIGIPLRKLDLDVERLASPSTSEID ELAERSQKLEQRVSALNESYETLKKREGDLTEWRWVLREAGSFFDRAHGNVEEIRAST DNDDAPLLSDIENNQSGADADRSFSGMNIGFVAGVIARDRVASFERILWRTLRGNLYM NQSEIPEPLIDPTNNEAINKNVFVIFAHGKEILNKIRKISESMGADVYNVDENSDLRR DQIHEVNNRLEDVQNVLQNTQATLQAELNQISQSLSAWMVLVAKEKAVYNALNNFSYD SARRTLIAEAWVPTNDLPLIRTTLQEVTNRAGLSVPSIINKIQSNKTPPTYLKTNKFT EGFQTIVNAYGTATYQEVNPAMPVFVTFPFLFAVMFGDFGHAIIMLSAALAMIYWEKS LKKVSFELFAMIFYGRYIALVMAVFSVFTGLVYNDVFSMSMTLFPSAWEWKKPDNYSN TTSIIATLNDEGYRYPFGLDYAWHGSENDLLFSNSLKMKMSIILGWAHMTYSLCFAYI NARHFKKPIDIWGNFIPGMIFFQSIFGYLVLCIIYKWSVDWNGLKLNPPGLLNMLIYM FLQPGTIPEGQELYAGQGFVQVVLLLLAFIQVPILLCLKPFYLRWENNRARAKGYRSI GETSRVSALDGDEDEPNGHGNSFDEDGEGVAMISQNIDEEHEEFEFSEVMIHQVIHTI EFCLNCVSHTASYLRLWALSLAHQQLSIVLWSMTLGPALKTPGIMGVIMIVVCFTMWF FLTIAILVCMEGTSAMLHSLRLAWVESFSKFAEFAGWPFAPFSFNTLLEESEELKDYL G FPSE_09963 MASKRKAAAMNAAAAEEPVDPSDELMFLCLGGGNEVGRSCHIIQ YKGKTVMLDAGQHPAYDGLAALPFYDDFDLSTVDVLLISHFHIDHAASLPYVLAKTNF RGRVFMTHPTKAIYKWLIQDSVRVGNTSSNPTTQPVYTEQDHLNTFPQIEAIDYHTTH TISSIRITPYPAGHVLGAAMFLIEIAGLNIFFTGDYSREQDRHLVSAEVPKGVKIDVL ITESTYGIASHVPRLEREQALMKSITSILNRGGRVLMPVFALGRAQELLLILDEYWGK HADFQKYPIYYASNLARKCMLIYQTYVGAMNDNIKRLFRERMAEAEASGDGAGKGGPW DFKYIRSLKNLDRFDDVGGCVMLASPGMLQNGVSRELLERWAPSEKNGVIITGYSVEG TMAKQIMQEPDQIQAVMSRSMAGARRMPGGDGEKVLIPRRCSVQEYSFAAHVDGVENR EFIEEVQAPVVILVHGEQHNMMRLKSKLLSLNANKTAKVKVYSPRNCEELRIPFKADK TAKVVGKLASIQPPQSIHPDQTATPPLVTGVLVQNDFKLSLMAPEDLREYAGLNTTTI TCKQRLTLSAAGVDLVKWALEGTFGNIEELPEMRRAKNGQNGHADMMITDGDDETKQE DADEEVASLVAAYLVMGCVSVRYRTNGEVELEWEGNMLNDGIADSVMAVLFSVESSPA AVKRSSAKHSHSHDLPEVNPHHSATPEERLERLLWFLEAQFGQDNVAPVTTPKLPPLE DADDKTKNEGEDEDAMKTDEDGEDTQLQERQQKEIERLHKIGIPVPGVSIKVDKMSAT VWLEDLEVESSHKVFADRVRAVVERAIEVTAPLWG FPSE_09964 MAQPQPQTQPQTQTQVQVPPRAFSPPQHSPSPAASQPTFALPPQ KRVRTEGPSSQPESPYATSPYAASPGATATPPAATGSPAFAQSPALPQAYSTPYTNGH TTPGLNLPDVRPNSTPPIQQPQQPQTPVPQYTNVTMTPVPLSGPGQLAVPTPGPSVMG PPQRPAERPTKDYEYDVTDSLAGTGIDLRAEEQYMNDLYATGFDEARTGFAHQPPGPK STFYGAGPANQPAQPVQDQQQEQFAAQQAERAWSESSMRLALQRTQEISEPFLLVAML HRRADKIAREHHLGLNLDLKNNSQTMGKMRLPEQFAAPKVTVKVTPGPDSTMVHTTGS YIPHDAYLVDQLALMSIATKQRLRELVEDAHLVATNRQKTSHGDVPEEWVPAAAPMNA EPLEPIEKPTDSINGVEATVESADGDSTNPLKRSSDTAGLTSGAPPVKLPKVSSYMTT TMRDLARQERDWEEARLRKRQKRKDGIPDSGSTTSRAGSVAPGTPGTAAPEAPKSMTK KEIKKNQQMKAAEIDNHQSQNTTSSLFAGFGGKGGLFGKKKTGKTYDWMNVGRGGSGA STPTRSAPGLGKGPGGAGAAPAPANMAMTTEGRNRLGTWREDKEKGRNIQLRDWAAVL ERDGREAKALQRAYLYLDASNPK FPSE_09965 MAIAVLFLPEAADYIASDGKESLELAEPQSTSSFVSGLREAARE HRVAVHVGIHHRDETDIGQEQSKRILNRTIYINADGQIDDTATYDKLHAFDFGKMKES DTVQPGKTLTAPFDTPIGRIGSLICFDLRFPEAPLALAQPGPHSAWKSHPAQVLTYPS AFTCQTGPVHWETLLKARAIETQSYVIASGQVGKHNEKRSSWGQSMIIDPWGKVVLKL KGVKEEGGKYEGTPEEGAVGDIGFVDIDLEYLEKIRNDSPLQRRTDVYPELVCSKA FPSE_00630 MSIIQQHTSATLSDAWRTINIDALEEDSSVNFDTSTLHPPQPEI DEAEVRQLSGQIRQLLRGGDAEGALRGSLETPVYNGTDAAKDAHLHTIIEVLQSIKAS DMSPLLKNIYGSDGGSELLDVLMKYIYKGMATAHPGTTSRSPPSKITPQSTGGFSQIG GRPGGANESATAAMSVLLSWHEKVVEVAGLGCIGRTMTDWRRV FPSE_00629 MPPCRMSPLAMRCSLQALSRPANLCHPIANQRFYAVQAAGAPRF QVFNRRTKWLQKERAGMNAEESRETDYLKDEVAIRLSERLLDIKRHFPRVLDLGANSC NIARALVRENPDPDSNAPQTAPLSTRIDELVAADSSYSLLHRDADHEFNKKLNITRKV VDDEETIPFEPASFDLVLSSLSLHWINDLPGILTQINNVLKPDAPFIGAMLGGDTLFE LRTSLQLAESERRGGMSPRVSPLADVKDLGGLLQKAGFKMLTVDIDDIIVDYPDMFTL MQDLQAMGEGNAVIGREMGPIQRDVLLAADAIYRELHGNPDGTIPATFRVLYMIGWRE GENQPQPLARGSGDINLKDVLEQK FPSE_00628 MSSSGSGFLGRSSSSNANMRGLVQFIADLRNARARELEEKRINK ELANIRQKFKDGSLSGYHKKKYVCKLLYIYILGWNVDFGHLEAVNLISANKYSEKQIG YLAMTLFLHEKHELLHLVVNSIRKDLLDHNELFNCLALHAIANVGSREMGEALNGEVH RLLISPTSKSFVKKKAALTLLRLYRKHPDIVSPQWAERIIHLMDDSDLGVALSVTSLV MTLAQDNLEQYKGAYAKAAARLKRILIDGEYTTDYLYYKVPCPWLQIKLLRLLQYFPP AEDTHVRDMIRESLQRILNLAMETNKNVQQNNAQNAVLFEAINLIIHLDTEHGLMKQI STRLGKFIQSRETNVRYLGLEAMTHLAARAETLDPIKQHQEIILGSLKDRDISVRRKG LDLLYSMCDATNAQVIVGELLQYLQNADFAIREEMVLKIAILTEKYATDVQWYVDISL RLIAMAGDHVSDEVWQRVIQIVTNNEELQVYAAQNALQYVKGDHCHETLVKIGAYILG EFGHLVADQPRCSPIEQFMALQGKLMACSSSTRAMILSCFVKYVNLFPEIKPQLVHVF EFHSHNLDSELQQRACEYLTLVNMQTDDLLRTVCDEMPPFPERQSALLSRLHQKHANT SDRRTWVVGGKDANADARELSMGTGALKRTFSSNMPLNGKPNGQAANAAGTNGHGNGV ADLAGLDLNAPSPSEPKLLKAPNLASAAHLSPGWEQGFNKLLVRSDGVLYEDGQLQIG VRSEYRGQMACLITYFKNKTPATISSFTTTLDLDENEKSNLTWDVKNLPDSTIVQGGQ SQQVVMFEAKKIFEKCPTVRISYLAGALQALTLKLPVAIHKFMDPAELTAEDFFKRWK QIGGAPREAQAIFGVNGKGDRQLTESFVTKTVEGFRWRVLDMVDPNPKNVVGASVLHT SQGGKFGCLMRLEPNYGQQMIRLTVRATDDSVPAVMLKYMQERLAAGISTSADRFEPP SREQISDSFRNVMVT FPSE_00627 MSESQQEMRIDPSRAQGLASQINSVKERLAAVANGRNVRLVAVS KLKPANDILALHQGPTSHTHFGENYAQELVQKAALLPKTVQWHFIGGLQSGHCKSIGK IPNLFCVSSIDTSKKAQLLNTTRANLLSSEPDAPRIGVHVQVNTSGEESKSGCAPGDN TVALCREIIETCPNLRLLGLMTIGAIARSKATTPETENEDFVSLKEQRDLVAKELGLD LESLELSMGMSEDFEGAVRLGSSEVRVGSTIFGQRPAKADAKLTE FPSE_00626 MASDLKNGRRRAASNAATRPGHTRRKSRAVIQPKSSHTSDEFLQ DFLDPTFDPATFLNSALPPLQQRSVPGRTGSDIAPLAELSTQAQTLISQLNAQTSRLS TTLTHLTDDILRSGSRLAYEVELLRGETLGLQETMNETLQDDIKKFVPEGLQEAIEAK NAAAAAAKEDKSAAPSTTAAATASDGANPDDPEFIKQLQTLTLVRSRLDSVIKTFGDA MEFVFPPSEISVSSGFLSVSAPEPGSAQQSSEEKGKEVLQNIRNEISDLLNKSDDPVQ GIEKAAQRIEQLKELSLVWKDTAEEKGRHKFIEGLAKMVEDKHRDLMKEMEQATKEKG KVESRSRKGSVTRDAAVVEDTKSPGGFGLISQLHKLRGGL FPSE_00625 MAANDPAAPANMGGRSDRRSPPPASHSKRDRKRQALMERLSSMT DKFHRERDMTYRDQLQKIQFDINLVQRFDPYDPKILQVIGELQQEHTNTQGPPVHAED ARSLLDMAGIRFSDFMAEIEDLVEIRDFQLTQSKNEYERRLREYENTFAYKVETAKRE HKALTSTLRDRLINTLTHKKNRLNREKEVLEINDSNALLLNPNQFSLTNPASPGGPHG KRATRLRKDADDLQMYSDNKKRKRNANDDDGSPVPTRRALDNHNTTPLWQSEKARAAA KQNGPVYSIDKLFTDKELSLNYNTAALAAHQYILRNRVNGSGGSPDDSDSGQGDANGD QDVDSLPSAPAMERSVSHATRSNRGGAAQNFIDSNILGVEGVANFEVNNLDILHSQEP PKMPPPVPQQYLKPYPRTADQNFPVPLSNDDITSDLSVMGYFKQYDAAHKPGAHLDNP AGMRKVLAAVAVPYQNSQYVAFTSAPREDPEHLRDSLGLPAISSLRDQPSPANAAAAP TVAALSSAAVPMSRQSSAGGVAMSRQGSSNTRGKGRK FPSE_00624 MAISSTIPRGDVTATLNFFNPPTDKSIPFNFVDTPPEGQPQRNF TDNPQPTTIRDIRGRESEYNLDHDAFQVIQDVPPSSEHDFVDDDSIKTNYYPEVEQLL LNTVPGSNRVFFFDHTIRRQTSDAARGPVTRVHIDQTADAVAQRVRRYFPDEADELLK RRYRLINVWRPLNKQPLESFPLAVASSASVEDDDVVPVEHRYQDGYRGWTAAIRHNPE QKWHYLSGMKGSERLVLECFDSESLKPGSKIKGRVPHTAFEDPRTRPEAEGRESIEVR TLVFGP FPSE_00623 MSSPVIVNSRPPPAQPPPQSGASGAGSGSAAPPSSDQSFAARPA LPDRRVSEHTVEDAYVKFILFCNPAVPLSTDTESLREAFRNPPRSGGKSFSTFAIYEL VRKFYDGDIRTWTELTTKLGVEPPDPNKEESTQKVAQYGVRLKKWMNSMHVKAFFEYL MDRTNDYWTKIPTDSNPTSQPIRDGVALEDDMALRALFPHIRPKRGRKRPVEDDTATS LSPAPTHAQIQTHRHSHRSHLAPSSAVDGVSGSMSADPSRLTGAPWTPSDIQQTPLFR WPQSAITPTSRNSFWDDALEPQSAVTPSRPKLATQRRGPKNVSSAWRPGVANGSVKPR GRPPMNRTPVDVSLPSFPVGNTATATTDEAEFESVAPICTPPVSVAPNTDGGPPKGPV SIPSITSQPRPHPIPPRPSRPSISLTVPDRPSGSVRLATPPPPPVVVINGQPSETQRY PHPMNSGIPPSHFSKAAQEAAATSQQQGQNEEQMSHPKDVPRFYFERLEERTNVDEVI AYMVRGCFAANWLDAEGNPAHVCSTNEAMVITNCILEEMYKTAVSPEAFLINLAAVTG GAYLVSGTSKIQRLGVKDGAMKYSCEWEYGFGHFRGTYHMEQAVPLELLEEQEASPEP TSEESGIKLSADEWQAKYENLLMTMKEKDKKFMDLSTKVTDLLKQPPKK FPSE_00622 MAPNRGEDDIERLSRTTNEYPSSSMIEHARRPSEAYVNLHANVE AKIKNPLWGLPRARLLADVDDFCRKKDLDHYRPLIRKGALVAQDPTGYEDIEGDEKLN DEEVQALRDEILHKWRVPFVLYLTVATCSIGAAVQGWDQTGSNGANLEFPYAFGIGGD SIHDKLLVGLVNSAPYIGTALFGCWLSDPLNSRFGRRGTIFFSANFCLWPVIGSAFFP IFAAENSPAPIRGAFVMSWQMWTAFGIFLGTCANVAVTKIGHNAWRYQLGSAFIPAVP LMFLIYLCPESPRWYMKKNRYGDAMKSLLRLRNHPVQAARDLYYIHAQLEVELEFIGQ GNYAKRFIELFTIPRVRRATLASFVVMIAQQMCGINIIAFYSTSVFRDAGATDNEALL ASMGFGLVNFVFAWPAIWTIDTFGRRSLLLFTFPQMAWTLLAAGLCTLIPGTGGLHMA LVALFVYLFAAFYSPGEGPVPFTYSAEVFPLSHREVGMSWAVATCLFWAAVLSITFPL ILARLHAIGAFAMYAGFNIIALVMIFLFLPETKQRTLEELDYIFAVPTRVFMRYQVTK VLPWWIRRWILFQREARLDPLYQFDTVGEPEDSHGSDYGYENDKAKVELKDTIGLTSG VQATR FPSE_00621 MVNRHVSLSPRNSRHSHSGFVPLGGSNSNNANDMEGIQLTTVRS NVSARSGLRRADMGSPMSPEDSSSPTEKENLNSSHGHRGRRRRMGEGLARTGTGGDDA SLNAMGRLYNKIINFSVVTRYLVYVVPVGLLLAIPLIVMSAIGKKDALPIGKFNNDGD RAGDDGPPLFKIFLWVLIMWLSCWAAKVVAWFLPSIFMFFTGVVSKGTRKYATVLGNL ILPFSFFFWALASYVTFKNLWQDEDRSKTYVPWVRTMGRVLGALFVSSAVFLGEKAIV QLIGISYHQRSFANRIKESKREVHLLGLLFDASRTLFPLHCQEFSDEDAIINDSIEVM LRGKKGHKRNGSATPMKLIGEVGKVGDKVASVFGNLASEIAGKQVFNPNSAHSIVIEA LEKNKSSEAMGRRIWMSYVVEGHESLTLDDFQEVLGPAYKDEAEEAFFMIDGDDNGDI SLDEMVRKTVEIGTERKAIAEGMKDIGQALQAFDKILLVVVLLVVIFVFLAFFQSSFI ATLTTAGTTLLSLSFIFAVTAQEFLGSCIFLFVKHPYDVGDRVDITSTKMVVNKISLL YSVFHRLDTMQTVQIPNIQLNNMWIENISRSRSMHETVEVNVSFDTSFEDIELLRLEM EKFVRMPENARDFQPDLSISVGGVGNLDKLLLYVTIAHKSNWHNDSVRSSRRSKFMCA LALALKKVPLIAPGGGGETLGGPTNPTYSVSVTDEFAKKSRDDAAKATDEARLVPTSK QGNTAEAEAAAAEGFNSRPPAAGLGVWDNSDNHTLDGHEDMHRSRDIETLRTDLKRES TRGRRKAGEAVPSLSLETAPRASIQLTQASPRSASHGPFDEEAETGMGSTPYHSNLAP GSSQQGSQGYQPYASSSNQYNTAHPMQRPGQGPSGPPPQGPPPAPQR FPSE_00620 MLLKESHVDVPITANGKDGTMRIFVFHPTIPGYPNARFPGVSLF SEIYQVTGPVARFARQIAGQGYIVAAPSSYHDFTGPEALKYDAEDTDRGNKYKIEKTL ESYDADSYATVDYLLSLPTCTGRIGSTGMCLGGHLAVRAALDPRISACVGYFATDIHS RTLGPFDAPNTSSTAPANSNHTLDLFHKFKGEVAMIFGVKDTHVPDAGRDLIRAKLRE AGVVTSFYEFAWAQHAFIRDELSKGRYDPAITKVCFEVLLELFGRVLKTDLGAKDGDV PAPEHDC FPSE_11605 MNGHFSAVGEAPGAGSYEHGVQVIDEDKEFNTHISEYLQRTNVA DSGFNYHLISVFGSQSTGKSTLLNNLFGTEFSVMSETERRQTTKGIWMSKNKRETSAG TPMSENILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGANM GLLKTVFEVNLQIFLKDKQSTPRSLLFFVIRDHLGTTPLGNLRTTLIQDLTKIWSSLS KPQGLEGSRIEDYFDFGFAALPHKILQADKFTEEVQKLGARFTAGHRHGKPGLHGDQE LEGGLFLSEYHRRIPADGFSVYAEGIWDQIVNNKDLDLPTQQELLAQFRCDEIAREVQ IDFDVIIAPLEEKQSEASKLGIPTVLPDLGLAGNDARQKCIKAFEVQASRYHKGVYTR KRHELEGKIDARLKSLYQSQLAAAHKAGVSAFGDAVANKVKAGQKAGGQYEFAEIVAN EKRKTLDIFGVEAQSLLIEGVTWTNFESQLRLFEKELDEESAKLRKEEMRRLATRVER WVRSRLGDAIGLEFNKLGSGRGGSGAPENGEKPETEKDLWDRVWKVFTGIVKEAEVRF AERAKSFDATSEEVEIGSWRLRRKSWNALREKIEEEVMEGNILLKLRENFEDKFRYDE AGVPRIWRPSDDIEGIYTKARESTLTLVPLLSRFRLSETYGPPDLPGFVGAQPSGVEA SDEEDLAPIGGIDEEDGKSLEEEMTVLSEGKRQDLVVRFKKTADGVYVEAKRGAIGGV AQVPWYFYGLLLALGWNEILTVLRNPFLCLLILVMAGGTYLAYTLNLLGPMLSMSNAA MTQGVEIAKQQLRDFIANSDTARQAVGMPARQDSDNISMDTLDSRGKKANSTAEKDDI DDI FPSE_11606 MTDSPVEDVPETTRSSSGTYIPPSVHDTALIAGESFTHITAIPP SLQPTDPSDPSSATPCCLGVDEAGRGPVLGPMVYGVVFLPISLSDSLLRETHHFDDSK VLKPAVRSDLMRTLCTPGSDLYESCGWATASLSARDIGANMYRPNAYNLNAQAMDATV DLIKAVYAAGVNVQEIFVDTIGQPAAYQAKLQRIFPTVKIIVAKKADSLYPCVSAASV CAKVTRDAALEVLFEARADDEEKGGEAMAWGSGYPSDGNCVSWMKNNMHPVFGWGPEC RFSWGTAKDMLEGKGNGVKVEWPLDDDGETSRVTDFFVAGDKSKESSELGNWFGTPAD LEAF FPSE_11607 MIAPRGGARRTEAEEPQDINILGVRGRKTGAELRDRGNRDEHGM QPLDDLSSSPERETSIPRFDDDEEDNDHDETGENEDDDQGSEDMDIETSFGPGPQTVL RKSMIPLPRSRSPIKTGILSSPRRNPNLEHLSSPSRSPARSPIPKDRDNTVTRKIDFG SKPTGRGKPATNGANGTLHEDEDEDEEEEEMEDAPVGGDDEVENDVELLNESLQLVED MGGHSSEHGSEAESESEPEPAPAPVPKTAKGTAKSSQAKADPSPKKPGRRGRPPKAKI VEEEPVEEEHSEEEPEEPQETTKPAQGRPGRKPKNAAQPKESKAPPSRKRPAQEEVEE TEEQENEGSEQEQEQAESPRQIKKQRTEAPKPSKPTKPVPSKAASTAEAKKPRGRPGR KPKVQAVADAVDDVGETSFAALQRGPPLPKKRGLVSVRHDVDEVHTTRSGRHSFKPLS WWAGDKVVQEEEEFKDVSGRDRFVLSTIKEVIRAPVEEVRAKPSKPRGRPSKAKSKAT RQVETVEPEDWELDPGTVNGEVILWDPEHEKNPPGDEEPVEVMDDRIAISGPAIQTRD VQGVSFRMAKTLTTPFMGAGVVDLPAGSEKRPKNSRKMHMAFFVHTGKVLVTVNEASF RLSAGGMWFVPRGNYYSITNDYDTDSRIFFTQGCEIAAQSTEPDQSQMSMMA FPSE_11608 MIPSRGLARAALFAPRRSATSFLLRQRINQHTIRRQPLNRAWIT TANPGDLASIPPIASSEDGADKSGHISTDSNEAILFFDNIFPLKLSSVLVRPWKSDHD VSDLLKRFETSSLGVLDPIRLVKTAIPEDLPIRVTEILPRLKDGGAYVKFKYNANIDP AEIEAQLGKRLREKPIKPWFSIFRPVNARLVQGTPWLEDLYRYPTSFVKIEFVPPSPG ITPEELPEETLYTLFRKYGKIADIVPQPTDSKITPRYAHIQFPVTRDAITARNCMHGF IVNEILGGGTKGTKLRLSFEKRVKAHSIWNWLSNHPRIVVPVVAALLAGLSVLIFDPI REFFIKLHIQHSLEFKDSRIYKWFKKQSGNFGLIHKSKNDDGLEEVWNHRRDAIVEVQ GWLDETSDTFIVITGPKGSGKVEMVMDQALEGRKNVLKVDCRRIVEARGEAGTIKHLA MAVGYRPVFSWANNISSMIDLAVQSTTGVKAGFSETLESQLNKIMQTTTGALKDVAVS SRSKKDSDYKLSEDAWLEAHPERRPVVVVDNFLHKGEENSIIYDKIAEWAATVVQNNV AHVIFLTTDTAYSKPLDKALPDRIFRTVSLGDLAPEVAKNFVLSRVKDQLADDEKARK ELGDDAPPAKAPIPRTNMRELDQCIGTLGGRLTDLEFLARRLRTGQSPKQAVDEIVSE TATDIVRIFLLGKPSDIDGKNFSSQQAWHLVKSLAKNPKLRYNEVLLSTPFSSAAPAG SNADAAIDSLVSTELIAVKTYQGRPMTITASKPLHQAAFTVLLQDRVLSAKMDYDTLN DACKAEARSIEKVENELALLGTMPRQPGETAGRITFLLRKLEASQAKIAKWDDEMIAL KKLLSEEF FPSE_11609 MGWLWSSTPSKEDKISMSVENSTPPTTSATSTPPPSTPSPSSNS DVDPEIQKFLDLFESEKNKHTPTQDEQQQSSSTLSWLSMKKSPRSTAPTPSDIPPRDP LAEALLPTDMSCRQAFDQAWSCNGMGGQFTAVYRYGEMRSCSEHWDDFWFCMRVKGYS GEQRERAIREHYRAKEFTKYGPGKPSSEDVWESRGEKVPEGSFFNQSIDG FPSE_11610 MGPAVGIDLGTTYSCVGIFREDRCDIIANDQGNRTTPSFVGFTD TERLIGDAAKNQVAMNPQNTVFDAKRLIGRKFADAEVQADMKHFPFTIIDKAGKPVIE VEFKGEKKTFTPEEISAMILTKMRETAESYLGETVNNAVVTVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKVEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK STAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLSTNVRALRRLRTACERAKRTLSSSA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRSTIQPVDRVLTDAKIDKSLVHEIVL VGGSTRIPRVQKLITDYFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSSKATNEILL LDVAPLSLGIETAGGMMTKLIPRNTTIPTKKSEVFSTFSDNQPGVLIQVYEGERQRTK DNNLMGKFELTGIPPAPRGVPQIEVTFDLDANGIMNVSAVEKGTGKSNKIVITNDKGR LSKEEIERMLNDAEKYKEEDEAEGKRVAAKNGLESYAYSLRNTLSDPKVEEKIEASDK ETLTAEIDKVVQWLDDNQQATREEYEEHQKELEGKANPIMMKFYGAGGEGAPGGMPGM PGGPGGFPGAGGPAPGAGGDDGPTVEEVD FPSE_11611 MSDIEVEDEQSPNPRFWLEFRTFDDGLSSRSKSPSTLATFPQFA ELPPELRLRIWSFLIQPRIVVVCCIQRDERLDQRRYELNQRSRGRSVPVLLHINRESR YLALDHYELAFGWRISKLLSDTPVSAPPRVWFNFNLDCVYLAGELEAYDIYGFNSAMV YFLRREDTHRVKHLACVLSELGYAEQESDQVFGCLWHVVDGFPAAKKVLLTVGEGDEE ALKGSKLRGTDNVMQKIWNGFLGGSATETSSRMADKSMVMVEEDDLPGFVAGH FPSE_11612 MTQVRTFTPPQSLGERLSDPSSAPTSAGIAVPRTLPDIPELAQP RRASPPRLSGDYKRYPMADESKKDGGITFAGQDKLPKLPIPELESSCKKYLEALKPLQ TVREHAQTQYAVNEFLKGDGPELHEKLKAYAEGKTSYIEQFWYDSYLNFDNPVVLNLN PFFLLEDDPTPARNDQVTRAASLVVSSLEFVRAVRKEELAPDKVKGTPLCMYQFSRLF GTARVPTEEGCQIEQDPESKHIVVMCHGQFYWFDVLDDNSDVIMSEKDITINLQTIVD DATQVPIQDAAKGALGVLSTENRKVWSGLRDVLTSEPGSNNADSLGIVDTALFVLCLD YAEPTDAAALCQNMLCGTSEIEKGVQIGTCTNRWYDKLQIIVCKNGSAGINFEHTGVD GHTVLRFASDVYTDTILRFARTINGMAPSLWASSSPDPSKRDPESFGDVSVTPRKLEW DMIPELSVAVRFAETRLADLIEQNEFQTLDFSHYGKNFITSMGFSPDAFVQMAFQAAY YGLYGRVECTYEPAMTKMFLHGRTEAIRTVSEEVVDFVQTFWAENPAEAKIEALRKAC QKHTANTRQCAKAEGCDRHLYALFSVWQRSLDEDLDYSNGYSSPGDEYSDQTGSPVGS PVQGSHPSIDGVEVRPTRDRGYSVNSRSRDQAPLPALFADAGWDKLNNTILSTSNCGN PALRQFGFGPTSGDGFGIGYIIKDEGLAICVASKHRQTKRFVDTFESYLLEIRRILRI GNRKMSTGKASRAREVEIERPKLLSRIKSRGRPITAVESLRSATGTTSPTNESSTFSE DDEMGGYGFFDAGMLLQALKARSENFESSDSRASERAAAAQARRRDIGKKLRLSDY FPSE_11613 MSSNDSINRYSMPVTRSRTGLYDVGLDQTNTTRFLFGDEDSNAL GHSVPDENFPTLVRRDDQMRPQQNLSSINGTSNIVSDLVGLASRPASLRHSIDLKYIS ENAIETGSLMSPPGNGNMATPPKLQGSFSSNDVPTVKSPGGSSSKANTHAQQHFHNHN ASLGRIPAGAIHRGHSREISSDNAAVSREQPGYPSIQSALQASAAPFGPSTTAPAPAS MGNTPTGAPSMNGNFNNNNNGYYPVSGYGVPQGAPQGSVPQGAPQGATHQPGAYNANM LANSMQQMSMNGANGGSMYQPQSYNGYNAGHYNQGNQPRDSQARVMQHRRQLDNEAMS RFQNMPLESFVGTIYELCKDQHGCRYLQKKLEERNPDQVHMIWNETNKHVIELMTDPF GNYLCQKLLEFCNDDERTTLIQNASQDMVRIALNQHGTRALQKMIEYVSTPQQVHIII EALRFRVVELIQDLNGNHVIQKCLNRLPPQDAQFIFDAVGNNCVEVGTHRHGCCVLQR CIDHASGDQKLWLIQRITEHARVLVQDPFGNYVVQYIIDLNEPIFTEPIVQTFKDCIS QLSRHKFSSNVIEKCLRCSQPPSRDLIVDELLRNQDMERLLRDSFANYVIQTALEYAT PHYKYRLVEAIRPILPQIRTTPYGRRIQAKISAFDNRGSAASSGQVTPADNTQGQIPL RAAHSRGLSGNVPMLQGNGIPPSGPMPTMRQNMAVYSPTPAMNGQTPPAGAPVQQPQY GQAPGNFTPNSASNDAAKGSAGPTTPANGNTGNTGEAHDVHDGFRWHRGVDTAMIHDF PLICLRNLARRAQARFDRLTRHPQSWSCVHYQKGATGQPLILGSLLWGQRFMFGLYDF SNY FPSE_11614 MDELRFRSQQSPRGNDPAMHSFVSPPRNASRMQPPQANSHVGAH DHRSGLPRRFTTDSGRVPTLSTLGAQRVPEPPQDYNAMQKVQLIEKKKMEYERIREQR RRFELEMQKLDQQQRREALELAQMEEEVGRMGGHQSEPTTPPEYRDNSGFPTFLSRPS RYSMSSLTSPPGFFNRAVRSGSQLASPQSGIRQARFGFDDTTTQMPSRSVPTTRRNSD DEKEEAVRQDPSSHRSGNS FPSE_11615 MPTVDDGFEALLEPFYNGKKLTDPISTKEDKFQLLPAFLKVKGL VKQHIDSYNFFVEHEIKDIVRANRTIRSEVDSNFWLEFTDIRVDKPRRQDWQDAKSHT EVTPMECRLRDMTYAAPISVDIQYIRDKQRIVRKNVPLGRMPVMLKSSICRLGGANNT KMEEMNECPLDPGGYFIIGGTEKVILIQEQLSKNRIIVEADEKNNIISASVTSSTHER KSKTYVTLKKDRILLTHNVLVEGIPIVIILKALGGLSDMEIMQLVAGSDGRYQDEFLV NFDEATKVGVFTQHQALEYIGTRVKMAPRRGIFGPQVRRNHVEEGLDALANLVIAHVP IEGLDFYPKAIYVAMMTRRVLIAAHNPKLVDDRDFVGNKRLELAGQLLSLLFEDLFKQ FTTNVRMSIDKFLKKNNRAVPLDAVNMISNHANNIGYGINRAIQTGNWNVKRFNMNRA GVTHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQWGMLCTSDTPEGEACGL VKNLALMTHITTNVDEEPVKRWIFTLDAGVEPIRNFSGAEMHREGSYIIHVNGTPFAL TRYPKRFAQKFRTMRRRGWISPFVGININTHFNAVHIATDEGRICRPYIIVKNGKQKL KPEHLRLLQMGKATFDDFLNRGIVEYLDVNEENDALITIYEHQVTQSTTHLEIEPFTV LGAVAGLIPFPHHNQSPRNTYQCAMGKQAIGAIAYNQFNRIDTLLYTLVYPQRPMVIS KTIQLIGYDKLPAGQNATVVVMSYSGYDIEDALVLNKASVDRGFGRCQVFRKYTTELQ KYPNGRRERIGDPEMEGEGKNKRRITKHEALDDDGLAIVGYKVHSGEAMVKKETPLDQ TSTGIGLDRGPGEFRDSSVSYRIADPAYIDKVMISQTEKDTTVIKVQTRQTRRPELGD KFSSRHGQKGVVGIIVEQEDLPFSDSGLSPDIIMNPHGFPSRMTVGKLLECLTGKASI IHGRPDYGFGDAFRSHPLEEMSQVLVDHGFSWEGKDYFTSGITGEPLEAYVFNGPIYY QRLKHMVQDKMHSRSRGPRAILTRQPTEGRSRDGGLRLGEMERDCLIAYGASQLLLER LMISSDGTEIDICQQCGLFGYKGYCHTCKSTREVTKMTMPYAAKLLVQELISMNVGVR LQMDDEFPHPS FPSE_11616 MTDLLQILPSFHIRPFAALIPTIEQNALSTTDLLTLHPTDIAKQ TRLPILDLKRLIATIQASLSDDLSPQQPLLEAETDPESTPENNVISTLDDGLDAILGG GVPVGAVTEFTGESGAGKTQALLSLCLAVQLPSPHGLGREALYISTEATMATSRLAQM LKSNPIIQQYDVDDRPSLDAIHSTITPDLETQDHILDFQVPVLLSRHRIGLIILDSVA ANYRAEFERQGTHGSNMAARSAELVRLGALLRDLARRHNIAVVVANQVADRFSSSSTL RHVPPRSSGPVHESPLASRSMPPPSSINLPSSTPSSSLPFALQDPDGPPPLPALMLDH QQRWFTGWGDDPHASYSLKTPSLGLVWSTQIACRVALFKRPVYGRIRQAGPITAEDDS DLAAPTLRGWRRWMKVVFAPHTPSTGQGLHGAAEFEVTMGGLKSVQPQKTKDK FPSE_11617 MGALKYVEELQKKKQSDVVAFLLRVRCWELRQLNVIHRASRPSR LDKARRLGYKAKQGYVIYRVRVRRGGRKRPAPKGATYGKPTNQGINQLKYQRSLKATA EERVGRRCANLRVLNSYWINQDSTYKYYEVILVDPQHKAIRIDPRINWIVNPVHKHRE ARGLTATGKKSRGLNKGHRYNKTKAGRRKTWKRHNTLSLWRYR FPSE_11618 MAPQTRKRKVSATESNDPKDTPLKKTTRAPRKTAPKAAAQRKGK TAANKHGDFATYGQTVQQGSEAKKQIQNQGSDLVQEIDSELKTRIPQVDCDALMKEFS PDLVTVLPWMHFSAEKTQTYPQLMLKALDDLKSHVSVYEKTVKQETGIRAPNQMRWLQ DAKDLEDMSQHGLQMATKIINHIIMPDLCELPTKPAETDSGAEEVAWELIEEALPGES KDIWGKVAQGHVKALAEVLKVLPIEEVICLE FPSE_11619 MSSGPLKSGPSSRLGQGSHPAGPGNNQSLLLDKLHARTSTPDSE ALASSDDEVDHRYESAQVASQSTSQPPRPVRRPSWLNETSQTLGRPRRGSIASNSMSP TTSHPSTPSGETGAGAWGSHSTSSVMGRGTGASTFPWSGTIWNSERKDPPARLSEVLP SPTSTLPPGGGNSFFNSEIQTSPVSRDPGPNSQIPFAIPLHPTPKTYRSQSYSVGQMD PENAAAAPPSMSSSAMMGRARHHPALQHRPSRPSMLSEMANDGTMLGKVKEVEDDDDE SPSESMQNSFHQSSDAKTIEMLARENAMLRQQQQQQQQQQQQQTQYNNARIRPRAVTG NSYNGNGYSLRETVPETSDYAIDELDEANESGDMLAKRAANRRMSELGAGFRSPFGDN RKAENAHLKKAALGWSSSLAFSPSDISQSRRHSFATLPTRQASISSIPDSSLEPSALD MQQSQDYPPGYPDPAAFGGASHASQYFGGGPSMGANMGSPYQTGYANQYQSPYGLPNS YGNRAPSPHRSVYSIAQPRHNQLLHIVLFKCARADVFYIQEGTGLTVKPGDLVIVEAD RGTDLGTVAKDNVDWQAAKDLKEHYAEEQYKWLMMYSQGAAAAQEGSSAGLLASSTGL QGSAVGGMGPPSQHHAQEPNAGELRPKLIKRLAQSHEVHSLRDKEGQEAKAKRVCMQK VKEHGLNMEILDAEFQMDWKKLTFYYFADSYINFNSLVTDLFKIYKTRIWMSAINPAS FASPTLGIQAPSGIGPGAVNANRGAGGNDRRQNQQVHEPHQAPAFTTGARDGRGYRPA FSQPFGNESRLQQQQQQASGYPPSNYPSYPQFGSPFANPRTNVGYGPGAIASLEGYPG AGIPQLGEYQTMRQRFPNPQSGPSPAPHSQATSPLAPQNDWAGGFQGLSLNTH FPSE_11620 MKWLTLVLTSAAVVAASPIASLSSISPTGPCPQSKIDAILSGEM DASECCSYGRCKGDVVVSVG FPSE_11621 MFRLIKSPLLGSTLRVAQIPTLSQTSLYHTKSRLQSTVQTADRV EYQKPSTDDTYNNGTSQVQRLQLQSKNTKEAFAASAKQACYDGLFDVWLLASHYNNRS SLKNTPDDSVRGYVGDSTVGLSKNYRRDSLDGGE FPSE_11622 MPESRLDREFFQGPITSLAFFRDAEYLVAGEDTHLTVYDLQPGH VVRVGSVRVFSAQPIHGIRLLSNGRVLAWGAAQIAVVSNIEGLIDAADGQVVVNKTAA PDWVYDVAPSPYDDCSAVLATAHNEVVHLHLSGDDAPVVGAVVSPSRPILYAARLKWL DQDSVLMAGGTIFGEILVWRCHISESRSELLAILNGHEGSIFGVDISEELPCEDGSTL RLIASCSDDRTVRIWNITGQDGVHEKKHDFSAPRETGFGSKAGLDDGPRAEELRPIAT IMGHLSRIWGIKFAALAEGQTLPKTPMSLYSFGEDSTAQRWQLNINISPENVSGSLKH IEAYAVHDGKHLWAHAVVNRGDQTLIATGGADSKISLIMQPASLASSKLTSTSELTGL DFQDVATSLSDLRELKPLRAREIFSRYDFISDDRVLVTTNWGRLLVGVFRPNLDWKEI ELQEHMNVEFQSCYTLKTIGNGAAILGTTSGKLYYFSEAQGVTLIGSVPGKIFNISIL STTGEGPTELIVHLHGTSDSQYFSIDWRTGTAVATADIRGIDRRFVAISAARFRSDLI AIGSRHGFLSILRQTGNEFRPILDFRFNTRDAITGLVPLPTTEGQEAPLYFLATSRDT KYRIYEIEDFGEEIRLHLQHEVAAPSGADIEGGWFTQGASPELILYGFKSKNFIVWNE TRREEIVSIDCGGAHRTFTIAHDPVDHNKVRFGYTRVSKLYVFSQHTPVHRPLRYGTH GREIRGLSANGRYVATGAEDTTVRIWEYEVQQFRQKDSGGLRCVASTKLHISGLQKIH WVGDDYLLSSAGFEEFFVWSVRRLDSQYKGLGIMCEGILEDKSPAADLRIMDFDACKD AHGNIIITMILSNSTLKTYRYTPGKGFHLLARMSYTGACLTQVRHLGVDETGLSALTA STDGHLTTWEVSFDEDDVSSHVLVQVAPVHQNSIKCLDLHSTPEGFLVMTGGDDNGLG VTTLVAMSKEAGNRRYTTSSRGIVRKAHAAAINGLVLVRRGVETVGITVSNDQRVKVW SVKPGNVKLVADAYSGVADPGDIAIVGDNGGADGEKLKVVLGGVGAEVWSW FPSE_11623 MGVGRFFCVLLPFALTIGSIIFLLVGALAGVADKSLYIFRVDVE DLSISPADVDNIVDNLGLKDFKFNTRDVPQLVTRAEDGAPVKDNITAKMLGLDKYYDI NLWGFCKIDKDGKRKCEKPEFDWASKSLNTSTLVGTNKNIAIQLPDEIQSALKAFRTA TKWTQVVYIAAFIALAAEIILGIFTNCSRIVSCLTWIVAGIATTLVIGSVVLSGVLAG TVVGAVEASAKFYGVKGHINGRFFACVAISAAFALAAGLFWMFTICCCKPESRSDKKK NNRRSDGEKLLGGAGNKHGSYAPLSDDHEMQSGYYNHNQAQSQYGAPRYPSGTARSDL AYEPYSHRA FPSE_11624 MAANQPLNDTVVIGIDFGTTFSGVSWAHSREPDDIEIVTCWDSE LNHCSDVEKAPTQLYFDADGHDIKWGYGIPLDKEPLKWFKLLLLDSIDLPTEMAISTQ IQEARRLQRRAEKGSIAIIALFLRKLWDHSVESIRRAIGADLLERSKFQVVITLPAIW PPYAQNRMKQAAQQSGILDERPAGTTLLQFISEPEAAALAAIKDMGKRSTIETGDTVV VCDAGGGTVDLISYVFQSTDPFVVKECVRGDGDLCGGVFLDEGFMQLVKEKTPAVSWW LVNRLEEKQFLNDEWEHGIKPQFENQKRTWPVYLPDSCRSNTFSSGLKRRETLEFSSD EILSAFFPIAAKIEDLVRLQVDAIEDKYHKAPKYIILVGGFGRSRYLFNRLRERFQST ILQSNGSKPWTAICRGAVVRGLLRHNPSSGLGMVVESRIARMSYGIRHRSRFVHGKHK KRDRVWCSKENSWKAGNQMQWFLTEGDDISEKRSVHHEYKSLEEDSVVEVSTTVYCTT TFPPPKRYDSTNTIRSLCNISWNRKIHTKYLPKFINNEGKSFPQLCYQVKMDCKDGII NFSVYFKGRKVAGREVDVQFI FPSE_11625 MEPRGRVVTRQQKRMLDFRRALSNKPPEHVNHRPGSGHLIDFSG FEGPSVRQSNALRRGSETHMTSASMKEFLAVQKAQLDAFLQQFGEQAEAQRSALGHIM DQFAGIAASLGSTVAHWLGHPAAHQQAHLYKELELAHMRAIDAEGRCQQLEKDHQTLQ KQLVEDAKERDELRKVADLANWTGAAKISDDVIRSQWKQLDYNIRVMARALAKCQTKR PTDDSNKKRFESIVTSWPELLENDDYKEFLLAAYLWILVNKEIFSNGDEFWGGGFARG LKHLRQNLVELAPEADGPLRSEPTMRHVAKWSAQGIALISHFYGRNKKAPKKQATNAL GRLKLFCNIAADTSGTDFLREIKGIMKTALELDEMLMGSLAIWSIQWLRSGQSKSLRY DADKMDAVAYANELSPKTAVVLAISPMLLKTGNANGCNYDSEMVLCKASVVCD FPSE_11626 MGNNNSSQGGSGSKGSGSAGPDGPLQSYPSFSRSDTKDSSRSFR TLGSKIRSSSKSDSPRNSKVLSNGENPVESKSDDRRSSRHGRSSSSRLSRSELPPLNT AGTDISASESALADSAVGEDQPPPSPVHGNAKGGNHDVSAAQASGEVDHVSDQPPSVN AGANAHMQAPGQSILVKRENTINPVNNGPSDESKADGNSNVAMSEIKDIDLDDFIKRL LDAGYAGKVTKSVCLKNAEIVAICQRAREVFLSQPALLELDAPVKVVGDVHGQYTDVI RMFEMCGFPPNSNYLFLGDYVDRGKQSLETILLLLCYKLKFPENFFLLRGNHECANVT RVYGFYDECKRRCNVKIWKTFIDCFNTLPIAAIVAGKIFCVHGGLSPALVHMDDIRNI ARPTDVPDYGLLNDLLWSDPADMEQDWEANERGVSYCFGKRVITEFLAVHDFDLICRA HMVVEDGYEFFNDRVLVTVFSAPNYCGEFDNWGAVMSVSAELLCSFELLKPLDSSALK SHIKKSRNKRQHMLNSPPAMIQPQSM FPSE_11627 MQRHAFRSVCSRTRPELEQASDALRNYARQFSTSQIQAADGPST PRPTARPAQAGGPKVIDIKSLPLRGRGGLRGRGSFRGRGGFAGRGGSSAQDRPSSPMR TGQPMTRGRGGGGFDARGRGGRGRGGRGRGRGRGGGKGDRRRDDDDKDRNKDRNEFNN MDPDEKSFDDSIRFGMRSEYTPSFTLESVNQFAPVTPSTAAGKSAAVMQNLSVLGTAD HVGAPEPFQPKYYASETEAGGIRFFADADAKNAAEQYLQGRARTEGKELTGPIVAEAE EAIRQVITQRAVQGQHETPKFASDPVGVSRSWHLRSETYTTHDVNSFQTKLTSLLDKK GAGGKGGQAKA FPSE_11628 MAFSRANAPLRTCLRQLVRSEGPAPLRSIAASPRAFSTSHALLK KRKISAPANSPNTATAKAAAGSMNKAEYDTAKVPAPDPEDPLDFTAVIAAYAPIDAHF KTQLASMIHGGRFNPTNLGSLPVTIKDEEGADATFPLRELAQVVPRSGRAISLLVNDK EYIKPIMSAVQSSREFNQQPQRSEDNELELLLKVELERKDDVERRIKEAVQQWKDRIR NARSRHEKTLKDWKKNGTVLPDIVKKAEKELQKVQDKKMKEIDQEEAQTIRQL FPSE_11629 MALLQRLVAPSARGALRQSPAITLMITRKASNASPPKLKDPSLF KQDVCYVNGEWVKAKSGKTFKVNDPSTGELIGTCPEFDSKDAQLAIRAAADAFPSFRN KTGRERSKLLRAWYEQMTANAEDIAKLITWENGKPMADAKGETTYAANFLEWFSEEAP RVYGDTIPSSVPGNRVWTLKEPVGVCGLITPWNFPAAMITRKIGPALAAGCTVVAKAP AETPFTSLALAELAHRAGIPKGVVNVVTSHENTPELGELLTSDPTIRKVSFTGSTNVG KLLMKQSAGTLKKLSMELGGNAPFIVFDDADVDAAVAGAITSKFRSSGQTCVCANRIY VQRGIYDEFVTKFTEKVKSFNVGHGFDQGVTHGPLIHDKAIEKVEAHVKDAEKKGGKV TIGGKRLSDLGSNFYAPTVIRDMTPEMDMASQETFGPVAGLFPFETEAEVVKMANNTE VGLAGYFFSRDLERVHRIAEALEVGMVGVNTGLISDAAAPFGGVKESGFGREGSLYGI SEYQITKMITYGGMGKPLQS FPSE_11630 MMKLPMFNRSSQEHIDKLAKPRTYKIVFRKWPRLARKTVWWLMP AELIGVVAALVIFGISQPNLYRTDMWQIGWEHDPPLNSNPAMILYAYANYRPLPKVAL IWTRTFTDFNVAISVISLFFLLGKLIAFIMKCWYPIFATFINISLVALYTVCTYGTIG PDYADSRYPAPAAWYYRIGCDIARPYGKYKSCMVAKYSLVIAVYMLFVYLLSLALSIY ALLPNKINDISEDDDEEEGSTTSEPKDRGVWEMHNMKMPDSRATPFTPRTQAFHTLDR QLPLRSQQNRYG FPSE_11631 MQQLSSFNSPSSPSASSNRSVADSVSQEEEGPTQNRQQHHSFAT TSAQADVPTFATSTIATSSTTNTEVDTSASLFAAANNAVGRAYDRVAASGRTAHDYRR TSEVPAWRQDLSIPNLASIVPLSDLANSFSAASTTGAIHQSSLSTAGSPRGPSASTRG LPSSPIPSKRPSAPARGEPVMHPSPIKKARTGASPPRKVELPNKTTGLDPSARGKQQG PLSPLFFSHTPARHVHRPASFPATEPSVSMLSRMREDPAGGVTTLKLPRASVNSVNPG RSESTPGSWGSSMDVPTHSATPDSRSLPPGLQMLQGIGVIEFLEQDERPTFLIDLDNS ANTGRAGLHILYYNASLRGAHEVLQYLSVDQEDTSTDTDFGRFKSWIMTPLKGRAVND GSSTHFYAGITWTFSTLRRRFRFISAHASFSTPRPSSSLPLIDETADSETRSVGQSPR MPVTPDAELVDAPDYFGDAEPLDANFDSRHGDVDAIMEGCEPRLHPDAFTNQVFQSQP APRSIFDWTRIPMSDSLPPHIKFARSVDWASTPLGPIEDWPADLRSMSNLIMGSPHPA AMYWGPQFVIIYNEAYLDLAGQKHPKLMGACYMDAWPEIWDEIKPVFKSALESGQATM KHENQLFINRHGFLEESFFSWSIVPLVDGNGEIMGLYNPAFENTRRRVNERRMLMLRE IGERTAAATTVSGFWPQVQKGLEFNEFDVPFALIYSAKEDTESEVSSLHSGSLIHSPQ LVLEGSLGTPENHPAALSHLDMRQSDDGFAPYMRQSMAAGGVPIVLSEENGNLPTHLI EGLHWRGFGDPSKTLVVFPVIPTTTGESVIGFIVMGVNPRRPYDDDYKLFIHLLSRQL ATSMASVVLFEEEIKRGQRAARLAALDRQELSMQLYLRTQEAVESEYRFTRMAEFAPV GMFIANFAGKINYCNDMWWQISRHSRSEDSVDTWMDSVRNEDRLALEEAWDRLLREKV TISVEFRFKCSQQSDGNTIDTWVLMSAYPEKNQEGNLKLIFGCITDISSQKWAEKVQN ERREEAVEMKRQQENFIDITSHEMRNPLSAILQCADQIANNITVFDSHTNKAEVENLL DGCLDAANTINLCASHQKRIVDDILTLSKLDSNLLAVTPIDEQPVRVVQRALKMFESE LVAHDIEFEFNVDKSFDDYSIKWVKLDPSRLRQVLINLMTNAIKFTQGREKRAISVTL SASKKVSEATKKGITYFDKVDQQRASATDINNVEEWGHGEEINIHCTVEDTGPGLIED EMKLLFQRFQQATPRTHVQYGGSGLGLFISRILTEMQGGQIGVTSRRDIGSSFSFYIK CRRSLAPPPDFEEITPFKIPRKSHAPGIPQKPAPNRKASKAAAAAAAASQDTNQLFDV LIVEDNIVNQKVLQRQLRNCGNNTFVANHGKEALQTLERSRFWAGKEAEGVDISVILM DLEMPVMDGMTCARRIRELEREGTIVQHIPIIAVTAYARPEQIESAKAAGIDDVISKP FRIPELLPKIEELVGKYKNLSVSN FPSE_11632 MAEEQKNIEVPQEAKPETTGISAPAVEAPAETKPVEETPVVAAE TAPAAEEKPAEEAKPVDEAAKTEEEKKEEEAKPVEEGHLNHKAQGLSFPKNLIPTKEF FFFGTDAVEPKTLSHYLKSEKSTETAHSNIAWASETGKGLLFVGDKKNPSSVISLADA TEPEIDGSNKFHLTSKGNKHTFKASNTAERDNWVAQLKLKIAEAKELATTVTESETYK ATLESFKPAKKEEKVAEAPKEETAAPAPATEEVAPATEETAKVEEPTEAKVEEPKRRS ASRKRTSFFGFGKKEEAKKEEVKKEAETKNADEVAVETPAAETPAVDEVPKAEEPAKP VEEVPVVAPVAVEESAKPAEETPVDAAPVVDDKALESPKEKPTAIKRNSFFGNVFSKK EKKTPELKPTEPETTKDDAEAETTAPVIPPVEATTPLAVDVSNPATVPTETTEAATAT SPAPEVKKDLKEKRKSSLPFAFGKRDKSPAPVEGEKKESPFSKLRNTIRGKSPKPAEK REENKEETVQEEPTEVKAEESKTEETPKVEEPTEAEKPKDAAAAPVVTAAA FPSE_11633 MARRRKTPMGLSPNADDLLSSTRTVGSSNYPPSESSQKQEQVIH EYDSEKSRRRLEEHGYHHLVTSKSIREVLEEFNSKVKENEKRAASREEFLYANNGNPT HFLGTYVQSRELLIDTSGHDMTKSPADLTPIKTSSLFGVVDSGQTYGLIFGKMLASEG MKQLESFSKAFPLPWAKLSKGMAVWDFTTASYHEPPTGSAVFLCVSPEGTQNEFNHGL GRLSQLRIEENEARNNIRKLVLFIQQECGLTSPYGYDAERDEEEDEQVLHILRNYGYK KNIVKMARSIPTQENLMVALKNTVDPAPNHEYQMSKQVALTQTMHLLFAIAKRSHLVE VLHFHKTPLLDRRLLAIILRACPHVKMIGIYECPMLHLGDVIFLLDLIHEVNEERKAK HLPPVEALDFYPRYHAGRPYKTTREFETYGFTWKVENNEEVQRGVLTVIMQAVLKSRE MKIKLLMEENAAFMTFLSNIPMVPGKVFAFLDGLYRLLDLKSVKSKDKNAMRQAKYDV LKAVRSGLVSHKHGNGNYYLEMGTKFYFCASCGYEFLFEFFHPNNRFATQFDNWLCTG CILRSKLDEEADHQKLWSKDVMTGFYPDWKPTEFNADAPILNNGQDLVRFKTGKAERD PLPSQVLLPDGDFYQRSNGNQLVRDLKRHYDSVQGLPTLTTLLKADGLRQKARDAALI ADSERSLALYLRTYPHLRDPSMRPTLVSMIHEIGAPNHYDEDQGHQNPRNRNGFKSTH TFETAIEMYRTLDEPYKDTFGPYEHPGDDIWTPNGKVKDGFW FPSE_11634 MASFLRNLTLSARSLTPSNALGAFATRGPWSLFTSPATTPISRA LGNGLMQQTRGMKVQSSVKKRCEHCKVVRRKAGKRHNGHLYIICKANPRHKQRQG FPSE_11635 MVLTTRLLAFSRGYATTRAGGSRLKPTLNLDQFIQRGRVLAFYR TILRGTKKIPDPTTRAESRRYARDEFERRRNVADSAHVRYLLSVGKTEWEGMERYIDG M FPSE_11636 MTDTGDTKEPKRLVGRRRQKTENPVEIANKVSDDEFLTQYLKPS WSEWKHPQGETTCTLSLARPTCMSDEDLEACYNLVDETSGADYRDSSLGWHPAAKKKE MRSPDLRYILVKDGQGTIKGFTSFMPTFENHEAVVYCYEIHLKQELQGTGLGKQLMGY YMDVAENIPSIEKAMLTCFVSNKSALKFYERLGFTRDDYSPRERKLRGGKVVVPDYVI LSRPTAAKKVDDSRAHQPGR FPSE_11637 MAQQYSQGPNVQNQEWQSNLCNCSPCDSCMLSTFCPCILLGKTS DRMRDPTMQTADTCNSDTLIFTAIQCVTGCGWIYSMMKRGEIRERFGIKGSGMSDCCV SYWCLCCALIQQDNEVKARLSHGPIMQGYQAQKEGMHMPTAQPQPQQYQDHKPNPEQH TPQPDYQLPQHQHQQGYQSPQQGYQSPQQGYQSPQPQGSFPPPQQTYNPHQPQPQY FPSE_11638 MSASVLDYTKALEVLKEYKQRDGLDIHELMDTTKHGGLTYNDFL LLPGYIGFAASEVTLDSPITKRITLRTPFVSSPMDTVTEHEMAIHMALQGGLGVIHHN CSPEAQADMVRKVKRYENGFINDPIVIDQNTTVGEAKALKEKWGFGGFPVTEDGKLGS KLVGIVTNRDLQFEEDLDQAVSNVMVKDLVTAPETVTLLEANKILSKSKKGKLPIVDK DSNLVSMISRSDLTKNQHFPNASKLPDSKQLLCAAAIGTRPEDKLRLKKLVEAGLDIV ILDSSQGNSMYQIEMIKWVKKEFPDVDVIGGNVVTREQAASLIAAGVDGLRIGMGSGS ACITQEVMAVGRPQAAAVYSVSRFAARFGVPCIADGGIQNVGHIVKGLALGASTIMMG GLLAGTTESPGTSFVSREGKLVKAYRGMGSIDAMQDKKAGNGGKDSQKSNAGTARYFS EGDSVLVAQGVSGAVAHRGSIQKFVPYLAAGLKHSLQDSGMTSLSGMHHCAEVGELRF ELRTASAQLEGNVNMESYEKKLYA FPSE_11639 MPSGNYNFGDVFQKIYVAKQKPPPIPVHENMKVVLQSSPPLGHV TSVRGKNVTLTTVLEIPKFRASESWEVSAWHSVDDAAWKDVPLSSVQEASAPQTLQAL DDSMSRFYFSTSVPFEASLKFTLKFRHSLDADWRWIRDEQGLNDGLIVNTPVGLLSNN LSNLIPNINSKDWTIKSHISQSPGTSLWSLEAVIASANEDDSTYRDILIGTPFGTFAR WFSLVRLWSPWLAPRHGKSHFSLDKDGVLCCFLSPQGKSLVFLAVSGIGHVLPVFRSE SDGKVQVHARNDGLSEEAAVVLVSEGDDFDCAMASVMYHARNLVSVMKQTNKEWSHEL SALVDDFKPEWLEHWFDGLGFCTWNALGQKLTDQKIFNALDKLGEHDIQVSSLIIDDN WQSIDYQGPSQFQYGWKDFEAEPKAFPQGLKATVSHIRKNHPHIQHIAVWHALLGYWG GIAPNGKLAETYKTIEVTREDADRRNLPLGGKMTVIAQEDVNRFYNDFYKFLSDAGVD AVKTDAQFMIDTWVEASPRRDLINAYLEAWAISTLRHFSARAISCMSQFPQALFHSQM PTNRPTILVRNSDDFFPEIPASHPWHVWTNAHNAIFMSYLNVLPDWDMFQTVHEYSGF HAAARCISGGPIYITDVPGEHDMDLIGQMTGLTPQGKTVIFRPSSLGKTVDPYIGYDD DLLLKVGGHHRASHTGYPILGVFNVSSRPLTEFVPLSSFPGVTPGYYVVRAHKTGKVS QPTTLEGSGSLFTTSLPVRGFEILSAFSLTPLSSLKHGDVFVANLGLLGKMAGVAAVI MNDIKQERHNSRVVIVTRIKAFGTLVGSGNVEARVVYRVSEQEKLAGALEEKN FPSE_11640 MASRRLALNLSRGLRNRAGFSAAVPFTRGFATPSTVGKTQTTTL KNGLTVATEHSPFSQTSTVGVWIDAGSRAETDENNGTAHFLEHLAFKGTAKRTQQQLE LEIENMGGHLNAYTSRENTVYFAKAFNSDVPQCVDILSDILQNSKLEESAIERERDVI LRESEEVEKQVEEVVFDHLHATAFQHQPLGRTILGPRQNIRDITRTELTDYIKNNYTA DRMVLVGAGGIPHEQLVQLAEKHFAGLPSSGPQTGAYLRSKQKADFMGSDVRVRDDNM PTANIALAVEGVSWNSEDYFTALVAQAIVGNYDKAVGQAPHQGSKLSGWVHKHDLANS FMSFSTSYNDTGLWGIYLVSDKPDRVDDLVHFAIREWMRLCTNVSASETERAKAQLKA SILLSLDGTTAVAEDIGRQLVTTGRRMAPNEIERKIDAITEKDIMDFANRKLWDRDIA VSAVGTIEGLFDYQRLRNTMKPKF FPSE_11641 MSSSQPQTNGVPASSAGTSQTVPNTQTTATSSSQPAVTASQNAP PPSAQSTSAPRPRDSRLIELLLTSQGVTAYEPRVPLLLLDFAYRHTSSVLSDALHLSG DPYVTQAGSKPSANAGAISAPAGDAAVTANAVKLAIAARLSYQLRGGNAGGGISKEYM QELARERNKVALPKIVPSEWGVRLPSERFVLSGTSWGLKDVWDEDDEDDEEEMDQGGD AMEGIEGPEPEDVGGDGVEGGTVEDMFGEDVDEEMAEEG FPSE_11642 METTLPLPFLVSVASPNNQPTNDGLNHQEIALLGAPFYEKASKD WGRPSAGTNVHMDATGLSDPDDVVALLDSGVRTVFVTSESYSEYEQYGARVIPAVSSL ILSAATEHGLLVKDFDVSSSDVDKFIEVAQSKQIKSLYVKPTPETDIEKFIEVAKKAN AIPIIPSTRLTTDKNDSSRLLLSKLIASYWNSDRTDGLIPTVVTDDAGIALGLAYTSE ESILEALRTQTGVYQSRKRGLWVKGLTSGDTQELLRIGLDCDNDTIKFVVNQKGRFCH LQQFGCFGDLNGISALEQTLKSRKESAPEGSYTARLFSDEKLLRAKIMEEAEELCDGK TKENIAFEAADLIYFALTKAVGAGVSLADIEANLDAKSLKVKRRTGNAKGKWAEKEGI KTEETPAKAPQPEAEKPDDGRIAMERADSTKISQADLVEKLKRPSQKSPDAILKIIKP IIEEVRTGGDKAVLSYTHKFEKATSLTSPVLKAPFPKELMDISPETIEAIDISFENIK KFHSAQQEEKSLQVETMPGIVCSRFSRPIERVGLYIPGGTAVLPSTALMLGVPAMVAG CQKIVFASPPRSDGRITPEIVYVAHKVGAESIVLAGGAQAVAALAYGTESVTKVDKIL GPGNQFVTAAKMHVSNDTNAGVGIDMPAGPSEVLVVADKDANPAFVASDLLSQAEHGV DSQVILIAVNLSEQELQAIEDEVHNQAVALPRVDIVRGSIAHSVTVQVKDITEAMRIS NEYAPEHLILQIKDAEKAVDQVMNAGSVFIGHWTPESVGDYSAGVNHSLPTYGFAKQY SGVNLGSFQKHITSSNLTADGLKNVGTAVMQLAKVEELEAHRRAVEIRLNYLKQQQ FPSE_11643 MQYVRGLSESVSTAWNSINPATLSGAIDVIVVEHEDGSLVCSPF HVRFGKFSLLRPSDKKVEFKVNGVKQSYSMKLGEGGEAFFVFETTDRIPQSLQTSPLV SPASSPASSPPLNAEQSDSDLQEPEAFELDASESKPRRPPPAALYKKENDNGLITPLS TSPELPSVRPVSGDWSAKITRPHSDDVLRPSARSRGLDGNSSADDEEHFASERSASPP PLSAGEALQRARTLSKGLSAASIPTKVTDSGDLMLDMTGFKGNEEDILRAEILARQIL SEELDGNYDIGALFGFDEQGNLWIYSSEEAKQAAMNKTIEASLQSHRRSTAADAISDP GYQSDSSDATASPMPLHRRTESDAGPMDLQTPPRTPPGSSRHSGDPNRNYAKTLRLTS QQLKDLELKPGANSMAFTVNRATCKANMYLWRHETPVVISDIDGTITKSDALGHVLNM IGRDWTHSGVAKLYSDISANGYNIMYLTSRSVGQSDTTRAYLAGIVQEGYKMPPGPTI LSPDRTMAALRREVYLRKPHIFKMATLRDIRNLYGPDRTPFYAGYGNRLTDQISYRTV DVPRNRIFTINSNSEVSLDLLTLNKLKMSYVNINEVVDHYFPPVSTLVKGGGEEYTDF TYWRDDPLAVADFSASESDDGDDDEEDDNGPGAPTSEYGDDEEEYDDEDVEIDEDVDE IGEGLADSYISRASMDEFAEASSVLGGSVDEEKLKASMTRDLKETYEEDDEDEIYEDD QDGQDGHNEEGEDASTATPLGKKRAAELKEDVLAQHITGVGHLSVEK FPSE_11644 MNFLGLTAIEAQKRIDSCAGPTVHKITVGAAVLRNSYDPQRDTQ ILLLKRSAREKYYPNVFEIPGGKVDTGETLLAALIREVNEESGLAVSRILKPLATFSY TTTKTAKGPMTGDEQIIHRYARQFSYVVTIEGDGSDFCVHQAEHSEGSWFSVSDLANI PMTDEMRKLVVEALSENKDYTDNTS FPSE_11645 MSWELPVLTTLILSVLGFWWIYSPRASYQKALLYAFLCSLTVLI DTRRLLEYFSPATIEALLDFRIHVLLIHHFKMVLTLGAVVWLLHRSWQTLWKPVPDLI NILGVDVPEPPDVSLAGIRSDAATLSWTRPASNRPVQKYTIQVNGVHVGDSPGNEVAI TVTGLKPDHFYNIRVVAVGPNNFQAGSKVLRLRTFGKDGRPQLGNSRLPTSFANNDHP HPKNGDEDDDSDSQKKPPVPTVEAAPVLDGNNASTSDVTATVPSQRRNTVNRRHSPSV ASMDQPQIKLPLVDGPELSLDELNRRFESTRKEVDETLAQYAKEEAELQQQEEELKQE KEAKRQALKEKEEQTAQLKANVRTTMEQMRAAEKDRAKKEQQLKDKENKKSKVRDTAT KLENDIERMKKEREGFEAQKKELAAKRDQDGQDLDDSNAELQENCAELEAELKEKGKQ LQDLKATREELPGADDEQWKENDIIVRKEWDARRKELHNQLVAETKKQHNLDQQIRYL REELQHMGLYYNNQPDPTAMEFEPSGAPQDPRDSGNFDSLSHLNSPPHNQFGPPDDSF SGFSRAGFGQILFMDHNAADNADDHQSEADFRANAGPLSPTAHSLIPSGIFDETFEDA AEHLPNQYLPESVTAAEEDHPQSPLSSDGRSLNMFSSPHGSSHNLPFPQYNDMDSQSL NINSSPQQPPLPSSHRLSSLLSNFQRSRGPKPADVEGPAIGSLKAGQSQSFPRSTDDH DMPGNKRRISFSWMNRHSGAEPSRTSMGPTSRPFSARRLNPFASSAGALTGENESPDS RPASIASTDLPRPSTDSGSIWNIPGDFSMLAKNRVWSPNEGRWSSRNPSRRPSLGAAP TVLETTLATANDEILDDDDLLNPQTSPSQVGVIGSRPPSHRASMSRNLNPAAPTFRTP SFFSRRDRDASPDRGSKGREKRGKSKEGRTKGLEALTPTIEVPPNLDDSPTSPRMSRD AHSVHTQNSVSESHESLDLGMTASNSTTDNNTASHKDADSSVVKKLFRKGSSSKFSTL SSRLSKDSVLFKKGPGSSTFSEKNMSEHRSSIGDADDLEEDMAQLGRSYDSMASSPSI GQGSFRSKDAPKEGRMGTWRFSMKKKNKEPAAKEKESMENDGLVDE FPSE_11646 MNGNYREPSALDAVDYNPIDHLNILFSHPSTISSISEVSKSLQS HQNALSNDIVTLETTQAYGSDSSLERMQSAQAELAQLFRKIETVRSRAIETEQNITLM TADIKRLDGTKRNLTLSMTALKRLQMLTTAYEQLRGLAKTRQYRECAGLLQAVLQLMK HFNSYRSIEQIATLSRGVAELQRELLEQVCEDFEMAFAKSEVGARRGMLVEACLVMDA LGDSAKSRLMNWYVNTELREYRQVFRGNDEAGSLDNIGRRYAWFKRMMKSHDDEHSMI FPPHWRANETLAAAFCDGTRDDFKGILERSMRRTDGNRIDVNLLLSCLQETLDFEQSL EKRFATTSRASIDTINSVEDRAHSFHGMISVAFEPYLSLWVESQDRQLAAMIPRYRSQ PLIPPDDEFSPQAVIASAIELFHFYKLTLSQCAKLSTSERLLDLARILAKYLDEYAQQ VLLHILQSGGAQGPPLQDVVLVLNTADFWHTNTNQLEESIKKRIDSELVSKVDLSSQS DAFLGVASASVLALVRVVELDCEGVWREMKNTNWSTMESVGDQSSYVGELVKHADGKA AEILAIISKQQYARAFCDNLVEHLATGYINSIIQCRPISEVGAEQMLLDKYVLTKAFE KLIMHHASLSEQDAPPSSFVRRVQHCMNRLDPLLKTLQVRPSPPEGLVQAYLIHIGDR SDTNFKKILDLKGVRKTDHGHLIELFGIHRDSTTNDKLVASSPLLTPLMTTMTLGNTA HLSTMNPSVAAAVSPRFEAGSLGEKLLSAARDISQSSTSTAAQTGLEKATINENLRNF GKFFKRDIGSLGARFGKRDGSVGPEEGQR FPSE_11647 MHSKVVIIGSGPAAHTAAVYLARAELKPVLYEGFMANGIAAGGQ LTTTTEVENFPGFPKGIMGGELMDNMRAQSERFGTEIVTDTVATLDLSSRPYKYTTEF SPEETHTAETIILATGASARRLNLPGEDKYWQNGVSACAVCDGAVPIFRNKPLFVIGG GDSAAEEATFLTKYASHVTVLVRRDVLRASRTMANRLLNHPKCTVLFNSGATEIRGGE DGLMSHLVVKNNKTGEEKVHEANGLFYAIGHDPATTLVKGQVDMDEDGYIKTVPGTTY TNVEGVFAAGDVQDKRYRQAITSAGTGCMAALEAEKFLADHEDDERADERPNPN FPSE_11648 MEAIKQALHLGKGSDAPAEPTPEALNELKEKYTKAGQEQVFTFY DSLSSAERGTLYQQLSGFDPSHINDITQRALNPAKTSDEPDRLEPLPESATASILDSG ADDIAKWYDSGLDLISKGQVAVVLMAGGQGTRLGSSAPKGCYDIGLPSHKSLFQLQGE RIAKVQELAAKKGSNAVVPWYVMTSGPTRGPTERFFQENNYFGLSQENVKIFEQGVLP CISNDGKILLETKGKVAVAPDGNGGLYNALVLSGVVDDMRKRGIQHIHAYCVDNCLVK VADPVFIGFSAALDVDIATKVVRKRNATESVGLILSKNGKPDVVEYSEIDQATAEETD PKQPDLLRFRAANIVNHYYSFRFLDSIPQWAHKLPHHIARKKIPSADLESGETVKPEK PNGIKLEQFVFDVFPFLTLEKFASLEVKREDEFSPLKNAPGTGEDDPDTSKADIMTQG KRWVEAAGAIVVGDKADVGVEVSPLISYGGEGLEKLNGNEITPPAVLERE FPSE_11649 MTKGKIVQEARIQEDVADEEINDGYDVPSSPPQPATFKGRLQMS DFMFKSTEPTPKGETSPIRRSSRLNPSTSSATIAPAPVTTAKRTKKRQAEDNENDVTP TKKRARPSSGYAPPSTYAHLPGLPDAIADNLLVLFIGLNPGIQTARTGHAYAHPSNLF WKLLFSSGLTPRLCSPTEDRQLPEMYSMGFTNIVARPSRNGSELSKQEMDEGVEILHE KCRKYRPESVCVVGKSIWESIWRVRHGKPVGKAFKYGWQDESENMGVIEGEWEGSKVF VSSSTSGLAATLSPAEKERIWAEIGTWAKKRRVERELEKEGNSS FPSE_11650 MAQQPLSSSVQPTDIYGGDEVSALVLDPGYCSTRAGFAGEDVPK SILPSFYGHVTGDNSRDLFGDECLIPRENYEVRNYMNKDSVVEDWDVAARIWENMLVN RLQPERQTPPSKNGLNDDPRPEGQDGEGDIAMDDAEAESMEKPLGENPLLVTEAPWNT PKAREKAIEIIMENWGCPAFWMSRTPVLAAFAAGKATSLVIDVGGANTSVTAIHDGMV LKRSIQKSPVGGIWLSSQIRSLFETSEPQVELTPTFMIENKTPVDALSSPSVRLRNFP YSITDSFRAYEEERVLTEFKESVVEVWRGPGRYSVPGNEEFVKTQPGRVFEMPDGYNQ MWREQRFRVTEGMWDENAGYPIPEADRLNKTQTIPELIRAALNAVDVDLRGNLLANVV VTGSTSLINGFNDRLNTELMAMYPGLKIKIHAAGLTSERRFGAWIGGSILASLGTFHQ MWISRKEYEENGPNVVEKRCK FPSE_11651 MEHTDISPFKQQRRTELWAEQEEEDPLFTETHPRLVNRATRMSV PEFYEAFDSCLDDSNDITVYGRIRSKRVVGKNLIFIDIVNEFTRLQVMVNRSKCMVDQ EDRRLKFGMFRSLIEVGDHISITGIPTRTKAGELTIEAKALPELLSPTMEQIPEKLTD PKTRMQERHVDMLVNREAIDVLRLRAEITKYMRDYFHSKRFLEFQTPILAQNAGGAVA RPFVTRATEFKDKDLALRIAPELWLKRLVIGGVDKVFEIGPSFRNEGVDATHNPEFTM CEFYSAYTNLEDLIKETEEILCGLAQHSQELISTELTALPPIDMSRFVRPFKRVEFVP GLQEALGLRLPKLSSPDALPEVLAILKLSGIEVPGEVPSSLAKLLDRLAAIYLEPMSF TEPVLIMNHPACMSPLAKSFVCPETLQLVSARAELFIGGRELANMYEEENDPETQRRK LFEHRHLVNKDDGGIDIEIPEPPEGQDVIQDPQDEPFEDEDGDAAPLDQSFVKALDYG LPPTGGWGCGIERMVMLFSGASRISDCLSFGTIRNVVGLSATEENKKASSEEQKKNDE EEKKTGIEEGL FPSE_11652 MKLSNVSLLAYTGLASALTQQCTGKAVNEGGNWFCGAIEEIIYQ GFSSKGSYQAVSKMGGDGSCQRESVSYDGALGPLSEDLSVHIRGPFKLKEFAVYNLGS SKNKRDTESAPSPHLHGHRHLHEQRKKKRAEWVTATIDGKVVSWKNNWFGPSATKAPS AVAGAPTNKANEPVPKLKETEKKTPKKDDISKTKPYGGSSRGNWERTSYYNAQQRVAD NVMFLGNYGGEGSGVFDNTWGNSLSYLNAKGTGGSSSPKVLKHIYIPSNKEFSIWSAE KCDESCGYSRAKDVAYKGFSGSNKIFLFQFKMPFDGNTGFNGDMPALWALNGRIPRTG QYSECSCWKTGCGEVDIYEVLASGDDKCKSTFHMNNGAGSSDYFKRPADKYIKAAVVF CERTSSVAIKQLPDSFDFGSSLSDETVQSWVKEMTSHKKGSSIFQIAA FPSE_11653 MDILKLLSRGTKKTQKGSQNAFNPQQKLPSAGTSTNPQLYHDQV RGHKRKRTKNESEPEVKVDLPEVDFFAPKPEPVAEAPVEVEEPVQAPKPTRSSRLLSE DECRQLLRSHRLKITLLSKTEDQSKVKKSKKKKKAAVEVKKDSKKQLFPQPLDSFGEL RNAYGLSDKVADNLVFQGYRVPTEVQMGSLPLLVHPQAALKDEDGLGGGVDFLAIAPT GSGKTISFLIPAINNILRRRSEENTGNIHELEAVIVAPTRELVHQIVSEGQKLCKGTG LKVVSMKKHTHLSADQVDMAEDSSEDEEDKESESEDDDKKPSDDKPKQITTPDILVTT PFLLFKFLTSGPPSTQKVLPTVRDLILDEADVLLDPLFRDATMADWTACTNTNLRVSF WSATMGSNIESMVTEKLTSRAQSLDITPKPFVRLVVGLKDTAVPNIAHKLIYTASEQG KLLALRQLLHPTASDDSGPPLRPPFLVFTQTIDRATALHEELQYDIPLEAGGAARIAA LHSGLTDSARSSIMRKFRAGDIWILITTDVLARGVDFAGVNGVVNYDVPGSSAGYVHR AGRTGRAGREGGIAVTFYTKEDIPFVKMVANVIAVSEKQAGKTGDEAGVQKWLLDALP NVGKADRKKLKERGNEARRSGVKSKISSKSGYERRRENNRLGAIEGSKKRKLQANDDS GDDGEWGGIDD FPSE_11654 MPTMLGLTNDRSTNGLNANESKFGGPSSQRGMELTPRQQNGSIV SGIEGSDFFNGGGKQQEHDPDEESDEENLKTIKGRNLSAVKEMETLLWRALCDKPKTA LKYIAKDAVMSNRFLFGDPKPRTADSDPTLEEEVKHCEEWLAYKMHDPQPVEIGLMAA AIGYKVTLFRQLDQGDGNFGMQTVQATCSSSWRQLASGDWELTSMFAG FPSE_11655 MTPPSLAPGALPFGTARQSQPRPTRPINANENSKENLPSLEETV TQLGLGKDCAKAARRRFAIIRSESIKYYLKKHQLSEKNLEDWSNQECRSILREIALYF LHDKSGATFWTTPYRLPTLRYVFSKHKPNENEKILELLIKYLYLFINSNRRKAQKPRM RRKFLQGKGGCADSAIDIDYLSDDAVLPTFQESSTDRVPKREPVSDSSGIDQDIMPLQ QTLVHRPSKKRSAEAELPQAQNRAKSIRLHARDSGVGLPSDGTEEEEEEDEDLHLDAR DSNVGSLSDWTEENDDLLDARDSGVGLTGTEVEGPLFFKDDTPIYDSNREWLFTMPKM EPIHESSGVQVDVPVALNAESTKPETPEEDIPADVRTIIQNSSSKDSATVKPVCNYAP RVKPLRDKVANYSSGRHSRSVPQKGTDKTSTPTLAPTLGPQTDAKPQKEPETVAVPRL ISRLDTPNYVPEQTCLPPLSEDIPPPSETIPVDLTLDGLPDDSPTFFHTKDSSATKNT NPYIPNDIDGKYATARTIQDEAKESPFIKTCKDTSNENPYHSLFKMATPKKKHVHFDP ELVSNDKKPYFDSEHAKKILNSHLRNHAKATSTPIRFSFSMRHSASHADMFKFSPMTF FTMSLRELVAALPMEDKDQISGLCIRQYGLVVCLRQVYLYNEEVFGNIRDEFISYVET DIRNAKCTGATLDYEISIEPIMEDY FPSE_11656 MYSGRTPSRTPGERIFKTTKSCSITSITHLRRELGFGARGEERD IAFKRAVRKQITTFVSSSDSIPAYKFTKWKNTVHQRGLLEVTKDFLDVQGKGSEFWPQ RDLSDTTQPLQYWKDSAKIRSLMTKVFWRAAREYKRHKAAFSTPPLLSQSTDASCQSE NNTADTKQPLPDSTARFGGNSAEDPIDLETMPPATSTAGPSVDNDPFAGILTPFASFT PAEDSPYEPTSSEPFSMSLFTPDVLDCQPTDATHGRQGAPEARMQDNDPWEVPNSPPV AANAEQQTSKRPVEASSNDNNRQNKCPRNEATSSEQPTHPPNKSKKNRKATDVPTTRR QSNRPKKQTFQPDAATPEEMMALDTSSFLEASKCEKRQQNKDKANNQAPQASSVSLNN PAVPTGLTGEQSSAQAPREQAARLATEEAAAMATAIGAEEQPRVATGLSAKAQGKQPA LPTSQAPVPEAGPSREREVIEESAPRTEMSAPRTQTSAPRTQINAPMTEMSAPQTIHP STMNGSGGSDEQEQQQDFSVPISDQAQQALDSCELIYTTNMGDGVTWVTQRFPISIFK MSLGDIFQEAGLDNSATLHLRFEGDWDDWQVSLKKTVKDEEKFSISKEQWLRHITKQY RRAASDSMAQRQRVRYFLSFSNNTFTR FPSE_11657 MSSIREAPRPRNSPRTTEDDGTWLSSEGPYLNLIKQSCTRQPNL ELPDGRNRAVLLCDRQNIRASLFELDAKNQISPPTEFPTFVELQEHFNESRPNACRRI YLVEGLNPQVVALLGEKLNVDPIFFVTHERTSTYLRWPYEPNLAPCLPSLLDGGQSFT ASYYDVRALSEQLGTFSVACAESGRDALRTKLGKEWEPTVILHRKCSFWKTTFINEND WTALILCDPPFRQAHIWQKPSFIQEPWSLKTIHFSAPPFQGGYADFIPHPWIIHRASS PPRDSLFDDMVHYLTEYHNDISTKLSGLDFTVFAKKIVASHYLLLLEYHEALLSTMAF PLQRKDNFANIETTSLESSWSNIQQLCSRIDRYIKDISHIMLQLHIPFDNPCVPSAGT NPHTQWSQSESDYQYIYMKLQSLRERSEFLSSSLTGVTGINGAARSIREAKTIKTFTI VALIFIPLSFSTSLFSMSDRYLPGENNFGVFFAVALPLVVFIFVAILLFDLGYNENSS WRLETFTTRIWRSWF FPSE_11658 MSLSSDEEDEILSDAQSEGSSEEDSPPRQLSQNLFAPPFYGRPP TPLPPSPSLTSLLRPSRPTTPDASDDDAIAPVPRAAPKVPTYEYYGFVLYLFSSLTFL IYLLWGYLPSPFLHALGIEYYPNRWWALAIPAFIVMTVVYIYVALAAYNTEMLTVPLS SVETVVDGAGKLAEIDAKGRLKGSKNRERKVQADGRLRWREIWSEGTDAVMDIPLAGV CEVLYGEGRDEEGYVDDD FPSE_11659 MANSEDLSLPGDVGLDNGDDSINDAPAIAGADADADVMDDGSLD DSDGPDRHLSPVPIGNGSVGNRYREIMQQQHQDSSDVSSLGGSSLHGLPKRAGSPIDS VLSGPDDTPSIQGSFVSSPGSSVLPSVASRPGLSSPSPSFRPFDRRFQSRISSSNINT PRSSSPAFPFLSSHSRNVSLSSQFLLDQADLETPTPPWEVVRWTKLRKLNGHAFSEAG KRNFGSPTCLAVSATIVLGTSKGIILVFDYNQNLKMIIGPGTKAVESGPITAIAISAD HTTIAGGHASGNIFTWDTSRASRPFLTISHLEPTQLQNRTVDGHVPDVAIVHLGFLGT RHTALVSADNRGMAFSHLATRGTGALGRTVKTHRILGRYPDAPLPTGKTVKPSTVLAF APLPLGNVECSTDTLGLTAMLTPYLLVIVSTTPVAQTQHKSARPKDVPPHSAMTGCLA WFPAVKLKVPDPHTGSDISKVKLAYCWSNILTVLDVDEYPREDNDQPPSYRFKARNRW KCEEAIVAVQWLSRSVLAVLTISQRMIVLEDRSMRMTEGFDLVQKYIYHADLFSEQLH TLVEQLDENDSSMHGVVADAFYMSFKAYKGRIFLLGFNEVSIGALSNWADRLIAMMEN GDYMGAIQLATSYYTGDADKLTVGLPEDSSLRHTMVRDKIMEIISASLKYAFGQREKE PESYDDDHMKQLADTCFTACQAVGNQDFLFDEMYEWYEDADIAGIFLECLEPCILEKT ITMVPPSIVKDLIQHYVSRGWESRLEEMICHMETATLDLDQTTLLCKQHSLYDALIYV WNQGIGDYITPMIDLLSLLIPLMVDGDFTTNNPADDFFSINAFKIFPYLSYTLTGRVY PNDQTMSEEMATRAKSEIYWFFFSGRTITWPKGSRNEFRTIPNSDSQPSFPYLRMILK LDAPSFLSALNEAFEDSFLNDSNDHQMNGNSKGDLPEEQIFGQTINRQYVLSILLDVM NPNDFAPEDTIYLDMFIARNLPKFPQYLLFSGTTLSRVLTGLCNYPGQDLAEDAQLSA EYLLSIYHPSDMPDLMPLFKNAGFYRILKRIYKTDKQYDNLVQTYFEDPEDQELVFDC LRECLRLQSELTGRQTQEVLKVVREHARDLLELEPTLAAKTLAEQDMTLHQHVVDSAQ DAPDLQHTYLKTLLEPEEPTADTGLTSARDLVEQYVQLMCKFEPSHVSDYVGIVQSTD LRLEKLLPTMEDTGVIDAAVVLMAREGQVKDAMDRLVKHLKTLESAMHGLLTGAEERI SGPSLGYAAEELFQGLQKYVHVGIWLCQGQTKSSSKKSKVVQKGSKSAVETLSSDEAL WLSLIEACVQITKDLSPALNSAAEQNTSDHEFDEEKLVALLRSLVQHTFTALLTTTSS QTTSQPGSKLLSNAGSNLSFLRILRAFLTQAAASSPNLADLRGVLASIFSAYAYEESI LKLSNRLLERSLFVDVNQSVGLRQRGWRPRGSTCEACTRRVWGPGVAGTSVFEAWEDK QAIEERRRKERQADAAERAKGDDGETDLKAKGKGVDTRPSSMLIEANATSGVSSNKDK PMGPLVVLACRHIYHQSCLDELQERQQNGGVIGVEREYRCPIDG FPSE_11660 MEGRICDEKFHNESKLPQHYTPLVIRNIVERTTQQREIYSMSSI GPQLPPHLSKRKRTPDDEASTSKHRRSESPAKNTDEIDLEDSDDDYGPSAPLPPKAPI GPALPMANKDAIDLESDSDSDTGPAPPRPTVGPAPPPADITQRPASSPDSDSDSEDDY GPALPGASNANKPTIGPQLPVAEAAAQRDSWMLAPPTASGYSERDPTKMRNRKFASKP ASSSGPSAVSAIWTETPEEKLKRLQDSVLGRSDKSTETEPKNVKSREEEERNRKISAN IESQRGKSLYAEHQGKREKSGQKVEEEDDPSKRGFDREKDMALGGKIGTAQRRELMNN AADFGGRFQKGSFL FPSE_11661 MVAVGQILNEVSHIVIRAAAAEDPSSTASQSAAETTTDNSNDKK DNGGGSNSPLLFFVALGFGVVFTNLWIIVGVKYCFRYNARNRQMRMNEDGEPMPLENM PRPHRRRREKKLMTMDEVNDKFPMMKYKAWVSDRAKEGLPTNGGVSAPPTRPNSIREA DGIVPDFSAKVRDSTDGPLADNVPKSNTQPETTSTSNTTETKAPEISIAAAAAEAEKS KEAPKTTTDGQTSNEQSANNPRLHRVSSEETDDDDDHIDGALPPECLENPGDTCAICI DTLEDDDDVRGLTCGHAFHAVCVDPWLTSRRACCPLCKADYYVPKPRPAPDATNEAGA NNGSSFDPRNNPVFGMPAALRSAWIRGSRNDRNETPAPASRRRRTGDVPAGQEPAQQT EQTQQTAEVTQTSNGGVLSSMRGALRFGRRRQQQENPDAVTPSQLEAANRTPAAT FPSE_11662 MPPTKLPESGNPLVFFDITIGGEPLGRITFELFRDVVPKTAENF RQFCTGESKNPVGRPQGYKGSKFHRIIPNFMCQGGDFLNGDGTGSTCIWGFKSFEDEN FTLKHDQPGLLSMANAGPNTNGSQFFITTVPTPFLDNKHVVFGKVFEGMDVVKKMEAT KTGYRGKDMPNLDVVISQCGEM FPSE_11663 MKFIDASALALAAGTLISPVSAHSWVEYASKLARNGTMIGKMGY PRGFVPRDSTNPPFADSIPQNILPQAGQSAYSGQEILNKYKLEKNPQFPMLEAAAGDY IAIMHLENGHTTLPENQPNKPKNRGTIYFYGTTQPKDEEKLFDVHLRWNKEGTGGDKR GKLISTRNYDDGQCYQPNNGQLSTGRSAELKPKGAVHEKELACQSDLKLPSDLKVGDI YTVYWYWDWPDLNKDNIDMEATKNGKFPWAGTFMRGEKDPNGFTMDAIARNESYSSVL DIKITAPAGVAAKDQNILEYVDNQNVYSAGIEEQMKSNFQVDVDGGKGGDMPVPSAPS APSAPSAPSSPSSPALPTATAPVDGGAGNGGDVATVTVTATVKPSPIISTVYVTVPSE SLTAVFPEPVDGDTSTKTITTTALVTKSKNPSDAEPTPPTASTVYETLYTTRPKEQPT GGDQPQQPQPSTVIKTIVTHINTPSSSGEANTPPTQTTIPGGEFREAPPANGGAPAQT QSDGPPIPTPFLRRRQNWVFGNY FPSE_11664 MSSSLYHPRPVLSSQRYTPSPDYLQDARRTYHNNSRLPLRETAS NAQSHNFNSMVPCYSNPVGISPSVPASLPAPMIPSQSFECLYRVPTPRNQPRFQQRRP RNGVNPLYFWPAFRQYRNRQAHKDTQKDKGGVWRRPELEDAFVDSVLLMPHMGRRKFS MGGKLHGRNMLISEYIFTICVAILGSKEIFRIDNSNDSIEQMGRKQVSSHMQVVKKFF EDLRCFHFLFPAEEKKEPGSTNSDDYYDEEEQESFKSNPVLTALAEGRVPDVKPNYEY FSQLLALQSLISVRPKTAEVYVSSSEVKFRDEIAYDAQDAPLDTESFPHLNKYNNCDD SPSVLGKDVLLHEYTRSLDRTTSACVKTVTRRWQKDAPEIYETLELPTRDEECLLLEM CATLELHEHARFPSGSELTGFVEVAITNPNLQSHRWKCVTRLTRPSELHSDDKKSSVY TNETGIHRRGCSDSKPDCDCHSRPRQDIHVPFPAVEWASILSMAVQYPDVEHQRKKEK RTKGDDRKNLDRAGSKRKRSEDDGDAASWARRDLTGSDLICKVAMYQELWSCAPDSNR WARQGIVFWRFNTTNQWYKYNPVFKPAGTSWRWLTVNDPMSRYHQQKALVYPSASMSR DSIMSPTPSINQHMTAAMNETFSSAWDPSVSLAQVPNVAATNNGLTLFESFSGGLATP PPTAGLQGSYPGSFDHGMPPSTGVGFIPSTCSTAGESHPGTGHGHSHSAAYYDAQTTL ADLKPVMSTVNPYQSPTTSSGLDLSSSLVYDNAECDTGLQGWDMPALDGWSTGAGSGS EWGSHHKVEPSSDQAALWTQSQWAQMAGDRDGSPRPMKRRRGDGIDSHIPPTMTAAAG GW FPSE_11665 MASSRIFIKGLPPNISEADFRKHFSAQGREITDVKLIPQRRIGY VGYKTSEDASKAVKYFNRSYIRMSKIAVETARPISDPALNRAQSAAHSKPASQPSTTI KGKEQSAEKDSDSTLRKRKRDEPQAAADHKLREFLHVMKEGREGAVDDGVHGGLGDGV AAVAANAVPEEESDDEYEQIPARKEKQRRIEPPAKPLVSETLPSYLKEEKPVSDMQDK APAADEPVESADAAKPNPEKQEVVAEDDDWLRSRTNRLLDLVDPDDLERTPVQGPSVI ETDHAQGGDAMEITPADPPTAHDVEVVEPAPVATGGEPAEDDLLEAIRRTSRLFVRNL PYSATEDDLRERFEQFGTVEEVHLPVNKSGTSKGFALILFTEPSGAVEAFQAMDRATF QGRIIHIIPASARRDTALDEFTLSKLPLKKQNMIRKKQEASTNTFNWNALYMSQDAVN ASVANRLGVSKSELLDPTSADAAVKQAIAETSVIQETKSYFTANGVDLEAFKSKKRGD TAILVKNFPYGTTIDELRKLFEESGPVLRVLMPPSGTIAIVQFSQPNYAKSAFGNLAY RRIGDSVLFLEKAPSDIFTGGDQLGQAVSLKDRQAPTVQNLSVNDLLSRGDKPEEELE TTSLFVRNLNFSTTTSRLAETFQSLDGFVSARVKTKMDPKKPGQTLSMGFGFVEFRTK GQAQAALKVMDGHVLEDHTLAVKASHKGLDAAEERRREDKAKKSAGQRTKIIIKNLPF QTTKKDIRSLFGTYGQLRSVRLPKKADYTPRGFAFADFVTPREAENALNSLRDTHLLG RKLVLDFAEADAVDAEEEIAKMQKKVGGQVNKVALQQLTGKGRSRVNIGNEDEEMDG FPSE_11666 MADAHAPKAESPIPREKLEAQIKSADMTEDMQQESIEVAQEAMA KFTIEKDIAQHIKRTFDERKGPTWHCIVGRNFGSFVTHETKHFIYFYLGHCAILLFKT Q FPSE_11667 MGDTELCNKGHYNRQPPGLAWPGPIWPGNGQSPLALWALWSRWQ MAPDSSLRLASPQRSTQISRLYHSSQALVSTIEVVDRECCMLQVSQSLTPGLLAHDQY SRLVFDVWFRKTLHFYRHYRERLSIL FPSE_11668 MNSLKVPEGGLQFEGSAGKDASLPSQAFALTLSDVVIEDMIKCV QNGDGIQLSLGANPVSLPIPQPAASVTPERSRVKTFELVFSVANLTYTQTFLYGSQSH IISPPSASNPYDLYLTRPFESTRTAEKIPHTGTLFEKPKVAASKKSQASKADNTIDAK TAKSSKRAASSGLDSDIEALQNGLAAHAASREKARVVDRLPAKKGAIKTKTSKTLSSA APRSIPQSPGQVSLGSATPIPTSQPLDRAKGDRAMLVHELAVQDRSLDYLSKKWEGAQ EDMEPTLRKVADYLDDSRKWALKKRAWKDLDVWNYDYDTQELRQKAVDNAVRQYDKQR ITIAEPEWDRLLPKEERGTGKCLSRVQANLTKISTPSINVEMVDGGSTPRDNENVLDT GRPRAGGEAMSRSTSNPLSNKAKKPTAQEAQVKRLLGKSKSKTATTAAAKPASKASSI KASPTKQRPAAAPKANGGRVLSQAIISNSDSSEDDTAPMVQSKPKAAPKPAPKVKDMV VAKPRPPMREPVKQQITAKRPREDDDSSSSSGTPLSKRIKPKQPLAGPRLKQRPSDGS QNSRGTVTSTSMKSKNTSPTKSSPLASSPPTNASDLENEARSAPIVIKKRKVESSSKP NATKRPATATFSDDLVQKAQAFKVCYQKYEALHNEVSALSNPSSRKLDHLMEMRNRLK TMKMEIYSECPPERS FPSE_11669 MAARRSQFAEATEAPGLYYQDPRFIYHVPQTPLRNMAPAPIRMA EDYYNQMYPLPATPPTPYIINGCCHNRSHRRRASRSAKPYESDGSTDSYPSYENDQLT QQREDRLPPRIALRQLADFLHVTAAFYKTQLHDFTRDHPSSYDSSNEALRGLLWKEWM SRRDNATRESFMSTRTNIMLLFEQVETAVATPWMEDPDIETRFDSTFSTLRGMCLEIV RLADKAMSDWRACHFLAVELSNARLYASPEGSVQRHLFSGWDKAQFR FPSE_11670 MGIEALDFPQMWERPSYTQLADILKTLELSPPIWNHKRRRSDII EEQESLAGQRKAEVTRYLSSIIKSPLSWIEDDDEKEILWTQASKRMSERCGRTAMGEV IRSWPFEDGADEFELIIREPALTGDSLGFKTWGSSYVLSQHLPRMTETSLFRLFDETL GQPRPDVLELGSGTGLLGLAAAAFWKVPVALSDLPNIVPNLRENVAKNADLIKSRGGS LTVGDLTWGGSEDEIDQTLFGQPNQFKIVLAADPMYDDDHPALLASAISDHLALGSDS RAVVMVPRRDATTERLLESFRQAMLDLDTPLFCEEEDELAGQDDWIEDDEAGNVRCWL GVFSRGGSPLSVASDTTSVAV FPSE_11671 MTGKPVYKPEEIRFALDLMVQDLFNEEISQAFRQRFDRELTDNQ IRYLRNKYGKDPDYGSPLINRPANKKVKRRRAAIAAASITSPTSEDSPGPSKRTCREP SSSSAGLSVSPPNQQSGLSQIPPLNLEDDQSKFFSPAPQFRAPAPQSQFSNVRSPPGD SYTMPSTQDVFSSGPSRSGWQTQPDTNFTTGFTPINTQFGHYNANTMDQGTSQITNMA FSPRSRQLPQAQPLYNTSSTQQPAFDSFLNMRFPVTSPQQTTHPSAEFSPDAKQEAVK KEEEGSAQFSWDEYVRAARPAADQAPVSQLLTRDVEASDGQLQLNQNRPSSSDTVKNE HEETDQSSSQAAPEIKQDDGSGLDLAAIDPRLFASNYDKQSSAKRPSF FPSE_11672 MALEAPLRSSTGNLQFPFAYPQTDMSAPTDHESRRSPFRPFSSP PPATDSSSLRPNSVNRMSSSSYNVMSPSDIVGPSPVTSNGTETTEIEDDVSEDLEREV TDRKSTRRSELLMLTTNLPESVRQSSTEEAVSVIHAPESFASWVAPPPTVETKGPSPR TSPKSEVESLSSYNGASEKTSTHPTRPPIMTDVNPVRYSIDSATPRAQDLQSMLDDGA RLRSSSTSSLEKIDEQTEAEGDDEDYESEAMQVPQQQDEIETLRDALTECWTLCNSLS KLSSIQRNRGLGKSGIPDAHDKAWRTCWKLCQRLYISRDEDASNFNVKVNLDLCRDFC QALFEVRQRNDEAADSVLRVSFELNNHLYSAQDMNLPEEFRERTLDFYIALCHRLMKQ RSDLAEETDQLLRACWALAEMLFNLRQNRRDGRPPDEELLSSTVQACWELCDVFRDSW AQVRPERGGTPRPGQPFALHLNDQNGRQSRGSNPSSVRSRHDSVKSGRQEEKPRNPVV PETPVTEFEDTPISPQSRSPTMPNILVLGTPSDNSRGRWSSSASNLSSYSKSSTRTSS TATTTAASEDINVTRAKILVLAAAMNLGFNRDSIHDPKAAATALQSFVKDLPPGSFGS LISHSNLLAQYKNSVLTDAIIPRHHTLPARGKRVTAQEMAKSIQMMMKSSQRYAYLRD LFRVVFQFPIEEVETRRNVSIVV FPSE_11673 MIIPIRCFSCGKVTGDLWERYLQLISDPRKTDGDAMDELGLKRY CCRRMIMTHVDLIEKLLKYTPDGRNEKKQQLRQHMSQEQQE FPSE_11674 MAGTKSPRAKHDPNKKRKRDLNENDSRSKRLRAERKAAKANGLN ESTNGVVSEVPQISEALTETGSREVEVVRQFDNTEAGWRVSKPMGGRMLDIDPVLTED DQYLILAYNTSIQVYSAADSLLVRRIPITAAEASDEKTSAPAHIVAMRQSKQNSDIVW VATSDGRVCQVDWTKSEAPGFFQTQSKTANAMALVTRKVSGKDTEIIFVAESDKPGRI EVVAYPATTTESEHKVVFVMKKPGNGLQLLETSEDGHLVGAINDRLFFGVPSQEQFDS LAVLDYEIYTFDIPDLVSSLDLRVYPRPATSGKKLRQLNAPVLDIIVGGARGSIYLYH DALARSQALAKSSSEKELIQAQNYHWHRRAVHALKWSRDGNYMISGGSENSLVLWQMD TGRKDFLPHLSGSIENITVSASGSAYVVHLDDNSVMIISTAEMKPTAYIAGIQSAAIN VSTPKDQLVQRTWTTPSHVQRPIPAIISPKDQSRLNVCVGNGRQATLAGGFSAPLLQT FDLDSFRSVSKQALARTQPTDVNITNKGSPIEEPLITHLAFSVNGDWLASVDTWEPSP RDVDSVTSDMRDQFIQERREVYLKFWETQQGDEQVALVSRINAPHATHRNEAVLDLAS NPVSTCFATIGTDGNVRMWRPKTRSQNGVVVKGPNGREVFTWSCSQIVAVGDCVPQDG VVDIPEAYANQEPQGSVAFSEDASTLFVAFGTAGSGAVYVIDTASGELVKTLDGQWKG QLRSVRVLSTFVIILSDELRVYDIVSDELRYGVVIPKTKDNALLQLAVDHTSGHFALA LPAQEGSLLAVFEPNDIEPLMVHSTPQRVVSLVSAPQSSGFFALDDSAQIWEVTEKPS LIVLQPTDDLGLRRTDEDEDMASDVDEAEGEDASEPEDVEMEDDDFHPSVIQQQHLAD IFDAAPAFAAPPVEDMFYKVMGLLATKPLSSS FPSE_11675 MSFTSIPILDLAAAQDPAIKPQFLTELRHALMEVGFLYLKNVGI EDELFQKVIQLGKGFFDIPEQEKLKIEMKNAPSFLGYSRLSAEITAGEVDHREQIDLS TEHPVPEPGAHLYRNLLAPNQWPSEDSLPEFRKVYTEYMERMGKISIQFTSLIAEAIE LPSDAFNKYFDKDQQHKLKIVKYPDAKELGIQGDAQGQGVGPHKDSMLSSYLLQATSH KGLQVQNVRGEWIDCEPIPGTLVVAIGQGLEALTQGVCVSTTHRVLSPAAGSGARFSI PFFQGVRMSAEFEDLEKVGVGLVPEEVREQRRQIVERNGGRIDDVEFTFRAGGVSKNL GEATLRNRVKSHPDVGERWYPDILASIREEQAKAKQQKESTVPAVEAPPKAVEAH FPSE_11676 MGNGAKAQQKRERAAKDKNTAKSQLKVNEKACDIQCQICKSTFL KTTKAPALKEHAENKHSKTIADCFPTYQE FPSE_11677 MTRNKFSSLRDQLVQGKLLLPGDEGYDQSLERWSRTCVKPAAAV AQPRTAEEVSAVVKFATSNGIKFNVKGGGHSSSQTSSSPSPEGMVLDLSLMRDVSVDA DAQTITYAGGCLWKDVDDALWAKGLATVGGTVSHTGVGGLTLHGGYGVLSGLHGLAID NMIACQAVLADGSIVTASASENPDLFWALRGAGSSFGVVTQFTSKAHPQGDIWGGMVL FSPDKLPAIIDFTNTWGATNDGRQILLVAFGHAPPGPDPNAPRPPIVVVRMAQVGDNP SEEGPKYFAPILEMEALMQEIGPMPYPVINQVADGQMKHGSRYLLGGSNFTLPMKLST AEAMRNRFHEFTERTPDATESVVLIECIPNQKIREIPVESTAFNSRGKYFIMGFMYKY EDESLDTEIRQFNRTFQTEVRKLGYNDETLADGIGNYLNYANTGNISAEEAFGSHSKR LVSLKKKYDPENVFDKLWKLVGKVEDNWIA FPSE_11678 MASSPTNVSADKVSLEEFNQLLARYPSVIQRISDEKGVKNGQKS LKVLDEFRYNEALDNFDAGKRIRPMTLDDIKTLVEWKLRHGKFRPTLMKLVSSNGPDG AQDVIKQALEIYDEKADTVATLDVLTKLRGIGPATASLLLAVHDASRVIFFADEAFWW LCCSGKQSPIKYNAKEYRMLCSEVDDLRNRLAVKASDIEKVAYVLMKQPDQTEKSHDA APVKKEKESASATATAPAKKRKAVSKESKKVDDAIKEQPSLRRSKRVKS FPSE_11679 MAPKGHQHGPSSSMFAQTTESIPLPSRFARIKKDLIEGRQNQIK AAWTRLLLELKTEIDIIAKAGPDIYPSIDFEHLKNPSVTNAFSSALKDRGVAVVRNVI PRNLAAEWKAETEAHLEHPRARRLPTHDPHLYGVYWSPGQIKARAHQNIVYTQRFLMN LWHSSDPKALVSPNFPVSYADRVRIRTPEDEACSLSVYVDGGSVERWEPDGYGSAATY QPIFDGRWEDWEPWESSTRLKVTSDLYNGDGSCSMFRMFQGWVALSDVPFGQGTLLLC PMIRLTTAYLLLRPFFVPRDSSLSGPDFLVPENWILEEPPSSVIQGALPSYTQELNKA LHPHLQLNRSMIPIPKLEPGDYLVWHPDAVYALDRRRRPDTPTTTIVYLPACPLTQTN ALYLAHQRKGFLQGEPGPDFTGALTGDVAIGGEQAIREVGEAGGVDGMRAMGLLPWDE DEAKDDIEYAVLEMANGVLFPEKYDIGY FPSE_11680 MTLYYTLVFMLLVFEMGMFVLLIFPMPFGVRRKIFTFISENPVV AKIQYWMKITFIFILILFVDSVNRVYRVQLELAAASEQAKHGGGAAVMGHERLEVQAR KFYSQRNMYLCGFTLFLSLILNRTYVMIIEVMRLEDKVRSYEGTKDNTKEAEKLAAAG KPGELARLRKELELKEQDLKTLKKQSEQLHKSYDELSDKYAATQQTGEGRKGI FPSE_11681 MASQSVISINAKNITHIDLTVDDDSDRSDNLQRSSPPPPVRPTD TTTTQARFAPNFAIERHPSSTHAPVSPPKINRTSASITAIQDKQPSTELPRPSPPVLK VEQKVTQVITALDDAHSMARLSKVTASNVASRQVTPALEQDMDTSAQEPKPMSPKYQT PRKPEWDVASLAKKLVEYRQDIKNGHSRMTSYIIESTHPTERRSLTGANLFAGLTSKS MPAKKGETMKIKSKEHTKPKNNKREDHHSVVRIQTDEERVPRYRFHHVEIKKNILTPN TMLTFVPHLRDLETSEEYKYNLWLQELEDIDRKSGFKPMSREEKVRITVRSEFASTVL LYLESWLDKLSLPGCDKSTLIRYMASREPDNAITPRQKSDILNSHRPAGSTTPPGANR AADIFTEAFHRVFHQGQPLNKQIELRDVLLLDESVDSIMETKPTAKDVSSSQKQNEHE AIEYWLGTYSILGCLICFSHSCEHGEYDAQNCKRTLSMLPRLSETLKRRRRIPYEDKD MMNGHSRLCKRNCYQSSPRPEACSVQRPWNEEEQYVLRSAVATTDHSQVKKDPFCLVA QLLDRDCSGVFNEYERMNVSLPPMEPASKLQIRTVNWYDRFKKALIGDWENHTKTHEH QKRELSEHCSHEGPCTLESCICVQQSVLCEKFCGCTVESCAYKFTGCACHSQGRTCLS KQKDRPCICVQLNRECDPDVCGTCGVLDRADPENADDEVLHSTGCQNCPLQRGQAKGL ALGQSQLEGVGYGLFTVEPIAQDDFIIEYVGELITHDEGVRREARRGDVFDEESNISY VFTLLENEGIWVDAATYGNLSRYINHASESDKRGCNITPRILYVNGEYRIKFTAMRDI AAGEELFFNYGENFPNLTKKLLDHKTGGKSGEGKKRTRRPNGEGVARKAPKTDKKKPG KGKKAQEALYEEDLTATLGFTPKPLRKRKKGALDDDSEEEEYHPTGTDASLLETPSDG ESDYGTGKSSAPRFRKRPRQAVKAGVSDQSGKPRAVVKTRGKRGGARPGSGRPRKYPR NATSATSAPKTATTRLSVSVSVSEGTDGTEQKEEQTQKQIVVPDTIVRPVVPLRPQSE EINDSMEDVTLTKEPVDEHKGRRSQLEEDNEDDDNDDDDDDDDDQDVVVRSRIDRVAR NRRLPAKLRDDGD FPSE_11682 MHRTYSMRQTRAPTASQLQNPPPPPSSTKSGRLFKGSFGHALRR NAGGAFGPDLAKKLSTLVKMEKNVMRSLETVARERMEVAQQLSIWGEAGDEDVSDVTD KLGVLLYEIGELEDQYVDRYDQYRVTMKSIRNIEASVQPSRDRKQKITDQIAQLKYKE PQSPKIVVLEQELVRAEAESLVAEAQLSNITREKVKAAYTYQFDALREHCEKVAIIAG YGKHLLELIDDTPVTPGETRQAYDGYEASKAIIQDCEDALTSWVSSNAVVSSKLSTRA RTLSQRRRNNIRTRGEGHDLSGQDMPLNDGSSWTARDRDLDSEEEEEDEDARGSIMDS DGGLNGESRGRPQEAVVA FPSE_11683 MNPDTARQYLATILNKNLRVYTSDGRLFWGSLKCTDPDRNIVLS HTYEYRQPSSRERAKAVEEADGASVKVDMTSRYLGLVVIPGHHIAKMEVEQFASQVRD GGFM FPSE_11684 MGVNGLWTVVQPCARPTNLATLNRKRLAVDASIWIYQFLKAVRD KEGNALRNSHVVGFFRRICKLLWFGILPVFVFDGGAPVLKRQTIQHRKQRREGRREDA VRTAGKLLAVQMQRIAEEDQDRRRRDRERDISAREEQQQEVIPEASQLVYDDEALMSQ QERQKGRSFRKQDAYHLPDLDGGGIAAMGKPEDPRIMSVEELEEYARQFHEGEDINLY DFSKIDFDGEFFKSLPAADRYNILNAARLRSRLRMGLSKEQLEEMFPDRMAFSKFQID RVKERNHLTQRLMYEVGMTGTDLTLGVNARVAGEKNREYILVKNDGAEGGWALGVVSR DKDVGEAHKPIDVDAIQVQYQSKEDEEDEDEDFEDVPIEGLNRLPKPSAAQIASYQAA QDAATRRRQFYGDHQKESTAQEEGSLFVGGNVESTDDLFQQSLEDPLQADEEDDLNRA IAMSLQKQHGVGEESEKEEDFEDVPIEAPKWTQKSVESQKPITAKGGSMIAHVVNNRA SAAVPKRQERSAADDSDSDEDMQTVLAKARMQKKPQPQPKPKAKFVPVVENKKNPFDG PLPFAKLDWRSSVFGKKQTNVAGPSKEAEVTDKTPASKEDEEDDMAGGFEREAVDENA PKPLPPWMVDDTDIRESLKKQKDAEREINNADRQAAEEEQMLYRRKMQDQLIHIDSSS DDDSDVEILDKAPSPKKVSQNETNVAEVDEPQATKQASPRFERPDLVSEEASGEITEP TSLPGVEGLADRTLVDPADPPAEENKNESERSESPEPEFEDVVPTNVVAEVATEKSPT PIFEELPSISAPLANEEGQQPDVEDDGLFDDVEYDEFSDPGDEELMASLAEESEEHAR FASELNNKTAEQNKEDYERELRALRTQQKKDRRDADEVTQVMITECQALLRLFGIPYV TAPMEAEAQCAELVNLGLVDGIVTDDSDTFLFGGTRVYKNMFNSNKFVECYLVSDIEK ELSLTREQLISLAHLLGSDYTEGLPGVGPVTAVEILSEFPGRSGLNNFREWWKSVQSQ TRPKDADVSTPFRKKFRKSQGTKLFLPPSFPSPAVNDAYLHPEVDDSNEDFQWGVPDV EGLRQFLMATIGWSKERTDEVLVPVIKDMNKRDREGTQSNITRFFGGSVGVGAKDAFA PRQKAQGSKRMAAAVDRLRANVVGEESQTSAKGGGKRKRGSRRNATRTAEDEEDTEED GEQKEEPTTSKGRGKRAKAS FPSE_10756 MPPMTERELGQRVKALTKCVAANEPAENAIKLLETLKKDASPTE EMLRATRAGVFVGKLRSNPNKEIARAAAELVSKWKKLVEQEKSSKLQKSKVGSGSPAP APASAPASSPAPPPSSSGNSGAKYKGDIEKRKYETDNVNVKRTDSAVRNSCIGLIYNG LAYRSTASENEVVNKAITVENAAFVKFKGETADYKKKIRSLFTNLKNKSNKELGKSVL SGEISPEKFVVMSDDELKSEEQRKKELELEKENMKMAQVPQEQKSISESLECGKCKKK QVSYTQAQTRAADEPMTTFCECMACGNRWKFS FPSE_10757 MALSDHPKAYGSAAVAFAFTTGILVTLGFKDFYPDLERRFQRKR RANTGGGRRSSVFWGDPVELEDHESLPSSPTFYDGARNGLADGIEATIGNTPLIKIQS LSEATGRTIMAKAEFLNGAGNSPKDRVALNMIREAEAQGLLTPHKGDTIYEGTVGSTG ISLATLARAMGYRAHICMPSDMALEKSDLLLHLGATVERVTPAPITSPDHFVNLARRR AEEHASKANDGSKGFFANQFESEANWKAHFNTTGPEIWGQTNGELDAFVAGAGTGGTV SGVAKYLKEEKDKSDIKVVLADPQGSGLYNKVRHGVMYSSTEREGTRRRQQVDTMVEG IGITRLTENFEAGRELIDDAVRVTDEQACRMARWLVEHDGIFVGSSSSVNCVAAVETA MRLPRGSRVVTILCDSGTRHLSKFWKHIKEMGIEGEEEATNLFTELGIPSHKA FPSE_10758 MTSETLAPDNSTISSPPAKRAKTTTTMEAPPALQIKKLSEKGRL PTRGSEFAAGYDIYSAHDTTIPARGKALVDTDISMAVPAGTYGRIAPRSGLASKHFID TGAGVIDADYRGQVKVLLFNHNDSDFQIKEGDRIAQLILERIYTPEVVEVQELEESVR GAGGFGSTG FPSE_10759 MDSPIVAQLFRQLFHHRPPGCKGIRNLPHLRNGLRTTAIVGHSQ RRFYVAGRPSSDRGMKTNESRWQQRTKILPQDRSAEFAEYPYISAAELKERTERPRKI LTIAIDSLYNPSYGYFSKQAVIFSPGEPFDFNSMRDEIEFQSELGRRYTEFEDILDDR EGENPTRQLWHTPTELFRPYYGEAIARYLVSNYRLTTYPYHDLLIYEMGAGRGTLMLN ILDYIREVDPQVYARTKFNIIEISSTLAALQNRHLLATAASRGHADKVEIINRSIFDW DQYVPSPCFFLAMEVFDNFSHDGIRYDITTEQPLQGHVLIDGDGDFYEFYSHELDPLA ARYFRVRHAATAGNYPKPYPSNAVLRYISSKMPFAANLSDPEFIPTRLMQFFDVLEKY FPGHRLVTSDFDWLPQAVKGMNAPVVQTRYHRRMVPVTTPLVHQGYFDILFPTDFQTT EAIYRAITGKLTRVMSHGDFMPYTLTWKTFVTLNGSSFQTDCPFSWQWQIAMPTKTAL YHSVLSDPTPTDNYSCVIEKGYQQLRP FPSE_10760 MAESELKWPAARVRKTFFEYFEQRGHTIVPSSSVVPHNDPTLLF TNAGMNQFKPIFLGTVGKTDPMASLKAAVDSQKCIRAGGKHNDLDDVGKDSYHHTFFE MLGNWSFGDYFKKEAISYSWELLTKVYGLDPSRLYVTYFEGDEKLKLEPDLEAKELWI SVGVPEDHILPGNMKDNFWEMGDQGPCGPCSEIHYDKVGGRNASSLVNMDDPMVVEVW NNVFMQYDRQKDGSLKSLPAKHIDTGMGYERLVSALQDTVSNYATDCFTPLFQKIQEV TGARPYTDKYGKDDADGVDTAYRVVADHIRTLSFSIADGAVPNNDGRGYVIRRVLRRG VRYARKYFNADIGAFFSKILPALVDQMGEQFPELVKKQQDIKEILDEEEVAFAKTLDR GEAQFEKYAAEATKNGAKKLQGDVVWRLYDTFGFPVDLTRLMAEERNLEIDDEEVKAA QDKAREASKAVKESVQTFAKLNVHQISELEKDLKVERTNDDAKFLLGDSNAQVQLIYD GTSFLKSTKDVSEKTALGLLIDKTNFYAESGGQVADTGRIVIDDVAEFKVLDVQNYGG YILHNGYIEYGTLSSGDKVICEYDELRRSPIRNNHSGTHILNHSLREVLGDEVNQKGS LVDSDKLRFDFSHKTGVKIEELKKIEEMSNEYIRRGQKIYSKEVDLEKARQIEGCRAV FGETYPDPVRVVSIGKDIDEMLADPKKPEWRQYSVEFCGGTHVEQTGLIKDLILIEES GIAKGIRRIIAYTGEAAHQVQREADEFSKKIDALDQLPLGPEKETQMKAVSVELSQLT ISTLTKDDFNKRYQKINAAVTAEQKKRQKAESKTALDTVKKHFDTNKDAKWFVGRLPV GATSKALMEVVKHYQGKDKEKTVYVFGGSKEEGAVAHGVYVGTHLASQGVTAEQWAAS VSEVIGGRSGGKEPTRQGQGTKPENLDEGVETATKWLDEKLKL FPSE_10761 MLQSPSSSVPAVATVAESVDKSKRLRRKRKADTQDNERLSKRLS LLNLEKGGNKLYVPVEEPTASVPPVPTSVPHAPNVPTDLLAPNETMQLDDSKHKVYIY NIDDELSSDSETDDPGKLVFLPDIEKHLRANRIPPSVLANSDGELAGMQVVLYSDPKS ITVPEDKDSVRKAIIESRQRTREQQRLEREGGKAEAPAIQYNAVSNDMMTGIDDPDAM DLD FPSE_10762 MAAFVKHALARRDENEDSYQWDSTNSDDNDVYWWYSREGYIVKW TILAVLVLLFTLWIVGGYWHAKKRIQKGLRPLAYHRCFVSRSMMAQVDPRYAYPPNTY YYAPPNGYQGQPGGYPMHNMPPPPVYDPSRPPMYDEAPNGAKIDPSQGQQRREDGPAD YYAPPAGPPPPR FPSE_10763 MRQSLLWALLLWQATPGLSTNVKHYHETYNDQDIQEAGQNQHIA SPKSDVVVDQSQPTGPQHGAELVDAARIELSKAPQPLHQRKRRPSGLVGTVLRYILKA IPSSPATAPSQSQETIVDGALSRAVELLEQAAQQNNSDALYLLAEINFFGNYSHPRNL EVAFNNYHQLANVYGNTTAQYMLGVYYSTGLGNVVDRDQAKALLYYTFAAIRGDARAE MATGFRHHAGIGTTKNCETAVKYYKSVADKAITWYRSGPPGGRSWVHQSWRISDNDGG IYGEGASASSSGMNAYKPSPGSDANAAIDDVIEYLDLMSQKGDSKASYNLGRIYYDGQ RGLERDVDLARKYFILVASRYWRKDGRLHENPKAGIEKIAGKAAGYLGRMFLRGDGVV QNFEKAKLWFDRGVDHNDAQSQHGLGLMMLYGHGMKENVKKAMDLFKSSADQDYAPAL VQMGQLYLDQGGQEDVRIANNYFELAGRHGNIEAHYYVAEMIHHGVGREKLCGASLTY YKSVAEKAEPLVSSWGDANDAFEAGDYELAFLEYLLAAEQGYEKAQTNVAYMLDSVQN KISLSSLVGKPREKSKLLQNPTLALVYWTRSSRQSNVDALVKMGDYYYHGIGTEEDIS KAVQCYTGASDYSQSAQALFNLGWMHENGIGLVQDFHLAKRYYDHALEVNEEAYLPVT LSLLKLRLRSAWNTLTHGPIHSIQDEPKPKKDRSFSEWVANFLQNEGQVFDEEYYNGG DLYDGAIGDGQDTEDDGVVESILIIGITFSLVFLLWWRQRLQQAHQQAEDARRREQGL PPNPRPDAPGAEFGWGAAGGIVL FPSE_10764 MAGLFSRLKGKDGKSKKKGANDLEQQPTKPQWTDAWTRTYVEPE EVHELIRCCTEELKARGLDHPFLLLPYRPTSDPSAVRSFIRHFFESHASLRGEQLSQE LRMTEPMVVSGVAKWCWSRLQGGIVGWDAYELFKVGEFDSNMARDSFKTFIPISVENG ARQRIIFDFFDLLAAVAAHGKSNGLGGRKLSRMAAWWTFEQKDTKKGFEGGYEAWLRA ADATSHMFFAFLRSLSPEQNVTGITMLPRSLQKLLQETEYPPQRPTLMMTTTYKVVMI VDTVSPTPFALLRRANHFQYRDEDRALREFSEFDDPVQALTDECRRVLKAISGANQSQ ASSSKHSTSLRDASWSRFEDIGFSSTLDEDDDDDDSALAYKRQPPALRRTPASGNGLD RPTTPSWADFLSSGFVDENQGARQNLLLPPDKVLPPLETQRQHSSQSHRPRLEHDHSL EPGELASIATLSLDDSFWWVWMASLAPEETAERKSAFGRCAVIETKISTGRWLVMEEM VAGAAPEPQEGAYIAEKKGFFSWTKRGKGLNRRKSTANKSVDNVGQGASKASIGPDTH ARIQAKAAQLRAQEQQERKLASQPLYQRRGRSDAELMAEKTNSVMTLQPTIIGEASSA LKWVSKYDKGTIKDFYMANNNAGRGNMMSPISSRSMIDDVSQEQPPQVPVKDVVSPIT SPTFSHASSEMVRKPVPQPEQPVEARPEQAVPEPVQAPIPVPAQEPAREHISEPVQES APEPAQPVSPLSPVPPPKDDEPVQDVSREGMVSPTPSSPENKKKKKLQKADKDNRGFR KLFRKNRSSKVPDDAAANVHAFLRQNQATPEPAQQSPTPADTPEPVAPIQRVPVPQPD EADLPTPTPAAEFVTPMEEPVQRVDTPQKNPEIVEPIMDQSQIPVGAKDSPAHNSVPH FNQGPLQDQPAFAPDSDDENDATPPPIPRSPRHSPQIGGPSQAEEKLSHSAGPGVQDR WAQIRKNAAERAAGRPDEQLRPGYSHSNRTGDGDDDTSGEETIESRVARIKARVAELT NNMEGSNGPQAPGPRY FPSE_10765 MSALKRKAGASAGNDSKKTKADGNIASFFAAAPKPAAGTVSASA SAPAARFDKTKWVDSLKPEQKELLQLEIDTLDESWLAHLKDELVSKEFLDLKRFLDRE ITSGRKVFPPRDDIYSWSRHTPFSNVKVVIVGQDPYHNDNQAHGLAFSVRPPTPAPPS LKNMYIALKKDYPTFEPPANRGGLLTPWAERGVLMLNTCLTVRAHEANSHSNRGWERL TQKVIDIIAQKRTRGVVFMAWGTPAGKRVQKIDRVKHLVLQSVHPSPLSASRGFFDCN HFKKANDWLVSRYGPDGEVDWALGPGTSTKAPIKESDANEAKVAEKKAEAIEKSKPEA VKKVEENKENDVDFDEDEEEALEEALRLAEEEEKEKKK FPSE_12060 MAPTCSDPRQIVITLNPARRQALLKLVDEITSYMIDQLQASDEE LGPVLSTPRDESGPSTPRDEGRSSTPRNDDFYKRAQEQEQQPKKQSAQALRIQKAAIK HMKGWKNEFMPKLEEIVRVKDDYKIQDERRKHQEAAEKKKLDTPEEGENLISFGETKV DKSADIASLQSLYHPIPTRLTTIPAADRREAVSCILLLLLSTGKYSAHTRALALYLAS SLELPQTFIIKEEIEIAKTLLESSKDQEKQQEVMSAEAEASKRREENKFSRFWKVGLA SVAGAAVIGVTGGLAAPLVAGAVGSILGGVGLGGVASFLGIFWMNGALVGALFGAYGG KMTGEMMDKYAKEIEDFCFIPLKGVWGEIFNAEQDEAQAQERRLRVTIGINGWLRGED DVTKPWRVLGDDSEVFALRYEMKSLIALGHALRTMVESFAWKKVKLEILKRTVFATLL AALWPIQLLAVASNVDNPFGHAHNRSRKAGQLLADALINKVQGERPVTLIGYSLGATA IHACLQSLAERQAFGLIDSVVIIGAPAPSAPPHWRTLRTVVSGKIFNVYSENDLILGF VYRAHSLSMGVSGLQNIQEVEGIQNLDLSDTVSGHLRYPDLIGEILNKCGFVGIKATS EIEKDELILMKDRHAEGELIDLEGTALEGQEKPQSPSQEQIERDLHGLNISPPALVSP SQPPGREPQHKVSGSENPPLPRRPVAQDKEEAPELPRRPVTSEKQSGEGDKDSTPPLP RRPTEPQHEDKPAIPRRPVGAGQESNPPLPQRPIESSHDTHQQRPWTPESNVSDDEEY THIAMVSYDSDNSTK FPSE_12059 MDAHALLSSQGWRGTGHSLHKTDDSIGLAKPLLLNRKDNTKGLG QKQHFTSDQWWMNAFDEQLKGIDTSKKGKVIQTITTGKLNVIDKNLGKYSVYSTFVRG GFLEGTIDRLKLNDSSAESSDTDSSDQKDSSKSDKDKPRKETKEEKRARKEEKKKRKE ARAAKRAAKAARRELKKSKKSSKSSKSSAESSDADEEKRRRRAKKEEKRRQRAEEAGK FPSE_12058 MANSKFEYVRNFETTDALMPNTWIVVRIDGRGFTKMCAKYAFEK PNDRRALDLMNTAAKAVVTELPDITIAYGVSDEYSFVFHKACTLFERRASKLVSTVVS TFTSNYVYFWSTHFPDTPLSPPLPSFDGRAVCYPSVQNLRDYMSWRQVDCHINNLYNT SFWSLIQVKGLDNKEAEKRLAGTYAADKNEILFSECSINYNNEPEIYKKGSVIFRDYE LVDPDSHNITQTIDSQAEPTQQSKTQKEKDKKSRAKARVVVEHVDIIKDDFWDRRPWL LSNKPGKIPKQT FPSE_12057 MNLLLSDDYLLQDYPENITNTIRSGHATTLRFNRQGDYLASGRV DGTVVVWDLDTMGVAIKLRGHNKSITFLSWSRCGQYLLTTCQGWKAILWDLQDGKRLR EVRFRAPVYMAELHPWNHLQFVAALFEEQPVLVDITDPVDVKHILPSAPKRPNTDDSA LREKQAKEDAKQMTTCAVWSTTGDHILAGTNKGKLNIVDAKTYEIIYSDKICSGVITT MRMTVSGRELLVNSQDRIIRTLRVPNLLAENLDLDTLQVPLEHKFQDVVNRLSWNHVT FSATGEYVAASTYNNHELYVWERNHGSLVCMLKDPKEEQGVIEWHPTRALLAACGLET GRIYIWSVVSPQKWSALAPDFAEVEENVEYIEREDEFDIYAQEEIHRRRLDAEDEEVD VLTVDQSKTLDEDESFRMPILFNLGESDSEDEFIAVSTGTMRRRSPGEGQSDLEEKPP VKKSAVSRRGRKR FPSE_12056 MANLYFTHSSAPLKTVEEIQFGLMSPEEIKNMSVCHIVYPETMD ENKTKPRDGGLNDLLLGSIDRSFRCKTCTQAMGECPGHFGHIELAKPVYHPGFIKKVK KILEIVCHNCSKVLADSRDPEFAAAINTRDPKVRFHRVWEACKKKKRCENDEPKQKDD EFAATLKTGPMEGHGGCGNVQPAVRQGALQLKAAFEVTVDEDGQKTKKKETIPITPET AHGILRRISEEDLVNMGLNSDYARPEWMVLTVLPVPPPPVRPSISMDGTGTGMRNEDD LTYKLGDIIRANGNVKQAIREGSPQHIARDFEELLQYHVATYMDNDIAGQPRALQKSG RPVKAIRARLKGKEGRLRGNLMGKRVDFSARTVITGDANLSLHEVGVPRSIARTLTYP ETVTPYNIEKLHQLVENGPNEHPGAQYVVRPDGSRIDLRHHRRAGAISLEYGWKVERH LMTGDYIIFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQ SEETRAEVKELCLVPLNIVSPQKNGPLMGIVQDSLAGAYKMCRRDVFLTKEQIMNCML WVPNWDGVIPQPAIYKPRPRWTGKQLISMVIPKEVSIFNGTDSGESAPLKDEGLLIQA GQLMYGLLTKKSVGASAGGIVHISYNELGPEGAMAFLNGVQQVVTYWLLQNGHSIGIG DTIPDAVTIAKVQAHIDDEKAEVARLTAMATANELEALPGMNVRATFENKVSMALNQA RDKAGTTTQKSLKDSNNAVTMASSGSKGSSINISQMTALVGQQIVEGKRIPFGFKYRT LPHFTKDDYSPEARGFVENSYLRGLTPSEFFFHAMAGREGLIDTAVKTAETGYIQRRL VKALEDLSARYDGTVRNSLGDIVQFLYGEDGLDAMIIENQKLGILNMSNTAFEKKYRL DLANPPEWFKHDYEFGNELTGDRPSMALLDEEWERLVHDRTRIRAINRAKGNEEMMQL PLNITRIIESAKRVFNVKANDRSNLRPSDVIPAVQNMLDNMKIVRGTDPISLEADANA SILFKGLLRSRLAFKEVVKEHRLNRLAFDHILGELQNRWDRAFVNPGEMVGVLAAQSI GEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNLAKNIKTPSMAVYLNTPLAKQEQA KKLRSMVEYTNLRSVTSVTEIYYDPNVTETNIPEDLDMVESYYMIPDESVDPTANQSR WLLRITLDRQKLLDKEIKIDDVAQRIKEEYPTDLAVIFSDNNADEQVIRIRTIHTGDK DDDGDGGRMEDDVMLKRLEAHLLDTLTLRGVPGIERAFLTKGTKLTEDEDGALLAIKD DPRCTQWYLDTSGTALRDVLAVDGVDATRTYTNDLYQIVEVFGIEAARSALAKELTNV LAFDGSYVNHRHIALLVDVMTYRGVISAVTRHGINRADTGALMRCSFEETVEILLEAA ATGELDDCRGISENVMLGQMAPMGTGNFDVLLDPKMLETVISDNSRMGLMAGMPTKSG DIEGAATPYDTGSPMADSGYLSMSSPAAGNFSPIQGAGSDTPAGFDTVYGGGSVGFGG TAPMSPYNRGAASPFSSTSPTSPFSYSPTSPNMGYSPTSPLIDGGGMGRYGPTSPSFS PSSPSFSPTSPMLRPTSPASPSYSPTSPSYSPTSPSSPRHYSPTSPAQFNSPTSPSYS PASPNYSPTSPNVHGAGGPTSPSYSPASPSWSPTSPEAYSPTSPTFQRSPTTQQSPTS PSYSPTSPAFSPRTPGPGTSGNQYSPNSPSND FPSE_12055 MSDLPPQNFLPLTPPPKSSFLSLAPATSPSYPQKPAARSHTRPA AERRASVESSVSSSSNSNNSYRILKLGPVHWGEHADDHKHDFHDVVLH FPSE_12054 MNSFTLNLLELPVDILSLILKPLVTSSTPIHLCPCTPSPINPLP ILLSHPALYAIATPLLYAGNQFLLDATGPHAQHIRRELQDAPPGADERLPGRATLLTT PGALRRIARMELRIDRLRGWLGSDIVPVLTELAVQGRMDYLTIWVRTPAEPRATVYLH SAKQEKDIYMFSRPPLEGLLRVLADPYLVSAQLWVDAQHSKTWCRFHAGGCGAESGDS GPGRERERRVEIEWREIIRLVDPERKEITVIGTEKKW FPSE_12053 MKKSGTSSVKHEDLRLKASRWPLEDAATLRDDDLPIK FPSE_12052 MCQWRTTQIWSSCGCSHVDYTQQPGTTCRCMANITDQGTTRWEG YCNRPECRNPPRASYDPRYPRRKGEKL FPSE_12051 MPDNYETKGSGTNSQGNHYCARDYGSSASNSNSYHYSNTDGSYY YSNPNGSTYHNDGQGSSTYTAPSGDSYSSSSGKK FPSE_12050 MAPKIFLTGVTGYVGGTTFAMLHETHPDYDYTLYVRNQDRAKVI AEKFPNVKFVYGDLDSVDVIEKAASEADVVVHTANSADAPEAAKAITKGLASTHTAER PGYYVHLSGAGILTWYDIRHNRYGEPPLLGQSYGDIKDIDRILNLSDEATHKDVETII QSIDSEAVKYLMVSPPVIYGAGRGLVHKQSFAITEIVRATVDLGYTPIIGAGKAKWDN VHVEDLAALLAKAVEASQASSKEENDSETWGKKGYYFVTTGEHQWDDLV FPSE_12049 MADEVYDGAIGIDLGTTYSCVATYEGTNVEIIANEQGSFTTPSF VSFTEKERLIGEAAKNNAAMNPRNTVFDAKRLIGRRFDDPTVKKDIESWPFKIVDDNG SPKIEVEYLGENKQFSAQEISSMVLTKMKEIAETKLGKKVEKAVITVPAYFNDNQRQA TKDAGSIAGLNVLRIINEPTAAAIAYGLGAGKSEKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLEHCKKEFGRKTKKDLSGDPRALRRLRTACERAKR TLSSGAQATIEIDSLFDGEDFTMSITRARFEDLNAKAFSGTIEPVAQVLKDAAIEKKA VDEIVLVGGSTRIPKIQKLLSEFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSAE TADLLLLDVVPLSLGVAMEGNIFASVVPRGTTCPTLKKRTFTTVADNQQTVQFPVYQG ERTNCEDNTSLGEFTLAPIPPMRAGEAVLECVFEVDVNGILKVTATEKTSGRSANITI SNSVGKLTTDEIEKMVNEAEQFKSNDDAFQKKFEAKQQLESYIGRVEEIVSDPTLSLK LKRNQKEKIESTISDAMATLEISESTAEDLKKQELALKRLVTKAMSSR FPSE_12048 MISKRSGKTRKEIPSMTTMITGKDYLCNEDIPGDDDDEAESTPY QAPEKQPKPGTFDPTQRSPKNGWKRHCQAQHPATSSPTESKQSSLSLITSNLKHLKRR SLLFLAQLCSDVINETITRRRSTNEEFNCRAERYDGTAAADERRHIVQNFNRTSYGPT VLLLSAHTGGTGLNLTGPSRVILCEP FPSE_12047 MARLTDLPPEIAVWIGSYCDKATIRSIVSVCVQSYNNFNACKFE DFRPRGDVGRLAYYLQTLVIVKPEMRITPCLSAARKATIVVNSSCQPNDRKKSQELPE PPYDLLVDVLSRLLFKMSAIRYLRLDIDCLPIESKRKLAKLIHPYHSTLVASKWKMMR GALRPLDIPRPLDTPLQHRELHINASAEVTIVLLAGYDRSQLTLLHCYVERLLPPGEQ HLELEHSAAHQYRQIMRMRNLERLYIYFSQHEQDVGIVIHTTFREIREITSSFPRLEW LIIHENAGSHNGTSGRWTDTLTIMLHVNMICNHLKNDTNLTHLAFAIPSRRAVEITRH DLPRAPSAESEITRWYLRLARMLVEASPQLQHVAIMDNWPYYYSARKGDDGVTTTEKK DERNIGPSSSFPRGLRS FPSE_12046 MHTRSHALTPVNAPMMKPLSENSLPYTESDNSARDARHGRNTTA STVSCHSNHYDKSVIEDGVQMHDFSSPAPSKSRGKSPDPNRFVAERPPRINPRVGTGL TVPKADNSSFEDQAASEWETVAGDDASESYPEASIKPLRRQGNFLGYSPQNGIPQNNH NVWAPQTESFELVSHSGPSVRVQPRSSPRLHSFNSSSSFYSDLSKQSGNDANIYGKSP IPCDPEDFPTDNRAQQQTKRYSHASSDSHDDPFKYDNETYSGFLRPSAERENVDEEVK VPVHREGDKDTRRAPIPDRRLTGEIAALDKNRPLTGTEGDWQTVTSEQVLNSMQQEYL DSIAKGTGSSLADVSDVTERGHQLRTYSSTDRIIRHPYGDNPYDSYHIRRDRGTNLAV SVPRYGDGPGTFASNTARKFVQPMSRLPESAARFSNIFRRDQNEQTPDGILLSDLDPN RASYQSLDSDAIPNSNTADSNLPNGQRFFSWNRIRETLGREPPKTPLTILDQPLYRHG IQDSDNSNKSKHIPHDNFLKELPSLPFPLVSLPEAQMLQQFKRQRGEEDHTESAGNFA ARGRSNTISTAASPGLPVAPSPPKRSFWAISPESSVARPAPTHQPKSLRQRFRRRDSS ERISEMLVSSSAILDTPPSAKPQSSTHRTWYRGQQPSFSTPRAGQSQPRGFSSSIRSR RFRPDSEPLDGSPFTLAETRLIEEARENMFYHRQRTDMVAQRGKRLFIWIMILTLFFP FIGPVVLYGKLNSTISWYTHGEIQCLTQDQRGILKQQLVVEAVLYTALIIALSVHYSI YN FPSE_12045 MALSPQSSRRWDELPHEILLQILCYLEPHQLPRLQLVSRRLRDV CLDEELWKRHCFERSPWYSFLQNRRKVFASLPDSSLSKASQDDEDAGSLLEFDESPLK DKDHFEFLQDMANWDPAFPDEHVSWYDEYIQRQGPTSINWLETPRGEHSRMDHFIEVR GMALYSPNNGDDGLGSLLAVSPLDDGSVCLWDINGARGRRGGIIATSQPDILFFDGRG DQNTRRSKKIDTGVTECVSVDNTNSRAVFAVQSHLIEVDLNRLQVVNRHSFEWSITTL SQIQDGIPLTVGTSLGIHLQDFRAPQHHHGNSVERLDIDSHMTDGDNPFRAIFDPNPL PPYASLSQPTPTSILHLPRPAGDKTVSDDIYVAGRFSNILHYDRRQFPKIIGSIYSGS RLSSLAALPYPFSTLDHEVRRRGELSADRVHECKTSSNGRTLVAGGEYKTKGSLELYG LDTVDLSSDGVMQQNSTMKNRYTAASSIILSVINHGTKVAFSDGAGQIKWFERDGYTE CRRIKIGHSDPPTQASLFASMPGSDDLARKILSTKTAHDRPNEDNVLFWTGDKLGMVS FTGDTLFTPEQLQGDKSHGSKEAAAEEQRQQVYSQRMREALEKQADEVRFVQGLGL FPSE_12044 MAFWVVDGGRPLGLGTTTTSAAAAINTSRGPYYVGGKRRVSISE GGDAYSYSHAPGHGDAFNFDPKRSRLADELPYDAYDNTPMTIPGPSGYSSPQPHDHFS PYYQQPQQQAFQPRIHHGNKSAHPYNHPCNSLTEDEFLYHDDIGSSTPPLIHRPDSAP VKNLSFLVIRERSPTPEGFPSIDASFDLGAFGPAPESRPAPSFGPPGPPPAPAPASAP APVADACSMERTASGLSISSDTTQPSLASLSPLNDDNEPGFLSDLAAARLVRDHVAHS GFSSSNRRRHRGVDSRHGRILRTLINPKSRAADFPLDDNALRSIFSAANELFFGNRLA GRVAWDWSHPASAQYQAHIVGTTAVRRSRDGGYETLIVLSSPILQDTKYNRRLLISTF LHEMIHSYLFVVCGLKARYEGGHTEGFRQIADTIDRWVGHSYLRLSEMDADLEHFRQH PACGHDQHQVRSRSADGQERIPWRSERWEDGERERERSVIYPTELHPRPHPSYENRDR HGREGHRALHVRRGISPYVY FPSE_12043 MTTKDGPKLPKQQLAILAIARIAEPMAYTSVFPYLPAMVRSFGV PTNKVGSWVGVTSGVFSISQSITAVAWGKASDTYGRKPTVIMGLLTTMVCFIVWGMST SLPMAIVVRAVQGGGNGNVGIIRTMVAEMVPERELQPRAFSIMPIVWSLGSIIGPSFG GFFAEPAEQYPEIFGHMEFFKRFPFILPNLILTIFFLTSVTVAVLFLHETLPSKRGHR DWGILVGERITRSFKNNRPTPSTRRPSFVDGEATSPLLPNKIAPKTHSQEPARKERVL TRETSINLLAYTFLAFHSVAYDQILSVFLRHPVEEHTPENTAFPFYFSGGFGMSHSQV GLIYTVYGVVCGTIQFTLYPTIVARFGVLRCFRFCCVLMPIAYFLTPYCVLFSTHNAR TTALILVMFIKAAGIIVAFPSTTILLTNSCSSLRVLGTLNGYATTFSGLGRAAGPASA GALFTWGAEHGYVVTAWFFLMFVAIIGAIPAYLAKDGAGPTASASTSAENSDTEDNEA SSSSSTLLLPENSAVASDSEDEEQLLNKPRPEQQSYGTIKGGQN FPSE_12042 MPTESTPLIQTIRVGPPRRRYPHQTWRRFFTLICSVVVIGGFGL FVIQTLLIGPHHHHGHPGSWLPGKSHLSYDEMERILFDTPDPKKAEEWSRYYTSGPHL AGKNLSQAEWTRDRWEEFGVASNIVAYDAYLNYPVDASVSILEKSKNGKGWNTTFKAS LEEDIIEEDPTTSYDNRVPIFHGYSASGNVTASFVYVNYGTYQDYQDLVDAKVDVKGK IAIARYGGIFRGLKIKRAQELGFVGVLIYSDPGDDGERTEEHGYKPYPEGPARNPSAV QRGSAEFLSIRPGDPSTPGYPSKPDAPRVPVDDATASIPSIPISYRDALPILKALNGH GPKSSDFNKYWNKNLGLKYKGIEYNIGPTPDDVVVNLYNEQKYVTTPIWDVIGIVNGT IPNEVIVVGNHRDAWIVGGAGDPNSGSAVLNEVIRGVGKAIDAGWKPLRTIVFASWDG EEYSLIGSTEWVEEYLPWLSEANVAYVNVDVGVDGPEFTASAAPLLNQIIRDVTSAVP SPNQTVPGQTVNDLWSGIIATMGSGSDFTAFQDFAGIPCIDFGFKYRSTSAVYHYHSN YDSFYWMKEYGDVGFKYHRTMAQILGLTIAKLAGTVIIPFSATEYADALNGYLDKVEA KFEPAADVTSDDEIFSMRGTVLSDKPIGSEHEFRKSLDHIRQQLGHFHLKASELDAEA EIANHKLEEGLPWWNIIGKIRLGYTIERINKRYKFLERNFLYEGGLDGRDWFKHVVFA PGIWTGYSGAVFPGWDESIDAKDYTNGLKWSAIIVGCINKAINSLSG FPSE_12041 MFARSAFRAAQPLRSVRRYATEAGGAGGSNALLYAAGAAALGGA GYWYFGKSGAPVAAAAQDVKKAVGVEPKAAFTGGDQGWVSLKLSDVEIVNHNTKRLRF ELPEADQVSGLHVASALLTKYKGPNDEKATLRPYTPISDETDKGFLDLLIKKYPNGPM STHLHDMAPGQRLDLKGPLPKYAWEENKHDHIALIAGGTGITPMYQLARAIFNNPKDK TKVTLVFGNVSEQDILLKKQFEELENTYPQRFRAFYVLDNPPKGWAGGSGFISKDLLK TVLPEPKNENIKLFVCGPPGLMNAISGNKVSPKNQGELTGALKELGYKEDQVYKF FPSE_12040 MLLSRRSRLVIGEYLPDEPSGFDARGATLKQPNVFDPETDNFHL TQERSAILIAMIKNKQTNVYRPVYELPRWALDRWLYGRTLHHKFYFYSEFLENVTDGV TDKKVRYNTITNTIKAKVDEIFAARQ FPSE_12039 MSATAVMSTTSSPVTSPSAAAVSSPNPNHPALPPLITSPSSRRS VQSRPMSHVSKSRLSQYSTGSLPTRSRPNSHIFPMFPSTLSYTQVRDFAYPVTHPLHY GPPPEPSGPPSGMTTPASEHRRLSDPPGSLDARMPWESWGTEGFNRAHDLAPIQFDGG PPYSEDEDLQSPVVATRHRKHKSTSAATGMRGRTGRDDVRSSNYDHERGYYMGTSGDG SETYYVNSGNEANGPGGDFVTYPPDQARHSRAYHIAQQPRAAEGGEYYQPESDSSPSS PGFNDESRYSRDYQFTITSPDEEFHGKAVALFDFERENENELPLVEGQIIWVSYRHGQ GWLVAEDPKTQESGLVPEEYVRLLRDIEGGMNSLTGHLVEGSGSPDVGTPTQAEHSGQ YGHTPSSSNATNGYHQPIVSMFSTSSKDLDPYPTEQLGLQSGQAPPQVVHYHGQRGGS QANTPTIAQSQDTGILRRESHDGGTKRDSNVTPVQEKPPMELGEPAAVEEVKEVGSNI LLLAVKVNNKVLRRRITIVLDMLRNRTGNQNCIYLSKYLVMATMEMIKEDMPECLKHV GIWNLHIMRERLDEMGQEQLASSRATMTAAGEEAAHSNQISRSILNLYQVRLAAKGAC LYVDEPQALKKGKIKRCKTEYTNPQSINEENMHMADQDDDNPDKESDTIASSRVSNEP DQRQMETTGDRVTIK FPSE_12038 MEVANGSSAAQGQNGSSEGNNGYKLKFCTVCASNNNRSMEAHLR LSQADYPVISFGTGSLVRLPGPTITQPNVYHFNKTSYDSMFKELESKDARLYKNNGIL NMLNRNRGVKWGPERWQDWQVGVPRLQHAKDRGSEGTEGGLVDIVITCEERCWDAVVD DLMNRGSPLNRPVHVINVEIKDNHEEAAVGGQGILDLANSLNAAAQEERDAVGASAFD NGSASSRATFDERVPDILASWQERWPNLPATWTVAWF FPSE_12037 MHSHPTRPSAARELYHSALHTLRHSCGTAVTCRYVLPKHHTGGE LKTAQDVFHRAVALTVIKHPMLQVGILNEDSAMPSWIELDNVNISTHVTWEKTQQPKD YDASLKEAIGSQLDTWFIDIESKPGWRISVLYPEGALGSLDVIFCWNHTNFDGVAGKI FHQSLLRNLNDSRVANELDCLEDNVLHLESVAERFPPPPEKLVKIPISWGYALSTIWK ELRPPFLVSNDPTQANWAPIREEPYRTVFRTISIDDVTLKKVVEKCRAHKTTIAGLLH ALPLASLSLQLAEGQKYHKKEAKSMYAITALDIRRFIPTKSDAHPWHDPSTTMDNQMA LCDHMFDENLVSELRMKAQSVSSDEDVMAKLEDIVWSAAVQARKDIQHKLDQGMNNEP LGLMGLVKDWRTQKKQQLKKPRVGAWGVSNLGTMEGEVEGSGSGSGWSIERAVFQLSC EITSPVFHISSMSVKGKELCVDISWQEGAIDAEIGNKLASDMEAWLRFLGV FPSE_12036 MSISLGRNAPLSPISIGGNSDFSVSKYQPDDGPYPNPNGRSNLA SPPNSGGSNGAMSMNGFPNPPMGGPGPGPGPGQGPGGPGPRSTGGPSPPASIARSSNG TQLYARSEGRNSVRGDLDEAVLSEHYVALRTFLNTRDPNHKQQPNKARDKLLRLSSVQ FYELSTDVFDELIRRQSAARAPPNAPNGPPSFLLPEKNFHPKRNQARQRLSSLGPPRF RDLAADVYHELERRFPRFVGGDLARSGSPMSMRGPGTPINGNFPPRAQSRMRRPSDAP SMRGPGPGPGDGYGMPGSPGGQNGDYGRPTPKQLNQNNTIVPNKSIMLEEDDEGEGYA DQDPNRESKRSAGSGVMSEADRKALEDSQNQVRELQEKLESMEDAWKKEKDEMNGALD QERSRAAAINLEKQEWSDFRLNLENKLAEAQNLNDSMKQELQRVRDDHETEIQRLRDD MDAHQTERSVGPGASDSELQRENDELRQELREQQQVTDEVRKEAQEFLLEMRELSQQS GTTHERHAELDKKVERLEREVHEWKNRYAGVKTQLRHMRATSAGPGIEHDAAKHVREQ GFVDERGMVKDVHVTKFQIAIDELLQKARTEDPEKVVDAMKLVVVSVRRITKDIQMPQ TDDEEFIQQQTKLRSKVSLTANNFITASKNFASSAGMSPVSLLDTAASHLAAAIVDLL RLVKIRTTPAEELEDDDGTVTPADSSALFSPVATEHPVSIQDRLPPPAPFQGLNGMRT SIDSSAYSPLGSPRQSAEPYSHQRSMSKASAVPIGLGQPGANNQSNGRVAPQLDPRAA EDLKLFLEEQNSIMSTEIQRLVNTVRGDADMRQISEDIGSINGIVSDIIAESQACGLG ESAAQLAQCRARLLESADQGQDMSNMGVATNAHEWRMWVQTLPPIAFGLARETRDLIG LADDMAKPGRPDDFS FPSE_12035 MADNPHEFRRKILLLVTTGGFTHAAPVLEIGAVLASRSHEIQFA TCAGQEEWTSGYPFIKTTYIVGPAATEDDLDLHYERLRLWRHEHGFAPMMESKYFFDN FWTETYNSLRALCQDPSTKPDFIVADFFADSAARDMLKQFNIQLASVWPQMPYAMAPV SYHPGQPGFQVDGALTSEHTSLTSRFWNEFVVLAALPTVIPWLIWTKRMRVDAGVNYS HSLLPKPDYLVLVNSFWGLEAPKELPPLMTPVGPILSDEYPPLDEDLAQFLNSHDKTI YVCLGTHVNLPGEELTKYLLGFIQALDAGSINGVIWAVPQKPRANFDTSKTYPLADGS TVSVESLLNDAHPHFRLPVFAPQRAVLAHPNTVLFLTHGGGSSANEALFHGTPVLAVG YFFDQLCNSARLAASGVGTSLDKSYLTPSSIASAIEHITTDADGSFTANARRMQRIAI LNSKRKHLAADLIEEVMVDQELRFRNGVELRPMHLQTADMRMPIWKARNWDMWLISLT GMVAGSVASWWFVREGWRKVPLVLARPLRFGRGFARGIFDSQRS FPSE_12034 MEIQHPSHGFATPRLQFQHSSPHLQPPAPAPEPISAPQIVNGQG PIPANSPNVMEPAPCPQPLPITECPSSLPDINRIQPSLAPANEPLLPPELDQDDASSI LSDLSSELGEPPAELPTELDPIDFDWDGPKGHEVLRLKPTVRQWNDFSANLAFARSLK AENNGCFKMVLPEELLEDLPEKDSQKVPANAYRPNQIKKNSFWRVETVSSVGSFSSSI TGPQCKVSATQAIDQLRKLYRKNDRKQIRNVRYRADVPAWTPEQRLEAGVPERSPIYP LKGDRLDKTKAIIPGIHTPYVYESGPHFGASFQIHAEDFRLVSLNHLYKGRKIWIVVP STAVDVAEEALGRKGKCSQFMRHRAEFFFPQKLEKLGIPFRIVDQRPGETIVILPDAY HEGFSTGYSIAEAKNYADDDWTTETYQECEAKCKLATMIPADLMRPLNDGETQLDLCS GFELLSKDTPPATPPTTPPTANIKRRLDSEGQRVGNNKRVKA FPSE_01429 MAFPSGPIGGLLLALSGLGWTRIELGYRIGIQISRVPAFLPLFS FLFLSSSYIFNFLSNIVVALVLRRRFFKGIGITEGTSNKTKTMHASKILASIGLMALV NATVIRYERDLQADAPALEKRADKTAAWVTVDDEQQPATTYTPSYTTVDGTTSIVDAA PHDLTATVYTYTSWAKIYTSTGEPPNPQATGKHGEGVFSRCYNKDGDNAPFCSPYANS TLDARRTYFVTWDPDYFNKTKSSNNSTLMITPRLNFFNGTSKKWEKYQDFTDSAVPAA WGYWPFDAKSDYIKHSHNISLTLYSNSNNSLEKTESTTLFLYLQDQDFPEKANEKLPE GQTLIIALPVVFGCLLLLIIGGFLWNRKTRHIGLGNISGRARHGYTGRAKRRIFGARD NGIQLDASVPPPGEYRDAPQRARADSDGLGSLAGSPVDANFSHQGTGGNAFRDEMRRQ EAERRM FPSE_01430 MAMDKIPQECRGMISSFLTKQDRLNLSLTCKKFYNYFDSTNWRE VKFCGYIDHLSPIFKFFLDEKYDEKHKRIRKATIHIQGWSKSYPSSADPIKLLVDSLS KMVEVKEIILYDERRFCLPINGAQFARILTGTPRWNTVTTLCLECSTPIALAALNHCD RDVLKSVSLNAWSQSINDTSDEYLDLRSMYSAQPQSLKALSLCFKPHSEHYNMGLVAE EYIDGVHQTVKDFPGLKHLYIHGSRLLKHCKRLGPLDEPFHQCYWYFDSDVDRLCQAL NSSNVEHFAIGLNLDCFDDEFLALSIAIGVQGQSEAECEHLFDENDYVDDSLSFDEDD LVQWNVNLTNRIISACPNLRTVRVYYESSGQCYCPACRRGLGACIYGYRLDNGGTFTE LREGE FPSE_01431 MFSFFQSRISPPGFKIYMPLSSDIGVERYLCQINIRDLAKWKYE LSPKIRANQVNRFYQSTAAIKGKWTLGNSSFSLNEAMDPVEHSPASVSSCDSAMYTLE EARLFMPGEESQINAEIIAERMKTNLILEGKLIPPPCRDYEGDLAVFFHAMAIKEERE RARRTVLGRVKENLHSRLARDAPKGWEHLHPIIYDGQQEYHGFKKFPRYHANQRAYLV TREFRDGIYIATAIRNLSACSQREIVRLLDGIEDQGRDDIIYVANQGEEIPYYFESED PDFIEWIGTRLV FPSE_01432 MTDRRSRRDRDRESLRVPRARPHRSSRNSSVRSSARSSANYEEP RHRHPSTGPTSYPAAAPAPYPTNSPYSYPSAANSARSLRAASSRFSLNEQFAATRQDF NLWDDDASSIYDQGINEDDEEDDDEDEEDEDKDGDKDEDEDEEDSDDDDSDDEDSEDD EKAALSSTEGNDDTLHEISNAPPVLQASDSNFYDLFCLPQGTQELSQQQIRNAYYRLF VLFYPDSYPAHLRPVARQQFLRVQNAFETLIDPVRRAQYDVDQFLQAEETGAVKSEYE TAFDEAVRDRIQGSISTNSDLGVRLDASESTSGRPKLQILDFALSHSVTIELPGVQKL VQPQIARVESFASKNEKEAAESLSQPGIQVATPTVTITGSTYGISKDLSSVPTSLLCD RYQPLLPLTISRHRLMQLVENKFAPLATLQYRQEFLNRKLSPSPEKFRWIKTAVELEA DVLPELTARSRLYHHFLLPKFTEPTIAEASIQSPRDSTEFQPRVALGLYQNLRHGTGF LRADSGDWAFGTEQYSRFFSEHSTINPDFFNAEAPGATPSIELGFRTGQSDRLPAPGS SDTPGDEGGIRGLDYEINTCQHGTWAISASASPVSAAGAIRYSKDLVLPFQAPPTSLD PGMPAAARLEAELCSNRFQDQYLAVRNLWSVGRFARIGIEVGMSIHNLHLSVYWSRLG QRLSVPLLIAPRSLLGPDILFWAGALPFAGLAAVQLGLSYRRQQTARSHRRSRLDIAS TDKPVAIAQHRFEADSITTLLAQPVEVRQKGQMTLKGLVILSARFGIPSEDGSLVPGD EVADVTVAVAALISEPSYANGPALVIPQGVRKSRLPGFWDPAPGQDKVLRVEYQFKGK AGSIEVSNRDELVLPPQA FPSE_01433 MSVTLHTSHGGIKVEVYCESVPKTAENFLALCGSGYYDKSPFHR VIPKFMAQTGAPATPNPPENPKGGRSIWGGAFEDEIRPALRHGARGVLSMANKGPGTN GSQFFITFDKAPHLDGLNTVFGRVIGDEGLATLAKMEAVEVDRKNRPKEPVRIENVTI HANPLAG FPSE_01434 MAYSQHDDTFFVESDEPQHRITAQEAARMMARQRQDIIGVELSR LAGDEYLEDIMQHMRQMEDETLPDASLIDMQREIQWFMRPYLIDFLIEAHAAFGLLPE TLFLTVNLLDRYCSKRVVYKQHYQLVGCAALLIAAKYGDKKDRVPQIHELNNMCCGLY DAGMFTQMEMHVLNTLDWIIGHPTVDFFSQLMVAEEGDDQEVAHMAAYLCEIALYHRD FVSTKPSVLARSSLALARAILGRTEVNDGGWDQTENVTLITLSHHLHQPSPTLARKYS TTGFSRVSQKLAEFMAEQAAIARRAASANPATPLAEPINKHTSNIYSTPSKGHSAMGF DGYLTPPITPDGNTLMGNHNLAKESYVLPPRCPVTPTPPHHAAVYGQQQQQQQSQYVG YVNQHGMNQ FPSE_01435 MIRKNKAGLAIRLSSAQTQTQIQTQQITTPDDIGTVRTALTTQT SHNFWCCAPYHARAVTPDEHDLEQRIRPCDAQHNVPHQVATYTVAATYNYSSSTVEQL R FPSE_01436 MRTASIAPVKAPSVNIDSVDWNHDNYPQRIKSTNQSAYPAIGSS VQALAGELLAAVRVMAVPASILTMGLFFGCAVMDGPPFVDQSQAGRLTVCNLRSALLL FLKDDCIPFVARGGKKAHLRDVVQRLVKGSTNIYPTYSDVLGRLKRAISCKCPDLQPY RCANNAFLLTKA FPSE_01437 MADFAFNWQGVAYETGRQNRRQNDVAHYFDHLLSTKGRSDVLKM LTLKHLGERYCSYDELKEPNVPREHLVAVVGEILPPSISFQRLQRGQTTSLDESEFHP AHHSHIRTRTDTEFVVSKIIDNKPSFYRLIGQGPPLKFTHSLPDGDEALDRIFFYREY AKGISTTSKRERMSKLLKNIIAFNQNYLRRKDNNDWEDDNDDDEDINNYDGNNDDKYN NNYEDNDDDEDQHRCFSHHGRYYHSMNSYSNHLVESETSDQLSEERDQIVHDAPPNTS LTISQPEVSNETLSLFDCLMNGPGFLAHGDTAKLLSYCKGKWELKTEEQTLMLLSVGL RQGALAGVATVIDPALYGHFTAIFCELHDDPSSGGFNNLYSNIRSAVRFASICSRTML I FPSE_01438 MSAIYNLEPQPTASVIIHTTRGELSVELFAKQAPLTCRNFLQLA LDGYYDNTIFHRLVPGFILQGGDPTGTGNGGESIYDGGAFSGDLDPWPMDQRMGKNAG PTGINFKDEFHSRLKFNRRGLLGSANESRPDTNGSQFFFTLDTAEELNGKNTMFGRIA GDTVYNLAKMGEGEVDEATERPTYPVKIERIEILVNPFEDMKKRSRVAAVAPSKTTTT KDKKKKRKGGKQLLSFGDDEGDDEGDDEMPVLKKKKFDPRIVMEAPEEAPEQDEVRSK PTKTKKERASEKRVSIAKEEQDNSDQTTPREPPKEVRQKPAPPVKMEIEDESPEPEAP RQTALERANEEMAALKASMRRTIHSEEPVKEKMKSALESMIPETSMRGRKRRPGAANT SAADDAKALRMLKAFQSRLEKAPPEKENEPAARETTKDGEDAQAGDEEAELCDLHFIA NCQSCTSWDKQEKDESDDEGWMSHALSFAADKLGKDLSNRRKAEEELVVIDPREKART LKDEKKAARDARQGNSGRAWDQARDAARNAKMAQAASLAGRGAK FPSE_01439 MSAPNPRKRAAPGASPIVPIPQQMQPQYNAGNGAGNQGPRWTGM DPSNYMNVVDGLMDENENPFGLIPAQQQYSQPVPTPSNTIARRQMNHALVPTNQRSFD GVVEPWGNFASDENTLLQGNFENLNEQDNVEVLEERAQKAKRDAQAKRKQIPPFVQKL SSFLEERKNEDLIRWSEKGDSFIVLDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHK RVGLSDNSMRASERKNKSPSEYSNPYFRRGHPNLLWLINKPKSGSKAKKGSKGAEGDN DSEDDVANEEVLTSGLGPTAAQPPRSLPAGESQPAPKKEMGLIREELSKVRDQQKLIL GAINRLQRNNTELYNQALMFQTQHDRHQNSINAILNFLANVFRKTLEDQGNSQNVSDI ISMITNQNQQSAQHGSVFELGDYIQQMNPNPYGTPKKARGLLPAPQQNNRAQAVRSSS TPNRSTPGSTAYQPASHHNHNPEMGHVTELVDNSPSDTTTPNLRQELEANPEERMMSL INEHNKHNNGHGMDIPDAADLVASAPSALNNAQRNSLANFIAGQTSAAPSGRSTPAST PVSATPIPTPTQIPTSNSHMAMPTMSTSASVSPPPAGSVPPPSLSSIMGPPMVPPSMN EINSNSIELNNLQRLHSGQDAKISEIDNILGPLSPSGHVPGLSDDTAAYFDPQATDFD QLFDISQLSGGHDFADGSDFDFAMDTDPNHQNQAPLHPGHVMSHTSLTNTPSPAGTEE IPRDDLRLENNPPDRGTKRQRVA FPSE_01440 MGILQKMAWSKSTRIKVMIAIDTVFFLVEIVSGFLAHSLALMAD AFHMLNDIISLVIGLWAVSAAQKTSTDEFTFGWVRAEILGAFFNAVFLIALCVSIVLE ALTRFIEPPEIDNPKLMLIVGTTGLLSNFVGFFVLGGHGHSHGPGDHDHDHDHDHGHS HSHVHDAEEGNAGYHSALADESGRAAEVLPEAVMQRANTSRKSNGKSNENNRHSRGRD YHRSSRSGHSRFASLDDLSIHPASFRQSIIEATRGGDANEVTSESETDAENSLILEEN EANEESPLLKGVAKNGSSLGHSNSHSHSHSRRPRRDSSVHQGHHHTLPKDAKKKDSHG HNHADMGMNAMILHVIGDMLGNIGVMVTALIIWLTDWPGKVYADPAVSLFITAIILKT CIPLTRGTARVLLQATPEHISVPEIRQDIEALPGVITCHHIHVWQLSDTKLVASMHLQ VSFPIDSHSGEKYMELARRARKCLHGFGIHSATIQPEFCFDSKHAHDSDAAALTLDGG ADSNKGDSCLLECIDDCQAQGCCPADSSSKASSTRRASESSHSPSSPRAHEHSHDHGH DHSHNH FPSE_01441 METAASLIAFIQVAGKIVELIIEVNQLWGEAKDLPGDLRDILEE LDDYALVFEELKEQIEYDQTHNTRSNGSCMSRSFMAATKAKEILKELVEEINMTIHSK KEGLQRRLSSFKLLMKKEKIERYQKRLQRSITLLQTAISTYQIAMMRQNTEIIVGRVT DNFSEKFEYLQLNQTSMLATSAAYQTEQKQLCGSSNSKQQELCTPQRGRLPSSKQYTS SWTGHFSMSRTSAEGAWQAYLQLPSWLSQSTHIFQSYPTRSGWTFNYRVYNIVAPDSE IIARIHNGDKNGVLELFSARKASPFDKDSDGASLLYHAARSKHYDICKLLLNMGLEDT LFEVVGRDRESPLKPLVYNPEKDAMGHDWERIVTLFQTYLQDPEDMPVTRLFDFLHEW AHSDNFVFIFRTRFMPKYYTWPSRIRFEAVRLGSFHLRSCASLPKLLSEDSKVSQLDV SLSSHENFSLLHSAAISLGIRFADEAIPKRRGEFQWGTYNDGWDDFVIDIASVSESED LHHVEAVSPWDVYKVPQWKGTPLFSLLGGTLCYLTTGTSFFHWNHVFQKTLHQWLGDL KIAGVDLLRYGTRERGFFRDHVLRGAFDSNAITSSRVLIREAMANGSYDTNVTHSLRE NISENRWIPIRLVDLKVGEDITDWEILWAPEFEYMACEFWRLFEEEENTMIPGAWVED FPSE_01442 MEPLAHNGSSFAQSDPNAILNECRDIDRGIDTVEQNLEQLRMIQ QRTLDDADSSGSSAANRQLDALSTETMSLYRELTERVRTIKSSPEASSAKNNPHVTRI DRRLKAAITQYQQVESQFRKRTQDQMARQYRIVRPDASEQEIQAAVEDTTGGQVFSQA MMQSDRQGRARAALSAVQDRHQALQKIEQQMVELAQLFQDMDTLVVQQEAAVTQIEQK GEEVVENLDKGNEEIGVAVNTARKTRKKKWICLGICVAIIVVIVIIVLIYIFVIKGQN NNNNNNGNNNKRRAIEAASDAIMHTAVMPSRLARRVAGGNEAASLISSVAGRIHMPQL PNN FPSE_01443 MSTTDRDASPADGLSPSIKGGPDIGLSHEQSPPAVDQADPVETG MPSFEPLFTLLTNSTTNTTVHPRIHYLFSDDDQSILSAPSHDPSHRALVVDLAPAPEG SANRWAVSWASSLTPEFAVTESSVAVQQGEGDESGGAILRVEGVEREPVEARPDSLPN SGSGAIGGEDADVLAEDFRRRMGVLKKVVDESEKRRMVMGENDQSQSVEDDGSAPDEA ILDYEDDKGKGKAKAEEE FPSE_01444 MSSSRKSKMASNLSDVMFTNAVYFPNYRIYQGDTPGMLNYSCIN HVYYAYASVSADGGVFLSDEWADAGAPVDGVQGGLGSLMHLKQKHPHLRVVLSIGGGN SSEIFPVVASSTLLRDNFARSARGLVEASGLDGIDIAWEYPCDAQQGYDFLALLAAVR IHLPEEHYVLTAALPAAKAVLQFLDLMEIAEYLDFINLMAYDFFGSWTPKAGHHAQLY AMDKEETSASSGVSYLMSKGFPPKKILLGIPTFGRSFLHCTGAGHKYKGIGGAEDGTF EYNQLPRKGCKEVVDKRHVAAQCMGADGGFVTYDNPDTVKMKAGFCKQKGLGGLFYWN APADSKDKSRSLVAAGFRALHSSSS FPSE_01445 MPDTDSHSFSLISEPGIETIYTPTEQDTDDYDFVFEDGRSECVQ QDDGSSCCTSLAPSMLDYEHSNGRRYHAYLSGRYPLPNDKGEQCRELAEHILMQHLLD GELFLSDIGDEPKKIIDIGTGTGAWAIDVADLYPGASVIGTDLSPTQPKSMPLNACMF VEDCEDPYWANGYDFDLVHFRGMAGFLLDLDFMVANAYEHIRSGGWIEFQDFDYTVCC DDGTMKKDDPLQVFFDTCARGMRKYGCTNFGMSNIRESLVSAGFTQVEVVNKKVPIAR WPKDEGLKDIGTMMEANISDLIGAMAIKPLIALGMSTDERKGMISQACRSLKKGKAHR YMNCRIVFGKKDGDEGACTS FPSE_01446 MPSAVESPADAILNHSATSYNFRFSPFLRQTYQVGLSPDRPVCK AFQSGHCPNGTRCPERHVSDSKTSQPTGGLNSLVCKHWLRGLCKKGEHCEFLHEYNLR KMPECNFFMRNGYCSNGDECLYLHIDPQSRLPPCPHYDMGFCPLGPNCSKKHVRRKLC VFYLAGFCPDGPDCKEGAHPKWSKDLEKPTLKSEEKKDEEMRVEFSQDDMDRQRDQQR DRDRDDGGRHRGGHGGHGGHGGRGKWRGRGRYRARGH FPSE_01447 MSIDPQQLLDQLSTQARRYSDIAAEFIDKNVDRAAVVVRETLST SEWVPESVRPSPPVKEVVAVVSLSRFERLQTWIAEHKILTGVIVLTCGTVVYKGYYEG RSMRKTRRARRARNGGRTDVVVIAGSPTLPLTRSLSLDMERRGFIVFIVCNAAEDESM VQAMKRADIRPLSIDTTDPPHAGSAIERFAHFLQSPQAPGPGMKSNQLTLKSVILIPS LHYQTSPIATIPPSNFADLFNTHLLQPILTIQAFLPLLTSRLNPIGEKWIPPKVLVFT PSIISSINPPFHAPEATVCSALSAFTEVLTAELRPLDIPVTHMQLGTFDFSSFVPTRG GPNQGLVMPAGNPESPLVWPEGARHVYARNFVAQTSSAISGGRIRGLKGSSLRRLHNS VFDVIDGDIVADTVRVGLGASVYGFVGRWAPRSLVSWMMGIRRVDELSTWKTSAFEGS ESSDDEDDESEKGEDGTSSEFIAVSDDNVWHADTGAVWSHKAPEAS FPSE_01448 MSGWSWSPKQVMLQMNQSNWNYQPQPGIPPQGLSSMSAPNLSHP PLPFSAPTPATPSYATGHGNFAQPPPVYNNPAPPPVPGSGLPPASASPPVYYNPAPPF VPHSPGYQTSGGPPPPLTTSAAMSSPAHAPVQAPAPPAPATKSRKRKSDVSPDNTKED LFPSDVPEIDSEDERLESLGSDTCNTIRRKIRTWIESGAQKVGEFQKTIGVSSRSYNS FMNRTGTWDGEQTDTFVKAHHFFKRRELQGLPLKANKPKKPKTAAASKVIDKLLDVSS VDPLPGEAQGTVAVYDTCNEIRKKIRALLAKEGMTQAAFIRALNKNLPEDGQKVSPAN LRYFMGCKDVRGGNTNIAFYAAYIFFEKRRIQDEKPKSKFREEMEKAWGPKGFDIEHG GNQQYTCYASERPVIDKYGKIDFVDARAFR FPSE_01449 MAPEAPSNIRVFIRWHDQTVFAGEEVKCTITFKNVAPTPGQAKP PPQQSERSRLASPLHTRPRSNQGLTPPPSASSGRGHRRSALSLSVPASQSHSRTGSVQ WPSSPITGDRRLSHSHKRSVSIVSIGSNNTVEDHTQRNDLPTRPQRPHRGHGRASSLQ ILPRSQGQLPTGPHSASFSPHRLSSSPLFHASYPPPDRFGRISRSPTNSQTPIRRASP LGSPSENPMPEFRFPAAPSPTREPRPTLSRRSTNENMLSPRNAAVDSSGLAVRLKDGV PTINEQAAPAPAARILASSGILGGTPRSSGEFYSVSNNSSETLVSEYVTQPPNRGHAR PLRRSLGMPAPQMRAPESLMMGYAQLHGSFSLDGSLVSLGQFDQVKKKGIVGQGGGVV GLESKRDSSLLGGFGWGRLSSSLGDFLGGGELSTIKEMRGVANSKSVPLLTTPQSILF VDLQLAPGECRVFEYSFRLPKGLPPSHRGKAVKISYSLVIGTQRAGGTKEQQVKSVEV PFRVLGSVNSHGEILGHDLMNPYILLQDLAQVNSPPSSDKVSDKALKTNGDGTKGQQS ALNDFLLYVDDLAHRPRDESGSILLSPGAGNSRRPSTYEEASTAHEAIHMAIMRSNMT SGGQQSPNRFEIARNGQRVGVVMLTRPAYRLGEVVTMAIDFTDADIPCYAVHTTLETS EKVDPSLAIRSESSIHRVTRKVHSSSSEATMYSRRVTFKPTIPITATPEFVTSGVSLE WKIRIEFVVPSTGSDSPDENERRAPHPLLEQISQDEKGGTVLVAVENLICESFEIAVP LRVYGAVGTGLERLERDEASEEGLVV FPSE_01450 MAATPSHSRMKLTPSNSPFLGRPSRSSRSPMRGRPQDSSKLSLK RVIGTTCSSPTGFDTLSSAFAYIAGGAVVVVDVDGENHSQRFYRARPTAVPLYSVATF QNTPSTPSGTPKANDSRGRAGPRFPNSPHTSSDWNESPSNTWTSRERIKAATCLALSR DGKYLAVGETGYSPRVLVFSLHDTSSDSPLISISEHTYGVNCVAWSADTQYLASIGAA NDGFLYIWKVDPRTGGARLFKQNRCTSYVKDMVWIGNSLVTFGVRHVKMWKPEDGPAL SPTKQKFFSEQPASTPPSQRTLSGRNILLGSLLEETFTCAAVVKNSSLIICSETGNVC IMEEDDKQMKLQKVLNLDFSISTIAVKDNVAYIGGSHGHFAVLDVEAVLDAQPPSACI KDTTFLSEGQLAMGFLADNFVTIDSQQSIDIWSSDHVPGKDSKAMASIPIPGHGQPVT GIQVLQRPNHLDASFLTWTVGGNVTFWTLEGQVKASIDVPIGMTEPENEMDAVNQLTC AQTTNDGKLLISADRMGVLRMTDIATKEPVLDIKAHTADCRSVSVYDNDGKFLMASCG RDRTAQLFHRTADGSIEHFQTLEFAAKVVQVMVPSEDKVLTCSFDRTLQIHDIITKEG DPDSIAAIPSRVISLKASPSSMVLGMGQRTVFVSMLDRTICQFDLNTGRKISCFKCQD ETRGESVVLDSLCFGQWLPKDQDFLLGTSNTDKSIRLYDAQTGGFLDREWGHTEAING VCLIENDDGSRKVVSVASDGTMMIWCLDLDDPVPGTTSREPSPAKDSVSARPPLRRVL SKAELAEFQRPSPTSGRKSPASGRKSPPRTLQRRTSRFNLAASVRTSAQAAPASPTST VADDTPSRRPSGDVRATSPVSPKAKVKRRPSISQGVRKKTSSTNLRGFGSLNMATEQT CRQLRSYRKKLASSDPISQENLTELDQELRMTAAALGDRAIRSRAMNETALSGLLDQY SERLITLLDEKLRLSYQPRSPTEKDGDSQDDETQRSVDGSSSSLSS FPSE_01451 MNQSAAYTPLESLFLFQSLLTQGADPGAFARISEQLTENSLIKE GATYDPTRLKPDALQHLFLRLFGEELRGDSDKDTADNPAASPNTQKRKLESPPLPTFK DVYDNVEKVHSLVQRLWQQYSAKIVGHIREDEQKIDALQKDIHFLDRTARERRARAAS QNGTPVLAARDQKASVPSNGPTPPPAATTGAATPVRKGITPQTPVLPPKPPVPHSNQP SVAPPSHLPAGTTPIRPSPSPNPPNANGSVLQPPPGVPQTGPRPLQASQPPKQTLTPR PEAAAKPKDSTAVPQNASQGAALKWEKPYQPPQTHTPPPRQLQSPLAQGAIAPPPAQQ HPQQQQYSQPHGQYPQQVPQQQQWYPQPTAQFSPKPGAQPLASHPQTPQHVQVQPRPQ PVAPQPPQVQPQQQGQQTQQQGQQTQQQGQRAQQQGQQAQQQGQRAQQQGQQAQQQGQ QAQQQGQEAQHQQQRSQPHIQPQQRLRAPQTQHSQQNPQVPIPQQQQSKPRPTPVKPG LAPPQHAGQIPPPLQPAPPRSSIDLTGGQTLQARPSSTTPVPHPRPVQPTQGQSQHVR PIVPASPPVGSSGPIATPQQRQPSGYQLQQPQYASLAGSSASQASKAESKNQPSQNAP QQPVPGALGNIIRQVLNTPAKRLATPPGPRTPTSTSAHLLQGFGTKWAPQSTPSTPGP GHVYAAPQSPAFEPVSPPSKNATLPSSAASTPKPKKKAPKAVPKLEQPAPKPRGRTAR PIQKARGVSSTPSISRSRRSQSVMSHTDEQATQASETAPRIKDEDATPRPTEETGDTT ADESVPGRAQIMTPGSVSSRLQKRKRQGTPPDLPPETSQVLWTRGFTKVSSSALDQIS SHRDANMFATRLREKDAPNYRQIVLQPQDITSIRSAIKHGNKAAVQAAANLPGGDPST AHVWLPMSEELVPPKGIINSAQLERELVHMFCNAIMYNADPDRGPGPAFMKRSQEEEE EIVGYRLDENGVVKNTRSMFVEVEKLLGDLRSAEKERSAPPPSARPASVATPAEETGD DDDDNGEREIGTAKRRRIGGRG FPSE_01452 MTRRTYNIAMVSDFFFPQPGGIESHIYQLATKLVDRGHKVIIIT HAYDDRKGIRYLTNGVKVYHVPFLVIYRAATFPTVFSFFPIFRNICIRERIEIVHGHG SLSSLCHEAILHARTMGLRTVFTDHSLFGFADAGTILTNKLMKFILSDVDHSICVSHT CKENTVLRASLDPVMVSVIPNAVVAENFRPKDVPALPSPLGTSFNPEAPLYPPPQRIG PRDTITIVVISRLFYNKGTDLLIASIPRVLENHPNTRFIIAGSGPKAIDLEQMIETNV LQDRVEMLGPIRHEEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTAVGGIP EVLPSHMTTFAKPEEDDVVLATSKAISAIRAGKIRTEKFHEQVKKMYSWQNVAMRTER VYDGISGTISEDEFYGVDTSGYGSRIRNFALIDRLKRYYGCGIWAGKLFCLCCVVDYL FFLFLEWWFPRDNIDICPDWPRKRPADDDASSKKGAHSNRSSTSQGVTKLE FPSE_01453 MYARYIPPAKGGNTSNSAPSNPAPSPKVESNSAPAVAPVANAFG YSRYIPPSAKPAPPQPQAPQKQYFDDEPAATPKRKFDTTEEAQSYAQPDSKKSKTVEP TPKDSDETPKKKKRVRRGKRRTAVANDDDDDDDDEDEPAKKATATKAESQKLVEREEP KETKKVQKESLALEESAVTEKEQKKKDKKKEKKDKKKKDKEQKQEQEESSTPTVAAED NDEDVPMEDATEPADEPKAASEDPVAEEQKKEKRKEKKKKHKEPEPIEEEDKDENKRH KTVMDRLEKSLKLAGEIGPGEEDEEDQGELHGLEPLPQPAPVVTLTNAKRSDDETLPP WLAKPLRVSQDTRTPFSELDILPKACRVLEEKGFRDAFAVQTAAIPLLLPTSRPGDVC VSAATGSGKTLAYALPVTRDISQGCLTRLRALVVLPTRELVKQAQETFELCARAFDGS DRKRVRVGVSVGSQSFEDEQKAFMEQELRYDPEAYKKLQDEVQQQNQLKLGLSATDSL DDLEDTDPRLSSKNGYVVDFLSKIDVLICTPGRLVEHMEQTPGFNLDYVRWLVVDEAD KLLAQSFQGWLDVVMEKFRVSKPTARDFPDINFSGVRKIILSATLTRDLSLLNQLGLH RPQMIVLESDGDVQIAEHSLPAQLKEHSIRVHDTGLKPLYLLDLLRSQDMLMASPSKD QEASKPDEAADSDTSSDSSSSSESDSDSDSDATSDTSSDSDSESESEAKPKASGRSLK SHIPSSLIFTKSNEAALRLSRLLALLDPSLESHIGTLTSTTPTHIRRKTLRAFSTPSS PIRLLVASDLVSRGIDLPNLDHVINYDMPASVAGYVHRVGRTARAGKSGSAWTLVGDD ESWFYNKIGKNTGIKRGQKMERTRIEEMDEKRVEEYEATLEKLGQEAGRR FPSE_01454 MIHPTVLYLTLSIALLVIAVIVHVRSSNLSLAIAPAVSIITIIL PIVGFLNTACYPSFRRTTKSSPSRVAQLGPLVVQVLQALITTILATLLLERVIPSGVT NCVMENQWMSMFRSHDAGGIRRIQDAFDCCGFNSVRDRAYPFPGTAPSTCAATYGRRT ACREPWQGALQTNALADFAVVVGVGLIQIMGLFVVPESGNWRNAWGVNRFGERNQQSE SRQPLLIDRERDVVEEEETEPEQPGGESQGYGSLNVNVSGSRVVPSSVAERNNWADE FPSE_01455 MPSVVDKASQRSFHRKRSAFKKSYLSGKEYLAKICYQFNFPSIT PTHRLVYQRSDMPRPGQDKQAIVTRNKRSSESPEPTENRHSRPKQSSGGSNKSQVRKD QESGQGLRGSPASKSGQANPAAPSRRLKVAKDCTNLLSVPLPTAGARKAADSRESDVK PTNTSAAYLDYCINKEVKRRKQNLIDNLMSVIAECVEQRLEALEEECDQSSGSHSSSS AVQNGKPISHSAGQKRSKDHSSRDESEDDEDEDEGGSRRKRDNKRTKTTKDDTRPRFA CPYNQYDPARFGSVRTCCGPGWISISRVKEHLERKHALPPHQCLRCLCRLGDAEALKK HQREKKPCQVKESDSSKRNLSDGYDEEQAKKLKGRPRMLPVAKWREWYGILFDVQPDS PEIPSPYHDSSRPGAKFPIKIDEVEHWREYWYQAKPAVRHHVTKTVNEAFGDFVPQIK GEVMQRLQELPRIIAELLPFPGLNSEETSTATDTIGLFDCFNSFDPNVCNGDDFDFSV FDTGIDIQNQLQLGFTESSDSSDTYLAGDSSGTSVGDDTAYQQFDFKPAMLTQNIDYN LPSTQFYQHP FPSE_01456 MASTDDVRRNRIVSHMNKEHTREISYYLRHYVRLSASAATSPVL KDIELNGMTIKSDDGKEHFVPFTPPLASWAEVKDRIIEMANTAREALGLSDVIITAYT PPEGFGIIVTGAVLFYFFCEASLPWIQPGSRAWELLNENFPGGASFFHWLVNTIFWPV VGIHVVECFFFDKKLQRHGVERFSSQWWLWLINCFFEGFPAFKRVDGIVARKQDKNGK TQ FPSE_01457 MSLPTLSVSDILKEGDYNSYPLHFYIAIGNLGTLQFLLNKASLN TINESDDKWGAPLHIAVYLGNEGAVDMLLKAGAGPVRRPNFVFEGYNATPIGVAARLG NMALLLKLWQHVGFGISELGSCLIEAAVYGQPSTIGALLDLGRENWPTESKVRALNRA AENWKAENIRVLLSRCVFDKGMLDSALAQVPPKVWLIKDQTESDLSAQSQSIKLLMTA GADPSTLLHYSSQPLLAHTAIHRQLHGCLEALLNNGADPNASVNTQGQTASHYLAVKG RGPTKDCRNGVLSSPGEPSEATFRMFFRFQASILRQDVFGNTPLHFAASTSDLETLQL MLSSLPTESERKAALMLRNHQGESLLHFASSGAQIDVVEYLLSDEVGLPVDETTSRGW TPFLSALAPVSTNARSGKIKTAQLLLDHGADPAVVTHDGWTALHCLAMKYDAHETGEL AHFVDTLVSGGMPVNSRARFAFDDHDVPFKHDARQGIPYGCLELRYLEDPKLWGRVVQ SGFTPLHVAARSGAISAARALLKHGADPTTENSEGKSPARLAGDSKCYRFVYPDDTQD IMISLLLEAGGSY FPSE_01458 MKLTSWAICSLGLIAGVFGTERSELFEGFPDCTSPCLDLYSDSI SNIADTCNGKNLDNEINNCIARQCSDFERFEVAKVYANACAVKPEDNRFDHYILLLAE VPAWTFVWLRLYLSWVTYERLALDDYVMTVCGLIYTAFVPLVHLSYTAANGTIVWDTV PSSITDALKFIYLTEIAEIACSCLLRVSILLVCLRTTLSSHHMIATGTTIVFTFASSV VLVLLRVFRCSPIAFSWEAWALDEKEKEMGGCLPQDSLAYAASAIDIFLNIIIFATMI SLVLSRFPRGMMSWLSHAFAVFLGAFALAIPGLRAQLLVDYFTKMQPVWKYHERLIWM DIEIAILILWACSPAWQFFADSDPGDSEVQNQSTLLVTSADSTKKRATKSKSTKTAIR STGFLGMLTRSRTKKPFSSTLHLGDKTYGNVRTEIQGGQRFSMISQFTGLIGIQVKTR TVRRVEDNEWDPEKGVARENIKADD FPSE_01459 MTSSDRSETPDSDGDRKPGARDDSSQPARKRQRVRLSCLECRRR KLSCDRGFPCERCIKSGTPDRCSYESRNGEVVNASSGVPPPFAQLDSRRFVDPATGLS PRDPEFIRQDHDRIRRLELEIAHLKSQITRPGASFDGSTIAGTTTSPQTKDDPNHANA NVEDPSVREVHESIEATNMSGEKGELRFFRGRGFRTRYFGPHNASMAFVELTGLCPFM KETADEWLRPVILHDRKDRKRRREDREKIFEDPDMELEVLLPNKEESDALIDVYLDQF EQVHRIVHIPTFKREYVEYWTPGSNKRCAAFTALILSMMAVASCVHTHESLKFIGMMS NARHWAERWIKACDEWSSKQSQKHRRLIHYQVACLLYLGKRVNTIKKKRFWTGSGALI QDGIAVGLHREPSHMAAKITVFHQEMRRRIWATVQEFDMQASFDHCLPTLVSQLHYDT NAPRNLDDDDFDESTTVLPPSKPVKEYTFSSFQHLARQSLPLRLELSRLLTGPSSEID YEQVIRYTNDLTQEIDALPSWDMNLENVRGKKNPLIAYTLLHVQLRQYIIPLHQPYLK LRKQNSKYQYSEIIYYNAARDIVLLHDKLYDQGVRSLNFLREDALTTAINLCSVTMLQ PRGSTNMIMINSHHTLKLIEKCIAMKEDRLLRCGNNEPWGYSIMCAALGLLEAHLGTK EPEVAKSTSAERFVNLHYRLLANQEPPVSGEQLAAAMNGVPPGNMGPGPTPNIPLHDR PKVMKLDFHGRAFPNIGIQSVTPFSFTPTMPVAAPIDPSQAPWMMGGDPTQPFNLDPS LELLGINLNEIWGESWELG FPSE_01460 MPRKKLRILCFGDSLTSGYYCQGLMSHPYAAKLEDRLTGTFPEV DFDIVVSGVPGDVASSERFSIRTKTAWRARAYDWTIVLGGTNDIAFGIPPEVIFSSLK KTYDFARDKGAKVLALTVPECSADNERTMAARNALNERILKNNSRNYYAFDLKSKIPF HSLSQQDRHRYWDDHVHLGKEGYDWMGNHIADALIDILWHEGTFEQPPEPSDEVDVEE EFVYDEEDGNPHNINEGYIVVRKKDLD FPSE_01461 MSSQQKIAIVSVYDKTGLLDLAKGLVQQNVRILASGGTSKMIRE SGFPVEDVSAITKAPEMLAGRVKTLHPAVHAGILARDLASDEKDLADQNINKVDYVIC NLYPFKDTVAKINVSIPEAVEEIDIGGVTLIRAAAKNHKRVTILSDPNDYAGFLKELE KGDVTESSRNRYALKAFEHTADYDAAISQFFRKEYAGNGDQYSALRYGANPHQKPAAA YVSEGNLPFKVLGGSPGYINLLDALNAWPLVKELHKALGKPAAASFKHVSPAGAAIGL PLTEEEKKVYFVHDIEGIDESSLAQAYARARGADRMSSFGDMIALSDVVDVPTARIIS KEVSDGVIAPGYEEAALEILKKKKGGRYLVLQIDPEYNPPATETRTVYGINLQQHRND VEITPKHFNTIITPKDTASLPESAARDLTIATITLKYTQSNSVCYAYNGQVVGLGAGQ QSRIHCTRLAGDKADNWWMRFHERVLGIKWKKGTKRPDKSNAIDLLVSGQLPKDGPER EAFEGVFEEVPAAFTAEEREAWMKQLKNVCVSSDAFFPFIDNVFRVSQSGVKYVAAPG GSQNDSAVFDTAEKLGITFVEQNIRLFHH FPSE_01462 MAPPAKRRRRNIVEASDDEDEQPRANNTLNKFLLSSPSSPTKNR APTASPSPTKPKILASKPAKNGSLRLPRRAHQNQRNSTSPSTKRTKDVGKAADKGKTA DLRTLFSNQAQKAVKTGAGDRQSVPLDDIISDPISEDDDISEQKASSSSLVGQHAKKR LRDDSQTAFPAAPSASQKFIKPPKPIPLATANDDTRPWSERFGPKNLEEIAVNKKKVS DVRRWLEDVIAGRMRQRLLILKGAAGSGKTTTMRLLANDMGCELLEWRNPAGSSGLGF VSASAQFEEFLGRGGKFGALDTDVPTLPSSQSSSQAVRKSDSKRVILIEEFPNTFAKS STALTSFRNAILQYLANNTPSLSMFAKPFQQEPVTPVVMIISETHLTTTSASADSFTA HRLLGPEILQHPGVGMIEFNAIAPSFLLKALELIVQKEARKSGRRKTPGPQVLKRLGE IGDIRNAASSLEFLCLKGDQQGDWGNKVAFTKQTKGTKDAIKLTQGEEESLELISQRE ASLGIFHAIGKVVYNKRDEFAPRDDTTERLPGFLSQHSRPKRSEVSVDTLIDETGTDT HTFVSALHENYVLSCESTDPMDLSTPMDYVNDCIEYLSQADLLSPSRDIFFGGRGGFS SPDSGSHVLRQDEITFQVAVRGMLFSLPNPVKRKSSSMLKGTDAFKMFYPTSLKLWRA KEELEGFVDMWSTKLLRGDDGATKNLTDGATAFRRPQQNSDETSWMQRKQQSRQAAIK LQQQKQEEHETQDTPLLSLGSAARRELLLERLPYMAHIARARKTSTFRLRDLEKVVAF KGINAVDEESDAEDDMPPGEAWATDKPSEEMSPRKKRAGIKDGNVSGLLAQKLVLSDD DIED FPSE_01463 MSGILSQVPVVNRLLGLYSNRQAINVPSVEIHHIETDPDKRARC LKHLIKANHANYSVVYHNLQYDNHNSHILSSAYLLGASISQMNDIYDKEIRELDPWVP SPAELGEDDWEQHRGDKRFQRAYVDFFEDKFVMRFKYDWRQEMQHYLFTGDEPLFHGL ICGLGHPLIHLGYAFEMDCKELAMEALGLACVQYNFFHKYLDSSSYTKQAPFNSASPL DLLTKLAKDSRFDSISPDLSDMEELFDKHEDIILEYWNAWEINDPLKQFELSQEAAAA LLVATVKPGTHAFNFLLVHLLTTSHAVRILLPFIPEKYHITLVREWWLLVLGIFIVKG RPLPDPDNVDSDAKQRGWKYVEDKALNSDWATDAHYVKAIRALKEASRTWGDVHDRYL LAALTFVDNFQGWTF FPSE_01464 MEFWHCHKPHDHEHQDSESLATKGYGANSAISAQQGVGFVDLTS FLFTESDCRGLKYSSSTMDAGFDMLSLALEDDESNKFLHVFCRKCATEVGLYNVAASS VTLFKWQITCQTKTPDPNPSSPECLAATLMATISRSGSSKSIITPHVLGSVKPGSAVA RQNLHLWVLNPNVIYTVSSTTGCKTAMKILYKHIDSEEGDKLITSMTSDVQEITLPET AIKAAEECLLASSQLLPAQERTFKEWNVGLLGRWEPYS FPSE_01465 MTSRDVNFPITANFNSPRPTFCEDMEDITNVQGRKESVSNSPND VDLDEFLHVQRLCSTPPNEPAQAQTCLDEIVIPAAASDEIQHKQKRQDDTQRGSELNH SRTQPRRRGIRSSVSSIPEYSVICFPSNPTKPNGIKKKRKDFDEKRRQEVAQVRKSGA CFRCKFRRISLCIREKLTKMRFSSGDLHYIDYTARLLDQELIAGTTHLGPHRKVSLAM DYPGNPALEVEVQDYYGNTTPPWFCCWVVTDQAGGQVESYRQESARYALPQLLPPSHL VGWVERIISYQETRCIGFQQTVDSFILRYSQSKSPLPMHDFVRKVHKLNCLTKIRLGL ILCVEEGGDMTPPSCALHTQFGQISKAASQPIEKEVLLELEKLVFGPTGIGSDNSIAL WASLWCLILMYRKLVHTYMAFRQFPCHVPEDYSGFPECKLEVGTHFYHYLVSIYAAMF RVTSPLYADFRVAATRKLLDDDESLIEAFMSLRTESFYFQRESMSMSASPDQLLRRMI LDREAGLRPKQISH FPSE_01467 MLILMPILVLISQRLVTLCRVLISHACLLACLPVHIGPWHFKTS MLLGVPRIQCLICQFQSQHVPVRAEGSQLSNSGIRGECNTVSTRWEASIWKLFCPIHV FPSE_01468 MTSSKSFRASLMPSMLRSNTDPDSSSTPSFRKSIRKSNTADLSK LQGSKIGSSKSTTNLPHIGPSEREDAVQQNERPRSAEQKTLRKRNDSAPKVSLPKSQS TTSLAPSKSTNRMSRFWGNRLSSFLPSLVVPSESAESPTPKAAPTPPVPAVPSAPAAA AAVLPAPTKSSSPTDTSTTTNTAGTPPTTVDDSASSTTHSITDPTAQMQNEYHDTMSY QNNGINQAGSPPSPDSIPPRGSDSMDGQLRPNPQIMAPIPPSPEVQRAAMSPQEPNMP PPPIPTSSSENLSNGSGKLRKENPASRTRSGSLQHAPSKSYGGGEIQALKTRTTSPAP DRGRRSSSVQSPNNRNSNTKSRIVSTPLAIRPGSSKGEASQSPSRGRLRRSWLPGGRS RSNSMDVSNANTSTAWVLSDDTQAEYNASFLKNGEKVPELWNESGAVLVYLYPKGSGR GPSFKVQEFTISSSWLFNELIQRERETPTRNRNTSFSGRLSLTAEDASRYISPPNSPP VPEEGSDDCFHLYLPTGAPGQEMAPDVDRLIAIRNLFAFLTGQPLVGTKAKPSNFHAF LDISSLLEEYGFTSYDGTTFGDAVDLSFGFYMDQHALADCRHSREKTLEAVILGERMR SADLYNEAFAHAAGKYAAIMDLKLPLYEQISPKTRVSLERAHLDLLNRQHSANMHLEQ FDFPALFSGIANSTSMSELRSVRFKIWRQSFTKMRHFALSYYKTAFGNWPPKASSKKN PFSESGLNRLVLKVLYSDMCALYDLLVDRNNRTSRIMDEVPELSNDPDKMIMSALRNI MSEFDRSKPPVLPPIPYDCPQLPTATSILETYDTLSPKKQAKFDKGIKEHELMLMLNK AYNYDTNSAKVPFLDKFKEFELREARGKTPQDFADQRIGYWLFLYVAIQSLPVLVVDA PGMQFTEGVEYFLCEPPLGNPPWVPDQQVKKMWYEVAGGGGLVELSQDAVLFSVEATY HRSHCWLAATQWENGGATSQAPEQPQMSPLQPPRSMFLDNEDFISPPSFGNGYSTPPS PMGSPSGALRPRTHSPGGNRANQAWRSSIALGLEPVPLQPPSPFGERSSSLGGRPPSL MMGSRNSSMANLAALGGHYPQTESPPPEPTGATFDDILKQEQKKEKKKSRFF FPSE_01469 MFQVQHNHHGEDFHRFFHRGRSSMSAIDDRKAEEFRRHVWAVTM IDEEPTEQIQMHTQTPAQTQKKKKLSRRSTDLVRPGANKLRSKRSSVGPPPVTRKGND KHSKSQLSLDLTMKDTTVSVRPVHPTMFGSPKTSQETAISAFLANTSTIVESPKTNQA PFSPDDFPRPTYTVLETPLADPKKSRDAISQPKPNINRPRQLSNISATTLASLQSQTI NSPTMKSSWGSRPSMESTDSASKWRPEYMYQRPMPIKNLSSSSTRTPMKPNELFAKLP TKVLSCIMEQLRNLHVGENSGSCATCWMRDATNVAVSCRKWYQPAQAALYGNIQLVGA DSAVHKKKYKMSQGVRVIMLRRMLRSKPRLASLVRTLKVPAPETVPKGSTIAEYEDLI ATLVMACPNLESLRGLSPMYDHSFSKIFHALSTRSNLKEMNWLIQASPHQTQHRTQGN AQQPGMVMPGELKPFQEATFLSFNTNWSRLESLTVHCLPGATLTPETLLTKTLERLPN IKHLHLCNLPPNSFDDCSLLTLPPLETLTLSHISGITTAGLSAFATQSNSYPLRKLTL RHTPMNSLAALARILSNLRNLVNFSFIQAFPPTMPENDSFTLWLMPYLTSNTIRKLHW DITSHATCVNAADDILARSIGAGGFPALRILRTPNDPDGIFQELCYPVERIDLPCDRF RSTEQPQISTPPPSSTPSPMSPTRLFRSTNASPIASPREPISPFAFPLGDSRRAPYSN LHTSRLAAQARLEAARSQPKFTVNVIEEDGTFNDTFTMAGFIGTAGSPIKYHLHPDRG TTDDKGGLIDIRDLESDAGENLAGGKAGCNGRWNSREGIVADKKEKEWWWHTERGRWQ KVTL FPSE_01470 MCLKTAPLIPIGSLTINKEELCPESRVWASDNVEGWKMFRSLSS DSDIKHHDAYLPNDLEVKLTKIKRLKQHFDLCRKSWVRLEFNVNNQYSDRGTLRLYFL PDDALRRTVNRSDRSLLRSRDKIFDQLNYSQEAWNGSTDAKWLHRSHICTSINLKSDQ EEGMSLLQLFNNIPSPAPEIDLVENLYDRSVIRNLLNGTIVGLNSELYPYQRRSAALM LQKEVQPEQILDPRLLQIQDQEGGSWYFDPVSSTILRDPRYYDGVCGGILAEEMGAGK TIICLALILATRDLPAQPPEHLRTGDRPVRKGLASLADMAASCATRHSVPWKPWFGLL EAQSGHEHTRCIQALEQNPGHYFVPPPKTRRTARRSAGTLELSKKQVYLSNGSIVVVP SNLLAQWKQEIKKHTEDLRVLVMEGHDHLPPPKVLLEYDMILFSQSRLEALKRQAGGV DATPLSQVHFKRCIVDEGHKLGNSRISGKSDMLLVLESLHVSSRWIVTGTPSHGLYGV DAQKRASESNGVQREDSISTEADANESHSDLEMETKDLTRIGAIAALYLKARPWANTS LEPGDTTADWAKYMLLPKHKSTGQGRWDILRSTLNSLIIRHRLTEVGDLLPPVNEKLV VLEGSYQDQMSLNIFSMMIIFNAVQSQRTDMDYFFHAKQRKALMQVVQNLKQASFFGG SFYSRRDIVKAVETAEEFLQENKIPISDEDRCLLEQAIQFGHVVIDNKLKALGNQFHE MPVFVKGIPQSLSSSWSLDGDTGDGMCSSSNMLVALQKLVYKSVSKPDKFNSLLNGQL AQEGVTERERMLASDDPEMNSQTMAGNTKLGNDQHPSIRARSTKDAKPEAEAIPDGAL GPLEEARITSTASIKLSYLIDNILRYQEDEKILIFYENDNIAWYLAGMLEVLQIQHLI YAKGLTVQRRSQYVNTFNHNPKFRVLLMDISQAAFGLDMREASRIFFISPVLNPQVEA QAIGRARRISQKKAVFVETLVLKNSIEEVILERKQHMTQAEHHRAQSILDVGPIYNWI KNARINPMPMAEGELSKEGQMAPLGTPQYIFRRGFGRTMHPDEGLVLDDSPTKNTSDA GMVLGSLEMTNGLKRTSQSDKVTIGEHHNEGTASSSTTSDIAARPSKRVRFAGSSEED FPSE_01471 MRRADNAISKRTIPLVVRGYSVRVEALGVIHIIGKDETSASVTY KQQQTGARYELNSINEDVYVDLRRFITSKTFKRPTEGPAEGEGRPEASRKAISAILEC ILKSTRLIYTTPNAAAQKPYKQHYKAARAVMIGEAGAMAVSEASMVIGDRERDSVQCT GLTIERHEKTGEQLATE FPSE_01472 MALTVELSTPVTGTYQQPIGLFIDGKWVEGVDKGKFEVINPSTE EVITSVCEGTEKDIDLAVAAARKAFDGEWKNTAPQTRGNLLLKLADLAEKNLDLLAAV ESLDNGKSITNARGDVGAVVGCLRYYGGWADKIEGKTIDIAPDMFHYTRSEPIGVCGQ IIPWNFPLLMLAWKIGPALATGNTVVMKTAEQTPLSALVFTQFIEQAGFPAGVFNLVS GYGKTAGAALSSHMDVDKIAFTGSTVIGRQIMKAAASSNLKKVTLELGGKSPNIVFED ADIEEAINWVNFGIYYNHGQCCCAGTRIFVQESIYDKFLAAFKKRAEENKVGDPFNEE TFQGPQVSQLQYDRIMGYIKAGKDEGATVEIGGERLGDKGYFIKPTIFSNVRPDMKIM QEEIFGPVCAISKFKDEAEVIDLAHDTAYGLAAAVHTKNLNTALRVSNALKAGTVWVN CYNMLHHQLPFGGYKESGIGRELGEAALANYTQNKSVAIKLY FPSE_01473 MDDFEAIRRDLVLENEPIEKELSSLGMKWHSFDDLCQALRSETV TDSITDRDRYGMWHDPSAPTAPTIKDTEGEAGVFKSTPISSPTKKRKAENDDSSTQAS KDTEGNRKNAPDKR FPSE_01474 MPVSISSPVLLGGKSYLLELLFHCVFYGTRQTFDTVKEEGPSNS KVLHLLDNNIGLESFYERLSETLQRLGIDEARHFSIIRLYAVDGEVGSGVKRDTQKGP TGRLDRAAAEAELNSFADEFMLEATLTRISVDTQDTREALRNAKHRHNEGSLCSGAFN YFEDNTDKFTQLHELVNKVKDGQELDTAEFGNFKAWVKNLFEAYLLQFNGVVLSTTTA ASNFLFRTAFAPELVLVDEASTMRELTTMIPIAFFNPKG FPSE_01475 MAVIDGTPHITARIRVAGELATEYDVPDDVEMIAPNAEEDTTPS KHCYIECKSGAEFAIEVTVSSGFKIPSMNDTVWMLIFIDGAYMGSLNIEKHELERQVT RIKTDAVFNLEEGGAEVKKFMFTSITKSDSASRSKIEEDALRAKGLGIIKVVVYTAKT SKKQLNRHAPGVTIVHQSDFAEKALKGKGISHATALAATGDIIPRHRIIDIENRINLG EFFFHYRSHEALQNEMVIPRTPSPEPPAVAIDGDDVLSHLSESEIRRLAMERLRDSNI KLESSGIKHEANGHPATTRPWKVVKLDDGKEAVDLTDE FPSE_01476 MDKGGASAAFWPRDLSQIGQENPRVPTLEGLEYEAIFDKFFDYE LYSDSTQTQEHLAASSPFRSTRRESSPFITDIPYFFDHLSLGRDEAGYPNMTPGLNLD DGITTSESMGQTPPGLVRGDSTSPSSPSSPSGSFSLEGVDDPRRRPRHSLREFRAQDD EWTYPQAVSSKHAPKAYGYPPQVQVHESSSRRSSHSSKTYSPPSTSGVKRQRSVEKRS RHLSDPDQTADVRKSGAYVWSPDPAEERRVVDNEPRFPTAKPKDILIYFTRDAESPYL RATVQAYHSKYGVDESPRNAAFERDRFPSYPELQRWVEAQIRRERSSRFEHVVQNFLL SYYEEGQGLPKHNLVSKVHTMNCFFRIWKAPRFTCCSSSNKISQVPFTVQAELRRIAW NALVSHEHDILKLLEDVLAQQDSLKAQEKLAVWASLWQLLLMYRDLIIAHDGYFSRNP SAQQDQNTVGAFENLYNSFFPLIAMFYHCQFKTPKRMEMSMDWLKDYPSHARHSAKLR QVSQDMMDAKKEFYERVQRSSHKVDERLCVFVVNHEMKKISTKRRPRSSTKSKGA FPSE_01477 MTYIIRCTIHSTRMLHCLRCRSPIYYRRASSSLTKHQTSSLQKL DMASNAPDIITLDNLSQTLTPWNPQGESQLYAIVDMGSNGIRFSITSLAPPFTRLLRP IYSTRAAISLFDALKTTSRGLVFLPETIAAVSETLERFHQLAVRHGVPARHVTILATE AMRRADNAAEMLDAIASVTNGLKVSILEPAVETLFGAVMGSRSGLAGVEGGALFLDLG GGSVQMTWVDTSKPNYEIDAARAGVSLPFGAARLIHVLHEQPADVQASEISKLQVGMQ QAYANLCSRFPALEAIKASRDKGSRVNVYMCGGGFRGYGSMLMHQDPVSPYPIPFTNG YTASGTLFSQVRHMRRVNEEHDDRIFGLSKRRRQQFPAIATVIEALLATVPNIGNVTF CGGSNRQGALIMKLPVEMRESNPLNVLASVTAEEKPVFDAVVKMLKDAIPQETDLSAV PNVLIPGLDVLFARDIWTRQGHDTEINSSFALHHAITRDAEAPGLSHTGRALLALSTS ARWGGHLNGLDLQLHQSLSALLASQHDDVPFWASYVGAVAGLLAMVLPIAPKTADEVT NAISIRAHLKKADEKKDRIVLTIGVASANVAGVSLEDLADRVEKTAKKNGGKKPRFKI TAQVSLLP FPSE_01478 MSHFQSLAAPWSRSARREDIPRTPEPNMSSETLPPSPPRPRFRI KRRNASNLNAPTEQFLASVAAADIPIPSIEEPRVLDEEMEETLYPVSHFNDLTGMPFT PHEAPGRMFSPPKTPAPDALPTLSTKQYPNWSIDSTLSSLDSSPDYESSRPSTAHSTH TNASLLSYFSISSEDLSQCVSPENEKADVIDEASTADDNSKTLKPAKKEVSKETIRRA PWTKPMNQHLWSTYMMYLQDPKVTPFRIGKSGIPPHGVCLRVARESRRSWKGSKAQGK VDIGSGSITPTQGAAGPYVQWPHTCAATRAQLRELCKMDARSKTRGSQYKAPSPAPFK KSAVRYRNRRAAPARSPSVFSGQDMAISLAVSTSDSMQLHGPLAQLTNSEPEPRTDEL SLPPPNNETLEPPELARPRLASPFGARSYGPSSSSSLPSSFAVDSKLQRQTHTGGPRR GLMSPVRLTRSRSTHKRRPNHLETRKIKRPSLGSDLWVDPASLVDLSATQDQFTPQTE PKINADVVVPRKNLQKLFEASQYPPAQERTLAHPFAEMPPRLGSPFALGGSSFSFPNR LSHGTVPDSDAGRRPFATVQQSSDSNTGGVTRESLASRLAYIDERLKDFRRRDKPRRR SESPL FPSE_01479 MAPLFSPSSFGRFIDSHSRLNTGSSQKSTISSPMPSPAHSQPPP EPMATLLIGPKQHRFKVNKRLICATSPFFAERFGDPSSSKSISLWLPRESSSMFSLFV DWVHLGLRFRPHLEEMISNAYDAGPETCQDIHWCLIRLHLFASRLGLYRLQDLAMDAI QDLYLRCDWDVPSGLIRFLYTECEDLAAIRLRRWAVAMVAFSFTGGPRLTFDPQEESA TSEPTRFSDLLEQLPEFAADYDIHMEKMRLSGLDIRFKNPQLRIPANRLRNDERAFGF RQCSFHSHRSSVGERRCPHESGSTKHYRVRRQSKERSPESTGLSAPNRKYRDVVPRPL FSGPTDSDIEEDDDDEISKAIKHVRSISSTLKS FPSE_01480 MAANAVPTAHMENGIYGTKSPAQAAHPYTHSQTPIPLPTYVAPQ RQAPSASPAQSSPLIPSQQHHSPPVQPGTLYQGHSQPPAMQNGNVAPTPLRGHLSPSA QGNPQQTQSVGTYQALVALIDRAPASVVRHVIRDKWEKSLLGSQYHIAFLLNATMHQA SPETVGNAVKEFGANLVQNAKHELVGHLSSTDIDELADSIISRASPDFLDRVLARRLE TVSARQLVNALARAERLGYNVRDIVREHDEQVIPSMHSVLTSSTIPPGNDTMRMKHYQ PQPIPSQQLQQPQPQPFARAPPPKVSSLDDLPMGPPEIVWCNCGWPCASETALNYHKK KNACYKVHPTDQPGRDICVLCGCRFGSNGGILYHEKSEVCGPRTADTCQKMIDLIEHF RTHGRSAHYRAAHSLMTPRGREKAPSREHHAALATPTSSQPSSTQRDPYAHLTPDKYR EFNAIMKTAEEKYGGLMREAALLDEPERSKRLAGLKNSYNTKQSTTRKKFGIRLRERR TREEIEAEENRLFNSQGGAGTPTNGTPVPDHESRPNKRPRTDDMEASASGVNGNLEPP QKRVSRAEMGGGLSGSQATAELTDPTAHLNPPQPRYTPQKPSATRPAWSSKRIGVHVG TQEDPMSIDEDDSDTDSDSDSDSDDGDIPATLN FPSE_01481 MSYQGYGAPYGQPPPPQGYGHPPPQQPYGGYQQPPPGQYPPQQG GYQQPQGHYPPQGGPPPQQPYGGYQQQPPPQHYGAPPGPPQGHYGGPPPQQQPYGHHS PAPPGPPPGQYGAPPPQQYSAPPVQPTPPSLGYGPPQIIQWNGEPDADGLRKSMKGFG TDEKALIAILSNKDPLQVDTIRQAYERKHRRNLIADIQSETSSWFEKALVSLARGPLL SDVHALHDAMSGPGTKEVVLNDVLLGRSNADLKAIKSAYYHTFHDKLEDVVKGDLSMK TERHFMIVLGATRAEDAAPVDPRQVDDDVMQIYKATEGKMGTDEILVCSIMSTRNDNQ IRAIAHTYKQKFNKDLERVIKSEFSGHMEDALLFQLRNATDKYMHAAKLLEDSMAGMG TKDHLLVSRTIRYHWDRNTLNNVKGAYQQRYGKSLGKRIYGETSGDYRKTLLAAIGEP Y FPSE_01482 MRGYIPLLLALSASCTTVTALPKPRIQLRDDASRPKYSVVPLEP GDDDPSSDGGDGNGDSGSGSENGSGSGDDNGDDVVTVIQTVVQTQKPVTQVITQTEKP SIITVPGKTVTKAVPTTVSIINLDDQPFVTQTVTVSHTSASASKKSEEPTATEEPETA ESEAATTAQEPSDSLTSATAPGVTSQPQPNPQPQPQPQPEPEPEPSTIVEPVQSIETS ATSETQSAVIETVTVTLTSSSSESWSATQAPESIAAPSLDSSVAPIPPQETFVPDPAS NQGESWSSATAPYEPPVVPTTLLTSCITTTTTSVYQHITPEPNPSLNTHDNGAWHTTY PAWSDNDLAM FPSE_01483 MFDHLSTNSKLNISNHTLHASKAPIMDSSINPFTGTANDPNSHS NFLANKNSSRNEAVIMGGVMIGAIVFVVLVGVGCMFYRQRARKMKAQQSKDVDDDGRS VCWVLGDQVYNSEY FPSE_01484 MVNFKYLGALVSAAPALAAFGVTTSGNNLIVDSGNSNGFSVSVS KSDCSINSIKYRGSEYQYKSQTSHIASGLGSASVQSTVLNNKYIKVTCTAKSGDFDLT HYYVVQNGQSNVYMATDTKSEPKIGELRYIARLDRSLLPNEIPFGEASNTSGGSAIEG SDVFNVNGQTRSKFYSSQRFIDNDVWCFQSSGKDVHACMVTHASRSYEKSSGGPFFRD INSNNNGDFSALTFYMNSGHVQTEDFRQGFHGPYALSFSRSGVPKAKDVDMTFFNDLS IPGYTADSSRGRVSGTATGVQSGFQGTVHWFNKDFQYWAYTSSNGAFTSPFMVPGTYT QVLYQGELKVASKSVSVSAGGTASSSIAANSDITTGKHTSVFRIGNWDGQPTGFRNAD KQLRMHPSDKRMSSWGPLTYTVGSSSVGDFPMAIFKAANSPVTIKFNLPSAISGQATL RIGTTLAFASGRPQVTVGSFKKAFDAPTKIDSRGVTRGAYRGHGEVYDAVIPAGTLKQ GSNTITIEVISGSSGADFLSPNFIFDAVELFY FPSE_01485 MSTPKGTVLVTGTNGGLGSAIITDILHKPELASNYTGLYTVRKA ATATRLQKILSAASKSHKHDVIDMDLSSLASVKATAAEINRRVAAGDLPPIRVLILNA GYQDHEQITMTDDGYETTWQVNYLANQLLVLLLLQSMDKEKSRILIIGSWSHDIDDSR NNLGGAACYEGYDSLFPGPDELAKGKWSRPDTGGGWLTGFRRYGASKLCAVMLMHELV NRLAQDPQLSNITVTGLDPGAMGSDLVRRGSSLMYNTIKIALPIVSPLLVWYNPNGPY RPLYKSAADAVRLSFETEAPKGRLLYSNGTDELETGKEARDEVKRRSLWVYGLEAAQI KQGDTILQDWQ FPSE_01486 MPAMWDTFKTGNGPSLHQQPPQELKNRPFLRQNRSSSSGLPQSR STSSAHSNQTANSNKSRDDIYESQTHLHDEFENRLNGLGIQNGPFRYEIRRGKTVRTP DISGPPRLSPVLGSDDIRVKPPRNPQQLQGEDDSPWRPASSIYTNEDVQDRRSSRHSR YLQYLKPSHSKVPATDMYGRPMGVEISPPSSPEFDARQYKPNSQDVSPIDDSPNPSQL NLLGERRLTPSQSQAQLRSQIPQMRRDKPTSPGLSSQLRTTKSTDELTDASKPWENMH PSYYGSQKPFGSTTIVTGGQQSNTSPSLGQRIRKFARAKPEPFDTRPPWNGASGRAPM IDPVRDDPSVAPLSLRRKASKRIALSGGVKPETHSGNAGTVRQHVPSRPAQRSNETYK TPSPELVSHSYPSPPNTGSPPPSQPAMSQTATSMLTPNMVPDQMKAIRRKPSPSTHNP HSSWSSSVYSAITENTIIGDKSLLNPVEPAGLSTPEDPWAQPASRFSVTTCNTAAAKS PVVPDEEERPDTPKQAPSVMDRRRPVPGGDNFRRASNVPIVISMKSASPSNEHFDSNV STDKALPPAPPELQSAHDRVAYLTARLDSLAHRRNNINKSIKQMTELMPTDRILSSTE VMRKREIEKKKVEGLKEELAEIQREEHDLGLKLYRANKRAEREAAFEPSTLWVRRVTS FPSE_01487 MAHRRTTSASHVQAGCDADVDTHIYASSKSGITYTHSSVLPGNV SIADSDEFNEEGSCDLSDYELEGPSPHGTYYTTANHLHGSLQPRPIPSNQGGSHTHAD GSVRRDSQPGNDLPGSSPYQHQRNSYSGNFSQPGRYAISYQNRRTYSASHGGRNLRQT YPSDHYDEAGNYIGPPAVHANPSDLVSFPRLPDSDPSSLEDEQENTGSHRHISMSETS KRSFSEQLVSGVRNLLASESNKDQVPPQPPRTGAYLFCRHYLIEECACITALEEDGVG DYCQKCWEGRCDGPRPPQSVVRRSLW FPSE_01488 MEDNTRLPVWDRVAGETGELLSPVSDATSVKARTLSVYSAYSRG PPKDFKEPMLQYDMERHKGIYPSARCILVETLIDDIPTTEYSVYIFNEVNAADKTKLD MSKLPDWTDEDGLQNIFFPHHSTAAQPVNHYHAVVGSGFPYPNLAQNGSTTVNLRIGP GGVEHEWNPDFGIYPKDVFPFQETNRSYLVAYGAATCIKLKFEIGNDKHEPVVFGPED SVVKGREVNQMYWHDTARVIIGQPNHPFKISLNFRFVKTQHPDPEVPHQTGVQMFSIR RIRAKTEPATSSVKGRLVNTYTYTITLMNR FPSE_01489 MASEFTSTESNAMQVYAPSIVFFLVTPLFIVFRFWSRITRRTGL GWDDMTIIISFSCAVAVQTIMMVACNYGFGQHIKTLATSDKIISLKLYYIAQIFYKLT MNLAKISMLLLYLRIFVHRWFRRCCFTLMGLVTCYMIASVTLSILQCYPISGAWDKSM PPTCIDLEKFWLANAGFSIATDTLILMLPMHPICTSKLSVAQKRGLIMLLATGGGLVI TTSIVRTTTLSFTAKTPDTTFNISSTMWSIIEQNLAIICTCLPMCRLPIATRFPLWVS ESELTAPDVSQPADPSKRQTRRWSPYTGPRNVQGITRSIVITSDEMSDELTLDPVERT FTMSTLSSDIGAIRKIVEYEITFETASEAEP FPSE_01490 MSRLPARQIGNACYRCAFTPAPTIANQAFVSGVLLATVRHSRKP AIRGSSKRWAATSSTYPDLNIQPADAEKVPRQLTDPTQLANIVESTKKKFLATDGIPA KQLTTAALETCLKAAQALQPQIHRAEAQSRASTSKLMELGAERTGQRPNTMDAGLADS VKKISYSAYSIISQPNVEITPAFLELYVAIQSSLGRPESLPAVFEMYATKKKPVVKNG VVQYAASNPNAAVKAIEKDVADMALQTAIDSKHLDAALGIVEASFSLPAFKRQKLIKN ATTPAIALGTLPFGIFGLATAYATYWQNTMDITTATGIGIAGISGYFMVVGSMGMIAK LSNKDQMRRVTWAPGTPLRTRWMREEERAAMDKIACAWGFKEPWRHGEESGPEWEGLK EYMGYRQMILDRVEFMEGMS FPSE_01491 MPPPPPPPPPPPPGGMGGPPLPPPPPPGGLPGRPPAGAGNRGAL LTDIHKGRALKKAVTNDRSAPVVGKVSDSSAGPPIGGAPPVPKIGGAPPVPGLAPSVP GSRARSNSDQGSRDSAATTDAVPQLGGLFAGGMPKLKKRGGGVDTGAQSESSYRSDSE IISSAPRPPAASAPRPPSAAAPAIPGRGPPVLPPGGAAGFRKTTPMGSKPPPPPIGKK PPPLPTSRKPSSMSLPPSASSPNLVPSAPPPPPPPSHAPAAPPPPPPSAAPPPPSQSP GSRSSVPPPPPPPGANHATSSLAAQATLRAAGQASPNAAPPPPPASAPSPPPPTTRSR GSSLRHSMLDPSMFTLTANGGKSPSPTHSPSQAPGGGHIEINDPRWQFKDEGLFPKPR DFVGGTRRYRAGRGSSIPLDLSAL FPSE_01492 MFCTRCIRATALRRSQPILRQFTTTTPFRYAEPTLSTPVTAPGE ALKDAPAPRSSCAPGTILSGLNYTKAGQDPVAKHDDEYPEWLWSCLDVKKKDADAADA DAGDEFSKSKKQRKLAAKRQKAHEAKLLAEGNLEALAPKIPIQHQSVNILGEENQGVE HNVEAAQKREELKRAMRKERKAKIKETNYLKSM FPSE_01493 MPTPESEQFKAQKPTVAPTFNGVDYDDTKAFKAAEDALIREQWV GAMMTRLVGEELNKCYVREGVNHLENCGHLRERYLQLLKSNKIKGTKFLQQNYVDQKE HDLDLAAKVHTSDKIAKMNRDRFSS FPSE_01494 MAKSTTKESKKAKVAEPLSTVKAGKVSKSSKKEVAKPAKKVTKE KDSKKSKKKVESSSESESESESEASASDSDSSSSSEEKPAPKKATKAKAAPVKKAESS DSSDSESDSKSDSSDSDSDSESEEEKPAAKAVKTNGTAKAAPAKKAESSDSDSDSDSE SEEEKPAAKAEKKAASSDDSDDSDDSDNDSDDSDSSDSDEEAGAKVEKTEEPSKKRKA EDDGDADAKKAKSDEPTTLFAGSLSWSIDDNALYEAFKHIEGLANARVMTEKGTGRSR GFGYVDFNDAASCTKAYETMNGTELEGRAINLDYANARPAEANPAARAADRAQRHGDT VSPESDTLFVGNLPFDVDQDSVRAFFEEVAAVASVRLPTDPDSGNLKGFGYVSFSSVE EAKQVFEAKNGAPIGNGRMSRAVRLDYASSKPQQGGGGGGFGGGRGGGRGGGRGGFGG RGGGRGGGGGFGGRGGGGRGGRGGGRGGFGTGANSTPTTFSGSKISFD FPSE_01495 MDGQANQSWQGNRTSPNPARSNPGLPQQRRYDESWVEVASQPSS SSLSSIGDEIVTTGLRVGNPYVRRRRLQPARSLPQQNTAIHNVSTADMSSQEEYDESD SEDDRLLTSSTENTHRSEEEMDLDSEAESDGDNVTALGRVSDRPADQPVFRPQPNAFT HPSTQRRDSAPAVPTAAPPHPHNGLTRPSFTQRSQTRPHRAGPSFMSPAVREENDAAL RASLTTLLSCAHAARGLPKSKEEAEAQRAASAGVGPSNQPMELRLVPESELSQEPPRQ RRVQRPAAPSPPRKRAGGSRSPSRTSKHGSGSPTTPRATKKKKVTQMEEPTIISPTLL TWVVSAGVVIVVSVVGFGAGYVIGREVGRQEALAASVGSVNDTTSCGQEVIRSSGSGL RKLRWGAVGKSIVA FPSE_01496 MGLLQELAGHPLAQQFQELPLGQQVGIGFAAFLVLSVVLNVLNQ LLFRNPNEPPMVFHWFPFVGSTITYGMDPPTFFRENRAKHGDVFTFILLGKKTTVAVG PAGNDFILNGKLKDVCAEEIYTVLTTPVFGKDVVYDCPNAKLMEQKKFMKIALTTEAF RSYVPIISSEVRDYFKRSPDFKGKSGIADIPKKMAEITIFTASHALQGSAIRSKFDES LAALYHDLDMGFTPINFMLHWAPLPWNRKRDHAQRTVAKIYMDTIKERRAKGNDESEH DMMKHLMNSTYKNGIRVPDHEVAHMMIALLMAGQHSSSSTSSWIMLRLAQYPHIMEEL YQEQVKNLGADLPPLAYEDLAKLPLNQAIVKETLRLHAPIHSIMRAVKSPMPVPGTKY VIPTSHTLLAAPGVSATDSAFFPNPDEWDPHRWEADSPNFPRMAAKGEDEEKIDYGYG LVSKGSASPYLPFGAGRHRCIGEHFANAQLQTIVAEVVREFKFRNVDGGHTLIDTDYA SLFSRPLEPANIHWERRQ FPSE_08608 MSESYGPIVRLWGIHPSKLPAVGSQSEDLKVLLASILLEGLSFI DTVPSEIPSVEPATELRSTGDLWKHKAVKKYDGSTAPVHVFERTVDADTLGIVAQKNP GLGVSPNKAQSELWALRRSTHEPKKEKGTADWDEFVRCFKEKHAEAESIFTPGVVSFK RLQEWDCSGIEVQLEGETWVDWTLRREESVHDLPGPLSKRVFPVLQATAAVRGRKEFM IIQIAIRASDDATDVAVHDGPVRAAYSSIERVRELEGGSLEWVMGTVSDAKGLVPMWA QKLGLSAAIAKDVGMFLRWIGEERLKGKDADVIGDL FPSE_08607 MSTPTPKEGTPAAKPRLKINVNRSSSFVADANISATPSASAKAA GSGTIAPTSTPTEGGRKVKLKIGKSQPSTPAEQPPSKTKAGRQPKPTQKLVESKKRQH DDLDDELGSAHPTTKIKLKATKSGLTPTVVVKPKGRAPVHPPGDGYDSEASDREKDPS IEEQFVLRMMPGEHCDYVRWCMENGKMGIPRSAGGADIQLRFFEEDSRRAVVTVKGQP FAAVMVDLPTITEAMKTWDRKSFLKSADICQMLLVYARVSSEAEARETTLPSMIDQHF KWPHGLTPPMHDCANRRFAKTISRKEIEDKEAEVERLLAEDAKAGSTRWEWVDESKDD DDDGADEDADGEIDDTMDYFQSQDGLFGGEGEGDDDLEADLEAAFAGEVSAETPVTGP DAPTPMTTTQVNTPAPLQDSIESDESEEVSDDDDDDDEDLDDDARAQRDEEQGVKDII NDLKKQLGNKQAELARTTNKILRTRIEQTIKQLKAEIELKNSSIGIETDD FPSE_08606 MSGSTQTKMLVVPPALNLRRASSYNAQDRGPVSSTSSRFNFNHL FFSPPASPALPALVPRPPKRRSSQILVTRPSRVFRRLFLLGILLSISYLAAMAFQNPS VIPAAVWPYFEQEEFEMVGQDAFPDFPTPIIVNDNKGRSKWTVFIPPNEDFPLTMEQY AEMGSQCREVSSRARDMHNKGPITETAIINYDAQDEYYVDVYEAERSGLLTPTKGLRA KNKGRFVGVDKKHLKHKPVCESTMTFVLESTDAGLGNTLMMLWTFYGLAKQLNRDFFI VDKRWAYGSWDQIFDIPPVPECRPPPRHHMVPCPFQARHLVVSAATAKEVFPALLAQN HRVAGSGNGLRDLFELARIGFEDLFFLNEEDQTYVDNRITGLQAKAKAEDSLTAHAPI VGLHVRHGDQHPLELQYSETYIPADIYLKQASHYVEEYYNATGVEGPIKRHSLTLLAS DDPTVHEEPEFAHTILSQDRIRLASKGAIARAGGNQHQSNNFVENTFGWEGGFFAPLF WNLGVNSQNNAAEAPAGVHVKDVNEEARHMAPPSEETLRLRGLVGRAYMMDLSVLAES SDKIVCTVSSMGCRLLAVMMGWEDSMENGGWHNVDGTYGWTGIDW FPSE_08605 MALPALPVNKTRKSKGNLPTHTSSIKSVPGTPRSGLRAPSSTTN LTPSGPAPTAALPQPKTLRKSVSINSFPQPPRGDTRTSSGVPPSPRTSDRPRPSRKSS KPTKESMYSTFSSSTPSFLNGSGDGQSINNVRMSDGLISVASPPQSRSSSAQDSYSTS ATTYDDPADGPGQKSGVSADKRASKQDGKGNVVVSVRVRPDANGNNGSPDGEWMVDGR KSLISFRGKDGGDHYYDNVFTTHDHNSRVYDHIAKRLVRRVMEGYHGTVFAYGMTGTG KTFSMQGTASSPGVIPLAITDIFSYIRETPSREFLLRVSYLEIYNEKIHDLLSMSTSG GAPQQEEIKLREDSKRGVYATPLKEEIVQSPTQLLRVIARGDQARRTASTQFNARSSR SHAVVQIVVESRERMPGGAAAAEGKRSGLLPGGVRVSTLSLIDLAGSEKAAESKERRQ EGAHINKSLLTLGTVISKLSEWKEKEAKGGDKEGKHLPYRDSKLTRLLQGALSGNSLV SILCTIQIGAGNSAVLANNHTLETINTLKFASRAKNSIISHAKKAEEALGAGGEGGAR VLLERYRMEITELRQQLEAQAKKKKSDVEEEPVLDEEEEKVREAQAAERHEEQILEMQ LARTALKERIDHLNRLILSSKSIGVNTNGTMSALGQYSRFSQFSQFSQISLPASIRSS VATSSGGRPLVERTASMTSASSTIGRRSSGGQKNSGDNTPTEVEDDSAGEFGDGTASL TAQNRALQADLVDKNRYIATLEKRLLQARRASSSRASVGFAAPNKAIMVGEDHSVSAA LKEKDTEIADLRARLDDKDRMLAALRSAARSRDTAEATVEPRSPLSPRPAAQESSSEK PSSARLSGTEKKRTRGVDEMNNLLDEMLQDRVEKGQVVRGKRGSVRLAVGQNMDSLAE PNLEPLRRTPTPNLPEDRNDVSVEA FPSE_08604 MPQTIRSILGKSGRVTKPFKSSTPSRKGSSTTPRRPARKPKDQE TDLFQDKLDDIGLAKVLEESLTLRDVVQAMRYIRARMFSPVPPTGFNSTRTAEVLNYR ATTSPLVTVGHLNAVLRSPGKVERELAELVAKGVIRRVRVERRGGGGEALIETPDYED MLNKASLSEDTKNAFKRFLKENPTTQVLYKDALEGRQTDELIRMGFLTSSTPSAPEST LDIRPEDRTTLTSIHHVSRFASGTVSAVGGRNAIHLAGGGGGAPTLTRSCSTPSGHRI AVPGHGRHLRLATATVNWVRETLRRTRWGEGPESWLKERFEGGGLYGPRWKEFWGVEW SWVLGEAVGLGVVEVFETGSVGRGVRALGG FPSE_08603 MSSPLNFTPWRRRALSTASQRDNEQAQLPREEPSTSPPQHGHSP NLPIARPSSTSSAAGHREPIRSFIHGSMRDSLAPIDSIQNARSVRQDTAELATYLLSD PKTQQNSSVLQRARVSYQDSIDSEVVDDSSIAENGRFSKTILEVPEPPSPDGNEDDLD ADAGPSVLANLLKRSPPQSIAPDHPHEQHGDDRVEDNQAEQDPRRTSHAEDATEQTPL LARMTSGGRRSYSDLEGQKAQSKPSWFSGLVEVGHRMEERISVAVNPRKWDRQALWNN VVLTPTSCLPAVSVGLLLNILDALSYGMILFPLGKPIFSHLGSAGISVFYVSTIVSQI TFSSGSIFKGAVGSELIEVVPFFHNMAGKITEIVGEENPDAVIATTIVSFSVSAMMTG LVFYLMGRFKVGYMVGFIPRHILIGCIGGVGWFLIATGFEVSARLDGSLQYNLDTLKQ LSDPATVPLWVVPLVLAIILFYGQSKITSKFFLPLFILAIPLIFYFFVLALDTLHIDT LRDHGWIFEGPPSGEPWWYFYTLYKFHLVRWDAVIECVPAMLALTFFGILHVPINVPA LALNCGEDHADLDKELRLHGYSNFLSGCFGSIQNYLVYANTVFFMRSGGNSRLAGYML AAATFGIMVIGPSLIGYIPVMMVGTLIYDLGFELLLEALWLPRKKLKLAEYLTVVVIV LVMGIHDFVVGIGVGILLAFVSLILQTSRVSAIRGNYSGDIVTSTVRRNPSQQRYLHE VGRQIYIAKLTGYLFFGTIVSVEERIRALLEDSAFTAQPIKFLILDMWHVTGLDYSAG EAFNTISRLLDNKDVVLVLSGVDSETQLGRNLRAVGLGNDGIEVMMLPNLNSALESCE NELLKTLYARQKEINSLRRISAQSAQNLDVPSNKSSGFSSFDPPFNSPRRNHLAEAAR DTLSSVDVQNPKKWQSFKEPLRLMLQVFQGLSDKNEDFWFPATSYFSRREIPAGTVLF RRGEQANGFYLVERGIVRAEYDLPQGWLCESIVAGTTLGELPFFSETDRTATAVVERD CVVWLMDRDQWARIQKKEPEIGRELLKISLKLTSERMSAITSYILTTAG FPSE_08602 MYGDLGNKLVQHAKRTQNLAHLPPYQTEIVRAVTREVRDLDKDV GELLEPFQGSFDPSADQAIAYTILVNHLSMRRNKRCLLAYHRTRTDKLEEQVWNGSDV VDLSGQQVRDTSNSGTGSSGATSSLSPQEEEYVRQYSDVLAAYKGQWTDIDLTGSLEP PRDLFIDVRVIKDAGEIQTEYGAITLTKNSQFYVRQGDVERLITQGYLHKLG FPSE_08601 MGFTDLLSETGAHVLNSWLSTRSYIVGQSASQADVAAFKALSGP PSGESYPHAARWYKHIASYESQFATLPGDASAAYSTYGPGSAEVTLNPAKAPEKAEEA AAEEDDDVDLFGSEDEEEDAEAARVREERLAEYRKKKENKPKTIAKSVVTLDVKPWDD ETDMAALEAAVRSIEKDGLTWGASKLVPVGFGVKKLQINLVVEDEKISVADLEEEIQE FEDYVQSTDVAAMQKL FPSE_08600 MPILPIITFKAGQCEVDTSSKPYKVKPQSEPGYIYLYSEDDLVH FCWRKRSEPLDNPELDLIMVPTDGSFTAYESTTSSEPTSKTDGRIFVLKFSSSSQRYI FWLQSKPQSENGDAAYYSPRDRKIGDIVNRLLQGEEVEVAEEMATVRNDDQDDDEDEA MEDADNQRPREQRGSGGAGPDATGGDVREEGEGSREGGADGARAVSSSAPGTDASAAV RSFLDSLRGQSGISGGQQQQQSADKAYPYLNHLLPTSITVPMIDSAPEEFADTLISFL PPAVVVLASGSASAVDGKSDPPAATVEASKASLSLDDKRTLLKKVLRSPQFNQALASL TMAIRDGGLPSIADALGVKVQDGGYLRGSGMPLGGGQAVEAFVNGVKKTVEEEKK FPSE_08599 MDLPFPIDPREFDSDDRISFSKLDKKFIAVHDDGNEYEFDADSK RWILADEEPLEPPVTDAYDDFAEFASNEAAEDGSRKRKNGSGQDSETPEPSKPSRPNK KQKAPPQPKQNTAVYVTGLPPDTTVEEVHDLFSRKGGVIAEEIDSGAPRIKLYNDADG NFKGDALIVFFKPQSVEMAIMLLDDTDFRFTASGTHEGRIKVQAADSSYKKVKYDQEP GAGAGGEKSNGAAERKPQNNRDRQKIIKKTQKLDAKLADWDDDLPYPGQPEAATKWDK LVILRHMFTLEELEEDPAALLEIKEDIREECAKLGTVTNVVLFDQEPEGIVSVKFKDT VSAHACINLMHGRRFDGRTVEAFLATGKEKFNKSKDEENHEDSD FPSE_08598 MSSVAITSTETLASPPPHLQGEAELESLKLSHALRQQPISVTLD GKNIFLSSIALVLLIHFLDAYHAQALVALVPVVLLIHNDYVNFLNLGPGGTPSTFAGY LRISWFRIWALRNPYDAPEPDPLRLPTSGVLRRQRLPYRAGPRPTVAGIAPQRQLDQH GSYECYRSVRWSMAKLANRNPKKFGTERSCVEKHGLALFARHPVQTSCQGEICHIHDS DHSMHMCLHPDDIKEVLEKGWGQRHPLAWTGRFIKSPVSPDFVMVYAPRDDEELQVIC NIIEAAIWYTVAEELEMGISPKST FPSE_08597 MGGLLKNPLRPREPKLPLYQKVNSPNKERFSDDDYSSDEDSDYE DEPYSPESSSAGSSRHTSGSTNSGLLMLPSHQKKPLPLRRRIAKVYPYRVPNKVTRYL FCTVISLIIIMVLSLVRASQVENWKVANGKVDNRPPPPPPAWEKFPFLERYYGGLKTL VNFNELKPEWPHVKNEPEMLGEGNDWNKQNEIKSGKNSDKDKDKDSDETDKAATEEIE AEVQGTKAPKKETRDESKPKDDSADKKEDKPKDDPKATKAKLPKSNDWSGYANKTKET DMQECFLDVDGKVRVPQLRYYNGRPQGFPEHAVGSYEVLDLPEDICFDRYGRYGPYGF GYSSRNGGLSVGEHGLKEGSDAVWENTPRVDYRHIDWADVQRRCFKTNQARFKEVPEK IQTPHGFFAHEPKPKALPSSTLMRRGVEDEKPNTVKETPTSKVETTKTEAAQAKQTTS SEEIKSNVSKVDESKTVESKVNTSKAAATKVAEVSKSETPKPKETITQKSQPEKSKVD DDEESENDVPEVPKVESPKASGAGLSRTAVVVRCWDEYNWREDDIAHLRSLITELAIT SGGRYDIHLLVQVKNEAAHPVWADERIYQERIEESIPEEFRGLVTLWSETQMLALYQG IYDHFSRGPDLPVHGVYRGLSMAMQYFAHKHPEYDYFWQWEMDVRYTGHYYDLFSKVE GWSKAQPRKGLWERNSRFYFPSIHGTWEDFSQMSRVQSQMGVVGADNVWKGVHGLDGK TPDQDTKGHRTVWGPLRPKDDDDWFEPGNDPVAPTSYEKDHYQWGVGEEADYIALNPM FNPDGTTWGLKDDITGFNRSEGLPPRRANIITTSRMSRRLLTTMHKMTAFKKQFAFPE MWPATVALQHGYKAVSVPHPVYVDRTWPPAYMAQVFNNGRDGTSGGSRTSIFGDREHN MHGLSWFYNSGFAPNMYRRWLGLRVNNDGGEEFEGTEDQSKKGKGVGNMRGGEGRMCL PPMLLHPVKDVELPVEAPKAEAEEGKAPQSDPGA FPSE_08596 MYFISAAKALVAAAVFSAVTAHNIVLPAHGRECFHESLHKDDTM TVTFQVGDREFGSAGNLDIDFWITNPTGQYETNEKSISNGDYSFTAKHDGKYLYCFGN EAWGANTKEVSFNVHGIVYVSEHEMPSDPLDREVRKLSDLLAQVKDEQEYIVMRERTH RNTAESTNSRVKWWNMFVIGVVIGESLFQVWWLRRFFEVKRVV FPSE_08595 MGLAFSKLFDRLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRIVE AREELQRMLNEDELRDAILLVFANKQDLPNAMNAAEITDKLGLHSLRQRAWYIQSTCA TSGDGLYEGLEWLANTLRKAGHQ FPSE_08594 MQARRQLITSTQRMAASVRARSARPLTRVLATQPLRPTPLRLIP SLAVRTYANGRPHPPGGTHRMNMGGEEEKPALEQFGIDLTARAKDGKLDPVIGRDAEI QRTIQILSRRTKNNPVLIGNAGTGKTAILEGLALRIVRGDVPESVKNKRVISLDLGSL IAGAKFRGDFEERLKKVLTEVEQAEGEVILFIDELHTLLGLGKAEGSIDASNLLKPAL ARGELQCCGATTLNEYRQIEKDVALARRFQPIIVSEPSVEDTISILRGIKDKYEVHHG VRITDGALVAAATLSNRYITDRFLPDKAIDLMDEAASHLKLQHESKPEDIMRLDHKIM TIQIELESLRKESDVASKERREKLENDLKKLNEEISGLNARWEKERAEIESVKKIQED LDKAKFALEQAQREGNFGRASELRFGVIPDLEQKLPKEGEAQESTSSETLIHDSVSPD DIANVVSRITGIPVTKLTSGHIEKLVHMEDSLSQAVKGQDEAIKAVSDAVRLQRAGLS GENKPLASFFFLGPTGVGKTELCKKLAGFLFSTESAVVRFDMSEFQEKHTISRLIGAP SGYVGYEDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGYLTDAQGHKVD FKNTIIVLTSNLGADILVGQNHLHPYSETADGEIDPSVRKAVMDVVASAYPPEFLNRI DSFIVFKRLARSALRDIVDIRLKELQQRLDDRRIVLDVPGDVRDWLAERGYDPKFGAR PLNRLITNEIGNGLADKIIKGQLKMGETAEIKVREDKEGLDIAIKSKEA FPSE_08593 MSAKPTSKTFGKSTREVPASSEKAKKWYPAGDDAENKKVRKAVR SWTPRSSLQPGTVLILLAGRFRGKRVILLKSLDQGVLLVTGPFKINGVPLRRVNSRYV IATSYKVDISGLDESKIEEISQPKYFTAEKAKEKAGEEAFFKQGEKPQKKEVNSSRAA DQKAIDKALIANIKKVDMLASYLSSSFSLRKGDKPHEMAW FPSE_08592 MNSNELVHYLADKPPSVVRLEIEKHFEVLNDKQRRYAHFISKAA FAGTRIVLRQISPESEPIFDLILSLHKSANGDWNALAKKAGVEEEEATRFLEYAAMFL GNNGNYKSFGDSKFIPRCSEKTVAALAATSPEANKFYEATKGGIFSSDNPAMMHLGYP DDGHMTTYYPESSHITKDDIKAVSDWMESKGLLPENNRLRKTSDGNFEILIASAVKEI PSKGGDIGKETEFTVEDGSLKGKTIKLVYGDYAEEMKNITAFINGAAENAENDTQKKM HEAYSKSFESGSLEDFKDSQRYWIKDKGPMIESNIGFIETYRDPAGIRGEWEGFASMV NLERTRAFGELVEKAPKLIPLLPWGTEFEKDKFLSPDFTSLEVMTFAGSGIPAGINIP NYDDIRQTEGFKNVSLGNVLSAKAPDEKIPFIRDEDLEVYKKQRDASFEVQVGLHELT GHGCGKLLQETSPGTFNFDKENPPVSPVDNKPITTWYKPGQTWGSVFGSIAASYEECR AELVAMHLSCEFPVLKIFGFGNGSEDINGEAGDVLFASYLSMARAGLASLEMWDPKSQ KWGQAHSQARFSILKCFLEAEDDFCKLDYKQDDLSDLTIKLDRSKILTAGRDAVAKYL QKLHIYKSTADVKTGTDFYVHMTTVDPDFWGKKVRDIVLKNKQPRKVFVQANTSLDGS SGKVSIKHYEPSLTGMVQSWVDRAL FPSE_08591 MLTYNSTSTMPIKAPTKPVSIALSPTTSSPMTAATPSLNSAAIN GHKTQGQQHIWLVTGPAGCGKTTVAEYLAKSLGMPYVEGDAFHPTANIEKMANGIPLT DEDRWDWLTALREESINRLNAGSCGVVLTCSALKRKYRDVIRVAGYYDRRIQIHFVFL DAPEELLLARVTQRQNHYMGANMVHSQFDILERPLADEKDVITIDVSRPIEVVEQEAL SNVLETMAKSQDKL FPSE_08590 MAMGPRASLNASSPRWFSESRRLMAIKPVVLADIGEGIVECEVI QWFVEPGARVEEFSPLCEVQSDKASVEITSRFSGVVKKLHYEAGEMAKVGKPFVDIDI EGEAKAEDVETIIDQPGDKADVPPPPPSAPTSSKTEQTQQQTPQAVETPAKEKGKCAS IATPAVRHLSKELKIDICDVDGTGRDGRVMKEDIYRFVKERDAKGSAQQIAPTPTPSL PQNTSVQTETVAPLSSTQLQMFKTMTRSLTIPHFLYADEVDFSSLVELRQRLNRVLAK SPSVEGQPSKLSYLPFIIKAVSLSLNKYPMLNARVDVDPKTSKPCLVHRSQHNIGVAM DTAGGLVVPVIKNVASLNILTIAAELSRLQALATQGKLKPADFQGGTITVSNIGNVGG TYVSPVIVEREVAILGIGRMRTVPAFDENDNVIKKQITNFSWSADHRVIDGATMARAA EVVRQIVEEPDLMVMHLK FPSE_08589 MASRRLELSLSGLARRPLTCLFCESRRSFTTSVLRLARTEKPGA GASLDPQATVAKPIEAPRSYGKRQQGHFVPKPLPRPIGMPLPPKAGENTGIDSRSLKQ RREDFVNYDKHLQRRKELTAKISRPYFRDWGNLQYHEGKSFIAPPRLFKAELSLFFPN FYGETLLKTDRNPRDTTPLLSGKATVINFFSSRWAEQQVGTFTSKEENPALHQVLAQH AETTQLVNINYEDNAGKAWLIRFFMGSLRKQFPEKDWDKYFLVRRGVTDDIRESIGLL NSKVGYVFLVDKYCRVRWAGSGTAHPVETEGLAKGLSRLVDEMDHEATLPATAKEQHP GKQHLEVPKIL FPSE_08588 MSAPSGTGSWTSLIQQARQLEKQTDNLFHTYSQFAAAPNIPPKP TEKERETEKKLEELLEKREDVISKLVRLLDSEAALTSSAMKQNNLALFQKKLASHRKD LIRLRSNLQEARNRANLLTNVRSDIDQYRQNNPEAAEADYMLQERNRIDNSNSMADNV LSQAYAVNDNFNLQRETLASINRRITHAASQVPGINTLIGRISAKKRRDGIIMGGFVA FCFIVFFLFS FPSE_08587 MVSTNIPRPCCYGRRRLRIVDVSCGQRSSGKVKAGELWNKSKDD LTKQLAELKTELGQLRIQKVASSGSKLNRIHDIRKSIARVLTVINAKQRAQLRLFYKN KKYAPLDLRAKQTRAIRRRLSPDEKSRVLEKTKKRTVHFPQRKFAIKVR FPSE_08586 MDHSHSTAFAAHYLQPTSFDPEKVDYGFDSMLGHDTCLTHGLDS LGTCNNTENACLDQRCFDHYGKTSAAKNVLEIGLDLKAGTDKASHRNEQANNPRPSRA KTRSQSSISASPVKMSAAATRASAHRQKRKRQSEQFQHHQHQQNQHQHQMDYHQTSNI HQHSPHFLHGQNLQHHIEPTAALQTVNENLHHNFQMPFLNGHHPSFGGDLQQHGGVFT HSSPYGRWPQAVAPSWANMMSQATIANCMQMSWPTHTGCNEAASADCTSACGDNKCWS QCGDGDDPDCCFDTSCAEIDFSHAACCFEPTCAALEPCLDSSCQEAAIPCNDSHCVGT TVSTTPASVSVTTPSAEPEPIVNAILSPEEPSSDVSFDIGSAIGQNFGFAHDLGHSFT DDLNHHDANLNSDHGGSGLNQNAVFSMSSHSPTPKTELPDNQSMNDDTDFTCKWLCED GILCSKTFGGNKELQDHCKSEHVKNLKKGEYGFCCTWYGCIRPGPFSQKSKLERHMQT HTGYKPVKCDICGIMLSAKQSLEQHMRTHSGEKPWKCEHEGCGARFKQQSALTMHMRT HTGEKPLMCEICGKRFGESSNLSKHRRTHNVRGSHVCEHCGKDFHRLDQLRRHLQTHL PDGSRKSSKSS FPSE_08585 MGNICGKTEPEAFSQPGRVLGTAPPPTSGTAPVPKQVGGPPRTL GGGSATAASGSDDPENARRKAAEAAEARAKAASKPGGKLQTQLAAQKKQTRSETLKDA SQEQLRAREADQATEARNWS FPSE_08584 MARPRDSRSPSPAGSTHSSRRFRNDDRRDRDRRNDGRDHRRRTR SRSPDPRNRDRDRDRDRDRAPRDRDNYRRRDRSLDRRDDNHYRGGRRDNRERDRRRSR DRFDDRIRSPMTDRRRNRSRENDRDVRRRDDSRSRVSGRREGTTDSPARSNRDDGRNQ KTPLGDSGNGGASQAQTPKAEGGQADVDKKAERLAKLEAWKKKKESASQKQKEVNPSQ TRNLLAEMDKKASGASSKTGSPSVSAVASPAATPAVESPAASYSGKFDPKAIAKKSAA SRAHDASKPALGSLEGQPEKISVPVKQATTASALPANRTKASGFGFVKTNAETEKLTT KRKLDLDEEDTTKRKLTKLPALPIEADDTPYADQDDDESDGDNFAENEEEAAAAARAA HERRLQAENQMDTAEEETKPTDAETNGDVTMNNDASQPEPATQMEVDEEDDVDPLDAF MADLKQTDVRRPTKTSTTQKIQEPEAYFSDDEYDFNKKDEGDASALLAITAKRKKKDI PTIDYSKIEIEPIRKNFWHEPAELSLLTEAEVADLRLELDGIKVNGKDVPKPVQKWAQ CGLTRQTLDVVDNLGYEKPTPIQMQALPALMSGRDVIGVAKTGSGKTVAFLLPMFRHI KDQPPLKDTDGPIGLIMTPTRELAVQIHKDCKPFLKMMGLRAVCAYGGAPIREQIAEL KRGAEIIVCTPGRMIDLLAANQGRVTNLKRVTYVVLDEADRMFDMGFEPQVMKIFANM RPDRQTILFSATMPRIIDSLTKKVLKNPIEVTVGGRSVVAKEIEQIVEVRDEPSKFHR VLELLGELYDRDEDARTLIFVERQEKADDLLKELMMKGYPCMSIHGGKDQIDRDSTIS DFKKGVVPILIATSVAARGLDVKQLKLVINYDAPNHLEDYVHRAGRTGRAGNTGVAVT FVTPEQENCAPGIAKALEQSGQPIPDRLNEMRKAHREKVKSGKAKDTSGFGGKGLDRL DQEREAARLRERKVHKAEGEEEEVTEDKKEDEDEKAEKALDAIRAAASGVLARESAKA DDADVKSMPPVKTSGVVKDKAKDPLDKVSSAVSAINSRLGKAGQLRAGQPIDNKGPDA GAFHATLEINDFPQKARWAVTNRTNVAKILEATGTSITTKGNFYPAGKEAPAGAEPKL YILIEGDTEVVVSSALTELTRLLREGTIAAVDADSRAPASGRYTIT FPSE_08583 MAGLVGYASSDDGEDIQEVTPPQPKKKEPATIAQDAGVKSLTQE AEQKPSAPAPEPKSPDAVVIETVQQNAVPLGPSLPPMEEAVVDDQDPTYRPASPYSAN RALLRDLTLPSAPNMDIPPSPPGSPPPGANKKFEQFLELKKKGTHFNAKLEQSSALKN PSLMDKLMRFVEIDERHQYSTTLPTDLWNPTAFPEWAFKDKLRKTNDKLTKEKDAERA SGTRTAVDFVPSTSIGGHDTTIKGGLSKGEKRKSGWN FPSE_08582 MSEKNDVTQTENGVPKSKRTCARHCKRFWWVYLIVLCIITVIVV PVIILVAVPKIAQSKINEAELEIQSVQILETEEGAYLMKIDSSITTDGKIHASVDPFE AEMYLEDWPAHVPFATVNMPETNSNKHQVVNVTQHVKIADMEEFTRFNIWFHNNETLR VTVNGKTKVKPSGLTRKYGVTFKKTVELKGLNHFAGTEVTDGHIGFGDGTDEPNFNGT TVIPNASVFTLDNGNVTFTNFVGDVEVGTLTIPNLLLKPGNNVVNITASMNQSLVLNA VQQEPYCKTGILPFKLLGKSVMNHGENLTYFAAALGSSNQTVEIDIGSILKKGIGYEV KCKKN FPSE_08581 MAKVFDAAEVAKHNTSESCWVILYGKVYDVTEFLPSHPGGKKII LKLAGKDATEEYDPVHPPGTLEENLKPEHVLGEVNPETLSQSQSTGEKGVTQSSEDQP LPLASLMNLDEIEQEATKRISKKAWAYYFSAADDLFSKSYNNHVFKNILIRPRVFVDC TACDTSTTLIGNKVGLPIFVSPAAMARLAHPDGEQGIAKACARFGAMQIVSNNASMTP EQIIEGAKPGQTFGWQLYVQNQRDKSEAMLKRINSMRGYYKFICLTLDAPVPGKRELD EKQNFDYSEPSPASGESKPGAGGVGQQLFFGTAADLTWKTTLPWLAAHTDLPIVLKGL QTHEDAFLAAKYAPQVKAIILSNHGGRAADTAPPAMHTLLEIRKYCPEIMSKVQIWID GGIKRGTDVVKALCLGASGVGIGRAALFGLGAGGQAGVERTLEILEAETATCMRLLGA KNISELGPRFVNARQVERDIYDGDSGLDRQGLWTKSKL FPSE_08580 MRSSVSSSVVRDLSRTCIRCQLRARRASTLASSHRASAPATPVQ RNGAFVTANRGSAAVFAYSRAASSAAQPESQRSSSLSAPPSTHYGLFPETLPDGPPPA GHFPIDTRALRREFLRLQARAHPDMHGSQNKARAEAMSALINEAYKTLSNPLLRAQYL LSLRGVDVANDETLKVEEPELLMLVLEAREEIEDAEKEEDLDEPRAANDARIAESEQV LENAFRHDDIEAAKHEAVRLRYWVNIKESLDNWESGKPVVLQH FPSE_08579 MPLATRLGMGRRSRSSPTLSNVTEAPGPANSTAPDTPNNIATSS PAESAGSSTSHAPTATSPTASATSSTRPGFLSRFSLPLSLPLRNRNRNVTDFHIRAEE PHRRYSAGDNVRGAVVIVIVKPVRITHLTVSLHGYVRVLKDPTSVAKAQGTVVLPQNG DAARPRYHGNGLASLFQDEQVLSGEGRLEPGRYEFGFDLLFPNKGLPSSIDFERGTVS YMVTATLTRPTSIAPTSSCERKVMLVENVDVGLLHTPRPRTIFLEPISKRNRRRKSMV IDKSPAAQSEVNEVNTGHELTETPTEDYREHTADPRSPIQSDLRSEVSGDSGVSNSTS LSRPEVALSQVGTLTSAKQQAVDKKTITATVELLKGGCLPGDAISVRVTVQHTKRVKS MTGVIVTLLRQGKIDSNPPKAFFDESMSQEDIARIDKEDVFPRSRTGLGGLSLSSSSS TSIFRKDLDQNIAPLIIDPNTMQTSVTVTVKLPDDSFPTIKGVPGEMISFKYVVEVVV DLGGRLSNQMQTGPARFGPYGHGSTDNNTYGPRRGANIADTSQMRHEKGVIAVSMETV VGTSDSSRGRKKSRASPTSRSVQILESDEEDVIGQEPNYGEGPSIEHYSGNGPLSPAG YFSPHTNGSRLPSIPSHPPQPYSQVPPLQASSHSRGFSGSNTNGFGSSSAAPSYIPPP EIPNPNNLSEKERIRQAETRLLPSQPPVAGPSTSSNQDDEDIYDAEDTPRVPDLDAGF DLSVPSAPSAPDAGPSAPTEDDVESAAVPPSGVVEDKQEIERRRLLDEASAPPDVPED MQRRRIDGPSTEVDIGAEASAPSAPSAPLVDDYDEFPGYGSGAGPSSSPRHGGGEQLP AYQR FPSE_08578 MARTASLGKRARGIDETDIPCQVTTPKRSRRLARAASYNDENQD PAYSPFNDEEDDVLAELPPRTRKSPSQATTKHNPVTPSTPRHRDALSVPPTTPRHAVM SAGKLFKRLTPHTPLSPSAVQTIYQQARQLFARGAEPGQLVGREEERKQLTDFLNRYS SPTPHGCLYVSGPPGTGKSAMITEMTRQYANHKDVRYAYVNCMSVKSSKDLYTTLLGA LGQGFDASEADAITTLQALFLPKTKSSTVHLVTLDEIDHVLTMGLESLYRVFEWSLQK NSRLILVGIANALDLTDRFLPRLKAKNLKPDLLSFLPYTATQVKNIIITRLQSLMPAG GKEGYVPFIHPAAIDLCSRKVSKQTGDLRKAFEICRRALDLIEVETRQKHEEEAREEL LQMTPSKRPLGENINGASGGTRSVVQIMANSLKALTAETAPRASISHLNKITAAAFSN GTTQRLKTLNLQQKAALCALVAYEKRIRMAAKMANGGATPSKSKFQAPTIKTLFDAYC QLCIRDSVLHPLSSSEFREVAGSLETLGLVTASDGKTGSFTAPQTPSKRGRKTMAATG DERRLTSCVAEKEIESATDGIGAGILKSILSGESLD FPSE_08577 MTTYIPALTLPDSVFLKPALSVLLPIGLGTAVGFSATQTQKTYL ALKKPSLQPPPWLFGPVWTILYGVMGYAAYRVANIGLSPFSSPETIVNTRQAMTVYSI QLGLNLIWTPLFFGLYRPIEASVDIITLLGVNGYLTYLYSSIDSTAAWCQVPYLGWLT FATYLCTTIGQLNNWDLASGEINKKE FPSE_08576 MEPSPISVEAAHAPALPVKAKTALTEALEDILFGSTAGAVGKYI EYPFDTVKVRLQSQPDHLPLRYTGPIDCFRQAIKSDGVLGLYRGITAPLVGAAAETSS LFVFESLGRELLFASNMASREQGLSLPHLWLTGAFSGAFTSFVLTPIELVKCRIQAPL LGDGSAGVPLRPIPVIKHVFRHEGLRGFWHGQLGTLIREAGGGSAWFGAKETVTSMFY QHKAKTATSAAEKQKILDTPLPFWQQAIAGASAGVSYNFLFFPADTIKSRMQTAAVSD LVQRRTFWQEGTILWQQHGVRGMYRGCGVTCIRSAPSSAFIFMVYDGLKRNFPLQ FPSE_12287 MSGFIDYSKTTKSKNYHGSGSFATFMIIAPVCFFLGILFASFPY DFPLLWTKAPVPDNFFDHLETHLKFVHQSPALISRILHIVISIGFIGFFIKLFRPSEA NFLFDGASLILYLIGFGVYVANIVKALRSVSAEIWTNDGFDGKTHEGESGELILGRED SLKVLSASNTILALVLVGILVLQAGEWYAEKKEADDVRAMEAKEAAEKKEGSPSKASN KKKQ FPSE_12286 MTGALYITAILLSIVSLVKSQESSNNTASNSSIYRGSDDYKYHG CYNETTQIQDSADDRALADGTHLVKAGKMTVPMCLDFCTSNGTQYKYAGLEWSRECWC SPYLSSLSAKLSDDDCENPCEGNSSQICGGPLRLSVYQLSEGHASNRGAVLSVPGAIL SLSIMSLSLLSL FPSE_12285 MGSVLDKNSSQVRKRIEAHTFESEGGDEYEGSEFGGFNDYFRRK KIKLQNLDAETRAKSDKPQIFKGIVAHVTGYTQPPLHVLHREIVQHGGGFLQYLDSKT MATHIIASTLPPKKSVDFSRYRIVKPAWIMDSIQAGQLLPWSNYRVLDEGPRQKVLTF NGSSGLAPSTPLAKQGYREQSENSFYTGQFKAPALPSQSLSQVIEKAQTPIHGMSRAP GTTPMEGVDSPSFKKPEAPPGSPVMDQSITTPCRLATNKDDHDNTTPQVEVVSAPTLL TKAREPSKPVTSEEHNAQLLSDPRIRKSSTANPDFLKQFYSESRLHHLSTWKAELKSR MQRLAVEKGQSTLNTKKRLNSRRYVMHVDFDSFFCAVSLKKKPELINKPAVVAHSTGS GSEIASCNYPAREFGVKNGMWMKSALEMCPDLKVLPYDFPAYEEASRLFYESILDIGG VVQSVSIDEALIDITSVVMKSAGSQGMGPDSENIALERDMAGKIAKDLREQIKSLTDC HVSVGIGANILQAKVALRKAKPAGQYQLEPGDVLDMIGDLKVEQLPGVARSIRGKLED IGVVLVKDLREVSKERLVSILGPKTGEKLREYALGIDRTEVGEQPPRKSVSAEVNWGI RFISQPEAEEFVYNLCKELEERLLNENVRGKQFTMKIMRRSMDAPLDPPKSLGHGKCD TFNKSTTFGVATNDQQVIGKEAVNILRSFRFSPGDLRGLGVQMTKLEPIKTRLAAPGD SQKRLAFNAFTKPSPAKKDSTAEQIDEFESPEKQKAMPGCGVSKDPIADDPLTPRKQK IHPAMALTKASQDDAKAKTPLNISGTQFIIPKDADPAILAELPSSIRSKLMAQKSRIT QEAESPIPKSRSESPMPLDLCPTQVDPEVFNALPDDMKAEIMAMYGRKPKQPTPDQSP RKDRTIQPKKPTTPSKRGRPRGLFGKAQRQRDAQAGLLQTNFRSLNEVGDAFQEEDVV EELDSEFLAELPEDVRKEIIEDYKRRKKAQQAKLEAPLRKQDSTDTDVALPGGQLRIQ FAALPRKISFASSGVTSTQEIKDMLDAWHTETRKNGPHRRDIEVLEKFLERVVQEERD LEKATKLVKWLDVLVEQDGRKGRGQETWRRSVEGIKVIVQQAVKQRGMAPLKF FPSE_12284 MNNDQFRKLIASNAQKSSASKDGASASSPAGNALGSRQKSSIPM TPRAVAGAQADFARQLTERNNAARPQRKFKSYDPKGVKLATGYTDRSKERDEDTDDER VQKLKELEKQLKDEEIDQETFEKRRFEIAGGDLSSTHLVKGLDFKLLKRIREGEDIYG EKKEEPEKETEPEPEPEIDLDDEFEQLEGKEVEAVTKEKTETKKKGQLSTVSLAPGKK RTRDQILAELKAAREAAKAQQANALGDRFKKVGIKQKAGSRIERDHKGREVLIIVDED GHEKRKIRKVQPGADDEASDRDGLLMPDKDAKPLGMEVPEQYRETEEEPEEDEDMDIF DGVGDDYDPLAGMDGSDSDSDAEDESKKAKQQKTDEEPTADTSMPPPPRPQAPRNYFQ GAKTGLVSEEERNKAPSMSDPAIMAAFKRAAALNPIKTEKDDDEDDDEDGDVQGEEAQ KRAMEERKKRLMQMADRDDEDLDMGFGTSRLADEEDFDESRVKLSKWGGKDDDDDEGQ SKGGPKRKRGAKKRKGDANNAADVLRVMEACKKTT FPSE_12283 MSEAQVPVWLDCDPGHDDAFAILLAAYHPQMRLLGVSTVFGNAS LENTTHNAASILTAIGMHDIPLYIGLGKALERPALHAPTDIHGVSGLDGTDLLPTPLV TPSTMPAVEAMATALRAQQPGTAWIVATGALTNVGALFRAYPEFISHVKGVSLMGGSI GDNFSDAPLGEVDGRPRIGNYTPWAEFNILVDPEAAATVFHNKEIAAKTTIVPLDLSH QVLATPEVRDMLLYGPGAEKTGSGKTTLRTMLVELLYFFAQTYADTFGITAGPPLHDP IAVAAILIGTESEIPFFEWDAKHSQPPQHDERFEVTVITEGTFEEAKEGGKQTGRTIA KLLPPGKQGVRIPRGVDTAKFWQVIEECIERADAKNAALALAL FPSE_12282 MRLQAYHHNQGSKFQVVAAGGIAGLVSRFVVAPLDVVKIRLQLQ PYSLPDQVVALRNGPAYRGAFATLKHILKHEGLTGLWKGNVPAELLYVCYGAVQFTAY RSTTVFLRTAFPSRLPDAAESFIAGAASGAAATSVTYPLDLLRTRFAAQGQHRVYRSL RSAIWDIKRDEGWRGFFRGIGPGLAQIMPFMGIFFVTYESLRSSLEGLHMPWGSGDAT AGMCASVISKTAVFPLDLVRKRIQVQGPARSQYVYGNIPEYSTARGAIKTILRTEGFR GLYKGLTISLLKSAPASAVTLWTYEQSLKVMLNWDSSSKETIPNDL FPSE_12281 MAAAQLPPPDATQLLAPFLPALPPSTISTEPAIGILPLLSPILR QRVKLLSPTSSEPWIRLLCYDKEKITELVQIAQGGSLEPHPVSGEVEVDWEYDAETRF RRLDEETFQALVALSSLGLAFQLVYCVGDVEGGGDGWRVGEVTVTDKPSPFLQFGGAS GIAEAEQQFAETQAKKVTSIAKDTTGPSQSLSVPDGGHEDEDDDDDYWARYDATPART PAQKSPAPPSKATSQMGGVRAASAEDAYFAQYDNVQPAMDNHDPDEEEVAAQIAPPLG LGRAHDSAEASERNETQGSWTLAEAEHLGPSSAQNGNDSERHAALLHPRPASSASSNG SETVAKLEASAGKQTQNEFGVKQHVSRSIRSLFLLARASGIDRNEFENLVRAELDILG MVEDQEQI FPSE_12280 MAPATAPTAADGITKHRACDECQPDGCARCKKEGITCYYSPQKQ MGRPRKRRHVDTDQEDNAAVAVSSQPQLQEQPLLFLQPADDISYPQLQSTVPTGDFLD NLNFLDEPLATNVDNWDLLPGYNDSLPFDPQILDHDDTFTDNNALPSLNLSGVDLLGT IDFGDTNAPQETISKDLSHTLHQYLADQINPPKPESHHASDSSTPPDSSDNGTSVDSL ENAASSSTRSMRSVPTVSCSCLSSLFFALDSLGNLPNEVIPAMKVARNASRVAHDVIK CPLCSNFVTEDPPKPPPIQAFQNLMLLGTLVPSACNAYARILEMVDEETALAKKEGRT FWFAFRDIGGLWGCVGDTPKSCTAYETYNNKTMAPDMWRLTIRGLLRLDVYGLNEKDQ DMPGASPYRQLGLKDVVDRLEERSRQRHDALDARIAAGHSHSEISGVIYPSKPCGPSE RNCTRVLETARIALENLVIA FPSE_10705 MTVTSIPDLGNLARAEYQAAFDTAVAKGLKEPLVLPFCLIGPFL LPMIYLAIPHRNRPWLYHARWLIVAFVVVFDLYLVQHMSSFNVAPAYASGLMGIWGIL ANLNLLVWTNPQSDYARIIRVPKDKTTTTSPNGSTRNGTLDTNGFQENGLRHRKSHAE ANGPSGDIGAPAKRQHLEDTVCIWQKFPENGSFGERLNWTLDLTTNFREVGWNCSISS VPRPEIPTNIHDGDLVSFKDMPVVSKSGYYRNLTEREFVWTRLRTVFLLTFVLDFCSV FMVKDPYCTYGPGRDLAPPLFLQQLPLWLLQAYRELICLVGVYAAIDAIFSMHDLFQY YVMSYLYPMRGELWQYTNIFGPLSQTLDRGIAGWWGATWHQTFRLQFIAPAKFLVDKG YMQKRTLTAQIVTMYVSFIQSGLLHGAGSITSMHPTKPWRAPVFFFIQPVGIIVQLLL LRILDKNFPNTPRVARQVFNAVSTAAWLYFTAFFFTDDISSAGIWLLEPVPISPLRWL GFGHPDDHWWRWRRYLFPTWHSDKHWWKSGVQL FPSE_10706 MSFKEKRKLEATGASSDRKRARTSRDTLVKRDLLDRCYDKVTTL REYILLKLPPRSRLRRKKVASVGEGDDVGELESNLSRLLDTSLVGFNNDQQNVKDDDI RKKQLIEFTQRGDESYVSLSNGISGSVFSQDEIMDFVVWLLFQREPHVRPKHILCDGF QKLAGPNGQGTTSIPDLSIRHHNSHVKTLRESPWPQLLALLGKAGEEIMTKLLLDASI YVAVEAGLNNYHQLSGVPLAELDLPGGNVSLNKGSVCEVRKPTDITLVRSRIFYAKPS LRTNGLVQAGYKHIHVLNQHRRPSDSANLDAGSQGVTKIMMYMFPRQFGLHNVFTSQV DRTKTSQKFQDYTSREEEIAPIFQAKPGDAAPRKPKIPKRLRSDVEQLVKRLQILHSR CSYTELLSYYCSCVFDRPSQIRKPKAKKVPMSTRKPKPSQHPFSRSNQKCVSSQMHIE AGTSGTQAQSLPGHDSLVDLATPSFQVSSFCRAVLSKIIPDSFWGDKDAGKHNKSTVM RNVDHFIRLRRFETMSLHELTQDLKIAEISWLQLHNTGAQKPSRTDTEKRLEILHEFL YFVFDSLLIPLIRNNFYVTESNTHRYRVFYFRHEVWRRIAEPAMTDLKADMFEEVKLD DALQILGSRDLGSSQIRLLPKGNRLRPIMNLRRRAMARGQTRKLGLSINTVLGPIHSL LKLERRINPSKLGSTMFSVSDIYTRLKAFKGTLGPDHGKLYFAKADVKAAFDTIPQEA VVELMKSVPSQTNYTIMKHVEVKPGERAVIDDDKYSSKAISRWHATALSENENSAFVE RLEKDLAPKKKNTVFVDSAFRRTKNVGELMHLLKQHVEHNLVLVGKKYYRQKVGIPQG SVLSSFLCNYFYADLEAKHLGFLDSPDCLLLRLIDDFLLVTLDQNKAAKFVNAMHGGF PEYGVAVNPAKTMVNFDMLYDGEPVRKYNHERGFPYCGTAINCQTLDITKDRERDANI DVSASLTVDFGRTPGQNFQRKVLNAFKIQSHLMFYDTSHNSTRTVLSSLQGAFVETAS KMLAYLRCLGKNQHPSSEMILRTIAKVIDVAYVLLTSKSRIMKYPQYTCDIRKSQVAL TACLAFEKVLAAKQSNYQPVVKWLRREADKLASVRKHKSIHTPRSGKTSG FPSE_10707 MDHDMDMALHAGLQADIQDVDSNFRTPNLEPHHRHQHSHDHDYD RRIDRDTDSIPQAYLYDQVPNSHRHHLQGSSHSAGAAAASNLDHNSIYSHAPVPHADD HNSLPAGAHHAFDPVNPDDFYKSYRETDQNNTESTSVDATAPRPSLRSNGNGSTPKHP VISKTHENMRSASNPVDNRPALPGYKPTATHPSVRDLKKRFDQNGTTPSSIPRAPAHM GATAKTRHDGGASLQPRNGGSGYSALRDGSSNFSKQTTSSSARSQRSRYVAEDQVSSN SQSFASRIGKPRNAVSGNSNASKSSSNLAPESPPQQPTSSSNAPTPLRSQGLLFGEIL PEQHSISALGYGIEGVRPRRTSESSLYNPFSHQRSLSDPPDGADLVSPDIWYRSLNGD DSNGEPAQAQPQRQKGHARSQSDIPKSPSMPPTSRKTPSRKPTSNNTAGAGAGSSSKL PRSVKKLNNSSDSAPSTRSNSPNLKRPQVNGRTSRAETPTTRAKTPTTSRAKTPTRAK TPTQSGATRKAAPRNLVTPTNNNRLQANIIAPPPKLSPPLRSSRPRQPVSVATTASSR MRAIERARSPNPGSNPPSRIAEPSSRRGKIAIGPIDFEQRREHIRLAYTKSIRESQVL EARQKAADRKRREMEAAAEAKAANAGAATSSLAHSPSMTSESGGADLQDTSDIPPVPP LPESRLPVPGSTIVREPNFGPEISHAMQVQNALDAIDHQDGPVFSDGYDASYGQTPVD PLRLPTPFIDDPTMSPVDIAMSLPPASLMLSTSSQATPLVEPILKAFDSPTLGVPGSF PALSPPVDDVSERPMSAVSATSETTEFDNEPQTTPPRSTQTPLEVPITIVKPPSPQTQ RPASPPRVEYHYPFEDDPDSPIRPKSTSVTLHANEDSSSKHHIADNFAIPGSFGTILD EDDNRQNTESPCETTITVLPPSDDPQPVDEPQQTIPFPRIEPDCESDCQSESEYGELQ RRYRQSRDDDAVTDCCTEDMDDESRKECRSEAPSDDRVSSHRASTCESIDTNNERQYN FDEHQRPDSTTNLMVPTLSAPNRTSQQSTWTDFSVNSGDVSPVVRSSVFTDIDDENDS GDHGHVTIFETTSIHRNSRPINRPVEVRSSQPEPRASVDSSRSPYPSHQLPEIDTGDG FSIPYLSNRASKSFSYFPSPNHEPPPIPASTAGSTCNSQRASGVYYEQSQSGSTFVSS ERGSEDYIPMMTTPQSMDNTSLSASNQYFADAATLNGDAASETYDKQGLTDQEKQRLT QRRNILKELVDTEAVFVRDMNIVEEIYKGTAEACPKLDGNTVKLIFRNTDEIIAFHTA FFAQIKEAVLAVYTMQGRRSALAREGSVMTEPSQFNPAEVDDSKDRSVLIGPVFKVNM ESMKLAHEGFLRNSDGAAKRLIDIQMDPTVKVWLNECNEVAKDLTAAWDLDSLLIKPM QRITKYPNLIGSLLHHTPPDHPDHPYLVEAKNLIEEAIIEINKTKKNFELVGQIVGRK RKESDVKAGFARAFGKRVDKLQASGARPTDDTEYQKLNERFSDDYLRLQVVLRDVEFY TRQVSEYVHEFLQYLSSIELVMRLQPGNYPELESKWVQFNISIRDLEKVALEDHLAQV RKSVIEPFEHVIKAYGNPSLAMKKRQKRRVDFERYEQLKRAGKSIDAKLNEQVEQYEA LNDTLKKELPKLSSLTEKVGHICLGNFVNIQTAWYGIWKDKMKVVLGDCPDMPDLKEI VATFNREFPYAQEQLSNIGILNPMYRGRVSQSTTRSTDESSSLMMRSRPSESGSRGRG LSVSSEKVPGLPATDFTKRHSGSYSASPTVITAGNIPSPHHYYYRDHYAGISNHQQGT ASPISPELAGSSRSFAASTRPSTGRSFDSGGVPRQSSESSTQQLRDSQTTYSSHNQSQ SQESRRFSGLFHSALPPADGPEDSARSSRASSRERGLVDDGYNVLWLAASLFEFNIAT TKHEAGYPYLIYQAGEIFDVLGEKGELWLAKNQDDPDDRVGWIWSKHFARLADS FPSE_10708 MPATSSLEEQAIDREYPQQQRQHHRRHQELEPEPRQFNFRDPNR SEAVPQSQLDNQKDTGSLSYSQQHHRPQNSSLSHTQSNSRLVVPSVSGVRSTSPASSV SPLPTPSISPSASAVSIDAPYSSRGPSSPIQTPSSTSAEAHGSHPQRDSSEHNSSERG SADSYSYLRRERNSSNMATWSGQPAIRGNSEAVRMILLCFVTIGITFTWGIEMTYCTP YLLNLGLTKSNTSLVWIAGPLSGLVVQPVVGVIADESKSKWGRRRPLMVVGSIIVAIS LLILGFTREIIGYFITDEEAAKRPTIVLAVLAIYVVDFAINAVMSCSKSLIVDTLPIE KQQSGAAWSSRMSSIGHMIAYGAGAVDLISIFGKTLGDTQFKQLTVISTVALLGSTAL TCWAVTERVLLTSKPAKHEGRFKVFRQIWSTLLNLPPRIQAICWAQFWAWIGWFPFLF YSTTWVGETYFRYDVPADARKSEDTLGAIGRIGSTALVMYSVITFAGAWVLPMLVQSP EDNAFTHRPPQAIAGLLTRFNKLKPDLLTAWVFGHLMFAASMALAPFATSFRFATVLV CLCGIPWTLAMWAPSAFLGVEVNKMSGETGADGTYRRISDEPDIELSEVSHNDAPQHL DHGSEVDLPSTASTGELSGIYFGILNIYTTLPQFVGTFISTIVFAILEPGKSPELADD AKKQPDPDGPNAIAVCLFIGAMSSVVAAYVTRKLKVM FPSE_10709 MSAFVVKLWEDIFTPGPTPTILKAANASFAALQTVLFCLLLATY NIHCVILSVLCGGLWWSVNWFAAELAIAQREQREKEEREKQEQLAKEALGNEDNDDTE TEVEKPIPNKAPPVVSDVAAAGLETIESKGVVKQRGGTTGTQSSVSTEDEWEKVSEAE NEKDKDK FPSE_10710 MDSTSTEGNRDNMSSPPVRSIVSSQVSVSGEFILGSNISTSPQT HTLGLSHQPSASSPNHYSSPTPYLNTSSAESSYLPTPASQSYSQFPTLASTGVDSTWN HSDQLQEGSRPSLPHSHQNQHHLTSNCRPSGRSASMSTVAIQFDPAFTMGPRSAFTWP GPIESGASGSSLLSPGLSSMGHVSPQSRSVTSSPPRFTLSTDQREVKRQSDRARRDSR IVSRMRRANSNSYVDSSSSTMGLPSTTGAMSIPTYTTAPAPVTLMSDTPTSMSSSTYL QSYSPTLEDQQPPSASVYPSAYPQSLQPNYNMPVDYASVYAGSNQYSARSPPLPVGQD VGFMYQLPAVMGPGSANSQDAGHVRVVQSRPKPRCWEHGCNGRQFSTFSNLLRHQREK SGQAAKASCPNCGAEFTRTTARNGHLLHDKCKGRRNS FPSE_10711 MTASRYRARKQKVFRSVFQTDVSSPTPRSTPIATFTDQGAAFGG PHALSSQHAPPPPPPLFHETLDHADDQVRWDRAWHTVTSKIQLPTSVAVEDSFGTLPP ESQEVDFGVRDSIVLLLDSARLLPEATHTEDILLWHTHQVRQHFVHHVMPLLAACASQ DDQTQVLLSSISTLEAAHRQYHWGLTLIIQGYEDGTSSNAAFSKFCRDLHAIIGNSLN QGLTDALTSVLRRLIHLNLGIGKRYDVSTSGLESQGDDSAARKELLGLLESLKNVGLV GEKFQVLFAEIMDASMNDFIRISYSGVWGVPEHSMTPSASISSGCLGHLSEWVENQYG RLAVEVFSRLETHIAWSDVECWRNIAIGRLAILRIQELFDIALEWPGSRGGLEDLRLA VTTPQRRLQLTDAFSAALQKRLLHPGRSTSDILQTYISMIRTFHVLDHSKVLLDRVVH ALQLYLCQRDDAIRIVVGGLLSNPSDAETEEGKANLAELAVLLNVASQQQRRQVEDGD LDWNDMTWVPDPVDAGVNYKRPRNEDVIGTLINALGSQDIFIKEFQLIIAERLLSDQA TFLQETKVLSLLKKRFGESALQNCDVMMKDIQDSKKVDAVLGRNIRQPSSDPGTPVYH SKILSRLFWPSLPKEPFTVPAPVTEMQKRYEQGFERLKTSRKLNWLDQLGSATVKLDF EDRSVELECKTYEAAVIYAFQDENNEGESGPTQRTFDGIWQQLMIDEDLLVLALKFWV SKRVIRDIGNRTYVVLEKLDDANEAGDADAPDDSHDADSGQPSPRKPKIDSKDQERRT VYWQFIVGMLTNSAPTMPLAQMLMMMKMLIPDGCPWTNEELQEFLAEKVAENKLELAG GKYRLPKK FPSE_10712 MSIRRIATAADCCQQCNMPGDGWHPFRLHAPFRKKPNLTCIVIC AVLSQVRPGI FPSE_10713 MTTEIGREDEDEGYYGPPDYVVHSPGGSDSSQESDEVFLRQMQI ARGSVSTKMVASKMTLRSLESVELQDLPEADRSCVICYNDYCVETPEGVKEAPLRLPK CGHVFGDHCIKKWFEDSDSCPYCRDKLHAEPKTQAGSSSRAFMNLMRSRGLHVPPGMV AQQLPDEVLVHLRAHHSIADRRNGSPPHTATAVRRSPPREGIEHQHRRTRARHDNSNT HDALSVPESRNRAVSTETPLSAVELPAIRPQPEQLAAERQAEEWVRGNAQDANAASIS AQDRSAETQLSPAVLPELSPYPSQDAQDQHPRARTLRNPLQVQTDSPYEGLGNNETAP DMPYHSNQRW FPSE_10714 MGLPWEIITATSAISTLTLAWTHDDWSKSQLLGRFPVFWIAGLA VWIVWAVWVYPLLVSPLRHLPGPSGNHWLMGQSKKIMAEPSGVPMRQWASEIPNDGLL CYRGFFNQERVMVSSPKALAEVLVTNSYAFPKPSHFRWSIGRILGVGVLLAEGDEHKM QRRSLTPAFAFRHIKNLYPVFWRKAREVTRTMMAEFGQQEETQVEISGWASRATLDII GLAGMGRDFGAIQNPNNTLAQTYSKIFKPSRQAQILAFVGMIIPMEFITKLPFRRNED IAKAASDIRAICRDLIQEKKAKMANKEQADVDILSVALESGGFTDENLVDQLMTFLAA GHETTASAMTWAIYMMARHSDIQTRLREEIREHLPSVDSDVDITSLDIDRMPYLNAVC SEVLRYYAPVPLTMRDAAYDTTILGQTIRKGTRIVIVPWATHFDHDLWGPDADQFNPD RWLSAGGENKIGADRKAASGGANSNYAFLTFLHGPRSCIGSSFAKAEFACLLAAWIGR FEFSLANPEEMDEKNVEIRGGVTARPAKGMHVKVKVISGY FPSE_10715 MQRDDRSRDSEGSGSRRRWYESRDRDLTPRAGDQRDSHSRSSYS RQQPPYQQQQQPYSPMYQSPTQQRQYDPQRQDQFQMYQQSPQQNPALPQRPQQYQYPY QNQYQQQQQPYYSAPPQSMPGYNSPYQQQQTLQQQNPQRPQTPSSVFSSSSDTSTSLL DISRFKDTKEYGGLLGTFFKAPSERVKQRLHRKKSKKRRVLYFGNSSSSSVNSDLAYG NGYVRQPKSRTLSPRSQSRISGQGYGSAAGVGPGPSHGRRRSSGGSDRAARLTPKKKK TADEEIMALGQQLSNLARLSKEDEQRQARKASGKGKGKAAAMGLAAGVAGAAMASQYG RDKNGRMINGLKQRVASSDDEDEWEDASGDEDDSYSSSSSSDGAGSAADSELAYGTVA ESIKPALGAAAAAGSAAAAGMVDHRRQSSYGYDSSPEHRRHGSRGSVVDPRLFGPHNS LRGSINTPCGFRNDDEAVAYRRDSGNFGNGTPIHMRDTYSDPNRYDGERFSTPIAQQD PSARPAPVPLQQPVPKTPVSSKVYDAVKVDEANRRNHRQRRSEQPEEKDWSGVAVGGI AAAAAAAGVAMVASSKKDSREYRDEQQRDRDDRENQRRLDRDRQRALELEEQKLRELE RQKLRAQQRAQNPENEADRHEQLEKERARNMKWEREYREMEPEAIIPNYNNERKSSRY YDDDTPKDRNDNKEKSNVDVVVAPKSDQNEGKPFGIQYGPEFQLEREPTVQVGTGERK ATRNGRDLPLATGAGHQAVTESTKSAHQDIDPFQYQVSDDAFTMSQGTTPGRPLTPNV VTIEREPNFDDSPPRDSAVDARLSRRDSFEIQRMVENYHRESQGASQRRDPRNGHEYE EEEHKARSILDEAKHATIPVAAAAIASAVAVENERSQERRKATHSEDSSRDTSRRRKD AVQEEADRYYRETNIARKIASDEMRSRSASPERSVVDKWQENKNESFTIVTPPAMEDK HPEKSIYEGPDADVKIDNKIHPREEHQYRNLGKKSSRRNVSRERPLLNLIYPTPAVSR QHTPAPEARKQPEATEIVDKPEDFSIGPKGEIISVSEPVSAAKSVSWGENETKRFDAD SPEKSDNDNYFPAEKAAEKSRPKLNKASRWGILAAALAGSSAEPQNEPDVEVDPKQTE MPGGYSYSDAGSSDVSIRRAEFYVDDMNSFPPVVGTKPASPQQMPGRFADDFEFAATL AAGLKDTGFNPDIVIDDPSYSRRDSPPGVQEATGDSHGGSNGNAWYKRPYAEAAPEPI DAPKLLPEQGFVVGEVETPQETTPLPYESKRDIRADAPKPEDVPLPDNQTESPKPSKR EQRKREKSDVVVVQDDGRIETPGAEPSPSRDVGEAVWEDISRKKSKDRKSRDFDDEWS STVSAPAPYEPRSDYDRGTSQDENRDRDISMSDVAKVAVPAALALGAIASGSRDTPSR DVRPDMSDSPEEPRKQLNDDYYYDGDRSRVSAPAEPSHHRQSSRDAVLRDIRSDDEQD PPKHSRKHKKDRESRDEGRYKDSSTLDPNDRRSSRRESSRDRSRDRSRHRSSDRRSRD HSRDHKSRDRSRDRSRDHRSRDHRSKDRSRDRTRDRSRDRTRDRSRDRSHHRRSDDEE ERHKKSKRHPYGYDSPTQSMAASEISVASSSSKRSKKSKRRNGAGDDYDDSRDSPSHR KRESYDDRDASSTVSESRGHRRASSRYDDDDAKSVASSSSRREKDYKERRTPEKRPST SVLSGLFKPRKDKKDSFLGNADTLGAGVGLATAADIYASDAGRSNAADAPSDRVPSAS GDGLRHVRSFELVDPEVIPRVIKPAIDPQYGDLLPLPPSEPSSPTSSVSGELPPLPDS RPDTPPEERGVRREPWPQQRRRSIFETPIKSPSRTAVPIALRLGNRSSNPASPVSFRA SPASSPIISHSDAVVMSRRQARPTSWDNSREFMPLYLLEQSRHPAPTTGSVLPALPPS EPSETSARNSPESEFLKHNDDYFGENPDYTGPDLRVDTVLSEQQKDSDAESQQTTPRA EFMPMLPELTPSVASNEPTPGLAEPELPEPTPATAPDPPTPSLATPLESGSRDMAGDE HSTPPPFDAAAIAVPAGLGASAGLAAAALFHARNRADSPVEKTDDLTSADEYFSDAIE GPSEQTSPRGDIPGRLSAAVDREPTSEFAAKPPYEHSSETTTGAFTTPVNELVADEPS DVTSNVEVAAERTAVEQTEDQIARDIPDTTDTAAEPFTVPINEHMVEERIHAEPDVDS ANEVAKEIEEPVAKEAGVADKSAAESFAIPVKELATEEPAHAKPDTDLATEETIANEA DRQVSKDIFDAGETAEETVAIPVSEPTIEEPAVSESIVEERAVEEPTVEEPLNAPSNT EHFVGEAKEDAIDTSTEPLTAPITEPSSEKPANVKYGAEPVFNEATSKEMEEQAATDA AAAFQAAGAEAAAKSAAEAETAAQVEAEEVAKEEEEMAALKSKKAKKLTKAEKTRLMT LIANSVRRAKAKAAETTPKPVEPVEPVEPVEPVTKPTAEPSLEEPVVEERTETQESTV EDSTASAKDTVPEALNNIQEPKSTERVVETTPAETVAEEPSRDLTTEPVIEDVTATAP ESPPLPTDEPFAEPLADVVPETLEPSDELETESADKNIVNLPKSSYEPDTIPQPELVV EDVSVTEARAGNMKEKTEDLPREANNNSMALTPDAIVEPQTTPSVDAPAEGTAEVVEI AEAKPEQPVAERAVNEITTEEWDKMNAKDRKNMKKKLKKQGLEPIIQDSFDLPAPADD STKDNQPTLSEEAIAEDKSSALKSVTAETEILAPPAPEPSDVSEAEGVQEPATAQGEE PTNITLEEPSHSTEEDTLPVNKELVIQPGTENTVQEMEVHTEPAAPASEHEPAPESAA EAPTVTEPAPDATPYEDKAVEELPESPSKSKKRKKKSKAQQPPKEPTSMEPTAVPAED TAIDASPTPQAEKAAFDAWADPVVSIETPTAAPVDIPAEQSSAVVEDPSQPSADLPQD APVEHPVDADAFDAWAQPDAVANTDVIEQEQDKPADIAEPLPEQSNESMQGEDSVSSK SKKKKPKKKGNNTDDEPVTETQDSTLQDKSLDAPEKTTYDLPEPPALVAGTKTDIIDD TPANEATPSKPVVSIPKQDGVLAAPVPNTREPDDLDDVPYSPSSGNQSPSTNDRKGYF PSALRALPGAAGISAFKRMWGFQDQARAQNRMRQAQPDASKPSSESQPDPVSAETSEV AEAKPDVPIAEVVSDKAAANKPLTSSDSHMSEPLFSQGDAPVEESQATNSEVEPSQGD EPQNVATHVNDDVPDNNDAPAAVEQPVAETEDGPAESAEIAPETVRKIRTGVIESEDV KSVENPPIEIISDAAQAIEGKPDETAEDKPREMTKDNNANPLESAPDDTAEATEAKPE LATEEESNKTVEVENTKTAEETVVGNIEDDVSGSKKKAKKNKKKKKIQAGQDETLEHE TNDSVQQDQVIKETPVEEVTHVSNEADNQASFSEPVAEASHDTPEELGVTEPGVKPDN TTQVEIADDQPETKPEIEKSIEPEVLDQLSADDKPVEGETKEEAKIDNEPTQMRSEEE LHMNEKPEDTPEARPDDNSEDKLQSGDKFPEAEVDQSQTDGELVKTENQESHQTNHDA AQAEDIPTVPEVQLLPQVDDKSQAEAEESQQMDGKPLESDAQETLQTDAKSVKDEIKE PDSDVKSPQVETPKEDDKPSQPEVAERTQTDDKPTQAESIDDKTVGSEDKYPSSSEKQ VDTTVSEEPTQASRDVVAMPSADDISPMTWKAGSPKAGSVAMKIKEAESKALRQAVDT ETAKDNAEIEALLEKQSRRGGRLLKKDQKRLTALEDSVARRAEARAAREATPPAAQEP TDSLAADVNVQKPAKTDAEPAQPEETRDIDIPQDTAPANEATAAAENQSGSEAQPTET SGEAETAGAGSLNEATETLTDLPSENKPMNDQVATDTAPAAEPQEKTTVDNEVTEPAS KKSKKKKKRKSVSISENGESQNLGTENTDTRTSAPESEQLDQIAANTEDTPAHVELAP EEAPAAQDIPEVPASEDTATDVPSSDAKETEASSLINPGNPSTENTTAESQAEGPSDA TLDKVESESTSEKKSKKNKKKKKKDTSTDEPEKQPDAESSTQTTSESISKVSEDAKDV EQEASSGTTNLAEPVDGETAEASPLPLGSETANMAPEILPTDDEPAQPADGEKPEEVS PGQEPASATEATEVPDAVVPEQPPTEQPLPNVDEPDSPAKLSKKDKKKKKKADKKKAA LEEESKEPEPEPTASSEKGEAEVELVTTERSVEDPKVTRDEMSKETDSKEIPKPDIVL EGENITPANEAKVPDTGDSGELPSEEPASPEDERGGFSLSQSQTDQKNKAKDSDFESV QDLKTNHPTQPETIMDPVRQGVTTAVVDEAQSQGSSEGTPRQGDKTEAVHRDPPVEPE TKLESGLGLELGKEKMEDDEEFAGLSKKQIKKLKKARALVTPESSVEAEMPKESGTSL DEAAEPATEAGTESESTPQTCAESAAETTIGAEQELGYETMNDPLPDIEETVPIIEPD FEAEPESKTEIQPLDNTDIQPATEGADAEPKLDADLQTRQPQSDGQTTSEQDTIVPAP DVDSGDNLTDVPDKSSSTNLPVEHQVVKEPDSSRVEEAAEPPQMLGESVKATQDSRSH VESEAGPIASAKPEQPEVATEDIPSSSLNMAEKSAEAPTVKAEPSEPVEINQPLEETL ADIPAESVDENAPEQAVQDPQEPILQPLEDPTQSSEPETVEPEAISEPAAQVGTTPER DTQTPSTDVATGSKEQTPLDLQPSPDLPLPTTVLPKNPSSPRLVSEAAGPESVGQDLS VTIEETDPLSVAPNVLESDDTQIPDAQTVPMLEEESKSVESNVEKQFEELPQPTVGGS LVVPADSPLEEKPIEETATSSYPTTEAIPIPEPEEDPARDTEANQDITNETTNDEAKA EPVELTKDKPITPVLENQQQLVSDDHSLESSTSQSDPQVTDDKSGEKAQLPREDAEME GFEDSVPSETNVQETPEATQDTILPQVESTTEDIIPDTTALPDALPDPPTELIDTPNT NVPEKPERGENEFTTEDWQSLAAKEKKNIKKKLKRKGLDLIIQDSFGPITPGSEVVLE AGTESEPVATQPEELITGLTEIEAQTEQNQEEPPNKTSDKLSSSPDDNNVVDTQDDLN DVTKEQAEPTGQATPAEKNIPTEPPSSQETPPPAEVKSDEQESAKDNVVSPPEADDEK MAELERKPETQVPAMEVPAVEAPSESEMPSLLEESQRGSESQEEVPVPSPAKNDTSQE TETEIALVEPIREMEPEPSTSHELDHRVTTPQPTSEVPEAHDLELPSSNPVTETEGVP ETHKDMVIGSGPKESSAPVDQTPLVQETIVVEESSDISPKKSKKKRKSRKSDLSETET QAPSSSETNNAVSDDVPQETRRTVEDTQDHEKNDKCQDQPDSIMTSQPANEMPSSVEQ PSVNTDYPTLDTRETLEKSKSQKENQEVSHIIDDTNAPMPTDLSPTSRDVEQAQPTQP QPEQTVVIEKREPTSDTTGEDRENTASLPDTHAEGRSVETELDVNVVPSEGKKKSKKN SSAASAELTDSNNGHPSLEPVQRFDEQQDKPNPADIPTVERSGQQTQDEPAKPDESNS PRNILEKPTSGLPTPTPKTTFEDIVKQTPGDDVAKPIKSPVRSSKGKTTTQSEGIAGS IFSMASSFFSQKDKKKKRKGEPTGTPGSGTASPIEQSHETQHRPSQSPKSKARSNPND ALIEHIQPVEETTTFNTPESPKQLDQIKRPVKLVEQDTVERGPSPASKTDGKNGMEKD TGQPPADNSTRDLSTDATGIAHIVNETPGAVEDDLNVGQAVGTATTGDSSGKLSKKDK KTKKKEQKRAEGEAKQTREAPNVAPSYPIAPDQLVQSNPDTEQPVQASGPAPQATESL ESSTLPQKPEVSTQPSPDLPQSGDFLQLGGEETSLQGQKSRMNEQDIEQPEHSSLIGS TTKGDAKPENEDVQASPAVKQPQSIVEEPLTDPVPSKMLESGRDTATDFDNSTQAKDL AMVTEPPLASPVDEPMTQPAVPFIPEGQASGSTPVNPINPQSPSAIPTKVEGIDASSD SKLSKKEKKKAKKLALEKEVATEPEASDAPDTESSRASKPTEPDARAAIEANDQDVEG EINPDAPTSSKKGKETERETEIESAITTPVDRKLSAPPVAEPSQLVTAPAQGKTTVEP DLATIVEPSEKAILPRPASDILTSGPDALTESPMTAAPVAIPQEPGMIIPEENFQTLP LSPQNKHMSAEDRSTKPALAPSPSFKNDTTLSARLGFNRPTASTKEKKKKRRKSSEQT MSSPESVPVSSPGTLTETDKRSAKTQNGVGDSTAAAGDKRPSKLETSIFARVTAAAAA AWGTKKAGDKTTKEQTQDEQNYGEGRLGERESTQDERGERASTDGKTTTEANPHDIKN PTIDTAKDAHQRTSVSREVREVDMDRKTDEAAMVGNESKDKERETTGNSTNKTKTPEK RLKSSKGTESARQSPAEPKQPERQDEMASPHGLTRDAPARFGQEDKILQRSRPDDLDD AESPVLGRGDSQLSRGSLQKLLRRDSGVDEPRGGLLREDSTTIAPMPVMESDTSDILR SPSRLLEPVLEVPEAETEPTRGTYSPPPQVRRNSGPAGDASSFQRRSRRLSEESRRDS VTGERPTLRRNKHRSPESFRDSGIETDGWEHPQPNLDRTVMQTPEPKTERRLRRSPRG GTPVFREPTIPSPTPEPEKKKQYGILTPVGATVAAGAGAGLASTLSRSGPGPSTSTST STPTRTPTPTSNSNPNSNSNPAASYAGRRSFSDNASPVRRSTPRLESAARRAVSNTSL SRRRTPEPLHSRPESPGTNRSSGTPTPPLRRADKRMSGDLRSIRQQNNTATGTATAPV SSTPVANEGRARATKDMADIYDGFGEGRIGSPRSPTRPHSMRRRQSMQVLELENRVEQ LMAENRMLTDARAMAETSLSQRAANSLAERDAEIDTLKQSLQFLQNEVSRLSEVNDGL ASANAELANKDTGRVADLESRNAIVARELEEARRSKGTTEQSLEEKDAEIADLRAQLD SAKEKIRELQRQILEAKAHDAHFLNIRDEDHFDHRCQQLCSHVQQWVLRFSKFSDMRA CRLTNEINDEKTIDRLDNAVLDGSDVDVYLRDRVKRRDIFMSMTMNMIWEFVFTRYLF GMDREQRQKLKSLEKLLTEVGPPEAVRQWRAVTLTLLAKRENFKRQRDLDTEAVVQAI FQTLCKILPPPTNLEDQIQSQLRRVMREAVGLSIEMRTQKAEYMMLPPLRPEYDADGE LTATVQFNASMMNERSGSITTSNEDLEAQGAIVRVVLFPLVVKKGDDNGKGDEEIVVC PAQVLVPRSRMFPGASDGGSTSIGARSHISLVTETMGQTEVDY FPSE_10716 MPRRCLIPHCRSGIRPVPTSPEGIWVTNAMLARAIERFHHVYPV PRRSLSSCPGPLESRRRLGKRHMTAIVPNSHASPFPWSIDLPIDLGKWTWEAPTRSQD RRHKKVGLFERFLRSLEDIDCAETPSVTTTAVPQTAALSHIEQALVELSESLDSFEDV GDGRELYKACEPYLLKVLDMIDDKTISADDLILSLNPFGETIKSRVPTKVLDYVLARQ WVSIIHCIHDNRIRPASDVFGGHLWHQCLKTAFQMTPQTMTFNFLDELLRLTRQFERI SLNSNDYVALMRAHLLLETCQTQQEPSRPVPTRILRRNIYLSRMFGITDLSHMDTCRQ LCQSCLENSTDAPGRRAMAHHLLLKLATTPGLKIREFVELVQGILDTSEWSESEVWQF AALRLMNQSQWKLRPECLQEWSETPTTLHSWAALLDSAFHRHAKKRKANLRALSFTSD ACGQLGTLMKAVRLLPNHNEIVSDMVKTEEDPHHALTIWEFYNEGQTNSDKLPWHAWA RHAEAIVTDDDLPADLIWRVAEFHPQRSFNKLSNPIRQGTVYTMDFLVEIGQLYMRRP GMSTRARLRYIEKAINFGKASRQPMPQSLVQILAEIILKDLEMGNMGRKARLQYLVSK IEQFCGKEQAQKVAVSIDGWRWTNRNRMPQTLPMPSASVSSQQKQPRRESMGHSCGQS HRHTKDEGERCDFESRLKSERRQAHTPALAGARF FPSE_10717 MEPVVYEETPFADYLKDEGDESQADWAPGIITPEPESSAGRSSP SPPSSPSPFAPTGRPLVKPRFRNKAPNALQLKVPQQSGLRSASGKYSAAVAASIDRAD NAKFLEQFRYTIIASQLLSGHSGLGQSQLGGGGPSPSPNNSDSLLSTEGIIASVLAAL AVAVVLSWVLGSGVSKKRLIFLLLLCAAAALLGQIYMKRQWLRYRRSQSLSEITSFVE HSHNFDSATGAALSLIQEVELVSRGYRLSAPLPPISRIEDRTQTRRCVRLRKALRHSF AEVLASYNQVCTVVNGFAEQTDLEKYYDIYDITDFDMSDARRGLTDEDLEDPESLRTL KILAARFSTIRKLFLCALLALDADSDANDLLRWTTAVESLLSLNHSTQAAHSRVQGIL SEEDNFPSPPTPMNALTPGRERWRAQLRKLGSLSTGIRGLQAKLQLLREESDRSLNDS SDISELGPNLMSQYESIGMDLKELMSAWEEGKAALALGIDRNEKRLSSMSTLLSPVSS LSGLTTVDENGGAAAALKALTGESPPSSEYAGSTEQDSPEVFEAVALPRPRSMLTREE RIAKVREEREQKVIARQHVDATKGMLRELETVINLRPRTRASAPAARIPSL FPSE_10718 MLEARLEQASLFKKVVDAIKDLVQDCNFDCNDTGIQLQAMDNSH VALVSMMLNADAFSPFRCDRNISLGVNLTSLTKVLRAAQNEDVLTLKAEDGPDVLNLQ FENVENDRISEYDLKLMDIDQEHLGIPDTRYAATIKMPSMEFRRICTDLMAMSESVMI EASKDGIKFSCNGDIGNASVTLRSNTNMEKPKENVEIELEEPVALTFSLKYLVNFCKA AGLSDQVSICLSNEVPLLVEYGISGSQSHLRFYLAPKIGDEE FPSE_10719 MSTIPTIPDTRVLAVASHVVSGYVGNKIAVFVLQSLGCDVAALN TVQFSNHTGYRQWKGTRVSAQEITDLWNGLKQSYLDDFDVMLSGYIPGAEAVDAVGKI GRELKDKSKDTPGKFFWALDPVMGDNGKIYVSPEVVPAYKRLIHDADLILPNQFEAEL LSEVKINDMDSLRKAIQVLHDKYKVPHVVITSVNLEAPDHPPSHLSVVGSTMTSTGQA RFFKIVFPSIDCYFSGTGDMFGALMVIRMREAVFNANEHLRHTTSWLSDDSVSAIELP LARAAEKVLGSMHEVLSKTCEGMKKVVERTTGDMKAEDRENDTKVHLVKSKAAELQLV RNLDCLRTPVTQYQAKAM FPSE_10720 MASQAYTVSLNQTLRELQQQVRQHEEELERLRLNELQLPESVAG QTRVIQAALKEVTESDPFLPSPGSLLPALLALRRTHQTIQESNTYLDSQRSTHEQLSR QLEADEARLKDQNLLGDALTARIQSLRDEVDASTNVTPKEGAKERLQELRTKKKNFDR GTTKLMKVLLRFIANHLAPMLAAEELGGPVVGDLMDVDGEALAAGFNAQGKLKKPKET ADKDDKRQRRIDEIWGQAPAEGAGRQNEVTAAAAEMRQLTEELLNTLSEAQGDNSASY VQLSRESAAARFLVRSKIAQFHPKDATRLRLVDFGRDLET FPSE_10721 MSASPTQPTQSAKRPLEEASSPSRAGDQPDAKRPTLEKIKHDDD DDVEVPEAPVDIPADDHDDKVNGAAKSEDDDDTAPDPASDTKAAAAATVAASSSNAVT SAAAHDETSWIHVRAVISSPEAATVIGKGGENVSNIRKLSNAKCTVSDYQKGAVERIL TVSGIVDAVAKAFGLIIRTLNNEPLNESSTASSKTYPLRLLIPHILIGSIIGKGGARI REIQDASGARLNASDSCLPMSSERSLVVMGVADAVHIATYYVGSTLLEQLNDRFGGPA ASAYATRSGAPAGSIPGGMQVVPYSPQPSSGHYGRSENYGRHNDRRSHHLPPAPYPQQ YPPHAAAQANPAMPMHYGGAQGGGAYGAAPHAQPHMPPHTGPQPHGGAPQAQPMGGAI PGGPITQQIYIPNDMVGAIIGKGGQKINEIRQMSNSVIKINEPQDNSNERLVTITGTE ECNRMALYMLYSRLGEVQNKSSTN FPSE_10722 MSAVFGSFLIKFKPVLVEHAESPPASFSSVTDHIKSIPGVESVY LGRPLEKPDYWFLGIRWTSRSAYDAFVSGPAATDWHASLRALVTGHPIVSPTAEYTGH VESALHAPITEICTCWDIDHTFTEDNMKPFAVACSEGKLAGLHGLAYGEFVQGEHEDS SVIPGRASRLLMGWDSKEAHLQQKNSGSAIDDNIYYLLARNKGLEMYHVPLKKL FPSE_10723 MVTASDASEHVTSPSTIVVDHNDSQSAPSNINLLTLNCWGLRYI STQRNARLDEIGRRIAHAVPTPQIVALQECFTQEDYEAIRHHTRQILPYGKFYHSGAF GGGLAILSHWPIEESTMFKYPLNGRPTAFWRGDWYVGKGIACAKIRFGPRRKDIVEVF NTHTHAPYEPEPVSTYSCHQVAQSWEMSKLLRGAAERGHLVVGMGDFNMFPLSIYHRV ITGNAPVRDVWRILHPDSSLGPAYHPSEKDRHRPLPTADFNLLENGVTSNSVYNTWRW NKNQQNRLTKGDICEVPPDTIDPRGQRLDYIFASTGVDPDAPSKTPGWVVKTAHVSMT ERHPDLLCSLSDHFAVQATLTRHTPSPAPIPADPRSETPSAALQTGAYLAPSSPASSI HSGDAAQTVDPDTQLKRGHSSNRDSLHASTYDEILGMIQKYRAREIQQRFWRGVHFFA ALFIWIACLIAVWFSPENYVAFILMLISSLSLVAGVIDGLLSLLFFSWEIRGLKEFEW EIRNAKTGASGDPASLTETETYHSSGQRSKSQ FPSE_10724 MAQTLLKKASQQFIRLSSCDSKNAIEPPPDSELNGPEGPEAQHG RKVGNIASKRWKPLRSAFRNLRIIIEISKDSKPPTEDQIKAHQLTKYALQHGFTVKST NSKGLPRKSHLNPVAMTSNSTLVIRTPPQRARSSLQPLNITPSSDLETPSCSEASAKP SSYQEESTAKTSIESSPSQQYNVTADRRYNRRNPWTRSSPEGRALTTIPEAGVVQARP TIATVERASAAKIFLETHFNEILYKPNARALRNQHLEAQLRTCSLMSLDEKEKIRVQY RIQETCHLRELRAMKATCIAQKPKDDCRLSVNNYEPLQILGKGSFGVVRLVREKPAPG HAFPGQVYAMKVIRKSEMIRNSQEGHLRAERDFLVASEGSQWAVPLIASFQDPASLYL VMEYMPGGDFLGLLIRQNILQEPIAQFYIAEMILAMEEAHRLNIIHRDIKPDNFLISA TGHLKISDFGLAFDDHWSHDAAYYNTHRYSLVRGLGININGDETDQKCSKNILKQFEW YQSVVSGIDRHGRYPLAKDEDLRSLIGWRNRHGNRTGARSVVGTSQYMAPEVVRGEQP PSWQKKGDFKKNFSFPRRPFVSEKCKDLIHCLIQDKEERLCSRKYQTSYRNGFQGSRL TDYTGHYVFPGDAEDIKAHRWFKNTPWERLQSMPAPFTPNLHSDDDAHYFDESEPFED WSESIPSGIYLNTEDVRELLFGFDIHVQQKAIELIKIPFDAAKLRSMDRDIDAAKDLQ LSEKATLKQFVRFYGHKERKRPRDMLLRDKNTKKISLRIRKETAFMGYTWRRMRPGGY IDPRPIETPPVSEVQVAA FPSE_10725 MSAVPGPVYGLEVPPGEILIPAAMEFPASFRITMAAVDPTEEPE ADGEGNVPTVPRSTLRLVKRALPGLEDEDDEIDDEYMKALLAGSDDEEDSDEEANGGP SDPAKAKKQRQAAAIKKLLESAQEESDEEMEDAKPNGKAKGKAKATEESDDDEDEESD DDSEEGADLENFVICTLDTERNYQQPLDITVNHGEKVFFVVTGSHTIYLTGNYIMDDD EDDEDSEDEDEYDLSPDELEYGLEGDDSDASDDLDGLEDPRVEEIDTDEEEAPKLVAA NKGKNKRAAEEAAGLDELITKEDAKLSKKQQKKLKNNKGEAVAAEEKKDAKKVQFAKN LEQGPTGSTTEKPKQAKDSKPATGVKVVQGVTVDDRTVGNGRTVKSGDTVGVRYIGKL QNGKQFDANKKGKPFSFKAGKGQVIKGWDIGVIGMAIGGERRLTIPAHLAYGSRGLPG IPANSTLIFDVKLLEIK FPSE_10726 MTVFTCLAQRAGGLSIIALSALCYWVVSRDSYLEQQQHSHEQLS GQVAYQSSTSTIGAGSWSYVFAYYCLLVHILVCLFPLRACWTIWKLTQSMKRAARSDS LLDLKKLASRRDSYASASSSETFVSSRISTSSSTASEAGDCDPEFYTDGVPGANDNVI HVIIIPNYKEEIETLRETLDVLASHPQAHYSYDVYLGMEQRENTAETKALGLIQEFVK KFRSIDFTLHPSDIPGEAAGKGSNIGWAARKLSEKYSSSIRGNVIVTGIDADSHLSSN YFTILTNMHLSYSATALATVYSAPMIFDRNAHSVPALVRVADILWGAAGMSGLYPGSS IAPPTSVYSLPLDLIDRVGGWDCGSEAIGEDLHMYIKCFFALNGNLTSRVIYSPVSQS NVTGGGGLLKDARARYKQALRHMWGALDTGFALSKLVQLWKDRKQTCRAYQPLHYTLS DTNDVAIPETYMNDEQSGHPCVDNGIFSNIQKDNVEQPHWERIFYMMHRLFEAHFLPV HMAILVVASALYVKLTENNDDPWNLAWIFSWCNLIRIYGFFQIAVYVSLYESYHQAGV SGREREMIKAGLADGMDFSRRSLKKNWHDYLAIPIVAPLYGTIPSAQALICQLWGQDL VYTVSKKATRRQIPIVKVDDMA FPSE_10727 MAIDKIVTDTRLCAVLQIADQARDQASALINLGEQTYSQGPASD EAQDELFKQQRLLFTTVAHLKGLHRNACFTARETKGQTAESRQEVDRLHLQLQNLYYE QRHLQGEITACDSYDHKYQQLPLIPVEEFLAQHPEHQDDDENTLMVARIDHERAEREA LEQQRQELLKRKQKLIADNKRRKDDLANLDNDLEKFIDAAKPIQKLFEKAP FPSE_10728 MTISQNSTDPSPSNGSKFINHEENQYLDLVREILESGERRPDRT GTGTYSIFAPRPLKFALNNNRTPILPLLTTKRVFTRAVIAELLWFIEGNTSSKSLSEA GIKIWDGNGSREFLDNLGLQHREVGDLGPVYGFQWRHFGAEYVDAKTDYTGQGVDQLA EIIHKLRTNPYDRRLVLSAWNPADMKKMVLPPCHMFAQFYVSYPRSKDKSSEEKPQGH LHCQLYQRSCDMGLGVPFNIASYALLTHMMAHVCELVPGSLTHVMGDAHVYLDHVDAL KVQLEREPRSFPELEIAREKGGSIDGWKAEDFTVKGYDPHKTIAMKMSV FPSE_10729 MSDVTTAITTACTYKARDSDNIATTSGSDIRPDAGPRKRRHSFF IPRRRSIVGHIMDGEEGLLLKVDLFLSELERRLDFIENYVDLSKDSSISRTFSTLQAV RSRCSHASEEVLGAGRRRLHIMVDTLETRYKETLEAAESLNEKAHMGVDLLETMLSDF ETRAYKLREQGFANAANAAEAFMDEGRRVANEGIERAIQAAYSLEEHIQQAIVLAKEG RLISYDDLPSPWRNNPHIHKGYRFTESKLDCIRSVFTPSNELFNIWSHALGLVLVLAI ALYFYPNTVNFTLSSKSDVFVAGVFFVMACLTLVCSTIWHTMNAVADVDAISIFACVD YTGISLLIAASIMTTEYTAFYCDPVSRYIYMGLTAFLGIGGVILPWHPRFNGADMAWV RVAFYVGLALTGFLPMVQLGWTHGLDFVYDFYSPISKSMLVYLSGAFVYASKIPERWY PGCFDYIGGSHNLWHAAVLGGILFHYSAMQAFFANAFHRAEGGCPSY FPSE_10730 MSEPSSNSNLNASFKASTPSLPAPVTTTQLQAPPSPRTHRALRR LQSAHTLGARAREQATPSLISQQRQRDDQRTTNVNSKTNSNGINSPTRSSPAPPPLSN QNVHRSPQRGRANSDATPPLVHQMNVVTASKRAGSKKPVFSHGHLPLHQIIREGPTDG DFLGALESARWKVIDEGVKSAEDGMSTLRIYVWLVLLDAPILSTDDYLALIHRGASPA YSKIRNDTFRTLTTDPLFRRRVSEASLIRLLNAIAWKLHDTREETRQSRPTSSRASLP GGSSIRSHSNASTSSPTARNRARALTLTTEGSESGAGASRLEPGTYVQGMNVLAAPFL YAARSEAEAFVAFHSLLTRECPGYIRGAMDGVHRGLTLVDKVLAIVDPKLSMYLTAKG LSAEIYAFPSVLTLCACTPPLPEVLRLWDFLFAYGPHLNIVCIVAQLTIMRSQILQSP SPNKVLRSFPQLNADLVKSVTIGIIKKIPDDVYAEIVSHAM FPSE_10731 MTGQNTLGHGLAKILGIKLEGQKRDQCAQMGDHSVLSSQNGGSF YETEPTSAEWVKEQIPSKEDLITYGASLFPFSNWIGHYNLQWFAGDLVAGITIGAVVV PQGMAYAMLANLEPQFGLYSSFIGALIYWIFGTSKDISIGPVAVLSTVVGNVVHDIQN SGQEIPAHVIASALSISAGFVVLVTGLLRCGWIVDLISITSLSAFMTGSAITICVGQL PTLLGLSGFSTRDSPYQVFKNTIEHLGEANYDAVVGLSALAILYCFRQGFTIAAERYP KHKRFLFFTNTMRTVFVIIMYTTISWALNKHRRDNPLFNILGAVPKGFQNIGVPTITP DLISDFSPYLPATVIVLLVEHIAISKSFGRVNNYTIDPSQEMVAIGMANLIGPFLGAF PSTGSFSRTAIQSKAGVRTPAAGIVTGLVVLLATYLLTAVFFYIPNAALAAVIIHAVG DLVTPPNTVYQFWRVSPIEVFIFFTGVTVSIFAHIEAGLYATVLFSGAVFLYRILKAH GRFMGKVKVHSVIGDHVIGDHHRKVVGRFGTTENLDTSARNVFLPLDHDDGSNPEVEV GHPYPGIFIYRFSEGFNYPNANSSLDYLTDFIQSNTQRSSPEAFERPGDRPWNNPGPR KSAKRPVHVDADSTLPTLKAVVMDFSSVNNVDITSIQRLIDIRNQLDSYASPDGVDWH FACINNRWTKRALIAAGFGMPCRPEEEGLQRRWKSIFSVAEIGDRNSALAEETDFHGI TPRDLDITEEEPLVGGMPSVRSYGSMSPGELEKQRRRGAVVHGQNKPLFHVDLTSALQ SAIANVEERTVQGE FPSE_10732 MVAGYQRLNERKTQPNPNIVFIKPLEGPDKKIAQDFLERIAAQC RPIMREHHLYVTSLEEYEPNREFVGRNFNAGEVVQLVLKSPSTGRWLPFNYVQMVMMH ELAHCKQMNHSRAFWAVRNSYAAQMHELWSKKYMGDGIWGRGANLATGEWEKNTVLAD EILPEHLCGGTYRSRRKRKVKPQLSYQERKEKRILKKFGANGVALGADEVEKVKLESG KKIQAKPRVAGSMRGRELRATAALARFEKQKVEPDPVKDDEETDSGSGSEFEDEPGED SKDAIGVDGKKILDGQGRGMVRVCGDEDVDDLGAIDETQELQRMIRGIKGESPGPQVS DVPKNTQPQGQKKQSQSSATPTTKIKSESSKKSEQPKITVSLSRANAKDTSKATEETK PQSSTTPTAGTEPENSTNNQSAPIGTCSMCSYANPSLALTCAMCANVLDSSAPGSWQC SSDACQTTHYRNASDCGVCGLCGKRRGDATQSNDVE FPSE_10733 MSADTAPPNGNNNGEMYTRNRRGSITQAALTNLFQRGNSISNGN GFPGQSSGPIDTGRRRLSVTTLGLSGNSPTNTSSFVRRGSVSTNSNNSDSIDESAIED DDMYSKTAPNTPFVRRMSFGPASMRNIRPNGSPGNGNSPSSPPTSQNGRPVPAGRKAS LVGSPSQGTSLAAALSAGRRPSLASSVPQASNIKHTRAPSDNYVLRPDQQGFNWSEQL RSRAESSVIGAPRASFSLASSSPPRGSIHDRAKSVSEMPQPPAQASSVKQQPRQPERP KPDAFQERILKGDFYMD FPSE_10734 MSAATKDVISKVQRMIPPMLEKFHKGQLGRVAVIGGSENYTGAP YFSAMASARLGADLSHVICTPAAATVIKSYSPNLMVHPLMRQSENAKKEHEPAAWNAS KEHESSAEHIASQIQDLLPRLHVLVIGPGLGRDPLMHETVSLVIRAARDHGIPLVLDA DALAIIHKQPELISGYIGAVLTPNVVEFGKLCDALKVKVDDDAPETARVEALAKTLKG VTVVQKGAKDYVSNGETTLTVDLEGGKKRSGGQGDTLTGSIATFLGWRNAYMERLWDV GKDPIDEHELVGLAAFGGSAITRECSRLAFSKKGRSLQASDLTDEVHISFLNIFGEDK EVDESKL FPSE_10735 MVTKPYEKKRLYIQHPEEDYAIVSHRVTRIVPPPPREPSPPPPP PVIYRPPLPPPPPPQFYPPPPPPVIEVPCSPPPPPPPPVEKPKPKPVPKPSPPPVIEE PRPCSPPPEEPIEVIAVDVEPHHKKKKKPKSRSRSSHSHHSRHSSYDHDRERVIERER FVPVPVPVQVEPRYETYRYVEGARRQQYSPPSPPRRMIEDDRMRLRITDRERNTVTTE FPSE_10384 MPQLALQSQSQSTEASVATPVNTRFFDRARSPTEIPVDDSPTVG RIIRTSPSVPSLSLSLGSLSSPGYRSPRPVISSPLKAAANFPYEQASPSPRSDVFPSR STPTPTYRTNTEPDISSGLVKETSFLSDSSDEGSPGPLSPRQGYIGSPNGLRTVSEPI TSKPGTGSNSPVSPAMAGPVAAAQAESSRGLTRNSSIDSAISAISTKSGSNSNTDGQH GPSEIAHLIKTAGSPETLIQYLLKEKHSQSQQNSQLWRLVDKQRAMILGLNKDLERAL KDKEKYRKKLKEVISLPSAPLSSNDDAKEPTTASTPPAPRADLQRAKDQTVPPESPIL EAESPRNSPIDISMAPYPITPPADQLSAPHSAVGDLLNPAHAMPKPQDHALDKYDHEA EERAADDARKEKSDKLEEPAKDIPYNVAIPPSRSLPSEPPKMPPPKPPVTHLPTVAVL EATPQPDEGLSKFPVPPPRKPPPAPLKLNKELRTHLSPSPEEEETESDYDDILEVDEI VLDRRGRRRTREEDDRDREIIAIKEAAARSASKKSKSSQPGSPRDAAQVPVQEFGTAS LGEMLSGTKTREIPAPLLSPGLPASPRPTNLSSPPLSPRSMPFPAGPLSPRPPRQPIP LPPNTPLATPATNLARAPENGVASEGPSSQRQQAAQQEVVGHADQPIIRMSSPGERTE VYKGLVTDEYPELLLPPNALPSIKIMVASSRMKPSRASMMSLTQLEEDPVFTLAIISR SDNGELWRIEKDLASLTRLDSRLKQCASYTVRAPERSLFSGHAPAKLDARRTILEQFM DDILDIPFDTKTAVELCKYLSSHVLPPNLDDTASLGDTASEITGNKIGPDGRPRRSGY LTKKGKNFGGWKARYFVLNGPLLKYFEVPGGAHLGTIKLQGAQIGKQNQNNESQSPAY SANGDDLDNQFRHAFLILEPKKKDTSSHFRHVLCAESDKERDLWVEALLQWVDYRDPE DLEPPLSSRSGHHHDRQTPGHDHRTEHRTDHRLEPPNSGKVRKVPRKSYHHPSDSDTL VGVRYDSTQLGDAPQHAGPARPKTSGGLPETPHAHGFDNFSSSQSKLISGPRDPQPIS DLGAWGNKPNLPTADEKKQRKRSFFGFGPKARSSSEGQDSLFGGSDANATPPQNSYQG PVRQAFGAPLGEAVRYCSPTDVNVPLPAVVYRCIQYLDSKNAILEEGIFRLSGSNIVI KQLKERFNTEGDINLITDRQYYDIHAVASLLKLYLRELPTTILTRDLHMEFLTTMEIT DHAEKMSALGELVHRLPQANATLLKYLIGFLIKIINNADINKMTVRNVGIVFSPTLNI PAPVFAMFLQNYEGIFGIDPEVYELPSPVSESEIHQRFEAPPRFDVPARPSTAGSISS PHGQMRRDAQRSTPTPPLLVNNASMRTSPPSSASRLGYDPSNSAYDGGYRPPSANDRR MLQPSYEDLGSASASYDQNTMSTSRRRESSIFMGGMMGPQHQGSKSRLREETRF FPSE_10383 MELDPRLGATGDRASSLTNFRPDGTSGPSPNHHVSSGGGSLMPF SHTHDAHDDHNSADNTPSASVENRESPQHQHSQLHDEHDGDANDPKRPRACEACRGLK VRCEPDPNDEGPCKRCRKAGRSCVVTMPTRKRQKKTDSRVAELEKKIDALTASLQARA APVGGQTYAQGPGLAHSVADSSRNVTPKPPNDSSTPTASYNNIWRPPAWGSFASAQPI MQQTSAEHNSERASPARPSAAMAPTPATGQKRKFSDGREASIEQPRVDETPAEALAAY LTRAQGGDIVERGIITMDKATELFARYNDHMISHLPAVVFQPGFTASELRKTKPILFL AVMAVASAESPVLQRTLQKEMMLTFAEKVILSGEKSLELVQAINVGVIWYWPPEHFEE LKFYQLVHMSAVMAIDIGLGQKFKQRRGLVMPDAWRPTPGTSIAGPMPQSRRWTPPDS LSLESRRTWLTCYFLAANTSMALHRPNLIRWTPFMDESLQLLQSSPDAAPTDAYFCHL VWTHHLSEEVGVQFSLDDPTTDISMNDPRTQYILRGLEQELKRYKEKVPPDLLQPTLL ISFGVLNLYMHEVALQSRAESLRPPFSTANLQNGLVGGDKLSAAYISSVSTCLASIKD MLNTFLAMDVFSVRCLPVFNFVRVAYAVVMLIKLYFTASASGSDLGRVIDKDDMQVGK YLDGLLDKFRATAADDRCRPAAKFLVVLVMLRSWFYKQAKSEAKDSQPAPPSSSVRQS PSQQQQSTPANNSSTQPSERTSTANTPLQLLSEVATGAGSRNRNSSANRPSIAGWGSN IPQPPQPFFHDTPDNASSSGAPMPYYNEPFSADLAAAMAPVMPSLPSAADGTGSNNNG DMFDFPNLIPGWDLEGMGMGVGSQGMWEDGMRIFLEEPWFNTMFQGNPAAANNNMSF FPSE_10382 MNFSLYDATIVEAKLALGSLSHILKEAQKHPNAASFPEARLYED MNPLSFQVHAATRFAEKLVARLSGRESVEFEDEVVTFDDMHSRIAKAQELLEKADKDA VNRHGEEVAPTALPSAGTMDLTGKAFAMGAAVPNINFHLSMVYAILRKEGVPLGKRDY ITAFVGEYILK FPSE_10381 MSDPQERRQILEIPPQLYEKLTECQLPHVPMTADALLEWAELLS TQNFTDVILEDTSSNLVGLLRALSAFPFDDDFNAARKSVKSFSITIKDPSGKEGHPER DALGSLPVSLAQTIHGMTNLQTISLDLGPFSVEDGQKFYNAVMSSPPKPIWRNMQSIR IRGLHVLAACIMCRCDYECLVAVDVDNWVGSHPFEKMVVIDRLDRVRLYYDKDHATSP VYNELKFPSTATATWKELMRVSRRVLGIDWIALQEADCDRSNEFTQLNHVQMIQTAFM NVRAGVERIKMTRLAFHFDYRRFSPSVIRRNKGMPITNLPLNPYELGYWYEKRIIHLM RASRLREVWIFVHSSLAYVGTYDGYGDIAVQRREFGDEPKQGFPWELMEDSESNHYSP FPSE_10380 MRDTPSSVNESNTDSSDDSDDSEEEEEEDETMRDTLSLAYNPPN DNGDNRPLSSDDDQEDGVALSDDDQEDGIALSDDEEEEGILSSDNGQDKNEEAKADDF RPQLGAMYFALTSSPREPRYTFPFPNNPEAGS FPSE_10379 MSFIALALRSARSEVYSVGVKLFPAIIVHGQNHHTPSSSLNAYE SRVFLAGGTGRNSTSSNQNGVGDHVQSDKDITSIKSGHRPNPQVIRPILKETFDRIDT LIALDETLGPNDPTTELAAKALKESLEAWRNEMDLEECFQATMKPMPKPAAKPSAEQL TWLKNVHNLACQEIKQPGPPEELLAKVESLLTIALITEGSTGYPYR FPSE_10378 MDEDSEWDDSSYGDSSDDDSNHGNDSNDLQNLDPDDFGTSDVGS WLQENRGAWTTAPAVGDWPRIRTTGSTSKKAWDLNMAIEAAFREFKIAGAPANVEQRR ILRESHLTRLLRQVIYHMRDYFDENRNCSAESSMVRKTRAAALNARSKHLTGGTPEEV SKLLPAHSQVLACVFVTPNGILNGTLSGALVACNQRHLFGIGKKRLRKQLFPVT FPSE_10377 MDKSSIDGRRKNKNAALPQARLLRKSDTETPTSLRPASPPKSNP WSSTKKPTADSLAGMFPPLPKPQSPWSPDKMPTAEASMSLNESRLGDDIAQPVLSARS FSPVSNSSSTTRQLFEAAAPRATENLWKTPFGADVLVRTGNMLLKVHRNIVVPQSGWF RDNLPPPNLDGTPVTVDLRFAPEAVAHCLRFIYTGKIEICEFDHARPWYSSHIPRCVL AYTAATHLRMARMAKHLLRVVENTSIEIGSVIRRHYMHRDMDCSSWVQFSWHYQTALE VIVREQPQKLMVPMRLAMASILDAMLFWLARQPLFVSELKSSWWKIMQMSMPDIAEYK RLCRSPGNYNSPLPSEMALREMFEAIKLGHERKTIKSVATQTGKIPEDTVSGSDPRYY FPVIHRERRVSL FPSE_10376 MPPSLPGDGRGRVIRWGPVEEYYPHRREGRYPVRRGTAETAEVP FVRNDSRSVPRPPPRRSMDDVPVEVYRRRPQRQYRDAVPVQTYNEWEIPAQLPVNDPT GPERQYTESPRPIENEEDDEKEESRRQPSYDRAEFIWRRKSRSRSPIYSHYEATRHNR YRSHETNSGTSESDNDWYTRRPRADSFSSDDFDPYGKFDFSTQAQSIITESSKGDDSD AEPPESEEHVALAKDGKGGKTRSHLAFIDSSLYTGNAETGSSHTATLNVIHDLKGQKR SLFRWLHVPQDVMNFEDLWVEISRVSGLSELEKKAISRLRADVKKNCVKTRTNPKGAK VGYLEPKCIEVSLKSLKHETPTTETVSGSARWICIPYFSLEQYSGLLAATSSSLFPAQ TLLQFQYSRNTAARDMEQAVVQLGNAERGECFHVSQLWCLIIDNSLIVTCGTMTREDL NGQAIEIKERPARILTTERQGKILVEYGDSVVWSFEVEECQTWFTFWPSIPEFWHKDQ AITAETWPKILKLASNNRSAPFKLTMRLGRPPEPPPRAILRQEPHLLPTTHGGKGKAK IDDFAHILALPAGLKDFGAQNAGLKLEVQLNAAESFLTGETTLSERKAYKTCKSATRI ECYEYLTRLAGRVEDDGSDTVRRAYQEKVDIFNAADIVYSFFIPLKFNGPMVDKFWGA LRALIELPTIDGDFLRPSFDNIAGSLRTSLRYVTQNLFALQNILAHSSKEERAEVELP VEFSKAWLYIVMGMVYSSKDDLKWNSRIKRAEALIEKGSKKLVQGLCQKSLLDKVAVL PLEVLSLIIMGLLQDQVGKSDDICDTYSQYMNSLDNAITSKPSDRTFQHQIDMVQQEL KAVNQTLCRQKSLISRLRKSLTAIDTLDIVIGQLEHETALRNAERQHSSNKRHYSETV PPPPTYSRRHDDDCTRTAPINYTQEATANDYMGLDDDFLFDMASTSKLSPTDAGGLRG LFFLECSRLIEQREFEFRRFSDFAIDLERAIVYKMEFTRDRQERAIYAFTLVTIVFLP ISAVSSIFGMNTTDVRDMPYSQWLYWAVAIPVTIVVILIGLWFMGELGSITRWLLRRS ENGGSGTYSRPAALPQTLETAYWTTAPAQSTTQVAEYSSPYHGPIGPSLGDLFSIYYL SLAAEEKTVAKPPPDILSRKQLKGSATYSAHIPVCIYGMETALVAFFASKCLRIGFPI TDTDVILSQQPNISHESFTVNLNIPKLREGHELKSWQETGARELADSCNFLLGGLVIG GETGPGKSLMALTATMHQLN FPSE_10375 MFRNALRQSTRAVGAVSAAGRVAALRNAAPASINAARFYASDAK ATPTEVSSILEQRIRGVQEESNLAETGRVLSVGDGIARVHGMANVQAEELVEFASGVK GMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDIPVGPEMLGRVVDALGNPIDGK GPINTKERRRAQLKAPGILPRKSVNEPVQTGLKSIDAMVPIGRGQRELIIGDRQTGKT AVGLDTILNQKRWNDGNDEKKKLYCIYVAVGQKRSTVAQFVKTLEENDAMKYSIVVAA TASEAAPLQYLAPFTGASIGEWFRDNGKHSLVIFDDLSKQAVAYRQMSLLLRRPPGRE AYPGDVFYLHSRLLERAAKMNDKLGGGSMTALPIIETQGGDVSAYIPTNVISITDGQI FLEAELFYKGIRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFAQFGS DLDAATKQTLNRGERLTELLKQKQYSPMAVTEMVPLIFAGVNGFLDTIPVNKILQWES DFLAHLKTNETELLATIDKEGAISKDTEAKLKDVVQSFVKSFLG FPSE_10374 MASEKANNPMRELKIQKLVLNISVGESGDRLTRAAKVLEQLSGQ TPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSETGN FGFGISEHIDLGIKYDPSIGIYGMDFYCCMTRPGERVTRRRRTKSKIGASHRIKREET VKWFKSRFDGIVR FPSE_10373 MSNKQGKMAGYINWRMRVTLNDGRAMTGQMLAFDKHMNLVLADT EEFRRVKRKQNKPAAPGASGSGSLVESEEKRTLGLTIVRGAHIISLSVESPPPADPSA RLGKSAPGGISSALAAGPGVARPAGRGAAPPSLAGPAAGVGGIPPPGFPGFPGGAPGF PGPGGRGAPPPGFPGNFPPPAGFPGGAQFPPPGFNPGGPPPPGFQPPPRR FPSE_10372 MTSGAPPNYYAILEVAERATTQQIRDAYKRAALKTHPDRVAGDS PERAERTRKFQLVNDAYFTLSEPTRRREYDAQRKLFNTGTTPTNPFDDIPETETEGGA APRQSPYSWAWNFFTNQATGGQPPPQDREQTENAQFSDVFEEMMREEGMAEGRDNHPT GRFWGMLGGASGGALGFIVANVPGLVAGAVAGNRLGAVRDAKGKSVYSVFQDLPQDDK SRLLSQLAAKVFSHAVGI FPSE_10371 MSSSLPVLAPRLPGCPPPVDDRTYTKEHSEDEWESMREIIKKLY IKDNRKLNETMAILQARYGFAATEQMFKKRLKKWNLRKRTYRKGVSNNSAAPTPVAEV EADTQKKMEVDTDTKTRAETEDTETTFEYSGTSTVDDHDSSSSSTSPEEHRDQDDTSM AMTLVRPSNTGPYANLEQVLGSVFNWSQSKLEFLPNISDPMSAYLANPNSPPIQDSRT MYRIFELVFDLWYHGKGDLAGMAARRGFYVLEFVLSEDHPDLIWHVLDTIFDMVDRGH LQLMGLFVDHATVLAKRQLPAQHPLLLILQQLRNVDYHSDEGRSYLCHLLRQAWLRNV DLLGQHIETSDSHRLWLYEQLIWDGRTRLRKGSELGKRQEAMYQALKRMSEAQTQTSM AVDADWLRVEALRLEFTQMDVGDKVKAEELAVNLLDLTRTDSGPRSNDRFHAYACKML ARVQEAKKDWTMAEQNLKHAISKRESAHGGDNNLRVIRDMWVLAAHYQKAGRVVDANN ITADALSRAQRFLEQGME FPSE_09039 MSEHLAVISSKSQFDSLLKSSRIVVADFYADWCGPCKQIAPLYE SLALTLSRPNLVTFAKINSDEQAELSQEYSVSTLPTFLVFRDGKEIDKVQGADPNKLK AIIQKLVTEVDSLGESSGSGSGDGANWKGAEAPRGYSDITDQIELRDLEVLNADEAAG GVRVLFEGSRPSGLGNGKGTSKDYVQSGADDQLLLYVPFQSILTSLPPKDDEDVMRPG NVHLYINRTHNLDFSEADDTDPTQAIEIGPEDWNADGTVNLSLRYVKFQKTSSLVIYV QQGEGDGETVRLDRVRLIGEAGAKREMGKLQKVGEDE FPSE_09040 MFESALQPPVDGPEAIQGAVYADPDLSYDQDDENPDFDPSIPNC DTDTENPITGTHNIEGHHAKYETKCPKALTDQIWKNKLQEMSTEELGSPKVKCIADLV VRVQSDYPGEKLITASASVRFLDILGEYLSRLLPALTTVHFDGRTTSVAVSTYRNCLK PPRGMLATGGIRDEIDWAFATQGIAYSTGQRHRSSNQVIQIRDVQASQFCIKDLATLD TTTESTDKRFFSEKLALVVGECLLRPASDMLSNREKKKPTATDLDKFDYSVHRCLGTK DTALIVAKIDAKQLNLYQLTNKVDGLCDTSSWEETASRISETLWSGQEIRQTSDLPTQ EPSINLEDELQDKIMLALSLYTQTGSIDTQEQIAETTRESLGLFPESRNPSTEMNLQC IRLLGAGNMTKRTASKFIKA FPSE_09041 MLRSRPTRQAIRAFGHARARTTLPATQRTKATATTSAAPQTRPV PSPAFNLEPESRRHVQPLVNRSNPEMDESFIGKSGGEIFHEMMLRHDVKHIFGYPGGA ILPVFDAIYNSKHFDFILPRHEQGAGHMAQGYARATGKPGVVLVTSGPGATNVITPMQ DALSDGTPIVVFTGQVVTSAIGSDAFQECDTVGISRSACKWNCMVTSIAELPRRINEA FEIATSGRPGPVLIDLPKDITAGILRKAIPTQTRLPSIPSMASQTAKQVMEKQLNASL SRAADLIKIAKQPIIYAGQGIIQSEGGTELLRELADKCSIPVTTTLQGLGAFDERDEK SLQMLGMHGMAYANMSMQEADLIIALGARFDDRVVLNTSKFAPNAKAAAAEKRGGIIH FDILPKNINKVIQATEAIEGDVATNLKMLMPMLTPTSMEQRSGWFNKISEWKAKWPLS NYEHSDAPGGSGLIKPQTLIEELSDLTSKIDKKTIISTGVGQHQMWVAQHYSWREPRS FVTSGGLGTMGFGLPSAIGIAVAKPDALVIDIDGDASFNMTLTELSTAAQFNIGVKVI ILNNEEQGMVTQWQNLFYEDRYAHTHQKNPDFIKLADAMGVQARKVTKPDDVRASLEW LINTDGPALLEVVTDKKVPLLPMVPAGAGLHEFLVYDKEKDKARRQLMRERTSGLHGS FPSE_09042 MDEDNTMEGNPNHCADEDNMMEGNPLLYLYIGTTRDSVVTDLKA ELAEVKKQLAAREEQFIELSQRTAAMQTEFSNIVATLNQKNTDFNKRFSKTKADAAQR AETIDEKLEILDERYTRAYEAQTEQAEYLWSCYARTRDDEIEALTGRLDAVENEVKIM EIGSMRIKRDAIQKDHLSSKLWMALTGDRPSPTPDRKRQAREARLPLPERLMSDESES EG FPSE_09043 MIQTDGPGSRPDPTIISSGDEEDSESDRYSSVRFKIDSLDDEKY AIRIASEGDGNPRAETPAS FPSE_09044 MANGFGSLERFFAKKKTPAALSDHHVQAAQPASTQPASAPPTIP QFPSPSFIRPKTSRMAAREEVRKPPASRVPSFPGSGSPHQMDFTSFHSPISRSDSLQS QYSPLKASFMPIQADSLSDNFDVCQFPRPPTSQGGTCASSPTRDRKPSMEARSECSLL HRSPRKRAAGGSRLETPPSSDLEDEATSSPQYFHDKELPALPQRKPPTPDSSPELRPL HIAQLTASKSIDILNKAVCRDIRRHFAETLEQRRVRKAASLTSQDPYTNRLSVSSSTL REPDFNEFFELSDDDIAELAPEETANVDTSMPSAMHSAPGSNRSSLLVLTPLVHASKP AVAGAFEAARIAARYEFDFVYVVNLWTDYSQPQSDVSTGLCSPGKKFRPLAGRLLASY GMENVNAPVQISTASHRKILKTPGWIEYRPQNFRHDEFARGYAHAFHTGQGSGNGSVA SRNSSSSSASVQPSNPNRGIVFAAYRAPRADGSLACTKDDLSNLHKDAEALVEMLVDI NVTNQLREPRSQSRQSEETGPMPTRRFGFCEADSSGHPQQIFLQ FPSE_09045 MGKQAKQAKQSNQAQQSNPADTANPADTANPADTANPADTAKHD DHAERESWHAANLKAKMLVEFFKGLRGEHLTADISQSSKYCREMLYRRLLTFDTSHYP PPVHATSLSLQFRYLADSMLRYAHALGADDEWIAFMDFTTAFRTRYNSASYDMRTDCI PLDMSICITRLYALVTSDHTITGNAEKDDHIGLDFLILMAARMEPTFDHNGSDCEQYW TLKFIETARRAAKGAAHEANISIKLYLYFLVSRLPQVLQRF FPSE_09046 MTGLLSPTALHPALGSPRDRDDNGYSTMTLSPASIPLPLPSPSP LKKTTFADSLTLTSDSFQSQTELDFEQQATNPLKPQPSLEDSTSTSASTSTLSSAQAQ DQQKDTTLLSSSSLAASPSSLPPSTSDQTASWASSQYQAHRSNSGPRVSASVIRHHKP DALSLALPPSSSKMISTEASESDSQSHSQTASAAVPGLSSVLDNSSSATPSQSLTDAL NDLAKSRLPTAGLTPTTARTYTSATSNDTAASDAAATILASTLQSPCFYHQRFADAVD IGKVLEEIKNDVSMSHSRLVATATGVREVSKQLQRRPIKRAVRNIMIVTKARDNQLVY LTRELAGWLLRTPRYGSDLGVNVYVDAKLRNSRRFDASGLLAENPRFQHMLKYWTPDL CWSQPEKFDLVLTLGGDGTVLFTSWLFQRIVPPVLSFSLGSLGFMTTFEFEKYKEHLN RIMGDDGMKINLRMRFTCTVQRNNRGAGALDAPKLEEPEQFEVLNELVIDRGPSPYVS NLELYGDDELLTVVQADGCIFSTPTGSTAYSLSAGGALVHPDIPAILLTPICPHTLSF RPMVLSDTMALRVVVPRNSRATAYCAFDGKGRLELRQGDCVTITASQYPFPTVTRTDT EWFDSVSRTLRWNVRAAAQKPFDADVAEDGKDDEEIGWDIDTDSACYASEDGSVSASP VRRQMSLLGL FPSE_09047 MAPFAEPVAEISHLAKSDGSATFSYGGYAVIAAVNGPVEAQRRD ENAFEALVDVIVRPAAGVGGTRERQLESIMQAALRQIIPVRDYPRCVIQITLQVAETP ENAYVNAKVVQAQLNLPIIPALLHSAILGLLSAAIPLKTIGAATLIAVPEGEGEDIIV DPSAVDIDHAKSVHALAFTSHDELLLSESEGAFTPDEWTKVLQLGQRVCCEHQQPGLD TAMSGDDIESPSMRQFIRSAMGAKVAEDLYWK FPSE_09048 MTSQAPPQPASSPGVAAPAASSSIPSLKDRLPKLEPRKRRSGPS NPTPIPETPALPTPPDTSSNWTFKTPSRRILSKKDHDIFLSSPTCKLVTAWVFGLAES IVDTPNSAIRDADLSAPIKTILHILDETEQLVTKSPPNEQGGSRFGNKAFRGLLDLAQ KNSAAWHHDIGVQNEDAINELSTYFCESFGNANRIDYGSGHELNFMIWLLCLYQLGLL KQSDFKPIVLRVFVRYLEVMRVIQMTYYLEPAGSHGVWGLDDYQFLPFLFGATQLLHH PYITPRAIHQDLTLEEFGHEYMYLGQVSFVNSTKTVKGLRWHSPMLDDISSARSWTKI DGGMRRMFVAEVLGKLPVMQHFLFGSLVPADDSMSEDTGAGDEADVEDDPHAGHDHTG KAHDGTGWGDCCGIKVPSSIAAAQEMKKRGMNQGLRRIPFD FPSE_09049 MLTSLQYVSSYSVFNPLEDHTRRTNGGLELGMAGPSSRSNDDRV NGGQSRAPGFASHIERLRVKNNENVRTVFAAGSGVKSAPSGSDTIVEDNDYNKYQYDY YNYDCDDKNDGDYEDDGEEQDDGDDEQDDNFNDGQQDVTLESYDGYGKQQEDESGYVV TDMQGRLWFQPWALPKLRRQRHILDWHGRNEAYEPLWADSELEDAYCTAIEKVSDFNN LPLWSAVDSMKNDKNGTEETDDDTKFDLLQDKAVYTTVDEVVFKEAQDKFIYIRKHLS SLDDVKRFLKSANTANIEIYKKIVEPNSCHVSSNDGFHDGYYVIEGFSMSFWTKRPKR FYLSTLITDTMLNRCNDPISRSLHLRLYRQDRLGIVIARAAIGSSNIVLQELLRRLDF KQPYIHLRLQTALVDLVRLLYVNSQLLQYSIRLTIIYEPCIDRTWNAMLLRVAIADKG LMNGS FPSE_09050 MSEYKKAQARVRDLVEKRRALERRLTQVEDGIAQKETIYLDSTP SGNIITGFDNYMKGMSGAAAQRRKVGPMEQNRVFSRSSISYRPNNLEGITPGSGGSTP AGATPSSAAFRDGPSNHPTPTSTTAAKNVNKSKKKTVEHEDSENDTSTSKKRTNFGAQ RK FPSE_09051 MTRQATRFTPEVLLSAPRRSTSVPNSTGELVLYTISSYSFEKHS GSSQIRVLNIKDDSSHVITEDAHDSSPFWIGENEVAFIRTNDNGISSLYYAHVLEKFE PRMIQYFAGAISNPKTKVLSEGKIAFVCSSLTTPKGDMYWQATQPKAYTSAKIYTSLF VRHWDAWNTENQNSLWYGVLNKKNDRWLLENSSLINLLAGTELSSPVPPFGGAGDFDI CENGIAFVSKDPELNPARNTKTDLYYVPIKSWTEKAPKPQIVKTGRLRGYSSAPTFSN DGKKLAFARMKSQQYESDKTRLLIIPDVTDLSNVQEFYQTDDDEGGWDLRPDWIVWRK DDKELYVAAEKHGRSMLWKLPADTLEARNLPVPIHEDGSVVEAHTLADSDSLFITTRS RVENSSYGILNPESKSVKVISASSKNGKTFGLSKSQATEIWYPVSTGYDNHALVMLPS NFDKSKKYPLAFLIHGGPQSAWTDDWSTRWNPAIFAEQGYVAVCPNPTGSTGYGQAHV DAIAENWGGAPYDDLVKCFEYLEKHVDYVDTDRAVALGASYGGYMINWIQGHDLGRKF KALVCHDGVFSTQSQWSTEELFFPEHDFGGTLWENRAGYEKWDPSRHTSNWATPQLVI HNELDYRLPISEGLAMFNVLQARKVPSKFVMFPDENHWVLKPENSLIWHREVLEWINK YSGISDEGNLEKKTEKMTV FPSE_09052 MTTPPSLFHALLRPSILQILRSTGYHSTRPAVLDSLTDLAARYL SLLCQSTADHAAHNQGDSADFTIADIRMALQDAGAIMPERVPAEEMWRGEEDLRGVEE FMAWFAGQRMKEMMEVGSGDGETDATDYLNALKKKHSKTGEDAKYHGTILGRGHDTGE IQVEGGPETTIAEWISNRASPQSSPTPGQDEQEDRDQPPQQQAPQQNGHASDDESGLS SVGDRIDQDDTMDLS FPSE_09053 MATGVDARLLKSTKFPVEFSQKVDMQKVNLQVMKKWIASKISDI LGNEDDVVIELVFNLIEGPRFPDIKSLQIQLTGFLDKDTAKFCKDLWKLLLSAQSSPQ GVPKELLEAKKLELMQEKIEADRAAETAKQRRDDWDRRDREVNDMKDRDRRDRAATRG DTWQGRRGDRDFDSRGRGGGSRRGGRRSRSPAPRFRDSRDSYGRDSYVPRGRRGGGRA DHGRRKSPARSRSAPASSRSSSRSRSASRDSDKSNRRSKATSPGGRGDRRKRSRSPKG DSYRGRANRRQDRGRRSRPSRSISSDRDSPVAKRRRYSSSRSRSVSRRRRSSRSVSSR SDSRSRSRSRSYSRSRSPLNSASPDRKVKRGPKHGRGGQRSSRRRESPEGRQRNSSSA SSRSRIRDKSADVSEDESRAPPTKPEAEAATE FPSE_09054 MENLHAPTVPSGETTASVTNGDAGHLSFAELQRKKDDVEAELKA LGGVLDSHGVDMNTSLLTSDGFPRADIDVAQIRTTRARIIRLRNDYKALMARIEKYLH DHFASLDENDVVPVAGQRNSQSVLPDSVSAPLDPPFAKVNTVALGGPAESAGLKSGDE IRNFGYVNRANHDNLRKVIECVQGNEGSNVFIRVSRADGVAERQELRLTLTPRKDWGG RGMLGCHILPL FPSE_09055 MAEQQTPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYMATLGVE VHPLGFTTNFGQIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPNW HRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFL WLARKLVGNPQLEFVAAPALAPPTAQVDEKLLEEYRKEMDEAAAMPLPGELSDDDL FPSE_09056 MAVPNNKSFVAATPDRHAASAPSQHDIAVTPQSLPPLADATGNS SMSAPDSEKHPKGKRKRTVAKDKMILEEAYSSNPKPDKQARLDIVQRVSLNEKEVQIW FQNRRQNDRRKARPLSPQEVAALQFGGMNVIPSDPIVNTTTPSRSEKAFPVTDPTGSR YADHISAPRPFDQTPSMPRTHSDLLNSTPVSIPRDRDYRFPDVTPNRIDPTLSHSAHG ASHSLSSSISSNVGYLANRWNSAPSSFSTPPAMSRSGDDSFRYDHFPPSSCTSEGSSY PQSQSKVRLSMSLEGKAELVSNQGSPSREMPPRPSSTTPSLPQVRQRSLQRSHSAVPS ITLPPITALTNSLPPPRFMRGRSRNVHAWESCADAENRDELTAQAEHESNGSAIAAIN ILRSLGGTTQQPSPAKRNAPMTKPQQRPHQAKKARLDSTGSSISLLGTELEELRKADR EYGKVKVSMLVSPTGGDSDKENLSPDEDHSSPDPFHRRPQPPAPKPVGENPRRIGRVL QEQKSPNLLANRANTAPARPRSIIKEGLEIFEDTMKSAMPSRENEVEKFMRGSVSPSK KPDMDCVAGLLSLSQGAWR FPSE_09057 MAGRPLLRELRTSNESICRSCRLAIRQRPTPRMSAAYSTKSIAK HSIDDAAKRKPTKFELKQYIDRIKSLGNPGKDDKESFSVRFFEQNDNKRTELPGEGAF DDSLNEVDTSGLREALLEIKDDIGGKDEKAAFQDVVDQLGNEWHRMKSADDLERIIAK LDAYTAAIDEEIDKEGADLPKEMLERLDSELPGLPGLGSLGSRVTIPQIPEKPWTNNQ RRKISRLNTVLSQAARNYRRGIKLSTKTVQSVYKNYHSTRLSLAQGWSHVPLEVWNLL WKIFSVDESVNIHRLSHIALLARDMSEAKVTLSPAQQLLTIEAAFVDGWESKATENWK RCIGTLGDQNAETFQEFWELGVRMYCRTGDLDQAQRAIGKLIQNQSDPRILMPIIRTW SELGTEDGKEKAWAAYRQLRELLGERMELADYDQVISYFLTTHQTENGLYAFVDMMSD GKIDLKQQKRLPSVVGNKFFFGKWLKRLIGAGDLNGAHSVVEFMGQKGIEPAPVQLNG LIAAWQRAGGVEDLEKADKMAWGMIDTRIEFVKARKEAMDSQDGLPGKRNPQALPRAT LETFSLLAENYRLRDLHGRILALWDAFHEAEMKADSFVINQLLESYIQAGQFKEALEL YQKLVVEKGVEPDPFTFSALWKTLSINRLHIAAGPVAGQVEQTRSMFAETIKFQHVFL PDGMDGQIARKILHTFRRIKDNVGLVVALTTLREGFRFLPPETLVLEMVLETTKLAWD SPTHRRRLVNAKRDLDQSLAASADGGVSNLENERRAIALFDYLLRQYWPAEGDEAHKS KLFKEAAAQMGVYDLLKKSVKQ FPSE_09058 MSNSAPEFLKFSGHRSFTQRLVLSTLTGRPIHIAKIRSSSPTNP GLAPHEISFLRLLEAVTNGSSMQISYTGTTITYHPGLITGTIAGFGASDGDVINHNIS VNNTRGVTYFLMPLCLLAPFSKAHMNVRFTGPGVITSSTETGDISVDSFRTAILPLFG LYGIPPARIELRVLQRSCAGPGGKGGGGCVELRFASQVRLPKTLHLNRSPGRIKRIRG VAYCTGVSASNNARMIHSAREVLNPLVPDIHIAAQYDQAPLVPTGDKAGSKRRLGIGF GLSLVAESSAVGVLYSADVVVPAQGGVVPEDIGKQCAYQLLENISQGGCATQVSAKTM LILMAMGSEDVGRLRIGREVLGNEEMVILARDLRTFGASSWGLRDVGDDTNDIIVSVK GTGVGNVGRKIA FPSE_09059 MSDDASPSSTAPNDASPAEPANTSSSSRVTWNLPREQSGSTRAR AQSQSQRRKKKKNRKKRNPGLTKKLEFVTHLLKSLDTLVFAELSALYYMECSMFRFIL RSVGQYLYLTPKDESFPFLMPASPIHVCLVLIPNIICILLHILVSLPVGPDFHRGYMH GGLVIDFIGQKPPTSRIYYVLADVVILAVQCLMLTVHTERERLRVVLKTFRPMVPDVA QEATPTIEDLDAEERGVSRDMPGSLPIDEEDGIELQPLHRVSTTEEGNSTSGESEPSS AREQSIDEPTRSNLSDVLSSGNGIIGEYHVLHSLHNAALNIERTAAYSLRTIGYGATM ASIEARRRATLNVPARAAQNDRQ FPSE_09060 MTTSSSAPSGDLASQILQALSEKTPLLSSEAFPTIAFQDIKASL DRLASRSMITYETIDKEEAILEPEAEQIAEHGSHEARVFEALRNAVEGLSIQDLEKAI GDKTVTKVGQGKAFKEKWIKKSNDGKLVATAESIEDVTRAQLQKIKETRTHEPKILTD LRKRKLIKMQKVISFKIEKGPKFALEITKEETDLTADMIASGSWKSANFKPYNFKALG ADQNAGALHPLNKVRHEFRSIFFEMGFEEMPTNKFVETGFWNFDALFVPQQHPARDLQ DTFYISDPAVGDAPRSEEGQNTEEYWENIKQVHQDGKFGSIGYRYPWSAEETKRLVLR THTTAISTAMLYKLAAQKGPDGRPPPARYFSIDRVFRNETVDATHLAEFHQVEGVIAD YDLTLGGLMEFMEAFFAKMGITNLKFKPAYNPYTEPSMEIFSYHEGLGKLVEIGNSGM FRPEMLEPMGLPKDMRVFGWGLSLERPTMIKYKISNIRELLGHKVDLNFIERNPAVRL EKE FPSE_09061 MDSGAPQTGAATGNVSGRRRSSGFMPAFAGLSEQKRGSQDSAAR RASMSDQAPKSGFFGQFFHNNFGRNAQK FPSE_09062 MGLLAENKTRRKINKDPNNTKWTKDTNTFGQKILRAQGWQPGQF LGAQDAPHSELHTAANASYIRVVLKDDMKGLGFSKSKEDEVTGLDVFQDLLSRLNGKE EEAIVEDQQNRLAVKTHHFVEQRYGAMRFVYGGLLIGDEMKEAEDKAAEKKKAEESSD EDVVMESAPTPKESKKEKKSKKRKASSDEDEDEESSSHDTDSKSKKRRKRKETDGDET KAKKKEKKEKKDKSRKKSSAEASDDEDATPSEERSKKRKSKSKSKSKSSSDGSDEEKV KDKKSKKEKKDKKKRKKEEESASAADSTPAASVPGTGVTTPSGISTPRGSRNFVRSRF IAQKRQAVLDTKALNQIFMVKA FPSE_09063 MDSYTSFRDASARSPVDRSWNRDDSRNRDERPDRGDSFYRGRSP GHDRRDRRRSRSPAAVDRYEPRPRRGDDRDRDDRRRIQSPPANIDRYVPGQDSSTGSP SVNPLHDPSKLPYQVGFSYFGEWWRINEKMKEEKERARTGRRREPERTRGPEDREKEK AKIQAAYDAYKEELQAKMARSFVSEHKKEQWFKERYVPEIRDDFRGKLNESRRGAYSQ WEQDLESGTFDDFSLEGLPKSESNGSGGVVEKEEGEATATNEILGVGDLVPANGADIR DENQFQPTLLIKTIAPHVSRQNLEAFCKEHLGEEEGGFKWLSLSDPNPSKRYHRIGWV MLHPSSEATLPGDRIDPKDEDGDESLKSPLPVSTAEKALEAINGKTVKDEVRGDFVCH VGVHSPPVHPRKKALWDLFSAPERIDKDLLLVQRIVNKFEEDFGSDFQATLKIEEKVE DLRNAGQLQPAVIPAPVKKVKKTREVGMDEAMDEEEDGVIDIEEDEEEEEGAVEDEEV DDEDLLVKKKQLDLLIEYLRRVFNFCFFCVFESDSIHELTRKCPGGHLRRPRSTLSST AKAVARASANGDPFPGKKRSEDDAEGELTESEKKFRNTSNKTEQQLLRAFNWVKTFED KLTQILDPQSVDIRKLGGRPANEAVEEELLKHVKQEDEHKWRCKAPDCSKLFKEEHFW RKHVEKRHNDWVDTLKHEFELINAYVMDPSHIAPSRTDANSNGHFPTSNGQSSSGTPR GFNLQNFSMNGMMGMPGFPMPPGNFNPMFANMPPGGWPPMGDDRSGGGPIRRGGMGGG RGQYRSGPYDRRGGPRFDGARNRNGGSRWGDGAGGAAGGPREAVQGRSLKSYEDLDQV SGGGSGELNY FPSE_09064 MSLMDAFAHEMTTVLYGNPHSASPSSQQSTSRVEDARMNLLTFF GADPTEYDVVFVANATAGVKLVVDAMRTQPQGFQYAYHQACHTSLVGVREEAVASISI DNSDIESWIQGKSPFRDTTNSSPITLFAYPAQSHMEGRRYPLSWTNHIQTTPQNTGHR ILTLLDASSFVATSRLDLNNPQISPDFTVLSLYKIFGFPDLGALLVKRSSEWVFDNRR YFGGGTVDMVVSGKEKWHAPKPYSLHERLEDGTLPFHNIVALDIAMKVHEKLFGSMDQ VSSHTSYLSQRMLQGLANLRHANDTPVCTMYTATSGEEGDLGSGPIISFNLRNSHGAW ISLAEFEKLANLKSIHIRTGGLCSPGGIAAALDLQPWEMKNNFSAGFRCGTDNDIMSG KPTGVIRASLGAMSTEADVDNFVAFIDEFYRESTLSTIRPDSALLNSTTTPQSSTLKV NSMTIYPIKSCAGFSIPVGVPWEVRPEGLAWDREWCLVHHGSGHALSQKRCPKMALLR PVLDFDKGELIVTYHGKEHNDQQQQISIPLSADPSVIDSDLDKRSSRVCGEKVTTQIY TSDRINSFFSSVLGVPCVLARFPPGGLGLKSRLSKAQIQRYQQPSRVHAIPGSFPDVP SPPDSDSEQHKTSRILLSNESPILMIHSSSVDALNQDITRRGGNPAEDKSFRANIVLG RAPGFKALSAFSEDSWDSVHIGLQSFKLMGACRRCQMVCIDQDTGEKKEEPFVTLAKT RRFDGKVYFGVHMRHDPLAHGDLTSKESQYPTIHVGDSVSVQYRG FPSE_09065 MEGRRSSTLPGGSRRGQLSGYLPIEDYGMIGNMHTCALVGIDGS IDYMCWPDFDSPSVFCRLLDKNKGGHFFISPPPDVNCTTKQQYLPSSCILQTRSIHED GVVDVVDFFPRPKSTQVTTKGVKLNAYREATKVQDELKKWLIRRVECIRGSMSLDIEL FPSFNYGMDEHETTLYQAHHSTTDTMSKVATFRSKDVQLQLDVAVDKGENETSCPSIT FRKEKREGIHGEGLVAQICIEEGQTISFVLRNDLQQHVTEHITTEVLDAQQHATQSFW YNFIAQSKYKGRWREVVSRSLMILKMMTYEPTGAIIAAPTFSIPEAIGGVRNWDYRYS WIRDSSFTIYILLRLGFKAEADAYMEFIMERFVHSRGPDGGLPIMFTIRGETDIPEMT LDHLEGYRGSSPVRIGNGAAFHQQFDIYGELMDAIYLYNKYGKPVPWDVWKAVREILD YVLTILDDPDMSIWEVRNNKQHFTYSQIMLWVALDRGLRLAEKRCFPCPNRNKWMEAR DRVYERVMENGYNEEMKCFVQSFENRTMLDSSILIAPLVFFISPCDPRFLNTLDRVLL PPEKGGLTSTGLVYRYDTKLSNDGVGGEEGAFSMCTFWLVEAMTRAAVYEPKYLVRAV NLFENMLGFSNHLCMFSEEIARSGEQLGNTPQAFSHLALISAAFNLDRVAK FPSE_09066 MTALPLRSHPVSSPSPSSYSSSQPLPSSSTRPPASDSPERLLID DSLPVSNSTPLETGARPSSVTARIASTSNPGASGGSQAAAGPSRDRVARSVNEEDESE SDWDIEMEPIAGHRRRRSSHNMAVRPAGSPSRLRETSRGPVPVNSTVEPKISEENLDA NGFAIRKDESGDDSFTDEDLQDDEETGLTRKDKQRRQKKRSRNTQLDQRIVRDKKDIS KEERKEADKAVFRSLIVNLVLILLWYLFSLSISIYNKWMFDHDRLNFAFPLFTTSMHM VVQFVLSGLVLYFIPSLRPGYGANQAGVHQSDLGRSRHDDEPKSYGMTKMFYLTRIGP CGAATGLDIGLGNTSLKFISLTFYTMCKSSSLAFVLMFAFAFRLETPTLRLIAIIATM TMGVVLMVFGEVEFKAGGFALVISAAFFSGFRWALTQILLLRNPATSNPFSSIFFLTP VMFLVLICLAVPVEGIGNLVEGYKVLANEWGYFMAPLFLLFPGCIAFCMTASEFALLQ RTSVVTLSIAGIFKEVVTISAAALVFGDRLTPINFVGLLTTMAAIAAYNYIKISKMRE DAQENVHGRHADEDEPGSPGSQTSGIIDRDGDTDAENTGLLRDSIDRLDIDEPAPATA SERR FPSE_09067 MSYPPPPGISNSSLPPRPPASKSGFKPSFSSSAQAASKPAYSNA PPTYSGPSSYSATTAPPTAQYGPSYSSYPTTAPGAVSSAAAYSYPQQPQSHGPQSYGA QSYGPQSYEPQSYGAAPQIRNPFPTPGTAGPEYNPEMAAQIAQWQSAYSSTPADNKDK TVGPAAPRTDTQSSAAAAAATAANQERKKTVVREGGGKKWTDDSLLEWDPAHLRLFVG NLAGETTDDALLKAFSRWQSVQKARVIRDKRTSKSKGYGFVSFSDADDFFQAAKEMNG KYIQSHPVTVRKANTEIKVANVKDKDRNGKKNKNKKGGHGGHGGGASYEPNLGPQGSS GVVKPGQKTKNGLRLLG FPSE_09068 MSGAVADLGLIGLAVMGQNLILNMADNGFTICAFNRTVSKVDRF LENEAKGKSIVGAHSVEEFVSKLKSPRRIMLLVQAGKAVDEWIEKILPLLDAGDIIID GGNSHFPDSNRRTKYLAEKSIRFVGSGVSGGEEGARYGPSIMPGGNEEAWPYIKDILQ SISAKSDGDACCEWVGDEGAGHYVKMVHNGIEYGDMQLICEAYDIMKRGLGLSSKEIG DVFAEWNKGVLDSFLIEITRDIMYYNDDDDTALVEKILDKAGQKGTGKWTAVNALDLG MPVTLIAESVLSRCLSAIKDERATASTKLEFVSRTTKFEGDKKQFIDDLEQALYASKI ISYAQGFMLMQEAAREYGWKLNKPSIALMWRGGCIIRSVFLKDITHAYRSQPDLQNLL FDDFFNKAIHKAQPGWRDVIAKAALLGIPTPAFSTALSWFDGYRTKDLPANLLQAQRD YFGAHTFRIKPEHASEKYPNGQDIHVNWTGRGGNVSASTYQA FPSE_09069 MAQPDPSQGVPQELMPHVHLISSFRYPVMPRLDLNEVAKWLMSA PQVARDRAPFFWTYLDKPTDGTILLTWQPLQRLGTNFATDGYVWAPPEQVYKHDLGNG LMLEIYYQKAGYFPGEQYALHARRRCRLVPIPGHPNPPQPDVGLFIVHYGPADPNDRV PVSMIPFDERVNNIMQQRHFLQRAGQIRRKEFMLSDRVNWPQLPDLTRQPVPPQMAPR GVPQQMAYPTQPTPGPPAKRPRHAASQGGQAPLPGAPQAEAAFDDEEDVSRGDMFDHL TPREISLSRYQQNHEWMEEILSSPYRISQITPADLNLGFKGELASLTDGIFPAQGVEA FTSGPEKPYIGRLDPDKAEEFRKRVNNHIDSTKSEIERMQADHAKALAKFKENSLLNT KEKELRNITEGTGTEVWRIEGKVDPNDEDPAPRPTSTKTVEEIVSEVENATGKKVEPK PTVFRVQDGGYQPPAPEPAQAGTSQLSRQPSQSGSQNSGIMIGESDIDMDGTVAGLLD QMHTSTSTPLNAFGTPQPQASANQSGVGTPSNANTAPVPVGDVAMGGTQELKDSNVTA PDQGTGSGDWVVVPKDGPSNEQADQQPGSGTASVNANTPVAPGIDATRSVAKTASAGA TPAAGTPGGSVSFDQNDFSSLGDLDTAGDALAGFDGPTLDGSAGELGEGLDLSMDMDD SAFGDAFHGVDTSGTPQDM FPSE_09070 MLYSFRVDYLSLTDIDQVASLHNVQCNKFASIPVSHSLGSPSLP IDLTLEPFLSHHYPTNVIRSLQVFSLVLLYYNIHKSENDYTQGQTSLPKTIRVSDMLN QIFLIAPLLVQAALAVPSVEPRHQHLHGHMEHSHGTRTTMSTTVRRQVEQTNEAVPQF IPPKMPYALKPQTRVAKPTAAASDDNDDGNTVPEFIPPRMPFNSKAVQQKSTNEQASP QRLASVASVNNKKTTAKDTKDTKDKKETKETKDSKNTKSTKNTNAQKLAQVQDDDDDK LPTFIPPRSPFRGPKKPQATQTIPRDTVPEFIPPRNPWAQSKFNTRSTDSDDQDDPEE TDAPDSDQRASRVDLLKRDGEDEDDEYFLEEGDDEFSPSDFPNLGEPEDEDIVDDSNQ HSEDAPAANMSKQQGDPEEGINVQGEENTKNYFGGNFDDEDAENTPTRLEARGVAKRN MLYFTNWGTYEGFDPQNLPVKEITHVLYSFAKVNAKDGTVQSSDPWADVERTYPGDNG GGNNAYGCVRQLYILKKQNRNLKVLISIGGFDGSPALASGVSTQNGRKRFISTAIKLI TDWGFDGIDVDWEYPVNAQEARNYVLILNGLRKALDKYSQDNKLNYRFLLTVASPAGS SHYNTMDLKKMDPWVDAWHLMAYDYAGPWDSTTGHQANVFASKKSPLSTKLSTDATLN DYIAAGVSPNKIHLGMPLYGRSFANTAGLGKPYDGVAGSPAKQGVYLLKDLPRPGAVT TYNADLMASYTYDRKKRELVTMDDLKSAQAKAGYINERNLGGAFYWEAKGDRSGSASV VAGVGRTLGALERSNNLLKYPTSIYENIRNNRP FPSE_09071 MGFVHHGLNLFNYDQKVCSSQVLITGNDTPSNIEYDEYVVYKRP KESGPAHASAPATLSHPWAQDDEHITHHFTRDDTPGTTIFDLAFRHGYMLDREGTFRK PDADEAPSAGMEGRIRRVICFKRKTIYDGNREILSMTVDDLAAMNLHPATLQYSTRTT TESRFWSKDRSQLSIILAFSEMPKTPCDFLSMTYNVSERSATILVRQSWEPRRHNMDD LDEYDHRLESCRTHWAHPLITPVVLLQVQFMRCEEAVSENNSNVAHLEYDVSNIAGFD ATDTGRRLSRTFSNGKDGERIAWGPMTMTKLMKRAHEVLKDTIKLLDTIRWMERAIKV LILAGDELNERVGNSLCFGQDLSMLSPNILDPSGGPPPVSPVLPPRQLPKLQFPDEIT DGDSLGPHWHEIRQYLDGLLRLCMGLETDRRMSEARCRAQIDMIYSKMAQEDNILNAR MAVASSRDSSSMKALAVITAIFLPGEFLGTLFGMSMFDWLGPDEEGDDSANPMTYAVK VNRFGQNFWYYWATAVPLTITILVAWRVWWVSQDRYFRRHLSQELSEERYWTADGKPR QLERSFLWDFFYLSARRDEKPRAVAAEELAELETVNSTMKEGYDGGLPRTTSVRQRQI LETRKWSLHQRGANTVV FPSE_09072 MCLYARVVFVCAHERWGLCVKKCQIAEDFQANKTDQDCLVKSPH VPTSRKLQRKCNRCTAMEDKFGQAKKSIEDVRLALKKISEEKAKKEIEEGSKTRPAVG VDCGHELEVISEEDEETGEESEMGDGSSSASGSCAC FPSE_09073 MHLVFDFDGTITQQDSIGELARSAIEIQRNRKGHDLQASWDQVV QAYIADYRHYKENHPSPEDTRICVDQEFEFLSGMKDVEEASLQRIAESQIFEGLDAKT LSQAGADAVQAGRIKIRDGFTEVMRLVEDRNWSVSVISVNWSRSFLRGALLPHTLDVI ANEPAMDGTITGPEFFNGRMTNAKEKKEALKHLNKEKDGKVIYFGDSTTDMECLLAGG VVISDDEESPLLKALKRIKVNVPHVSDKSERDGKVKWARNFREVLDSGLLNEQA FPSE_09074 MAEPYIKQDPHIKADPDAPAVEEDLYEDAGDLEFYDKNAGAFET LYLARVPRYMWEAWYKLTERLGDDDEIQIGTLRTWTNQKPDGTSDTKLRMLLSANCPE HQTLPREYDLEVLEPNVNNHFIFSEEDLPGFKARSKARQDAAAAGIPASLLRQRQANN GSDRPTYDRKSRYQPYYRKAVPKRTKIFGKIHYDVRVEPRDIREEERLLQQKLLEAEG NKSKLQIISQSAASSIVAPGSAGAVSWGGSFIKNTASTAKPKKGEVFKAARIPENQLL DLIFECFRQYQYWSMKALRQKLQQPDQYLRQVLEKIAVLNKSGRFANHYCLSDAYRDK GGAEAQEAAAEPMDDDDDDEEMEDVLPAA FPSE_09075 MPVKSLAELAIAACIKNIRSVDSVGDFLLYKTVRTLLLKIDNPK LLRKIEINSPQIQGETGEVWLKLIKQHFPMELKQKAFKPPDPTQWYRVYDKYKAAHQK ALDESEAKLRNDLLGLQQAKHKTTIIEDRRLLPQGGRVGTKKPWGAPRDSNGSTLAFT RGSRTKTNNGASVMRKVRRETKEIASIHGKLSRPTQASNAITKLRKAPIAMVNDYQRA SQPAIRAPSKPTPSDVVAAHEERAQYISDLDSDDDNGDGDDLFDDDPSPPRKVASRPS SSAPRRPAPGPSRPATSVKRSGLLSNSYKGPQPKAAATPSQPRSSRPVKQEKRSLSPE QTVLSSSPEPGPSSSPPAPVARPFSAVNPRKRKGTDIFHKPKRRA FPSE_09076 MLIKVRTLTGKEIELDIESDYKVSQIKEKVEEKEGIPPVQQRLI HGGKQMTDDKTAAEYNLVAGDTLHLVLALRGGRWLA FPSE_12438 MSNNNWQEEAMRRLRQMQQARGGGGGGPQMPRAAGGALAGGLLL AGGALFLSNSLFNVDGGQRAIKYQRLTGVSKEIYNEGTHINIPWFETPIVYDVRAKPR NVASLTGTKDLQMVNITCRVLSRPQIDALPQIYRTLGTDYDERVLPSIVNEVLKSVVA QFNASQLITQRENVARLVRENLARRAARFNILLDDVSLTHLAFSPEFTAAVEAKQVAQ QEAQRAAFVVDKARQEKQAMVVKAQGEARSAELIGEAIKKNKAYLELKKIENARLIAA QLQEAGSKNRLMLDSEGLGLNVFDKNDKS FPSE_12437 MADTQNRYYPLDSSLARKHRGRLNPIIEEDSDDGMAQHGGRRLR RTGNAQLKIEAWLTPMSDHFPTPRGMHYLSAPVLPSSPSADSATDTSPTTSSNPWNRQ SVATEATEFEDLYDVTDDEDDMARRRASAKSRQAPTKQPTPLVIPATRDNTVESWSAV DNMKKSLTSPVPLTPSVKLTMSPAQMEFMHEQHAAPTPTMSAPPSLDGSLTSEQLAAM SAPPTPIIGNEESVTDDAWAGVQLQPGALATLQALASGEENPHEQPSQVLEVHEQQPV HQIMEMRQQPLRLITSFQPQSAINRAFSPNTNRQSLADLTKLDIPSPGGFFSGLSPRT RNTWHMPSKSPEDMAPPTSTTAEQFYRCPWNADASVTSVPKRKDFVEDFYRNVRFTPA PTEPIVEQVVELKEEDDFSDDMPTARPVFEHKTAPSTVESAEAPASPQAEDAPTEIVV DYDPDYARKQQKEALSHFDRTELWLMAQRSYLRGVQDDTSEEDDGGLNTIAEEAEEEV EPSMIQSALPPLKVQPEQSIAPLKKSVRFSNLVSTSDHPKRLPSMLVRRESAYYRAFQ DYIIRIQRQDVFVHQLARFEAIQSQRVSLRESHRNQLLGKYQLSVVPQSAKKRLSANV ARGDDTIIDDPEKLRHEKEVEAMNQMTVAAWHVAAMKMLNGGRLISAPVTKRLARLSR AAPNKSGVVRERARVLDLGGQTTCDWAWHCALQYPNTKVYTVTTKSIRQLSNCNVRGP PNHRQVAVEKFSRLPFADNQFDLISARELHSILKLFGENGEDEWDTCLKECMRVLKPG GYLDFSLLDSDIINAGPVGLAKSVEFGFALKTLGYDPNPTKLWLARLARAGFQDTRRI WMCLPMGARRSMYKPPTPPMKDSPDGQDVKTCQMDAMVMGSSDDIASACSIAGGWNWE RWLLRCEMEKVAGELRLADTTTTGTAMEEAGKCLDGVAAVFEEGRNCKSGFRMLNGYA QKPKTGTETIKIALRE FPSE_12436 MADVEQPEGEPQSRHVTYCGVCTLPPEYCEYGGTVKKCQEWLEK NEAELYSRIWSPEALEAATASLSVEAQKRAAKDAQKKTAKAEAAEAKHADMLAKSMIT IKRIERNKKKFVTAVIGLEAFDLELKKVAKDLGKKFATGASVTKLPGGGDEIVVQGDV SIELEEFLLEKYKHIPKDHIELVEDKKKKKAAPA FPSE_12435 MAPTRPRTRSSGGSPSFSKNAAAELVHLRSGSPTAHPPSPIKKR TAGTITMQQKQAMIDNMQLEITERARRLRAQYNYMATTARSRIEMRLNRIPISMRNVK MGDLLQKYMDQERQRAARSAAIKKPPTTARITSPVKQAQHSAYNFKSSARVNKRMSDA ISGDKENEIEHNENAKKKVRGVPNGDVSQIRPALVLSPTSSNSRMTNRPVSPIKSGIA RPASPLKNPSQSRSAAAANMLSSMVEKAKASRGGITRKVTTSSNVSTQSSSNAAVRNK KGPANPISRPPVSRPATRTGRRSIANSDTSEASNGTVIRKGGPAKKRTSASRKGAAGS VRKTTAGKASTSTASTGTGRVLRKRA FPSE_12434 MVLEAVMVVVDNSESSRNGDYQPTRFDSQVDAVNITFQSITQGN PESSVGLMSMGGKGPEVLVTLTTEQGKILEGLHRTKKKIGGSSHLKTGIQVATLALKH RQNRSQRQRIIVFVCSPVEESEKELTTLAKKMKKANISVDFVLFGDLDDDSTKNKLQL FIDTVKTNEGCHLVVIPPSSKLLSDQLVSSPILLGENAGGSGGAGGSGGGNDEFEFGF DPAMEPELALALRMSMEEEKARQEKAAREEEEAAKKASLGDVKEEDEGQGSSSKDQDK DKKGDGDKMDIS FPSE_12433 MTMTFCTGAPKLTSTYSVHAITSRLNPVNNIPEATPPGSRPEPP SGLEVLETENFRMQCFTTMTGTKFLLFTDTTQANVDVTIRKIYDLYSDYVMKNPFYSL EMPIRCDIFDRKLLSYIREINNR FPSE_12432 MDDQQAPVPQPPKKVHHPRTSRPKPPTPGNEEATAVLNLGEFQD VDTLTLSEAALVLNALHAKRKNDRRNVNNTEMLNSTLTYLDNFARFTQKENVEAVERL LSAHKNLAKFERAQLGSLCCEGADEAKTLIPSLADKISDQDLQDLLDEISKLQTR FPSE_12431 MPSEPQVSKGFLPLEDGSRHEATEDAQAAPKRIVICCDGTWQSS VSGLKNIPSNVTRLARSIARSGKDKDGKVWQQVVYYDAGIGSGDLGQAEANRQGGTGI GFVGNIIEAYNFIVLNYNLGDEIFCFGFSRGAYTARAVAGLVTDIGIVCPRDLQDFPD LYALYQKNPDGLHFRKSQAYLEWVNGVPSKKQANGGSQIPSAWDSPPHHDAPELSRFV KVVGVFDTVGSLGIPDLPWTRFNLKFLEKTIGITEPGFHNIHLSPYIQHAYHALALDE HRGPFSPSLWHLPINKDQAPKPDKDVKELWSDWEKAYKTPNASKKELQEAWGAVVDGE MYEQLRDNRSELLQVWFPGVHINIGGGNDDPLTDKKSDFEQIALISFGWMCEQVAPFL EFAEGGSLGDLGKSAVQDRYNLLKPLLTNIQNGDEKDYGGGLVTSRIAASLDRTGIRK ADVRKIPEETISGWATGPIVDSFTGAMIASGSVDRTPGNYSKDDKGRELGETYEMIHP CVKYRMNKVSSYKPKALRGYERTTDGKKGYVWKKGNVQIPEFVIKTEDAFSRYVAEQD RYATEFIKSLDNVSV FPSE_12430 MTKILRVGDKEECSADKQYYECSYFDFKYIGCCSHDPCIKPRGC HDDPVTLDHSSWSTGDMSDTETTTHERTATDDSNVGISASWLFTDISDSPTTLETRRR PSASITMSDDDSSSSLASRRVSPTTMTDSGTTRTVSNSHRVTITNKKTIYTSVGPPTP SGFLTSVTSAGTDQLPFPTGSLEGTSASLPTETNTPGASLGENSSKSGSPSIGLVVGA VIGGVAFLGLVAGALIYIRRRRAKNIEFPNTPYYLEEKEEKSYLSRILSRKSTRRSPD PFAPFGGRIDRVDNHLQPPSGTFEMDGADNVVHELPAVTYDDGNEKESQQGNHVATNS TTGRTAVSGFSAQTAYPTATGNCIDPRANLNATLEDRQQKQFVNHWNQYRALGESANQ RQQG FPSE_01035 MATKSAAVGDKRKSASSGKYQTFSKLNKKPRLGEKKVKKQPVKE SEDSDEEDGGADLSEEAPKRSSNGAGDVKTFDRTSGQTSRESHAKQKEPAQQRKAAKP LADEVQRTKKLWEKLRRKSHVPKEERQILVTELFTIITGRIKDFVLKHDAVRAVQTAI KYASPEQKKQIAHELTGTYAQLAESRYAKFLIGKLLVQNDEEIRDIIIPEFYGRVRKM INHPEASWILDDVYRGVATKEQKAILLREWYGPEFSIRELTKDTNPTSDLKAILEAEP SKRGPIMKSLVDIIGSLVNKKMTGFTMLHDAMFQYFSATQPGTEEFTEFFEMVKGDEG GDLLKNMAFTRNGAKLTCLLLAHGSSKDRKQILKTYKDTFLLMSGDIWAHLVILTAYD VVDDTKLTAKTIFPEILGEGENLAQNVVAAANNPFARTTILYLFEGLAKSLFPPSQSA EVEILKEVHEIRQTTSKKDEDVRRSELVTAISPQLISVIAEVPSDITATAFGCQFVTD VLLSGVGDKQQALEAIAQSASGNPSEEPSEDDLQPQVHISRTPHGGRMLKSLIQGGKY DKAAGKIIPVDPPLEFSNILYPVIKEHIMYWATGPSSFVVVGLLEAGDFGEAGALKKT LKKNKKALEKAATEETPEQKAAREAHEAAPKDAKKGKKKGDKKPVGNAGSKLLLEKL FPSE_01034 MGREDQVEEREVLESIFPEEITDISETEFRVSVALDIPDEEDEE PPTMLLQVRYPEDYPEKPPHLDILAPPNAIAHEHFNVADDRDQLLASLDETIQENLGM AMIFAIVSTLKDNAEQLVQERKDVITRAHEEAAQAAEAEENKKFHGTRVTPETFIKWR EGFIKEMEDKRQQEEDDRLAEMKKARVKEPARLTGRQLWERGLAGKGDDEEDDDMPVE GVEKLKVEAV FPSE_01033 MAPSRPETKKTNPDIAKLLVDAQTQLEVGRLEEAATLAQQALDT TGQGGDFELSAANLLGTIFIESGDLDEARAAFERAVHLDQDGTVDEKIGGGPEKFLLL AQLSEEGGLDTVQWYERGATALRKQIAVLSELRSPTPEQKTSTQEKQHKLGGVLCAVA EVYMTDLSWEPDAESRCETLITEAMLLAPAAPETWQTVANVRISQNRANEAQTALRRS LELWQNLPPNHPDVPEFPTRIALARLLMETELEDEALSVLERLVTDDDTSVEAWYLGG WCLYITGEKQKNTATKPWNEATKDNEEWKGLWKSSRQWLSQCLKLFAMQEYEDERLGE HARELLSSINKEIGEPVEGELDDWEDPSDGENDDDDAEMQG FPSE_01032 MFTGIVEEVGVVSKLDNNDSTGGTSLTISIPSGSQLLSDCHDGD SISVNGVCLTVTSFTPEAFTIGVAPETLRITNLGDLKENSNVNLERAVRADTRMGGHF VQGHVDTTAKILSVTKDGNALTFRFQPARRDMLRYIVYKGYIAIDGTSLTVTKVNDQE GWWEVMLIAYTQERVVVAQKKEGDTVNLEVDMMAKYAEKSLGGVVGSEPGSVASFPFI EKIVERIVAQGFGAKQ FPSE_01031 MADADSANPQFLEWVKEWLDLARERNSKGVTTYRTAYNSLKACP ISFEHPAQLQQLKGFGPKLCERLEQQLKKHCEQNGLPMPPHPRSRKAAPVTGDENGEG SSKPSKKARKPKAYVPALRSGAFALVVGLSTLDEDTTTGMTKAELIEVAQPYCDASFS APSDPTKFYTAWNSMKTLLQKELVYERGRPLRRYALTDEGWEVAKRIKDTDHWQAEKD RAKDPTSAQPVIPNFQPGAISRQKSPSVEIAEPAQALSEYQNVVADGPTTSDDASLPN FTPILLPPGTFTVQLVLDVREVRAKTDRDYMQEELAKQGAKPIMRSMELGDAQWVAKC NDPNLLSSQGAEGDEVVLDWIVERKRLDDLIGSIKDGRFHEQKFRLQRSGVKKVIYII EEIGMDPEVINRYAEAVRSAIASTQVVNGYFVKRTNKMDDTIRYLTRMTAMLKRTYES RSLHVIPTKVLTSQNYLPLLKHLRESISPDWYITYPAFSSLASKSESITLRDVFLKML MTIKGVTGEKALEIQKRWKTPYDFVKAFEACGTGEQGLKRKRELVFSQTSHLVGRKKF TKPMSTKIAEVWGDA FPSE_01030 MSDEKFLAASAKHPIVPNHVYNYGTAGFRMKADLLDGVSFRVGL LSGLRSRKLNGQAIGVMITASHNPAVDNGVKIVDPMGEMLEQEWEAYATKLVNSPSDQ ELLDNYKALATQLKIGLSSPGRVVYGRDTRPSGHSLVAALSDALEATNTEYTDYKILT TPQLHYLTRCVNTEGTPKAYGKVSEQGYYEKMSEAFTRALRGRKPQGQLIVDCANGVG GPKLSDFLKVVPEGTIDVKVVNDDVLRPEVLNLDSGADFVKTKQRAPPSPKPVPGARC CSLDGDADRLIYYWVDPDTGFFMLDGDRISSLNASFIGDLVRSAGLQDDLRIGVVQTA YANGASTNYIEKHLGLPVVFTPTGVKHLHHAACQFDVGVYFEANGHGTVVFSQEATRA FRETEPQSPAQKDALETLAAISDLINQTVGDAISDMLMVEVILAHKGWTLKDWAMTYN DLPNRLVRVEVGNKDLFQTTDAERRLSHPAGAQDEIDQCVKKYTSARSFARASGTENA CRVYAEAATRSEADELANKVAQIVKQFGS FPSE_01029 MPQNEYMERWRKLHGRRLDHEERVRKRTAREGHKASQDAQNLRG LRAKLYQQKRHKEKIQMKKQIKAHEERNVKTADEKDPTTPMPSYLLDRTNPSTAKALS SAIKNKRAEKAAKFAVPLPKVRGISEEEMFKVVKTGKKIQKKAWKRMVTKPTFVGPDF TRRNPKHERFIRPMGLRYKKANVTHPELGVTVQLPIISVKKNPQNPLYTQLGVLTKGT VIEVNVSELGLVTAGGKVVWGRYAQVTNNPENEGCINSVLLYRQLLTLTRKSLKQGAG AENRVPRSLLSIAGTSSKNSGGDADKLFSARTSKIAFVCGPPSRPPKLLYNLFSLVRN PHNPKQFFKMSGDKMDVEVAEQKMNSMEHSEQHYFKSYDHHGIHEEMLKDEVRTRSYM NAIMQNKHIFKDKVVLDVGCGTAILSMFAAKAGAKHVIGVDMSTIIFKAREIVKINGL SDKITLLQGKMEEVELPFPKVDIIISEWMGYFLLYESMLDTVLYARDTYLQKDGLIFP DKATIFFAGIEDGDYKEDKIEFWNDVYGFDYTPLKATALSEPLVDTVEVKAAVTDPAP VLTLDLYTCTVADLAFQVPFKLSAKRDDFIHALVSWFDIDFTACHKPIRFSTGPHTKY THWKQTVFYFEDVLTVQQGEEITLNLDVRPNDKNRRDLDIKIAYELETQDANRSSKGA LEYRMC FPSE_01028 MSRALFRSMLELRAPSARFSAPSSLLPIRTRAFNTTAPIIEQSF PERHPKNATNPTANQPRPLALKVSHPTPPPKPMEDSVKTLLPFLAAQPDHYITVHVHG FPYLVREGDQVRLPFRMPGVQPGDILRLNRASVLGSRDYTMKGAPHIDERVFECRATV IGTESEPLRIKIKKKRRQRRMRQAKSKHRYTILRISELNINNGEDIE FPSE_01027 MATTSPTPMGNGLVRRTSQRQALRRLPSRPAANHNESFSAPTNQ PLPNGYHPKPQQFHDDSSEDEIPVPMKLSALTKALLNDGDPVPAERPVSPPRTRRRTS ALNASTSSTSETRRQRRSGSVQPHDTRSSRPTSPAQVQSREISPVRKRVVRLSNTPQS LNQLKPTKRRSTSTSRSTQRSRPQSRPDSRDMSSDERYDSKQDSKDSKQDSQLDVNTP AQGGRVVRINAGSPSNRSRLGSTGPSSGRSADRSMVDRSTIEDNDQLEEPATVARNPP PFHHGSVSRYPSTTTRTRPEDNGNLQNSMRVRRVSKVAGSFLSGPARRGRRRQSEEEG EGHADGDILSSHEADGQPAEDQHAASYYGDGIRDFNSGSPVSGQAAARAIHRRNASNI DLRLGAARQYSRETSPRESTPEVQVPEPEAKPEPEEEIHYRLPAPRPELPSGRDQEND QPSLKRNKPSMDAILDKMPKRPMSADPAPVRPASPDRKPLASMARNTPLRHAPPPPPK MSVLEAATATAGAATTTQAKQRRNILRVNGVAYTRLDCLGRGGSGKVYRVAAENGKMF ALKRVSLENADDSTIKGYLGEIDLLKKLGEVERVIKLFDCEMNTEKQVLTLLMEIGEL DFNTFLRKRYNPEAAKFDPVFVRFYWKEMLECLQAVHQCDIVHSDLKPANFVLVKGQL KLIDFGIANAIQTDETVNVHRETQVGTPNYMSPESLMDSNNPRGGRAPGRPKLMKLGK PSDIWSLGCILYQMVYGLPPFGHIANQMSRCQAIINWDHEIEFPSRGMGGMSVPPSLI RTMRRCLHRNHHMRPNCEELLHESDPFLYPAELTDKALPIDEELLGRIIQSVVTRCRE RMPTEAEILSVWPQAYWSSVKKAMAGRM FPSE_01026 MATQRGRPWARIISLTVLVLALAITVDASTGDRLPEFKDCLKIC NAENCAPNKPQTPIPVLHRLLLWNCASECDYACQHIVTGQRMATGLSVEQFYGKWPFY RFLGMQEPFSVLFSLGNLWAHWYGLKTMDQARIPKSYSMRIFYDWLAYIGIASWTFSS IFHTRDFHVTEELDYFAAGASVLYGLYYTVVRVFRLDKRTPRRRTTLRLWSLLCASLF LGHVSYLKFVRWDYTYNMAANVAAGIVQHVLWSWFSFNRYRESRRIWAAWPGFVVAWI IFAMSMELFDFPPWLGCIDAHSLWHLMTIGPTILWYNFLVKDAQDDMARSQRLKL FPSE_01025 MSQPGLPLTPGSSSDIKGKDGTQQLASFQLSFELPPPAIYDASR ISPTMSSQVSPTDTKSQQVGTTSPGTTYPMQPAEAVKARRRSSAARDAKDNSFALPPP PTRSRKIIQMKPRQEGSSDNNNKEKSKATGKSTAKTTTTAANSKATAAPQGDATTDDK TKKKQPSATSAAGRKIARKTAHSLIERRRRSKMNEEFAVLKGMIPACTGDMHKLSILQ ASIEYIRYLEDCVSKLKAQQEDDGQTESGRQTPTGRERLPSIREFHPTFQGDPAGDDD IEMEDSDVTSPALNAMPDQNARHPSASPALPPQDSRHRAQSYSSASTDHRRYSYSVSA GTSPAFGPQIYGAPHYARSDASAPGSALTSPALNPQSELDQEAMAALLMLNNDRRDSK GRGLSVRDLLST FPSE_01024 MVHHGSSWHLVLYLEPMATNVARRSPSCPPVRSSSNLPSFFIQD RRQSKPRFCASETGPLPTEKPTPTTARDHFLDDAWSMNSVPFNPVVLGSSWTKPEM FPSE_01023 MSSMMFLNRAGARGLRTAARTQQMRTAATLATFKTPKVFNEPNQ HYTKGSEQRQGLTAAIEKLQKQLPIEVPVVVGGKEIKASALSKQQNPADHATTVASYH TATTADVSAAIDAALAAKPAWEALPFSDRAAVFLKAADLISTKYRYDIMAATMLGQGK NAWQAEIDAAAELADFLRFNVHYAEQLYSIQPEHNSPGVWNRLEYRALEGFVYAVSPF NFTAIAGNLPGAPALLGNVVVWKPSDFAIASNWLVYQILIEAGLPKDVIQFVPGNPVD ITKVVLEHKEFAALHYTGSTSVFRQLYGTIGQGIAEGRYRGYPRVVGETGGKNFHLID PTAEIYNAVKQTVRGAFEFQGQKCSATSRLYVPKSMWPEFKEKLVAEVEAIKIGNPTE HFNFMGPVIHEASFKKLSGAIDEAKSDKDLELVVGGKYDSSKGYYVHPTIYATTNPNH KFFSTEFFGPILTTYIYDDAAPNAMANVCKLIETTSEYGLTGAVFAADREASRFAEEH LRNAAGNFYVNCKSTGAVVGQQPFGGSRASGTNDKAGSQNLLTRFVNVRAIKEEFVPT TKVTYPSNEV FPSE_05621 MSDARERPVTTESQRNPHASASRWRHQESSAYAAHASQLTGEGP PRDPHQENTDTGGLVNFLNQSRIEPEDENRETEKYRPITAAAGDANGAIVSDNVGQSH AGQDPPDGKTIVCGPLLNYRHMNEGRWHGSVLVVVEGGGKIPLHQPYLTLNLAITLPD GGNATNGAREEVRSDGHCLYSDPRNTFWAFDITVPIQDTECGYDYALPEMRFSSEHKP RVNRFFVPAATESFRIMFHSCNGFSVGTDEEAWSGPALWNDVTRKHREVPYHVMIGGG DQIYNDGIRVDGPLRQWTSISNPKKRRHHPFPETLRAECDDYYLKNYIRWYNTEPFSG VNGQIPQVNIWDDHDIIDGFGSYVDDFMQCDVFRGIGGTAHKYYMLFQHHLPPPPSTY TSDHAALEESTQGPDPNQLIDTYVAPMIESPEYIVGDHPGPYVAERSFNIYTRLGARI ALIGIDARVERTRHQVNYPETYKKIFSRLRSELEATAALGQPIKHVILLLGIPIAYPR LTWLENIFRSPVMGPIKILNKRFGLGGSLFNQFDGSIDLLDDLDDHYTARTHKKERRQ LMEELQKIAAEYNARVTILGGDVHLAALGRFYSNPDLKIPVEEDHRYMVNVISSAIVN KPPPQAVANLLARRNKIHHLNAKTDETLLDLFNKDPGESNKTANHNNCTMPSRNFATI TENSPNNPHVHNGSADENGTETSEQNFLGNNGHKVLHKGEVQAGTIHRAASRETHGRA NDGTLDVCINVEIDQHDKEGRTEMYGLTVPLLNYRQRDEPSTPRTSVTSGSQQ FPSE_05622 MQCSRNAESLATIELQHMISDNQASIISRSPHRFDEEFETRGNA VTINQPVRGSTCRPDAQDLKYERSRQGYPRHWCMKIGVHAIYHIGHVTAKIQLSNRL FPSE_05623 MHTYTRTAIQLMEWGYWKGPQPYSEVEQHDACKGNRCECWPRSC ATAYMSCTLHYGVPAARYTSLGAGAAVALFILYKDAHDPLLGSGERHVWNKRLLLSSE IAMGRIGLIR FPSE_05624 MTGPTMMMAPAVEPSSSFPRSQSSPDLLSLSSLENPSTSTTTTP IPQRENISDIQSLPNFDLPTFNVDFNLDTNLDTVVFQPDEQRAEIKAPQPPSIVETKE APEEVSPFRHARKRTSSLIDTRGWLPGSKSTSDLHNLREEPPRPTTSAGDKKFGDGSI GNLKPIEKPLTKSESFVNFAKRSWRPSRSPSPMKSADTAKENDELSGRNRSESTTSMK LTKTRKRPGLTVDQVDKNKSSESLKSSTPKAFSRASSYFSKLKSKQAGLSKLNTNTDS DTSCASSATSLAPPASTTTEDPTPQSSAYDTTSATTVTDESSVEMPPQQRDVLWSSFK AIDEDFKGFLAKSTAQRMSQVQTQLLPFLRSTMNHESTKKLCAEDVDRRATILNKWWI TILEMLDGQAPQPVPGVDRPVLFDVATLLMTRLEWRQATSYFLPLVDRSPAEKVRARS MTNSSNSSITSSQAAFLEESAEHSVRTMFVTNLVKQMGFVVDKLSLRHTPASLVNFAG KACAYAFFFAPGVADILIRLWGLSPELIRRVADDLGLPKKNIGESDDIAALFPPTIGV FAWTSPKGMWDSLKKVPRLPMLVSRIPWTGPWAARWKGRDTDVFFIFLKYFHILSEQF MPPGLPLLEKARSPGFALVQAQLLYVLDTTIHRQAPMDGGFNPAAMDGMPGADAAMAL PLPLNNVMRGMSENRCIMLLKDYLSDDSLEIAGARHTFAETFATMMKAATRRVSMYNH PACFTLCDFLEEVLVLYSEFEDPDSSDSYLDWPFWFDVCQKIMGSFNTMSEIRMMALI YSIWDAISRDPVRKLAVCKDWLLTEETFNEFFNHWCPMVRAYYQRLLSWRMCRDSGTL DEVDGQIFVLVAERLQTTWSHYLHIKHAAESEGRMVPSTAPVNPAPGKRFMIIRQEIN VPQPGLYMGSFDSFAKLPNSETSVLNGLPPDASRQDGKKRWSLLGKVLSLGGNTNAGW DDDFQTVRRETAESRASTSSRASNTNSYKHRVSEDDSLYSQPTYEEPKYVFKFVLAWQ QQAGPLRERFLTRPRLPGPAESRISGPLKVNGITRKFSGSPQQGLIDNAKNASLLDSA VEKSGPPRLELTLSGSSDDSDKSLGIKGSPSLAPSPVLSPSPALSQPPSQSQSPSPSP SPSPQPNTSSYTDTPVHPVKPTGLYVKNSVYAGRALAEWAQVVFECNNFIERRRDEGM GNLGDVEIPVLGVEGFRKVGG FPSE_05625 MTHYSQRKDILPLTGGCACGLIRYRLSIHPLLVHCCHCTACQRQ TGSVLALNAIIESTALSLIPSAPPTVAGTSTNPDPVPTSVQPAFARITSAESAIGEPQ PQARTSSVCLPTESSIGQTVVSCPACHTGLWNYYADAGPHLSYIRVGTLDRPWEIEAD VHLFTRNRPTWMNANDGKPSFEEYYGKREDLLRDDALKRYEMLKPKINDMWTELKAGW Q FPSE_05626 MFSKAEEEGIMDIFTPGSMFKHCESLSMSLSSLERKISSVVEYI DVCGSCVGVFDLLTEVRGQFEKAGDPLYRHHIDTMATQAKKVRMGTFVSDDEKDED FPSE_05627 MVNLKLLEKMRGSCPSRSSFMDFINYKDNNVTIAKVNAEKSDEI SPTSIETKLAKTPLGDITNTHACRDNSEKHVAVKEKPTIFHDEIAEPESNSTAAEEQA DGCLSPADEDSSLMIEEPVSPHYHYEPTGAYFSQPPSIESSNDETTSVTSEHVELNPG APVFIQQRALEQQFSISPAFDVTSQDLSYFDYERSEAVKEESSYHHEAAGLQPIYYCH AHEAQSNATASFFDKETSPITEGYPPTHHEAAEPQSANASTGAHETDEFSPTSGETHA LAPDEVTDQRLAEVPRYETVEFQHHSAYYDHLDTIAEESESEHDEVIQPRCIREHTTN SDTSSRSENSTASSNESVNESGVTDSQISDTLEIGTAIVDPDTPNAATSKQPIEGEKK RTIRFDPNGDLYLKVGTSHPRNMLVDSRALGRVSAKLHAAISQNVKDDTGGRWTIEFP EDDPKSFAILLNLIHARFEKVPANVKLDRLFDVCVLADKYGMTSVLRPVAERWYLSAR TLDKDSIFKMAFIAWELGFATDFGEIVGYITHNCSLDGDSELVFGSSKERLAENEIMQ TLPILTCIEEHRQLALETF FPSE_05628 MPNTGKPSKDCHLCRSRRVKCDLGRPSCQRCIKYGKECPGYRDE QELVFRNANPSSIKKRKKRTQPNTPGESVMSFGTPSSSSSSSSSSSSYSSNGDAITPR TITEDDHALSPASPTILDLVEYTETGGSLIIPQSLNEHWTSHSIPILLNVYYTLDFLH DTYKKSGPQGPLLWATHLFARTYITNLRYPTAIDNSSVEKTDKELGAYLGKTLSSVSA ALKTPDGPMRDDVLATVWILTNYELLMGSINRITPQSPWHLHTNGLYSILKQRGAASL RDGGNRMGFWPAYNMVQVRCLLTSLECPPESREWFAAIKETLHPGEGLAVEVGEYICK MAHVQKRMLDILRARDFDVAAAEYYDLVGIIFNAEDHFTAFDASYHYIDEVFNPYLRN MLNSARVKGYHVILTFANFLSHHSAVPVPPDELKVLRSHCVWRVRDGAKDVMKATQRH LDPASFRNNPSPRTVFDALKLIWPLTAVYLVPSTLPEQSEAAGVALQFIGSELGVRQG LKVNRGESKLPPEAEVPSKLVEDETFDPLPQSRGIM FPSE_05629 MPMVKSSPPSNSGFLAPARPRFNSQRTSDRLREAQTFVTPPFKM GGAIRKGRRSIFKEVGLEHDLDYPTSASAPSLLASEQPDTQDSGQHSTNTPTFEQDNN SSQQRPRQSSLPSPWTWYSKLLNPKGRPRIKSTSGTASSVSGLQRYTMLAVLIAVILP AFSWRNGQSFSDVNGASAGVIKKRETSPTEVCARWGLQAAQLNGTLYMYGGRSRSKAD QTEDTWNNNFLTLDLTKDWGIDSPSLKGLDKPNGPPEVSMGYLWHDYNNLYLYGGQFS DAPYVDPEPESVWRYSIKEEEWTEFKNPKTSAGNESDPGDRPVHRAAEGAGISVPELG LSWYFGGHLDWATTPNWSRDVERVYLKSLLEFTHPGYVNTGVDSLSKGTGAGDSGAYR NITEAGIQSGNFTERADGVLVFVPGWGEQGVLIGLAGGTNITFTEDLSVLNVYDIANS EWFHQKTSGDTPGVRVNPCAVIASAPDASSFQIYMFGGQDLPFGNQTQYNDMYILTIP SFTWIKVDQNDKNRPAPRAGHSCAMYDGQIVVVGGVGEDIKCDPGMYVFDATSLEWKD KFTAGDHNPDHYPDNFVLAGSHGYEVPDAVREVIGGDKYGSATVTTPAAGPATGGPFL TGKPPVFTVTSVPTATASSSSDDDDEERGTVNGGLVAAGVIAGIFGALACYLGFCAWL YRRQVNAYKTHLAVQNRYSVASQSMLNPSGPNRGYSQRSLFGWVGSNRNQQYTSEPKW RPEDEDDTTPGEPGSSSGSGGPKHSEDTRPGTSNSRGSTEGLLEGEEPSFFSVVMGPR RALRVVNNAE FPSE_05630 MTPTGARLFVAAALLFPSVSPSPIFDAGQKVLDAITGARDSITT TPHVQQSSRKLNGKFLHITDFHPDEFYKAHASTAQGVACHRGEGPAGLYGAETTDCDS PLELVNSTLDWIRTHVKDDIDFVVWTGDTARHDSDEKRPRSASQVLEMNRKVAKKVVK TFSDDGALTIPVIPTFGNNDFLPHNIFYAGPNKWLQSYSSIWRRFIPEEQRHSFGFGG WFEVEVIPNKLSVISLNTMYFFDRNAGVDGCAIPSEPGFKHMEWLSVQLQRLRDRGMK AILVGHVPPARTRNKQNWDETCWQKYTLWLKQYRDVVTGSVYGHMNIDHFLFQDTKDI DLSLYDKADVYREPIEEDFSIESKSDYLMDLRKSWSNLPGEAAKATGEDLNGNDGDIY TQDDEVDDMRNRKKGKGKKGKKKKGHGNIGGRYGERYQVSLVSPSVVPNYLPTIRIIE YDITGLENTPVWTDSFDVRTEAEEEELLILFEEEDNETHLELKRDFEAERRHRKNRSR KSRKGNKGRKGRKGKKRPKNPELVVPEDPPKGSLPGPAYSRQPFTFLGYTQYFANLTY INNDMTDLTETSKWRDGDFSDEVPSHDKPRPNKFNYEVEYSTFTDKIFKLPDLTVRSY LRLASRIAKRKEKKGKGKSVEEYNEDFEDDSDDDDDVSDLPEYDDDDDDEEPESDFDS TRKGKKKNNKRRKSNKVWKHFLREAFVSTIPEKKLKKWSRG FPSE_05631 MKSLSLAARAGPRILSSTTARPTSLAALRVSQQSVRCASGGSSS DLKKTPLYDFHIANGGKLVPFAGYSLPVQYSGLSLAQSHHFTREHASLFDVSHMVQHI FKGKDAAAFLEKVTPSDWTNQGNMQSKLTTFLWPNTGGIVDDSVVTRIGEDTYYVVTN GACLDKDTKYIDEQLGKFGGDVQWTRLDNSGLVALQGPQSAEVLNEVLASDVDLTKLY FGNAVWAELKLSDGSKTHPVLISRGGYTGEDGFEISFNGKDYPAFETTTPAIQSLMSK AGPERLQLAGLGARDSLRLEAGMCLYGHDLDDTTTPVEAGLSWIIPPARRESGGFHGA ETIIPQLTPKSKGGSGVERRRIGLYVDGAPAREGAEIHKDGEKIGVITSGVPSPTLGK NIAMGYIKSGNQKAGTEVDVVVRGKARKGTVTKMPFIQTKYWKGTAPA FPSE_05632 MSLDPPTYLASLQSNIRQRPIPWDGAVRAGTLTEEQYAKIRAVD KAKKPEQRKEIVSGDIDGYRVLFVGDEGKTSVLETAGKHANVIQYILVLLGDLLEAVP SLAKALFKTKEPYRHFLPLLNSTNAEDPIPLLTAHALTTLMALARDESRSTLQALPVI FTYLSGLAKSNDAGLQDIAVQEYSGLLFGHAAREQFWNQQSETIAPLIKILQTAANIG NGGSSSASLWSGNTGTASTGFGGSLGGGVGLQLLYHALLVIWQISFEAEEIGDDLNDE YDIVLLYTHLLRLSPKEKTTRLILSTLYNLLDKNQKSLLPTAVLARLPALLENISGRH LTDPDLLEDLSKLKEMLEEYTKTKTTFDEYIAEVQSGHLRWSPPHRNTVFWAENARKI LEFENGTIPRKLAEIMQQPWDNDKQVLAIACNDVGCLVKEVPEKRYQLEKVGLKRRIM ELMQSDDENVRWESLRALGGWLKYSFEQK FPSE_05633 MRSVTQPLLLLAAAALCSAGPLPRDNLHDAGYSYLMRRDCDSYC GSDNQYCCSSGETCETSGGVAQCVAVKGGWVGDYTTTWTETKTYTSTLMTRWEPAPEP TKGVDCVPKLDEQERCGEICCAGWQTCAFKGQCSAKPGYDAPTAVVITSDGKLTTQYS APYRVTGTTTIVNSGSPAETESGTATETDTEATATETSDEAAAGESGTGGGGLSAGAI AGIVIGVIAGVALLLLLCFCCIARGLWVALFGKKDKDKESRERVDVYEERYSRHGSRP PPSASAHSRRPRHKGWFGFGGKKGGSPSSAGDRREKKSDGKKWLGIAGLAATLLALLN LKKDKRPASTKPARSSRGSSRSRYSDSYYSYSDYTSPTGSSSSGGRTNRTRRTARDSR AGSRARSHYSRDSRR FPSE_05634 MKYIHSQELLEIPEGVKVAIKSRIVTVEGPRGKLVKDLSHLAVN FTSTKKNQISIEIHHGVRKNVATLRTVRTLINNLIVGVTKGFKYKMRYVYAHFPINVN VSQNSETDLYEVEIRNFIGEKLVRRIVMHPGVDVEASTTQKDELVLSGNSLENVSQSA ADIQQICRVRNKDIRKFLDGLYVSEKGNVVQDE FPSE_05635 MSYFFATPVDIDVVLDDTDDRSMVDVKLDKNRREKAPLFMDGES VKGAVTVRPKDGKRLEHTGIKVQFIGTIEMFFDRGNHYEFLSLNQELAAPGELQHPQT FDFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDIWVYSYRIPPEMNSSI KMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAPN QYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKFSTRYYLSLVLID EDARRYFKQSEIILYRQAPDAAPVLNAGTSSLPENKAVTAQA FPSE_05636 MDSGDTQYVFKETRVNLDPPTTSSVVTIRVPSNSIHGRSHRKSA GENSSEDETSFRVKNLASSSSIYHRIWHDTPRSFLWRILEDGTLLSIRAVDVCKKSQA ADFPLFLNFHFSVPIQPGCVAFSDHEEHDSLCVFVLDQAYQLYSFHLRPDLFRKRSAI DAGLSDLGKVQAPAGLGFKHPHRMIAVNAETLLVTVNDGGVIRLDKTKTNDLSSNVWK ESFFNVQGWAQNLRSLLPFQGKHTIKHGKINMEYSSATSIQVTSLGLDDCLFAITVCL DHRMRIWNIDDGQILYTSDILNAERNPQEIGKWAIDPSQTNLVQIVGRNRGSRVCATF SPIGAGEFKFWKITAKDAFSVVVEDIFPNNSLVPVTPSSSDVWTLADFVLSCPAESAI QLWTLWKNNMTYRVQKLELDRKNISQSWEDNWDGVYADNLIQAAEGSGPSDPTDVTEK WLQLILKPGQFTKSTLEAALSIYERGFGKSKDAGKGRGLAEAICSILGSIATLDRGSS GTMEYETFRASSEAQWLRFYRLLLELDKQRGEALGLTLDPHTGLAWVVCADFLSAIRE CSSLERLYYNISSPEQDQLAQAALIGSGLTFVEGFPEYLTQSCQATLRPELFEDSSKT DLERIQYFSDKSGFWRGITDEDCNQVVDVLGQNFSTVTDDLYTDVMNLISAPEEAKTR NLRHPLTDFGKKLVVKAVQDNIELQWRVYFSQLILLVHMEFEFDNEADILHNRVDVGN VFRQLIAALRRLELLKWLAQTEITLPSVREKSENGSVSRKIVEDAQCVTALEANVGHL LGFSKKGTPLATDITDLVTNLCAPDSDIEVSPSLIQCFLIKRERADLALDIAPFCDQN PFSTYVQGRLQLALKDFNTAAIYFRKAAIGMSTENLESDRHSSGLLDDTEWNLLNHGP AKYYSHIVALFEKQKAYSYVIEFARLAMQFLGNKQDAMFTKTDMQSRLFNAAVATSQF ELAHTTLVSIKDQAMKISNLRKLVDRMCATYHNIELVSMPFPGLQQEVDDILSQKTKA TLDVIEDFPYHQVLYSWRIKHNNYRGAASVVLDRIHKLRSAGEGDEATGEDILDTPVT RQYLLLINALSCVDSKQAWIYDEAPAGYGQKDAVKRKVVSLADIRKQYQDELDRITAI HNNQFGFEADDVMEIS FPSE_05637 MSQEHDESQMGEEGGMPGPGAPTPLFALEGVAGLTKRDIQLVVD GGYNTVESVAYTPRRVLEQIKGISEQKATKILAEASKLVPMGFTTATEMHQRRSELIS ITTGSKNLDTLLAGGIETGSVTELFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCMY IDTEGTFRPVRLLGVANRFGLSGEEVLDNVAYARAYNSDHQLQLLNQAAAMMCETRFS LLIVDSATSLYRTDFCGRGELSNRQTHLAKFMRTLQRLADEFGIAVVITNQVVAQVDG GPSAMFNPDPKKPIGGNIIAHASTTRISLKKGRAETRIAKIYDSPCLPESDTLFAIGE EGIGDPAPKDTEKD FPSE_05638 MAFFPRNFYNSDASFTPLFRLLDDFDSYSRQGTNGNGGTRRSGL SHWQPKFDVRETGESYELHGELPGMNKSDVHIEFTEPQTMLIRGKTERTYTAGTPPAG LVEGTEARGAITDDSEEHSNSHHATVEDEEQAKAHEASTEVTHHEQPQEVEKKPADQS KYWLTERSFGEFSRSFNFPTRVDQDNVSARFNDGILSIVVPKAKKHESRRINVE FPSE_05639 MAGHPVTTWNRNYETAQMGDIASTQAPSMGALQQDTPKVVNTAA PSGPPSAQGKPETSHEHELSPLSQQHHNASQHAFAAQFDMSQPPSGPRPGPYNMAPVA NALPSMGFRPGQYPPNNQQRMNPAGSPPMMQHMSQFPGHPSMPVAGQGYYIQPQMPPY YGNQMPQAQAANAMVQRQNMAYYPNQMMMGPQQSTYYYPPGPQYQAHTQPVPNGIIPG HYPGGGSMPNEPRIMPQQAEYGVVHPQPYKQGHDGSERERRQSAVRGPPRKPRQSGHA IWIGNLPPQTDLMTLVHHVCKETPGLESLFLISKSNCAFANFKDEETCSAAQHKLHDS KFQSVRLVSRLRKSTVESAAGVTAPTGPAVVTAGPRTDPVPDKAQVEIEVTSPIVVSA VSPKPIIAGDASSQKDKFFILKSLTVEDLELSVNTGIWATQSHNEDALNNAFKVADSV YLVFSANKSGEYYGYARMVSQINEDPAAAIEFAPTAQTTNDLDLPKAIPTEATEQAPK GRIIDDSARGTIFWEAEREDSEALSDDESEAEVMSIKSNTGEGGATKTWGKPFKLEWL STSRLPFYRTRGLRNPWNSNREVKIARDGTELEPSVGRRLIGLFNRVQSPEVVHQGVR VPMAMMQSFAPARPYGQ FPSE_02588 GAAISGVPRLEMMWRVAYEGLPLYQSSLETDIGGFHGESMYNLR DGKVSTLMDKVFEVILALTLACTYLGRWYLSVEKHFTDERHTVHALEDFNAMSYIWQS QTPGIYLETTDPEPCNCRVLLSGHTTARDSATRTKLSPLRLRE FPSE_02589 MAAMFSQNPLMNGPNYSFSEAPKINHGEFREHRFNPYTDNGGST LAIAGADFTIMAGDTRHTSGYSINSRMSPKVFRIGGTTASQEDATLVLSVVGFAADGE ALRDHLDTICKIYRYRHGKPMSVNACAKRLSTVLYGKRFFPYYSHAMLGGLDEEGRGA VYSYDPVGSYEREQCRAGGAAGSLIMPFLDNQVNFKNQYIPGSGEGHELKERERRPLT RTEVETVVKDAFDGAVERHIEVGDNLQMLIITADGIEETFLPLKKD FPSE_02590 MTGDIRSKALQKIASLSANSSTTAFDRSDLDRLCRACHAGAKSK DYVNGNQNRNSLGRVPMSIREFEVLIALCKTAPKIKSSQSAQRLSYQLFPYILEAHVQ LFVPSPFFRKIDPSPTEALAFHVTGALLALGINYDDLQETVTDKIWSFVNSCRRASES IISPQAGDPENPHLEDAIRTVTIAVALLGFLDAAAAQADFWRSGGRLALIKKIREILS EPFLIAVETALSTIRNCHSQDREVKEWKRYLRQYASSGRPLGAMLLHKSFAHFVVSST SLMVKDPASLRQSHVLDIYISQGESLRDIASITLEAEFKTVEDYAVLAVEEIQYIEGG ADFIRMGSPDQQSLAYAVKAAALISYLNCALLNEDAADPEILMNWLQETLDDDVQMAD QTLASVTLRCLALICQISPSFSSNVSRILPRFIVQDAPQKNIVTIASNSLAYALKMLS KDAVIGTLYTLGNVLSPGSDQGFANDQIDGTASETGLNPIYARRQSIGSSISVQLYGE EETAIVSGNVVQTICGIAAAFQDEKITALAQSMLQQKLEKVNTGVDARIISGAAALAL EGGQLEFRSLLKLFSKLCHTGVVDNQLFLLEAVRNARTFISANLRRDSQLFDIYFEYL LDDIIGLGDVHSSGHTKESDVQMAAKEIAELLHPLAIFMSSNDFASNPVTDDETYSLL RDAWFNIVVHGFATNTDRGKQYLRELRMIAIHSPPLVAEQRGEQVESDIELNTVLRRG MSNDRESLQKKLLSELVPSKANEIKSLSYRKVIFLQAAYLMEILRADSGDCTKVLSYF LEPSMAKGDVSSAMEGVAAAVMDKYIQKTQSGMDPTFSAQYAAEQLASIFCSCCHRIE RVQQAAFVCADRILRDIPSALCHRSSLFALIELLSLMWSSCLEAETDVYAPRSTFTSE LGGVTVELSDDYDFRRWTVDILSRKARVWVNTAINISPLDVKGILQTYLSEFSDEGAY GHVSLGRSFALELGSTVPSTDNRLQSMDKIGNSSVNTASAFVAQYTTRQEYRYGETLP DRGTELMSFMSHNRRMSFAQSSVKESASATTALAHIEARILSKKSTSTNEVRDILRRA AALLCRTDKDEAAVAHHLVSIPFALFTKQSINLGVSLWLGVINENPRQESRLLNEIVQ QWEFTLGRKVGLFSPTLHHVDPFFLKEEFAPSELEALAKKKQIVHDILSPHTRLLQFF ASHYNATRLGCPDIQRVFLRMLDLTLEAMKQSATHPMAREIRFQLILFGLRVLKSSTT LKPAAQWRLKERILTAGLSWFRSAPKWSFGGNLLQMKTEIRLISDVLVALQLVSSIGA QTVGNVKSLQSKEQLLDLMLRNEQTRLIVWVNPLNNPSTQALTQPAGKAPTEAALLPL IRTAWWQDPAIAIELATRFPFPRLQRDIRFLLLTMPEKAIFEPEALNLIFGGFLPDDV GPQQLKYMLYWEPVNPVTAVTMFLPAYQYHPFIIQYAMRALESHSVDVTFFYVPQIVQ SLRYDSLGYVKRYILETAQFSQLFAHQIIWNMKANSYKDDDAQIPDEIKPTLDTVMTQ MVDEFAPEDRDFYEREFAFFDEVTDISGKLKPFIKKSKPEKKQKIEEELRKIKVEVGV YLPSNPDGVVIGIDRKSGKPLQSHAKAPYMATFRIQKNKGGVSEVDEMMDEKDGGDHG TPERTIEVWQSAIFKVGDDCRQDVLALQMIAAFRGIFHDVGLDVYVFPYRVTATAPGC GVIDVLPNSISRDMLGREAVNGLYDYFISKYGNENSLRFQRARSNFVKSMAAYSVISY LLQFKDRHNGNIMIDDAGHILHIDFGFCFDIAPGGIKFERAPFKLTTEMVAVMGGSME HQSFKAFEELCVKAFLASRQYCEKLSQIVSLMMDSGLPCFKPESVKHFRERFVLDKSE RDAANFMKHLIKTSYSSHSTGIYDQFQLLTNGIPY FPSE_02591 MSSPNTPSKHGSHGQFRRPSFLSNDDSHNPLGTRSGHLQSLIRS RSQQSMQSLSSSLPAHSGAFWMGDDEETGLLRHDNDELGRLLADERRLTQLLHGPQNR SAKLIGRSNPRYRWEQYWRPEEELASMPKHLRDYYERTNDLIQQYLYIDALLDSAIPH DLLNEYQAELDASAFRPVDVPDTINEEPSISTSETSPLNTSTPASITPGSYGAISVKH SNSSTKLPATRTPQDIFRSSENLPLLQHQDHDDDEEHEVQPSQPASKDDDNGPRPLLP WLEDAEIDSDDPIVTLAIWVNFIANAVLLAGKLVVIMSVPSMSVLASLVDAVLDFLST VIVWVTTRLISSSQSDQHSYPVGRRKLEPLGVLVFSIIMITSFCQVGLECIQRLMSPE HPILELGVPAIAIMVSTIVIKGACWIWCRVVKNSSVRALAEDAKTDVIFNTGSILFPI IGFYGRVWWLDAFGGLFLSLVVIFNWSQTSAHHVRNLSGFSAQPDERNLLLYLTMRFA TAIRQIQNLRAYHAGDKLFVEVDIVLSAATPLKDSHDLSEVLTYFLESVPIVDRAFVH VDYTSYNAPTHMLKGSAAKPK FPSE_02592 MHKFSVAFNRRKSTATSEDFQNGPVTPPEGQSSFRVIERSDPSL GKSFDGGARMARASGGYIPKPSHLDQLDHEDNMFADLKSGNRGSGASNTTKATSTDTS SRHSNASTAPSSTNLESHDDHKHSQKKSQKQSQNQNLHDIAPRTAVKSSGSGFLNRAG RTFSFGVQKKHNASPPKIDNDIPPVPTIQQDAYGGRSRGLTESTTSTATPPQLEMENN GMDMGGDFGSMFSSFDKRASVMTMRADGSAAPRSLTSNRPIQPPPLSINKVNNVEPGP ESWGRNEDDGLLGPQPGSPELDDVPPPVPRHQSSFKTSSRPSDIIEDEDAKLLQDSIA VGRLLSESSSSNAPSGRYRRNEDSFSSFERKPLNSSFNRGDDNLFEGTSTHNSRITNR NNARNPSPQRNTKVMTPAQFEKYRKDKEDHGSSDGIRQSTTNDDDDEINYDDDEDEME KSKQQAKQRRKQEAHMAVYRQQMMKVTGEQPDMHPHDRPGLANSMSAPQLHLMKTPSP GLPAGASDEDDDEEVPLAILQAHGFPSKNRPPARLSTMGSNPNLRASTQLAPPRPGSA MGESSQNQRHSMLPAFARGLPQDPFVGASISKPAMRESLTFSGGLPASESQQSLPPGG LVGVIANEERSRAMRRGGPNPNGQKFVPGMNAPQGPPFDNPQAMMNAMNSMYNMPGMG MSQQQMMPPPQLTVGDQAQLQMTQQMSQFMQMQMQFMQMMASNNQQQQPGMMPPMQPP YGGMSATQSMNDLSSRHSMMLEPTLEPPRRMDAGMRTMSMVQPSSGSSFLPPIGGYAG SIHGMPMGYTPSIAPSERSNIGLPGRYRPVSQMPAAAVPEPHRRTSTMSGALGHWDEP KTKSTINIVTTGDGSDDDDEEGWEAMKAKRDKKRSMWKSKKGLGSEFGTRI FPSE_02593 MSLKGPSPQHHDGSGLRIGIVHARWNSTIIDPLVTGAKEKLLAA GVKESNIVIQSCPGSWELPIAVQRLFSASQIQGTSTGGPSAGDLLASSTADLTSLSAP SGAFDAIIAVGVLIKGETMHFEYIAESTCQGLMRVQLDTGVPVILGVLTVLTEDQAKA RAGVDGKGHNHGEDWGMAAVEMGVKRKEWSNGTIDG FPSE_02594 MSISQLPPSSAHRLRSSHQLPDPLSVVKELVDNSIDSGATSIEI TIAPNTVDKIQVRDNGRGIQIEDFQFLGCRSHTSKIRNYDELHQNGGKTLGFRGEALA SATETAAIKITTRTAQDPVASLLMLKAKTGGISKKQPVSGTIGTTVQATNLFDKFTVR KQNAIKTSRRSLVEIQRLLESYAIAIPHLKISFKVSSPSTQSWSYSPCPPSNTQEAIT QIFGHTLAAQLVEVSFDSNVECDSTGVQLGTLRITALLPRPGSDVQVIKGKGAFISVD SRPISSTRSTGKKLVSVFKSSISKIIGSPDSSRAPANPFLQISIQCPPGSYDPNVSQL KDEVMFDNEPAVISCFQALCDAIYVKKVSGSKGMQNKTMPAENIHTPAAGASKAPKAN RGEGRFQNKTGHESLSSDQDLIEPLNDEFERLLVGNSGAFGNNESTIPSAFTRSPSPS KTRHQINNVISDANQSERIQQMMRTKLCINLSRRDSDSTDIDGTGGLVPVQVTPRRAA SPPKEQLYSHSRRRTMTLGRRSEDIGSYFHPVQDKPIVIATDETATPENTQLGNSLAS PSETNRRALKELTQSEVNTFQEEEEEEEEDFSDGESLVELPPAEPALGPSPRTSPRRM APPRMPNSPFRPLTRLRQSSNLNTGLELLPNLQTPPPSDPRRVDNSTRRDSRRNSANI STQGQRPSQSSMVSSPNRIGDQGPRQSRIHVGNGPTASYNRRRSEQIGRGNGLAGRRN APTSRDSSDAHLKDWLHNVHANSEDQEAYSHPRLSVEAPQSQTPLDEASEQQNDIHWS HSIQALLMRTPPPRDERSGNEIQNEHYQVLDHSQDSCNGDINPRPAKRQRHDSSITAP TDEEPDPRHLLIKRQRIRTGGGQLKRVASKKLPLETIPQADLTVNLSANARIQMSALI NAAIEFMRSGRAAEQDSAIRFDNMAEVDKVDELLRHATESWLSGDSSIEVEYMLRSGA KGKSKA FPSE_02595 MQPGLAGQQPVDCCSRTPYHDLAQGLPGRRHVARPSKRLFPGSA PDALVTVDSSNHSHQQQRHSTIMSQVAPRHRAWVPRHSTISQFSKSILVALLIALHAV SPAYAVRIPFTNCLSDAYRLHEPTRLQWVPLYADAVFDIENDKHSLRVIVWGNVTGAR TTSQLPGPGDPSWKNDDDINGKIAELPQSGYRTATTYFPRVNFLTYAPYNQRFNFCNT SLVNGSCPLGPYFEEVDYSDAYKLPSLNITWDAYASYAFASLAPSMSIVDGTREAPQI GCVSMAITPDLGGLSWLLKFLPMIVLLFTGFAVSFSAIFSPWGSTDVFHWTSNYGRDV DLLRLVTPGFGDCLQYIQFIALSGSLTLDYPGFYQPIVSQMAWSTLMFNESFVADAPS WQSVVDGIYVTNATYGLQELGQLVGMAESRDIWAGMMVWLCVCIASVTVLVQGGFAIQ WLFRKINNTPEEDLRSKNVPFSVGNAVRIVFNYFLFPIVALSCFQLVTAGDSPTYTIA LAVVTLIFLIIFASYLFYLIIRTRPKSVLYDDLPTVLLYGPLYNTYSDEAAAFALIPV FLTFLRGITVGAVQPSGIAQVVLLAICEVIHILTIHAFRPFQRSTAMNAYHTLFGSLR LVSILLMVAFVPTLDVSEGDKGWIGYIILGLHGTALIFGFFLNALQTIIEVTARMLGA GGDDARGLTRGGLTKIFGARQLSRRNTQHRNTGPSRASQLSTAAMLDLDDGGKSGYVM PSGRVRSESGASLGGLMNPRHRSSSALDSIDVYSGMPHGIDSSSSYMPNTPGERSTFS FLPSPNAARHHPTQSMDAADPYYRPPRRRREATNGSIHSEGPGASSPPDVKRMSQPGG LGALGDPADMGADISRGPTPAPPPPAGYSQVSIPPNRPDYATREVDFYYGVRGPALNS DGPGRKLGTGPADPTGPVATASGWFRTLLGGKTKEKGKGFEVVRSSRMPPAMMARNGG ESPPEGIPVAMGVLRNGPIDSDDEDEPQPRRSPGRQPQSALLDDNGDPQDSEPDSPVL ERPQRTFSVGSESVPREPSKSLSKAPHIALREAEDDAPEIPRKSSKRASGHFAGSSDH SHSRAPSLNLMNMPGSTISFDSQWRDHDAVSRASSHHRATLSGSSRLPFERTNSQKRL SSNSSIEFPGEFTQIDFGSSIDERPASFGMVSQHGVSRVDPLHRDVDLLGSSAELVED LPMRPRT FPSE_02596 MGKKSKRKAAQLVDKTLTVLGADPVLDTTEKSSSSEHNDVVQQP DKFEEGVPVTTAVAKLETATSTQSDSSLKRSKPHEDDDEGEWQVVSRASKKLKKIPKP GKNYPTITFSPNARLQSKINITQLRDLVTYIFADGSGPQWVGVTHRPAFRKIVAIMIP GVEEAMFKHTVDFDRYNDIAKGNNTIATSPDDYYPRELKEERLPTALQPFAKMFTHLW PVKTPGDDRHGKMHSPLAAFLTAPAPKEKSGQKKGPKPAFEPQGWKNERTSIAEFLCT VDDLSDNGYLVHPAMLEGAAKDKFVLPPGWVITNVDKFEDGEPPKGEVQKGSVTAGRD VLALDCEMCMTGENEFSLTRISVIDWVGEVVLDELVKPDKPIIDYVTQFSGITEEMLA PVTTTLHDIQQKLLELLTPRTILIGHSLESDTKALRISHPFIIDTSIIYPHPRGPPLK SSLKWLAQKYLSKEIQKGGANGHDSIEDAKTCLDLVKQKCEKGKTWGTSDSQGENLFR RLARAGTAYKAQGGAAGGLEVGKTSAAIDWGDPSKGAGAGATYQLGCKNDEDVTNNVI RAVQGDPDGLEIRGGGVDFVWARMRELEALQGWWNRNRVENTNSDGGPPEESEESSGK SQLEQALVRFTERIQRIYDALPPCTAFMLYSGSGDPREMSRLQKVHAQWRKEYNTPGK NWNDLSVKWTDVEDQELKEAARRARSGIGFITVK FPSE_02597 MDQLAPFRVKSHHRGRGIFSTKSFVPGDVILPFTPRILIPSLSH INNICSHCFKQAEVRACSRCHAVSYCDAACQAANWTAVHSKECKVLRKVTEQGRPGLP TPIRAVVQALVKPEIGNAIEDLEGNGTSWRKSEKWADMEMMAMGASAFAGLGTGQEDI QTNAFHRYDTDLGQVGIFLEPKLAMANHSCIPNAMVQFIGRKAILRAEKPIKIDDEIE ISYTDYTFPLSKRKLALAPYFFDCMCLRCEKDLNVYQVCAGSPIIDMNRHSLVADVGK LGRHPGATDSNKVSAARRHSEGLSELLEDKDSAGSLETRRASLRKRYHRCKGLAAEDM WAVSPLPQLLSEISILYAEEGNFVYALVTACFITTSCDSYRHVLPYHPVRIKGFLLVA KLLANTAADTASLGRSQAVTIKGDFNQRSLQTLQDIDQVSLCQMLLIMILKSVPKGYV QEWEVSITAKQMLDEINQLPGREQESSLINDWRKDPEADQARAFFEYAVVKQVDALAN LGLEVLKMDFGQ FPSE_02598 MAPSRAKSLPFIVALVLGIVLCIFAAPILASSPSEPSPSADVEL ICHTDNPKDCYPKIFQPTDEFQIVHDDQELPHGLHVRLNMSNGKKEAKINVPDEGNPA LEGLPVDQAIVVVDQQQQEDPQIPKGAPAYEPIGKIKEPEDEEFVGKPFFTAMKMLKA GETGEGSELDDALEGMEELSHDIYYGLKVTEDPEVLKALFCMMGDSTATTPAGVTPRD HQAAAILGASLQNNAAALKEVTKQWSGLMEAKCPVNSKSLKEFLYSSLVPSHVPSSID TRTLASTAKAKVGAINGLMKSDIIRADFLKNDGMKLLLEVLVPEGNEWGTTQRKVGQL VLDTFLDEDMGAKLGQWPRTERTSDAKCRVFETSTEEGCWDYHVARILKENKRDSSHW SRDLHDRLAALRKSGKVPPRPEL FPSE_02599 MPSPRRVRLLILATIGTFIFILFYTSGFDGHHDAETSTGQEFIK KTQNAMSANEMPAPIVDFATGEKAGSAHSDKDGDGDIDEEDKKMAEEMQQRLKAAEAE AKGKANEKGGLRPDPPKKVVGVGSSAEGQNKDKVVKPAAGEKGAAAAAPDAAVADKKP VTETRTKEELEARAELDSILKKSPVIIFSKTYCPHSKRAKAILIEKYAITPEPYIVEL DVHPQGSALQDQLLETTGRRTVPNVMVNGVSLGGADDITEMDHAGNLVGKIVDLGNKR VEVTARTK FPSE_02600 MEHANNMDGSFFVAELLELILLHLDMKKLLVSASRVCRYWATTI ADSPKIQQALFFQPVSSAGAGRPESFTFNPLLVEKFGRCFFDIEGKYTYSRRAYSFLR LPWAPEGAIAKQGPPGSLTLEKSKRLEILTRSSSSWRRMLVSQPPPPSLGYVRYDDVD RWFRESHSTQIEPSSLRHGAQHDL FPSE_02601 MERPSSSSDTASILSGSTVFSKEAQISVSDSPTKAEIDTLTSDA SKQQRKSMRQRVRNVVADLGRPPTTRDDLKSGNATQKHVDIGPASGTVLMGSTTV FPSE_02602 MSFSIPSTQQAVVLPAKRKPFTSQTFPVHLPSPGEVLIRVAWTS STPLDLHRADGGLLISEYPSQMGSGGAAGKVIAVGDGGDLKGLNIGDRVVAFAFHGGK EANHQEYITIPAYLASKIPDNISYQDAVTVSVNLVTVFHTVTADLELELPWPVPENYT PKQADSPILIWGASSSVGIYALQVLKHWGFKNLIAVASQKQHDYLKSIGATQTFDYRK DDVVDQILKSLENKSEPKLPYIIDCIGSLEGTLRPLTKIAQPGSTVAVMLPVILRDAT VDEEPEYEMDVGKVLTEEWADGVQVRGNEYFKQNLQPEIVPRLLADGVITPNRYRVVE GSSAVERAQKAVDILRNKEVSGERLVWRIAEEDI FPSE_02603 MDSFSPLATRKMDRTAASRQKSCNACVRGKRKCDKKTPRCTRCA AKGLDCVYQRLPPGASFHDDDICIADSLNDVPDFDMGFDINNLGGTSTLSSTSNTSPD SLDRVTTSTIDLDSPLDFSIIDHLMANNTSVGSELWSLGDYGSSSSSSNKLGIPSIPS MPTTQVVIRDLAIFESIEPCMTEINPLDVYDPRSRMGYTVDAIANLYRDFARTRRLPF IHPRLYGSNLPKTLMAAFSAASAYLARTPENKGWVFKLIADMAKDIHREGERASTPAE KLARVQAIVILDSIRMFDGDVTMRATSERELPQFMAWMFHLKEMEEELKIGDDPAATL LKGSPPQSWEAWLLAESTRRTIVMAFAFVCMVSILKSNEPPCDVMEPNFHFTASKYLW EADSSMAFFRAWQTKPQYPVRNLDFKGVWMHAQPEDVDEFTKLMLTAQMGPDAIDHFM LGHVC FPSE_02604 MDSHNQQRPPPPKAAGLNNILNSDHAPGHVPNGPQHMRDSGFYS NGDASNTSAASFNVNGLSPSGSGYQSSSHEKTPSPITSNMVPHGPISPSATNMSVAAM VSPNPPAAFDPRRDRPTSIESNGTNHTIGETLAPGMAALRRESVDSRINQNFSDMRLG NNSPYASNNHSTSSIQNTLHSQRNPHHLSVNRISNGYQPNAERNPETKTVKTAPAITG PATGHIARAAEPTKGQAWAFPEEEIQRVGGAPTFTDSRRSSITESIASSQFTTESRLP AGQLRLESEYSRMSSASDFPPVHHHSMQHKQLSDLQAEEGNGSTGSQPYSRTPELRVS HKLAERKRRTEMKELFDQLRDLMPQERGSKASKWEILTKAITEHQKQQEHIRLLQNHY NTVASEIDLLRRELHGLRVENTQLRGSPSSAPTPGSGPPSTTHQAERYASSNGPELPP IRSLSNSMPGGPDSMTGVQYDNPQTNGYRQERF FPSE_02605 MSFMGGAECSTSGNPLSQLHKHTQNDRTLQQDRLVGRGPGGQLN GFRSQSANAPQDEMMNGFLNQGPSLQQEFPMQPGQMGPLNPAQSHMRASSASPTWAHD FNGQPAMESAFQPPSAAQAHFNADEFARFQQLNAQGSSARASPMQSNAPNQMNQQRPM MGGMMNRPMGYSPMFQPMYQNQQPMQQQHQPQQQDAKGKGRLVELDDHKWEEQFASME LQDNAKEQEEANVAERELNEMDKGLTSETNEYGDFESVWNGIQAETVAARQDFDQFDS EWNNNMMPDFEGMGDWGRLGDPAVETYLFEQDNFFRDEKNAFEEGVRIMKEGGNLSLA ALAFESAVQQNPDHTDAWVYLGTAQAQNEKETAAIRALEQALKQDPNNLAALMGLAVS YTNEGYDSTAYRTLERWLSVKYPNILNPKDLHPPAEMGFTDRQQLHDKVTNLFIKAAQ LSPDGEHMDPDVQVGLGVLFYGAEDYDKAVDCFQSALHSSEVGSSNQQEQLHLLWNRL GATLANSGRSEEAIAAYQEALAMAPNFVRARYNLGVSCINIHCHHEAACHFLAALEMH KAIEKSGRSKAYEILGDNASGVDETLDRMSAQNRSSTLYDTLRRVFTQMGRRDLAEKT IAGVDPDVFRPEFEF FPSE_02606 MLRQVKPRNARSKRALEKREPKANENPKTCLFLRGTSCSQIVQD ALNDLHQMRQPLAKKFTKKNAIHPFDDAASLEFFSEKNDASLLVFGSSQKKRPHTLTL VRTFGYKLLDMLELYLDPESFRTIAQFKTKKFAIGLRPMVLFAGTAFESPVSNEFTLA KSMFLDFFRGEPADKIDVEGLQYIISISAEDSTGDGDAKPAIHLRVYTINTKRSGQRL PRVEVEEIGPRMDFRIGRVREPDESMLKEAMKTPRGLEERTKKNITTDSMGDKIGRVH LGKQDLSELQLRKMKGLKRSRKDAEGVADVIEEKGEETKRIKQ FPSE_02607 MPPRRSHKKSRAGCRRCKNRKIKCDEVHPRCGNCAKHGVPCDFS NPDVLEELAISTNTSTESVGAPTPSPAPTVNFNSAPRTPLTRPRAPSSPARAPRPNPS PPTSVYSQPSISSSTNTIDHGERMLELRLMHHYTNVTSKTLLTNSPAAEDIWQRAVPQ MAFSGNGKTYLADAILSVAALHLRSMSPNDKALVRASHAYSASSLSAFGASLGAGITP ENAEALFLTATLIAFQASASRIFVKDDGDAAPGDSTIRYVPPLSWFHAFQGVKTVVAN SWQWIHHSDIVKVIIDSQPSFQLNLNPRSPDSFFGHMLEGLADELSNEDPRLVASTTQ AYSHAVSVLNWAHKNYHAAAALTFTATVSKRYVDLVDARRPRALAILACFFALLKRMD NVWWLQDVARREVMGLVSLFEPGSKWWRHLEWPIRIAVLDGSSIPQDIWGTELEEQAP EQQNVLGSMTQHIEMFAEMLNQHTQPPIPIADEDLIVPDSPD FPSE_01836 MSSAPLNSDPVATPPRPPSPVHNFGTLAVHAGAPHDPATGAVIE SISLSTTFAQSAVGKPVGEYEYSRSSNPNRTNFETAVAALEHAKYALAFSSGSATTAT ILQSLAAGSHVISVSDVYGGTHRYFTQVAKAHGVKVTFTPEIEVDIAEHITPDTRLIW IETPSNPTLRLVDIRAVVTEAHKHGVLVVVDNTFLSPYVQNPLDFGADIVVHSVTKYI NGHSDVVMGVAAFNSDELKTRLSFLQNAIGAVPSAFDSWLAHRGLKTLHLRAREASRN ADAVARALEASPLVIAVNYPGLDSHPHRHIAKKQHRDALGGGMLSFRIQGGHAAAERF CQVTKIFTLAESLGGVESLIEVPSSMTHAGIPRDQREAVGIFDDLVRISCGVEDAQDL TNDVLQALEKATSTAKANGLNGNGVNGSNGH FPSE_01835 MNRLPRELIDSILKQCVVHGPKNTVLSLRLVCRVFDQVLKPFAC RTLDLEFSRLSKTSGVTPPQMDALQTIGYHCKSLYIDLMVLRDDLEVEFLETVFARVP SMTDFCQTLHKKYCMNETSFTESDYYRTVEEMLFYCRDVNRLRLNLPFQLVGRHCNAA TMILANTLKAFAQRPEEDSAKLNTLVIENVTDVAIRHLWMNPIDVMNIMRVLEVLEHL VLTLRRHENEPTTVGLFGSCLWNIVENAGDLKSLCLISMDHDDRPPRGLKQTKPWQMP VEEWRAKSLPAPNVIHSNLTCLELKRIELCPEVFVRTAENFGSTLQELYLNEVYLKVE QSRDWNEDSKKILWVGMHNQRPGDDCHWIAMALRCATPHLRICRAAFLAYDHYIAEDM PSQPEFDLVDPCGLGRSISQRFVEVVMGIRQPTALARDIVEYLPADPQFDNLLNNLHS RNRALSVVEYDTNAYQTAVANSTSEWQRSIDGVFPNCNSNTLDELHYIAETACQGMNE IHRRRNEWSAENSMANEFTENLFSISASEDEHS FPSE_01834 MRSSFAILAMAVSAVSAQSVKTYKDSLDMTIDPNSVELTTRSSW CGAQQNTCDTLCSDNTDKNNCNDDTLNWECTCSSNSSAPGLQYYTQTMPTFICENLFA QCISQTNTQSGSEECKKKIQSKCAEQSPPKNLASDDDDTSSSATDTATATASATKTSS DASGTAAAVSTTSSDAFAAPTMAPAGKGAAAAVALGMLAYLI FPSE_01833 MENLHSSPEQPTMEQKGKSATQDQGPKTDKMQQDDYTRPPRVSF GIELEFLVAHINQNRPDVDKDMPGLAPAEQSRGIFSVKALLEKHGFLTALESGEHSSA DQLWIISADLSVKERENRSDDTYCTLWDAVEISSPPLYASSEAYNLISALVRLLTTNL RVRVNSTCGFHVHVGNGHHQIDLRALRNYAALLWASEPVLSTLDCPTRSFNSWSKSIR RRHSVNLARGVTANEAHGEMVAKQPRLVPRYVSRERRFGESPVASQTALLQHLRVDDD NPLILELGYDDDESEWEDADSQPFQRRRKPKGPRREELKAKTELRRISETNARLLDNE STRRELPPRTVFPASGTMASGASAGKLPLLDDQRTKKKPISVRDIDTLPQNRSQLWPT SQIEDVLGGIDAVEPNTKLTWKGVAELLACDIGAHQIAYLLTDFENGSSNDRSLSSNW QGQLPINLFPEKDQICKPTVECRSAGGTLDAEWIVTWAKIQCRLLEWARDADPAKFMS IIGKLSRDDHSQECTYDVLDFLRDIGMYTELKYCQERLRRCEEAWYQCMLLKKAQLNK PDKPAESN FPSE_01832 MKDSQIQVIIIVLATVVPVTAIAAVGWFLWRRSRQRRRFLFMKR GITPINDEEIESWKRDRSHEKAQIIEAANREARDVEEQQQQQQQQQKEHEYLQRQKST SFSSIRKPPSVIVYDRPHPRVSEELSPRSIHYKRSIDLPSTPVLARAPNSRPGLTDEA VQGEDAFISPMKRQPSRLAKLPPSSRHSRTRSSRSSTMSAVSPHDPWHGHYPDAFIGT RSSSEYLPRAHQSLDIRRQQPRTHFMSSTNRLSFDEEVYLGGLSPRPLVRQSEIGRAI G FPSE_01831 MPPRKSNSRKSDTSTARFVPMDNVSPLEPSPAPASTSTQADAPA PASAPISAETIPAEGDAGSSPVQTTEKKDKDKEKEKDKEHKEHITIEDLTLPKSIITR LSKGVLPPNTQIQANAIMALSQSTTVFINYLASHANENTVNAGKKTIAPADVFKALEE TEFAFLREPLEAEFAKFNAIQTEKRTSYRQKVRAKKSDGADTDMPDTSHVETDADTTI ASTGPLAKRPRVEPGNADAEEDAVTEDEVEIPEDSDDDEVEDEEDEEGEGEASGDDPH DALEAKEGKEDRDEALDGDESD FPSE_01830 MYSAQQTGADNATINPAALSSPIIGLSHQPQRSLKRSHSPVLYD VLQPGDDGDTKPLRKRAKPMNKRSSGSISEAPGQAAASTLPQAIPPPQTPQSQGSTLP QASPAYTPAQTQMPPKTTPTKSTLKALPTVRDHTTDQLNASGDEYLPREIDEFGEKKV QQNGTLNGGREYKCRTFLVPNRGDKLFMLATECARVLGYRDSYLLFNKNRSLFKIIAS QAEKDDLVAQEILPFSYRSRQIAIVTARSMFRQFGSRVIVNGRRVRDDYWETKARKQG FTEADLAGEKRPGATKAREAAEAQQNNVLLAGPHPEIVYSNNPGPFPGPPQPHLVQPG MIGPPPGTTTRMPGLTLGSDLSDSRPRDYSGILKGGPRQEITGPAYQDQTRPSPLGEL NAQAHHAADFSRSVNQQRDMRNDYLQGIWRRPHEQPPSNLTQQPVAPADNSNPATSRP SHSPHTTATAMSQQPGLVSTQSPQMMMTTAPYSQSISAQSSLTQAPMRGMAHSPTQSN IRPTLPGSTGSMSQGTPGYNYQSGQMWPQTPQAPQHSYGSYTAQSQAPHPSQSPSSHL RQSSSGQMQSMQFPGMAGMQYGAGQSMYPTDQTPRQYMPQGGAGAPSVSQGWSGQHSP AQQWWTPGQQPQ FPSE_01829 MASLKRSTESDPLASNISSKVYVRSTRSGKVQKIVREVYLRTDI PCSSKLCKACLYDAPRNAAQEAQPFVLSDKPAGTKAFPQGHYLVPDTNALLNAMDLFE QSSAFYDVVILQTVLEELRNRSLPLYNRLVGLTKSEDKRFYVFFNDFRLETFVHRESN ETVNDRNDRAVRQAVKWYGEHLAGTKTNNIPAVVMLSDDRENLRKAREVGLHASSLRE YVGSLEDGERLLDMVAEAQTQGSFKTQGQMLYPEYFTLSKMMTGVKAGLMHQGIFNVS PYNYLEGSIKVPSFPKPLLILGRENINRSVDGDLVVVEVLPEDQWKEPSTKIIEEDTI TKNENADVEESQDFVSEKERKALQEQVKKTQKSASESKPQPTAKVVGVIKRNWRQYVG HIDPSSASKASSQGRKQDSVFLIPMDKKIPKIRLRSRQVADLLGKRLLVTIDGWERDS RHPIGHFVRSLGELETKSAETEALLLEWDVQYRPFPKTVLDCLPKEGHDWRVPTSMDD PGWRQREDLRGLLICSIDPVGCQDIDDALHAKQLPNGNYEVGVHIADVSNFVKPANAM DTEASIRGTTVYLVDKRIDMLPPLLGTDLCSLKPYVERFAFSVLWELNDNADIVNVRF TKSVIKSREAFSYEQAQLRIDDDSQQDDLTKGMRMLLMLSKKLKKKRMEAGALSLSSP EVKVQTESETSDPIDVKTKQLLDTNSLVEEFMLFANISVAAKIYEAFPQTAILRRHGA PPKTNFDELSNQLRTKRGMELRTDSSKALADSLDQCVDSKEPFFNTLVRIMATRCMMS AEYFCSGTQAYPEFRHYGLASEIYTHFTSPIRRYADLLAHRQLAAAIDYEAIHPNVRS RGRLEAVCKNINVRHRNAQMAGRASIAYYVGQALKGKVAEEDAFVMKIFSNGFVVLVP RFGTEGLIRLRDLAEPEPEAEYDAETYTLTTRGSREIKVELFQKVRVRVRDEKDEMTG KRGVKMELIEA FPSE_01828 MAGSITKPKKPKSKRTPVRLRHKIQKASAAKQKKERKLAKKNPE WRTKLKKDPGIPNLFPYKQKLLDEIEENRIKKAELAQRRKELTKAAKTGTTDDNAMTD VQVDVSDEMEEDEELDDDIDESNPMAALIASARAAAATYDRQLADDDDMDEDDESDDS DNDHGPEMSIGQASSRKTYDRVFKQVVEQADVVLYVLDARDPEGTRSREVERQIMASP DKRLILIINKCDLIPPKVLRDWLVYLRRYFPTLPLRASGAAPNAHTFNHRDLTVQSTS ATLFKALKSFAASRQLKRAVSVGVIGYPNVGKSSVINALLSRMSGKGGSSSKACPAGA EAGVTTSIRSVKIDSKLTLLDSPGVVFPSSSSTQSAGLVSLKNATEAHAHLVLLNAVP PKQIDDPIPAVTLLLKRLSASPDLIQKLTNVYDIPALLPNRADGDFTTDFLVQVARKR GRLGRGGIPNLPAAAMTVVTDWRDGRIQGWVEPPVLAVGSTATAAKSAIKNAGEDDVA PDQKQIVTEWAAEFKLEGLWGDNSADVDEAMEQ FPSE_01827 MSSDLSHQIQSKLDAAIDRYVARNPRSKALHEEALKSMPGGNTR TVLHTSPFPVAIKSGQGYQVTSEDGHVYTDLAGEFTAALYGHSNPVIMSAIRHVLDNV GMNVGGNTAQEQLFARELCSRFNLEHVRFCNSGTEANIHALAAARAFTKKRRVVAFSG GYHGAVIGFKVGKPEGNNVDMDDWVVARYNDLDSARTAIESDGVAAVLIEGMQGSGGG LPAMPEFLQGVQDIAAKAGVLFIMDEVMTSRLSGGGISELRGLKPDLKTFGKYLGGGL AFGAFGGRADIMAAFDPRSPNALSHSGTFNNNTLVTHAGYAGLTKIYTPEVANRFTKS GHELRERLNAVTEGTRVLFTGLGTIMGVHFTTHGDRVIERSGEVEEIDALRDLFWFEM LEEGFWVVRRGFIALVLETPTAEFDKFVNCVEAWVSKHADLVKL FPSE_01826 MDFQNRAGSKFGGGGVASQSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGKKHQTNLARRAAREQKEGRQGAIDPAT GLPIGVTGAGFAQRRNIVKIGRPGYKITKIRDPVSRQQGLLFQLQYPDATPETSPKWQ VMNAFTQHIEEPDKNFQYLLVAAEPYETVGFKIPARELDKREDKQFAFWDPDAKEYWI QVMFMTEREERFNAAPGQAMRR FPSE_01825 MDPNNSNNRLYLNFGNGNDRLTASDRTAYPTTPSTFPQPVFPSA SSGQQPGGLQPQQQQQQFAGGYAPQGYFNQNQYAQYGGQQPQQNDYQQGAGYQQRSNT PGTNDPNTGLAHQFSHQNLGGAARGQAYARGPSPGQRPRTAGSSGQQPGYNYMNAPPM PSQSSAPGEEFQRAPERNPDRYGTNANSNQKKCSQLAADFFKDSVKRARERNQRQSEL EQKLQDPTQGAARREQLWSTAGRKEGQYLRFLRTKDKPENYNTVKIIGKGAFGEVKLV QKKGDGKVYAMKSLIKTEMFKKDQLAHVRSERDILAESDSPWVVKLYTTFQDSYFLYM LMEFLPGGDLMTMLIKYEIFSEDITRFYIAEIVLAIEAVHKLGFIHRDIKPDNILLDR GGHVKLTDFGLSTGFHRLHDNNYYQQLLQGRSNKPRDRNSVAIDQINLTVSNRSQIND WRRSRRLMAYSTVGTPDYIAPEIFTGHGYTFDCDWWSLGTIMFECLVGWPPFCAEDSH DTYRKIVNWRQTLYFPDDITLGTDAEHLIRSMVCNTENRLGRSGAHEIKSHAFFRGVE FDSLRRIRAPFEPRLTSNIDTTYFPTDEIDQTDNATVLKAQAIQSGRQVEESPEMSLP FIGYTFKRFDNNFR FPSE_01824 MADSNPPAKQPAAGTGATYKAPSPKPQQNPALRMMGLPNLPRKL PSRNWLIFWAITGSISAAIIYDKREKKRATAKWKHVVAPLATDLIPSASHLPRKLTIY LESPPGDGLRVAQDHFIEYAKPVLAASGLDWEFVQGRQQGDVRAAVAEKIRRDRRQHE RPAEEDLQTDEAITAALRKKNNIPEYEGVQGDIVFGLHTWKEYMRGLHEGWLGPLDAP AKPETETKPAAIDAAVETPKVEGEQAEEKKDEPKEEEKKDEEKKPERPPQPVPYNTTA DYPLASLPTQIPAEFSPSNPIPLPHRLGFRHTFVRLNRFFNRRKLADEIGREVAAVCF AASSREWREADGQYEQQLVLKHEENDWVKSVWKTEEPATTDDDNAAIIPAGPPKEKIW PAPIVIDPRLAQRMRRFEMTSEVEARAAQVKVSEAEVEGWIKGSFRSLWNWTVESVTA KPMRPNVGNVDGDE FPSE_01823 MSNRFVSAGKIGSSGEISTETKSAEPNASQQPLHSSTKLKEWEA VQEQLEAERRRREEQRIKLATGEGEKSLYDVLQANKAAKQAEFEEQSKLRNQFRALDD DEIEFLDEVRAKKRAEEERVKQETEEGLKAFRERQKGDTVQDGPADAQEGGESWEIGR KRKRNKEKEVKGLRRKVTTAEETDKQDLKPPETTEQDSEKEVTPKAATQTAPKPPEKK ASGLVSYGSDSDDD FPSE_01822 MPGGVCAVLDYEVDMMSEYVAEMATRVVTPEAAVTSPFRKFVSQ ILTSTRLPSTTILLGMNYLAKRINTLKGQGPYKASEGQVWRYLTVSLLLGSKFLDDNT FQNRSWSEVSGIAVSELNSLEFEWVESMGWRLYVNLDMSKDYQAWLENWRDWQEMKKR QVAQASRERLASVVPAIDTDVARYSGHRQSPQSRYLQEQVAEYERYQAMKSQQQSYRP RESGWGHTSWGAPLTPPDSGYGTPDYAMSATSSNDRYNEWFSQAAAQYANRYPQPPAH STFYPNSRHNSYSGHYHYSQNMWEHGLAECSCTGCVDPMKQQVPYFVPHGYSQPVMG FPSE_01821 MMVRVEEDERRSKSPGSPGLRKVGWQDGEMGMGDDAVLDWTGPM RVGIAGARVCSECGGGRGGLQVLPSPASTCSVAVPDSCQVPQAPVQSNPIQPVFSFFH DEESFEWTRNGWMGPWNPRPYPTLPYSMDLAYSSPRAMHLTSPRTERQVTAAGVEQQE LKLGCVESSTNRLSLTEAQFTIIKTFKDEGPSPSVSAIFCLLEVGLENKYCPEHLLQV CIPLED FPSE_01820 MAPRGKIRLRLTRSAKSESTPKSFADLEDEPMPDASPSAHASRR QQVPDPDDEKDEDHQPKDESGDEDDAADAAEKDDESVKEPTPPPQPMVRRKRLGRPPK NRPPDWDNMISVPADEANTPRRRGRGGWRGRGGRKGGPAAPKAEQVIDDGSIVEIVND ECVLPEDPEGETKVDKSGNLQGGRDYRCRTFTVSGRGDRLYMLSTEPARCVGFRDSYL FFTKHKKLYKIIVDDDEKRDMIERDIIPHSYKGRSIGIVTARSVFREFGARIIVGGKR ILDDYNVALARAEGAIEGEIADPNDRFIEGEPYNKNQYVAWHGASAVYHTNVPSVPVP NGKIESRKRKVNVNDMNWMLEHAREASSFNASINAIRKLNNAGVYDIHTNVMQYPVTM QPTRARIEQVAPEDEEATADSSVFRPVPAKMARNFFVADTYFETSSAGVISASGVDGT VSSADFLASFQGLSTVSDDIKDLLPPECRAAFDSAVEKETSYRSQWGPETENMSRRQP IIDKAIVPYSMS FPSE_01819 MSHSMSTQEQQLCQFLAELPARFNYRFTEEASRELLTSLFWSLA GGSNEYMRLLFPDGKPGESLKLSDSQGALEGAEYTEAARGRRCGHIFKPGEASYMCRT CGTDETCCLCARCFDSTDHTGHMVRIHISVGNSGCCDCGDDEAWRTPLFCTIHSNVQG GTDKGKGKEAAGLPEDLVTNLQMTIGRVFDYICDVISCSPEQLRQAKTQDSILEDEQT SRLLSKYYGPEAEQCHEFALVLWNDEKHTVQEVQDQVARACKKSRKLAAENAWETDAI GRSILTYLPDVPRLLEMATIMEAIRVTVTIRSARDTFREQMCGTLVEWLSDISGCSVG HDNSILRRTVCEQVMNPWRKGSQATHTLGLIDDEEEDDQIVDSRRRFDGMNARFILAL QAAAGAGAGVQIDIDDEDDEEEEEDVDADMDDQAQHSPSSSVAGGDEDEDDDVMMVDA RGDVGDLGMNWRDNDQALEEDEATMAGYPPPPPPPAAQVQAQAQAQPQPEAPARTGDR EGTPSDSDTAEPLIAPAIYAKANAEIPKTPGRTEKHIPRPGTYWIETPVVYAQRENVP PAEDVFRRVRLDWLLLFDLRMWKKVRNDLRALYISTVVTIPEFKRVLALRFASLYTIL AQLYLVGDREPDHSIINLSLQMLTTPSITAEVVERGNFMTSLLAILYTFLTTRQVGHP WEVSPDAVLGFESGSVTNRRMYHFYQDLKYLFGAPHVQERVRCEPRYLMQFLDLVKLH QCIGPNVRAVVEHVEYEADSWITASLVTRQINLQARNLAEAFRNCPPDEFHYLQDAIR FTAKTVILNSIGAERHRFKQGEIKDEVQFKTLSDFEFEGEDASFDVVKFVVEKDAISF HHALHYTLSWLIECGRSLPVSTVRNLLSFTQQELKSKPKLMGRPQVPRKNYTAEDYLI ASFDFPLRVCAWLAQIKANMWVRNGISLRHQASTYRGVGQRDVSHHRDIFLLQTALVV CNPSRVLASVVDRFGMESWVKGLFELKSEAQDDAQHLDVVEDMIHLLIVLLSDRTSLI SSEDEAQSRLLAMRRDIIHVLCFKPLSFNEICNKLPEKYQEQEDFHRVLDEMATFKSP EGVSDVGTFELRPEFIEEIDPYIAHYNKNQREESELAYRKKMAKRTGKTVDEIVYEPK ARPVPSGMFEELGAFTSTGMFAQVIYYSLLYPLMAGKFTPSIPFTRLETFLQVVLHLM LIAILEDKSNDDDMSEESTKSFTYIALTKPGRSNFLPVPEKETRTIVSLLNMMSTKDE FKAVHPKVALVLKRLRQKRPRTFESAFVTLGASVDRINTASPANTSVEEERERRKKAA LSRQAKVMAHFQQQQKSFLENQTSIDWGSDIDEDTDEEGEEEQTEDRKHNWKYPVGTC ILCQEEPDDRHLYGTFAHFNESRILRQTDFQDPDFVREASQTPCSLDRSAEDVRPFGI AHENRKMVEKINAQGETFLAERQTIGKGFPSNVCRSGPVASGCGHMMHYRCFEVYYEA TVRRHTHQIARHHPEDTRRNEFVCPLCKALGNAFMPIIWKGIDESYPGYLQSQSTFDD FVEKQLGSAYWIGGSKAREDEPTVPGMFTPSLPGSLVESLLPIQTSSENTWGRDDAES HSSTVGTPVSYAFSDSITPEPAQPQPASTNTDGSQLMKELLAAYRRLRNTLRVNGLRT NHLIDAKGDNDGELCASDTLVKSVGFTISSIEVQQRGIEAQSGMTLLEKIPEHILTHL RILSETVSSYIAIGAQPGGTENKIEEEFKKDSERQHCQLFMTRYFGTGTPYARRPLDV YPPLLSMDSFLFLVECSYGLVPLQKAEISHVLRLCYLAELVKLVYHMGRNIPVASWVG NLTNRQTQDPAINNFADFALGVTKTGLEYHAAQFPEGVEFGENRGFQQPNVDTLESWY TFSKKYALTFLRKCVIFLYVKYGVDFNSHVSSSPDADELERLTEALKLPTFDEMCASI TDNASSCGWPQKTSELVSGWVKHQVMWPGDYSEIPLSAMMSHPGIFELIGLPKNYDAL IDEATRRKCPTTGKELTDPVICLFCGELSCSQGTCCQKTDNSSDRTEFTKIGGAQQHM RKCQRNIGVFLNVRKCSVVYLFRLSGSFTPAPYIDKYGETDPQLRHGRQLFLNQKRYD SMIRNTVLNHGVPSLISRKLEAEINNGGWDTL FPSE_01818 MSPELREFPGLTISRTSPSFNRSLTTPVADVHHRLLAVFQTPNC AIQSKWSLFSTNYLMLATVFSAGFAWEIGFNNVMDKVWDNHNRGRQWKDIRHKFIEGG DEDEE FPSE_01817 MADSDLSTEAKTRANIGLEHGAALKAKTNLIDTSDALTPDPGTE DMFRTEQNKFAFSPGQLSKLLNPKSLNAFYALGGINGIEKGLRTNRSAGLGVDESTLD GEVNFHDVAPEGTPMHGTAGDSIPESNAEAAVHVPPPDDLNPASPFYDRKKIFRDNVL PERNSKSLLEIAWTTYNDKVLILLTIAAVVSLALGLYQTFGGEHKPGEPKVEWVEGVA IIVAIVIVVLVGTVNDWHMQRQFTRLTKKTNDRMVNVIRSGKSQEISINNVMVGDIMH LTTGDIVPVDGIFIQGSAVKCDESSATGESDLLRKTPAADVFDTIQKPETKNLEKLDP FIISGSKVSEGNGTFLVTAVGVNSSYGRISMALRTEQEDTPLQRKLNVLADWIAKVGA GAALLLFIVLFIKFCAQLPNNRGTPSEKGQDFMKIFIVSVTVVVVAVPEGLPLAVTLA LSFATVKMLRDNNLVRILKACETMGNATTICSDKTGTLTQNKMTVVAATLGKITSFGG TDAPMDKSIKLDQGAITVPNVSETGFANGLSHEVKDLLVCSNILNSTAFEGEQDGQKT FIGSKTEVALLAHCRDRLGSGPIEEVRSTAEIVQTIPFDSKYKYSAVVVKVADGRYRA FVKGASEMLLARCTKVLGNTSQGDLTSVLLTDAERDMFNLIINSYAAQTLRTICSSYR DFESWPPEGAASPENPRYADFNAVHQDMALMSIYGIKDPLRPTVISALGDCRQAGVVV RMVTGDNIQTACAIASECGIFRPDEGGIAMEGPDFRRLPPGELKEKVRHLQVLARSSP EDKRVLVRTLKDLGETVAVTGDGTNDAPALKMADIGFSMGIAGTEVAKEASSIILLDD NFASIVKGLMWGRAVNDSVKKFLQFQLTVNITAVVLTFVSAVASSTQESVLNAVQLLW VNLIMDTFAALALATDPPTRSVLDRKPDRKSAPLITLRMAKMIIGQAICQLAITFVLN FGGKKLLGWYDDSERDAKELKTLVFNTFVWLQIFNEINNRRLDNKLNIFDGLHRNIFF IVINLIMIGGQIIIIFVGGDAFEIVRLSGKEWGLSIGLGAISIPWGVAIRLCPDEWIA ACLPGFLRRRWISPSEADLAAEKPLDSDDEFVRPPLRVMSSLRGPRVQQHIGFKERMH RVKEKAKEKAHRETNAPPEKSGAVVGHVTEGGHS FPSE_01816 MPSQPSNAGIVTDENSGDREIPQSVRADGTTRKAIKIRPGYRPP EDVEVYKNRTAEAFRERGKKIGIPGAAGVKEESSEQGSAASNKNAKRREARKKAKATE GDVPAPAQETKTEEVDPEVERQKKARNLKKKLKQAKDLKNKKEDGEALLPEQIAKVIK INELIRELDALGFDSEGEPRTSAENEDEKKD FPSE_01815 MSAEEERQAALNSYRAKLIESREWEAKLKNLRLEIKDMQREFDK TEDNIKALQSVGQIIGEVLKQLDDERFIVKASSGPRYVVGCRSKVDKVKLKQGTRVAL DMTTLTIMRMLPREVDPLVYNMSLEDPGQVSFAGIGGLNDQIRELREVIELPLKNPEL FLRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARLIR EMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTK IIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHSQSVVIDGDLDFESVV KMSDGLNGADLRNVVTEAGLFAIKDYRESINQDDFNKAVRKVAESKKLEGKLEYQKL FPSE_01814 MCGIFGYINYLVEKDRKFILDTLVNGLSRLEYRGYDSAGLAIDG DKKKEVLAFKEVGKVAKLRKLIDESELDLEKIFDSHAGIAHTRWATHGPPSTTNCHPH RSDATWEFSIVHNGIITNYKELKTLLQTKGFKFETETDTECIAKLTKYIHDQHPSIGF TDLAKAVIQELEGAYGLLIKSVHYPHEVIAARKGSPLVIGVKTERRMKVDFVDVEYSD ENAALPAEAAAQNVAIKKNDLLAPDSGLLGAADKSLLHRSQSRAFMTDDGMPMPTEFF LSSDPSAIVEHTKKVMYLEDDDIAHIHEGSLNIHRLKKADGSSNVRTIQTLELELQEI MKGKFDHFMQKEIFEQPESVVNTMRGRLDIANKTVTLGGLRSYISTIRRCRRIIFIAC GTSYHSCMAVRGIFEELAEIPIAVELASDFLDRQAPVFRDDTCVFVSQSGETADSLMA LRYCLERGALTVGIVNVVGSSISLLTHCGVHVNAGPEIGVASTKAYTSQFIAMVMFAL SLSEDRASKKARREEIMEGLSNVSAQIKSILELDSSIKKLCENFMNQKSLLLLGRGSQ FSTALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDDLFKKSLNAY QQVIARGGKPIVICNPDDEEFKASEAEKIEIPKTVDVLQGLLNVIPLQLIAYWLAVLE GLNVDFPRNLAKSVTVE FPSE_01813 MDHRPQAWGRPRDDVYGAYDASFMSDNGPKQNTQQPIVTGTSVI AVKFKDGVVIAADNLASYGSLARFTDVKRLRPFADSSVVGFSGDISDMQYLDRHLIEL SLDEAYTSPDAPRLNAANLHRYLAKLLYRRRSKFDPLWNHILVVGLDDDDKPFLAAAD LLGSTYSAPSLATGFGAMLAQPIMRRHVPDEEASQNLDKEGAINIIKECMKVLYYRDA RSLDSYSMAVVTKEGVEINEGLQLEAQSWAFAERIRGYGTQTV FPSE_01812 MLTSVGRAAARRVQTSRISAPTSVSAQLIGRQNAVAAALPIRSF TASTWSSSPTASDKKPAKKTTATTTKKAAGTTTATKSKAKATETKSKDKAVKAKAKPK PKAVEEKPKKPKKEVDPEKLKKLEIKEVKKWTLKDKLPTLPASSWILFMSENRGSSLG AGGITQQTAAMAEKFRQLSQSELDDLTARASANREKNIENYKAWVEAHEPARIFIANK SRRRLAFLTGKPEKPINDERLPARPRGSYAVFVSENHSRFANSGNVEVFKMLAEEWKQ VNAAEKARYEEKAAEGSVKYKAEMEKIEARAEAIQAAGLPN FPSE_01811 MAGNSSDDTEILVHITAPSRSADDALYRQLAQAYLAFEPQKRTK LPSVSPQERKEPCDVAVQDGWVSSSSQIRIGASFGQSFEITSQDMSFEGAVDNRASPR LRHITVAQDMIPSSNDAGSESFNSWCAPASQISDSYPMPDAGLLSVSPSRILERYIGR TQSSQTSLPYSSPTAYKQSAPIPLPKESLGIPSSIPVPSQEESLRLEPPRFIETEDII TSTQENEEDDFPIPSANTEIVAFEHLVPDITHNSANAVNDRSLVRSPRGRSEPPPAKR SKIGNTQHADLFRSSSDTGRALSITNSQIDQVNSSLEIRPPSPSVGVKDVQPADLVSE KLAKLARDLGSRYRPTVARDIDPFERGYWLLGCRDWDPGARFDAWVFLSNYLKSGLAG WGTWCRRDTTHDWIRLYCWGHVAKHTYLLLYLASGRRIKISGAKWYGADGEVILEVLP QDKQG FPSE_01810 MAEKAASRGPLGRVVVIGGNGFLGHHIVNQALENWTTTATIGID LRCERNRNPNAEYRECDITDSERLLSLFEELKPDVVIHTASPVAVNPKIGNDVFKKVN VDGTQAVVDACQKTGVKALVYTSSASVISDNVTDLLNADERWPLIRGDQQTEYYSETK AQAEEIVIKANRQDDSKLLTTSIRPAGIFGEGDVQTLAGILNAYKRGKHTIQVGTNEN LFDFTYVGNVAHAHLLAAQLLLATAASSTTPLDHERVDGEAFFITNDTPVYFWDFART IWHAAGYDKGTEPNWYLNRELGITFGYISEVIASILGKTPTLTRKAIIMSCMTRYYNI NKAKRALRYQPLWTLKEGIDRGVNWFLEQDKAAAAPVKA FPSE_01809 MSSSYDDAMLGEHSHGEHSHQYHAQDMPPQADSNWQQNGNFRTR TPDSSYRPRPPISAPGTPNPPGWAEEPPRKSAERNRSRGRNGRSASGQTRTCQACGEP LTGQFVRALDGTFHLDCFKCQDCGEIVASKFFPAEDENGQGQYPLCETDYFRRLGLLC YQCGGALRGSYITALDRKYHVDHFTCSLCSTIFGAQDSYYEHDGNVYCHYHYSTQFAQ RCNGCQTAILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLAQPADSVPALESTDDAAG RDLIREEEERMEEKVFRIWSVLSTFEESSAACISDMLLHVSNGSYVEGVFVAKRFIYH VEILFQSADRLDATMVGLDQKGMSYGREAKLLCKKIVSFFSLLSKTQDNATHKIGVTQ ELLSLVTGLAHYLKLLIRICLQGALRLEREAHSSTGLYQFLDDLSDLDATKNDDGQLS VTASGGKLSANDSDHCALCHRSVEDECAKNADMRWHLNCVRCTKCSRDIGRSLAEARL NTLDRKLYCTNCAGPNAQSLEHVSKLQQYVFLLRVALARLLDILKANGTLPRSNDDSD NNGFGAADGPGGDPRSRGGDQSRGPGGSKRESSYENTLNDVRRLRSTRLDRHLSSSVR QARSSRVMDTDGRGPRPGSAGDASQGGQNSTDLMFGQNDGITLDDIPRIVAVEQSREQ QQRVPQPFQDRSLDAPSGQGHQRTQSNDRERSDMMPRQIGRKFFSELSGLEYFNVRHL AVLTMQPMIEQEFTLDELLSFIESRKQATFWKNIGKAFKNDKNKSVKKKGVFGVPLEI IIERDGADSTDGVGPGTLRIPAVVDDIVTSMRKMDLSVEGVFRKNGNIKKLQGLVETI NTEGCDMVSFMEQPVVQLAALLKRYLRDLPDPLMTHKLYRLWISAAKISDHEKRKQCL HLTCCLLPKSHRDCLEILFSFLKWAGTFHQLDEDLGSKMDVRNLATVIAPNILTNPTK AMAMDSEAMYIIDAVEMMITNIEEMCQVPDEILGLMNDPYIFSNSGDLTTKDILKRFQ DRRGQISIADVNEVYNRQDNSTRPPARRVETDPAVWSGEATVRTIQDPSMPLPNNGNA TPPTRFRGRNDLNPSPYGQNQFNQSDSQLDSAEHSRRREWRNSGIGGRQGSGLGVSGN S FPSE_01808 MPLFSSPKRDLSEERDPRRPNGSNGAINDPEEGDQIAPDEHTRL LPNRVNSTQPGLLAPDDPAVSPYNLWSIRALRYLTVAFTLITLVFWILILVSTFVTPP GISTRGSPWFAFGFATWTLVNLIFTLIFFEVPSKSVRILAVFMSGVILLDMVLLLSVQ KTRYEEGWVGAISVIWALLTSLWTLLVDRMVKWGKAEEEERLTGRAETRRTLFEWSEV MISTVAYAVMSVAVFLITLTIILRALDAGVPHPGKLHWVDNHKYRIHVYCHGNKTDSK GNELPTVLFEAGERTVEYEFWNFADNAVKNGSISRYCFADRPGYGWSDNAPSPSSAGF VVDTLSEALADAGEHGPWILASAGIGSIYSRVFSARHGDHIKGLLLIDPLHEDLLDDV ASPGRGFILWLWGVISPLGLDRIPGAIFRGRTSRDRVYGRSAQQNGKFIFAKLQESLV AGSFTRRDAKTSRQIQDKDTPLVVISSGKHIKNSHTWEKKQRDLTKLTDNLKDWDIVD GSPHEVWSTVEGRKKIEKRLKELVHA FPSE_01807 MPSTNELTTDTPVSPTSSTFIRRSSYYEYDPSASGIETSRTSMS STDTRKSKEGRPRWFSQVKDWLSVSEPSAQAMKEQKKNTFRRHGIDMKDPQAAVKLHL PIERIPEDAITSTRGPSPEKAHQRARQQRAAAQSYSGGSQASHSVSSDISTAPSVKEF NPVAPWDT FPSE_01806 MARARVHRNWRQIVSDRLGQSGRNEIQSQQEVIRRVHPFYNSYT VH FPSE_01805 MPALTINTDNPPSNNPPSPSRPPVSPLTPPLRPTELPAPGASGS SAAAHPSFTLSQPDQTAIPPPAPQPLDFDANPDVIALKSAISILQIQRQRATADIQAL SRAKDEAVQNPEAFISDLVANNINAPTNDDSDSDDDDIAMSGQGSGSQQQDPGEGSSK QRIATEPPAWRNIPQPQNVVRCPPINWSQYAVVGDSLDKLHNEQVVRPNQGTPATVGA NGMYEFRGEGKQERYQGVAAPYAPTQDRLKKKPKSRKS FPSE_01804 MDQSIIRISKNCDLSIAVACRDVDVRNVKALIMGPHETPYEFGF FEFAIRFHKDYPSKSPTVVCATTNGGRCRFNPNVYSNGKVCLTWRGERGEEWSSAQGL ESILLSIQSLLSSNPYENEPGFEDANEESDKKAQKDYVQKIRHETLRISVIQRLEGYL GLSSNGTQQHSTSGADVEDEDVDEATVPFEPFKDLCKRRFLWYFESYMAAIEKGKSET KPNQPFARMPFESPGNNSMDGKFNYPELERRLHAIKAAIDAEPLKWAGEGLEAKKRDT TVAVNLQHQFEQVVEAFKRSDMPHDVFLENENPFVWVVTYFGRPMTNLDGGLFRIKMN FSVRFPEEQPRVKFETKIFHHHIAADGTACYTPNPAKREDVRSHIEAIFAILEDDEPA YDPRKIVNPEATKMYWGGGADDKKKYNRRLRRSVQQSME FPSE_01803 MASFFDLKARKAAAAANGNADQKQDKPTNTRNQPWVEKYRPKTL SDVTAQDHTVDVLQRTLQSSNLPHMLFYGPPGTGKTSTVLALAKELYGPDMIKSRVLE LNASDERGISIVREKVKNFARMQLTNPPPGYKDKYPCPPFKIIILDEADSMTQDAQSA LRRTMETYSKITRFCLICNYVTRIIDPLASRCSKFRFKSLDQSNAKKRLEEIAEKEGV PLEDGAVDALIKCSEGDLRKAITFLQSAARLVGASASDKDGEGDEAMDVDKKAVTVKI VEDIAGVIPDSTIGDLVSAIRPKSSGSSYQAISDVVEKLVADGWSAGQVVGQLYQALT YDEIIPDAQKNKIVMVFSEVDKRLVDGADEHLSVLDLSVRISAILAKK FPSE_01802 MTSSSNTVETFQKTLGPFIKPREQVNYIRRVLALHLGSCSHDGP VKQPVSLADATRDVTPGPDSKGFYKEYVEALKSNVDARRKYEDTAQSHLASPAPNQDS SSSNELLEERLSLLKIQAKQSRLSAVQGHLDALMQKPAAAPEYLDPEDVFHEAASLPK VPKEVVNSLVAQQSVKKTDLTEQVAQLEKTVLRAKLLLKREEQLLRETRANAQSIPDV ISNGIRLHALNTTRSELIQWIETELSKASGDGEGGEESGSKSHAQSTADQATINEQLG TIKDKYAKYLASRRSLLSSVGEKFDSSAAHVLAPNTAKQHADEPEPASSTYLLTPYID TLLTLSKKQRAMIAQKAHVNTTLGKETKDNCQSLGHLEEESQLLPSHSTAPESRRRSV LGEFLTSGERSGLTGKVQPWVLAADSAKIATLENVAEQIEAGQLALGNSMQTLRQIDQ LLGQVGEEQEEETQADTTEGDVWLESGPKSPSKGRKHTEKGNTEQSKDVWSSLHGSLG LIGQEDAA FPSE_01801 MSDANRDVSQYKYSAMSNLVLQADRRFVTRRTDEATGDPESLAG RLSIRDMGARVARDDAPKSKKQPGMPDIERGSLREGEDILAREQKRRKAEAPQSTGVL GTNDLLVEGITYRPRTAATRATFDLIITIVANNLGDVPHEVVRSAADAVLEYLKDDDL KDLDKKRETDDILGVSLNPKQFNELVNLGKKITDYDAQDDDEDVAMGGADGDDAEIDE RQGVAVAFDEDDEDEEGGLVNEVRDESSDDEEEEEEEEENAEAKAEDAVADVGDEMIL DSAPSGGKQSEKEKHGVPARDIDAFWLRREIGTLYPDAHEQTDKTKAALQILSGEPGD DGEEKSLREVENDLMELFDFEHHELVQKLVENREKVFWLTKLARTETPEERANVEREM VSEGLQWILDELKGKSTADGKKGKMDIKMDIDVPASFSAEAPKTERAEGQLVGGLQPR KLINLDNLVFDQGNHLMTNPKVRLPEGSTKRTFKGYEEIHIPTPKKRNEPGDVSMPIT DMPEWARIPFSQNQSLNKIQSKCYPSAFDDDGNMLVCAPTGSGKTNVGMLTILREIGK HRDPETGDIDLDAFKIVCIAPLKALVQEQVGNLGKRLEPYGIRVAELTGDRQLTKQQI AETQIIVTTPEKWDVITRKSNDLTYTNLVRLIIIDEIHLLHDDRGPVLESIVSRTIRK TEQTGEPVRILGLSATLPNYKDVASFLRVDTKKGLFHFDGSYRPCPLRQEFVGVTDRK AIRQLKTMNDVCYNKVIEHVGTNRNQMLIFVHSRKETAKTARYIRDKAVESDTIHQIL RHDAGSREVLEEASSQATDQDLKDILPYGFGIHHAGMSRADRTDVEDLFARGAIQVLV CTATLAWGVNLPAHTVIIKGTQVYSPEKGSWVELSPQDVLQMLGRAGRPQYDTYGEGI IITTQSEMQYYLSLLNQQLPIESQFVSKLVDNLNAEVVLGNVRTRDEGVEWLGYTYLF VRMLRSPGLYQVGAEYEDDDALEQKRVDLIHSAAMVLRKSNLIKYDEKTGKLQSTELG RIASHYYITFGSMETYNNLIQPSITTIELFRVFALSAEFKYIPVRQDEKLELAKLLGR VPIPVKESIEEPHAKINVLLQAYISRLKLEGLALMADMVYVTQSAGRILRAIFEIALK KGWASVAKTALDLCKMAEKRMWPTMSPLRQFPSCPRDVLQKVEKIDVSWSSYFDLDPP RMGELLGLPRAGRTVCGLVSKFPRVEVQAQVQPMTRSMLRVELSISPNFEWDDSIHGA AESFWILVEDCDGEDILYHDTFLLRKEYAESEQNEHIVDFTVPITDPMPPNYFVSVIS DRWMHSETRLPVAFHKLILPEKFPPHTELLELQPLPVAALKVQDYVNLYPSWKQFNRI QTQTFNSLYKTDQNIFVGAPTGSGKTVCAEFALLRHWTKGEAGRAVYIAPFQSLVDSR LQDWQKRLSSLNGGKEIVKLTGETATDLKLLEKGDLILATPTQWDVLSRQWKRRKNVQ TVELFIADELHLLGDSQGYVYETIVSRMHYIRTQTELPLRIIALSVSLANARDIGEWI DAKKHDIYNFSPHVRPVPLELHLQSFTNTHFPSLMLAMAKPTYLAVTQMCPDKPAMVF VPSRKQTRATARDILAAAFADDDEDRFLHAEVEQMQPLLDRINEEALAEALSHGVGYY HEALSQSDKRIVKHLYDNGAIQVLVASRDVCWELNSTAHLVIVMGTQYFDGREHRYVD YPLSEVLHMFGKALRPSKDGRGRGVLMLPQVKREYYKKFLNEALPVESHLHNYLHDVF VTEISTKMIESGDDAINWTTFTYFYRRLLANPSYYSLTSTTHEGLSNYMSDLVETTLR ELSESKIIDFDEDDGSVAPQNAAMIAAYYNISYITMQTFILSLGARTKLKGIMEIVTS ATEFETIQIRRHEDSLLRRIYDRVPVKMSEVVYDSPHFKSFVLLQAHFSRMQLPIDLA KDQEILLTKILSLLSAMVDILSSEGHLNAMSAMEMSQMIVQAMWDRDSPLKQIPHFSP EVVKVANEFGIKDIFDFMEAMNPEENADYNKLVKRLGMSQNQLAEAANFTNDKYPDLE LEHEVLDEDEIRAREPAYLNIKIARNMEEEDADHDSTVHAPFYPSKKMENWWLVVGEE KTKTLLAIKRVTIGRELSVRLEYTVPSEGEHDLKLFLMSDSYVGVDQEREFSVTVAEG MDVDDDDEEDEDEDDE FPSE_01800 MSDQLTEKPEIEAPAPDAQEAPSTEKPTEPSSTGEDAAMADAEA NKEETKVAEGNNEAGSPEKTVENTDATEEPKPADEGADIEMKDAPETPAAGETKTENS APSVDGETAENAAIETASAKGKNNRRKSTGGNNRKSLSKKASKARLTHLDAKPGDHFL VKLKGFPAWPAIICDESMLPQALVDSRPVAAARPDGTYTEAYADGGKRVNDRTFPVMY LHTNEFGWVPNTLLTELTPEKALETITEKMRKDLKEAFTRASEHNDLDTYKDMLKQFQ EEYIAKQQAAATPKKAKKGKAKASDDDVDMEDVDDATTEKSKSKKRKAEDDVNTPQKP ESVKKPKIKLNTSTPKAANGTPKAKEDSAAKTKVKVKKTTDTKTEGNKKAKVTPEERR HQKEKEVLYLRHKLQRGLLTRDQQPQETEMEQMSEYVTMLEKLKDLEVSIIRTTKINK VLKAILKLESIPREDEFHFKDRSQVLLDKWNKLLTAETAAPAGESTNGVNGNSEAKPD EKKDDSGKETTEEPKSEAPKVSEPKVEKPDEDDKSEEEKIEETPQASASEEKKDDDTV VPAEPVETAA FPSE_01799 MTHEEMEQEAYSQEDHQVAYIFDPEDDGTQKKSAKRKRVSRQAQ KDEEFIKDSSPFVPLLNGAEKPEFVQLRETLFQESWTKVDERIQEILKTSNLETLHEV SDFVKDAVTDSGDRVPSAFIITGPNIASQDLLFQQLSETLQQTTPSKFVGLRSSEAST LKATLKKVIRDVTAKVSDDEDDDLQVGDGREGRRYLDYDLEALHASIKSQNCEHVFVA FQDSEGFDSSLLSELIILFNSWRPRIPFTLLFGIATSVELLQARLLKAACQQIYGAQF DVIQTSAILETVFKAAVAASDTPVLMGTSLLHSMLDRQHDQVSGIQTFTMSLKYAYMC HFYANPLSVLSHATSFQAEHIEAIRHTNSFRENVERAVETGVLDNVQYAKDLLENNDF LVSQVQNSLTSRQEHIENFLRALLILREAEAQDIELSRAYVEAMDEGISITESSRAVD SVQRMDANELLALLRKAIAILRNGEVDLLLGPATKQTDINFQNALSRQLMSLEQLHAK AQTEGITLRSKYSGQSKIVRTTVIAQRVQLSHDSAALTEEDMQLTQIVNDVTALLIEH VQAPKPGSLLLSESWLYDSRAPSRDVFVPRPRTILERSLSRPHDYLSCSCCKPGDGET PATLPATALLYRLYTETGSLINVADLWAAFSALISNEEADERKSLVLFYRALAELRAL GFVKASKKKADHIAKLKWL FPSE_01798 MMRGEIPSRHRQAFAQRRLAKNPSLQRKLEQMALPLAPLVQLTT GAVHPSFPTTVLNFWLLTDEQLESLAHFYHQRTPSPFTNQYPCPVAWQSDLPLEEKRR KMGKFIGLRGCESPILLKTEDEILAEARRARLAAEEDLWRRKHFS FPSE_01797 MFNLDWNDLLPLAYVAVLGGSLMAFSTIYRKRQAAESANLAPWF GPHLQRNVYLSLLHLEDGSEKSPKVPESVLRAALLRRAVEDIRRLIQVKSAKQACGSL LAKGSVGDDLWQRFQRAEKEMEEELRDVVTEANALAPNWGQSIFQSAHEMTANAAMRS SIEEILAQADSEKQWWEKRRGQIQTEFMKELDSEQSSSAKATSEEDAVLVEDPSN FPSE_01796 MLLRLRGPDGMARLTVEATTTFGDLGKLLLDQLPKTVDPKTIAL SNAPNGGDSKRLGDIINFKVGQIGLKHGDLIFLTYQHADATTDGETPEISSTSARLNG KPVLPVEDLPISPKPERISRPWEVVRQSALDDRLDRLDGKIPRGIDRMCRHGPKGMCD YCQPLDPFDTGYLAEKKIKYLSFHSYLRKINAATNKPELGASYIPPLVEPFYRVRHDC PSGHPSWPEGICTKCQPSAITLQPQQFRMVDHVEFSSPSIIDTFIDTWRKTGSQRLGY LYGKYVEYTEVPLGVKAVVEAIYEPPQVDELDGITMNAWESQKEIDKVAKQCGLEPVG VIWTDLLDSGNGDGSVVCKRHADSYFLSSLEICFSSRLQAQYPKASKWSDSGNFGSNF VTCIITGNEDGEISISSYQMSNESVEMVRADIVEPSADPNVMLVRDEEEDDGSVSRTR YIPEVFYRRVNEYGANVQENAKPSFPVEYLFVTLTHGFPADPKPMFTQQGFPIENREY VGESQEHSALSKFVKISPNQKPTGQEVSNFHLLCFIQQMGVLSKDEEALLCRVASQHD FADTFQLHSTEGWQTLQAILQSTGERIPKRQREDGSVSSPSSSSSFQDPIRDSGEPLA KRFAAFRLNERMTKSENPTPPQST FPSE_01795 MNNSMKLSTGSMKICLKRVDVLKCWKGLPQGIRNGNWPLRAQGT KSSLGYLFLYSKTNEVNFSAI FPSE_01794 MPLNLATTLAGRAPALLRHGRRVPRALPSRSFSSVSPFAASCRV SMAATRPANSKRLGTLKVMRGYSSVAQDAPNPRAYLDSGVIKPSQTVDVKKVLVIGSG GLAIGQAGEFDYSGAQALKALKEAGVASVLINPNIATIQTNHSLADEVYYLPVTPEYV SYVIEKEKPDGIFLSFGGQTALNLGVEMQRLGLFEKYGVKVLGTSVRTLELSEDRDLF ARALEEINIPIAKSIAVGTVEEALEAAETVGYPIIVRAAYALGGLGSGFANNEEELRN MAARSLTLSPQILVEKSLKGWKELEYEVVRDANNNCITVCNMENFDPLGIHTGDSIVV APSQTLSDEEYHMLRSAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSA LASKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYIVTKIPRWDLSKFQ HVKRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPRFVGFQGDKFEDLDFELQNPTD RRWLAVGQAMLHENYSVDKVHELTKIDKWFLHKLQNIVDCTRELEQAGGLQSLKKDQV LKAKKMGFSDRQIANAVKSTEDEVRAYRLSFGIHPWVKKIDTLAAEFPANTNYLYTTY NGSTHDVTFEDNGTIVLGSGVYRIGSSVEFDWCAVSATRALREMGEKTIMINFNPETV STDYDEADKLYFDELSYERVMDIYELEKSKGVVVSVGGQLAQNIALRLQETGGANVLG TDPRDIDKAEDRQKFSEILDSIGVDQPAWKELTSVKAAEEFAEQVGYPVLVRPSYVLS GAAMTVISSQEDLKDKLEAASNVSPDHPVVITKFIEGAQEIDVDGVSANGELILHAVS EHVEQAGVHSGDATLVLPPANLDSTTMERVKEIAKKVAQAWKISGPFNMQIIKAENPE GGEPLLKVIECNLRASRSFPFVSKVLGTNFIDAATKALVGKNVPPTTDLMAVKRDYVA TKVPQFSWTRLAGADPFLGVEMSSTGEIACFGKDLVEAYWTSLQSTMNFRMPEPGEGL LFGGNVSKPWLTQVVDYVAPLGYKMYAASPEVKEFIEAKSKTKVEVEVIEFPKEDKRA LREVFKKYDIRGCFNLAESRGKTTLDVDYVMRRNAVDFGVPLFMEPQTAILFAQCMSE KLPRSEGIPAEVRRWSDFIGGKPL FPSE_01793 MKFFILFLLAIGLSIGIASHFLNPASLRPEGNRAAKDECSNVIW QSHMIPELLSVYWSRSTKFKIPANPDRVSFASVSRDRTSTDGKIHEEDSKYRVPSYLN YEYTTMNGGIIMEEKPAGFTLLETKPWVPAELTANDDQE FPSE_01792 MSLDLEHHLTFYGAYHHNPVNVAIHMVCVPLILISGFSLATYSG TLIPTPSWLNFPYLEPNLGVIAASVYSLLYLLLEPFAGFLLAIVCMAGTASANYLRQQ NPDSTFQGALAVHIVCWIFQFIGHGKYEGRAPALLDNLVQAIFLAPMFVWLEILFKLG YRPELRARVNKKVQIEVEKFRAKNGKAQ FPSE_01791 MPMVQVPTYLPRQDWLRMLSSFPFVHFSTSLGS FPSE_01790 MFFLYNLERKVTLHPSFMGRNMHELVTGKLLKDVEGTCAGSYFI ISIMDAFEISEGRILPGLGMAEFTVGYRAVVWRPFKGETVDAVVHSINPQGFFAHAGP LRLFVSAHLIPNDVKWDPNATPPQFTNNEDTVIEPQTHVRVKIIGTRTEVGEMWAIGS IKEDYLGCLQAS FPSE_01789 MFATRRLLFSTRITFFTRETCGLCKQAKHVLSDVWDKRPFDYTE VNVDLPKPESKQWRDIYDFDVPVIHISKSTAPEENPVKVGKAIKLMHRFTVEQVEAQM DKAEKD FPSE_01788 MAQKCVHQGCGKEFTDPDEKCEYHPGPPVFHEGQKGWKCCKPRV LTFDEFMEIPPCTTGTHSTTDKPPQLEEKPQQDDAALAQKIDALNAATPSRAPIPTAQ HAPTPPPPAPESEDDDPSLEIADGVGCKRRACGATYKKSSSRDNEECVHHPGVPIFHE GSKGYSCCKRRVLEFDQFMKIEGCKTKDKHLFVGSGKKDDTTSEEVVSNVRHDFYQTP VNVIASFFLKKIDKGTAKIELQPKQLNLDLTTTDSPPKRYAAEVPLYASIDPEKSSYR VLGTKLEFVLAKSDGTSWPVLRGDEALTGEILQVGRAGKA FPSE_01787 MWHAFLFCLLSTAQAGNTLPRQDTAQIARNVSGFTAYDVLNYTS IRLDNSPRIEVPLRILCVGDSITEGAGSSSNGGDGNGYRLSLAEHLYREEVVFAGTRH RGSMKSNYHAGWSGKTIQYISDHVTESLEQRPNVVLLHAGTNDMDRRSSISKEGSEPK GAANRLGHLIDQIISYCPDAVVLVAIPLSSCDRFKSKMPEYRAMIPEVVRQRREDGKH VMAVDFSTFDLKNLRDCLHPTNEGYKIMGDYWYDFLAQVPEGWIKEPVGDDPVREEEN GVGLLSTRDTCLG FPSE_01786 MSTEVSHGRGGAGNFKPDDTEYVDGEVVRTGIVGSHGDGAYSAG RGGAGNIGDIGTPTTERKDQDIIPEIAIRPSQDGRDFHTGRGGAGNAQVGTPERKSEE EEKPVTKTPVGLADKLKSKIFGHKK FPSE_01785 MAPNLQPQPQSQLQRPRLKDHRPWSGLSNISKRSFRSCATSAFE ADDERSSSDGDMSPRNSEADLRRPVVPRHSGHDARPTSRKELLGWYAYAFAAETYVIC GIASFIPILLETLARENGVLVSDRKTPCGSSDSKNDGDGQCIVWVFGMEINTASFAMY TFSVSVLVQALLVVSISCAADHGNYRKKLLLTFAWIGSFAVMSYIFITKDNYILGALL TVISNTSFGASFVLLNSFLPLLVRYHPDVIEANVATTPDLDSSEFESRPLDQSVGQLE SSPVTATSPLLHPEDGGRLKPTASHAEITSKELELSTRISAIGIGTGYIAALFLQCIC IGVLISLHNTTWGQRVVLFMVGVWWTVFTIPAAMWLRPRPGPPLADNGRKGIMAGLAY ILYAWKSLFKTIQQARRLLDIVLFLAGWFLLSDAIATTSSTAILFAKTQLHMKPWALG MINVISTTAGVFGAFGWSWVSRLFNLKAHQTILVCIALFELIPLYGLLGYLPFVKNWG VFGLQQPWEMYPLAAVYGVVLGGLSGYCRSLYGELIPPGSEAAFYALYAITDKGSSVF GPTIVGAIIDRTGTIRPAFWFLAVLVGFPAPLIWFIDVERGRREGAKLAKSITDSIVQ EEDGSDDGAERRGMLSDYEREHGQSIDDERAGR FPSE_01784 MSNRKRSRSVGEENRAECPFLIAYTNNPSRAEQERHKNKKRKRD GQDDDKRVQIQISPFSPTGTFKTHDTMDLYYTVEPGKRWQDMTRYNSFVLNSIKYYSE GFVYVANESTVEHQKAQNESNGAYKKDSREESWVARILEIRASDEHHVYARVYWMYWP DELPPGTVDGKKSVQGRQPYHGHNELIASNHMDIINVVSVTAPASVNQWIEADDEEIQ DALYWRQAYDFRNSQLSSVDIMCKCQTPANPDKTLIGCTSSECGQWMHRECLAHNVLM QVYERLGNDKPHRTEGATVKEEKPEEATRPLSPTDAEEKETQPTIDVRSGETQDNVHV RKGIRETTRDTETPTPGPTASRTIAAASTKGSAKKGRKKKAADHKPYLGLFEASLKMQ DGPTFWEIRDLRENVTGGDKTWTEKAYCLICACSGQQAHRVSKGAALFRAGQRVSFTQ LVGNLELQPGQLDSAAYLIRLIGMTNGFSFFCQNFEVT FPSE_01783 MAVDPFDSALDLLRRLNPKQTTDHLNAIISIAPDLTEDLLSSVD QPLTVRRCKQTGRDYLLCDYNRDGDSYRSPWSNQFDPPLDEAGSGGVGAGGNEGAGEG AIPSERVRKMEVKANEAFDVYRDLYYEGGVSSVYFWNLDDGFAGVVLLKKSSPQGGNS EGVWDSIHVFEAIERGRSTHYKLTSTVILTLSTSGGNLGEMDLSGNMTRQVEQDLPVE NDDSHIANVGRLVEDMELKMRNLLQEVYFGKAKDVVGDLRSIGSLSEGARDREAQREL IGSMRK FPSE_01782 MAEKPSVLIIGGLGYIGRFLALHIHQNDLASDVRLVDKVLPQLA WLAPEFSEACSQDKFMQADASRPEGLARIFDRPDGKQWDYVFNCGGETRYSQEDEVYK LRSLNLSIAVGKEAAKRGVKAFFELSTGMVYKSDSSPSKEVDKLKPWNRIAVFKLQAE EELSKIEGLNLIIVRLPHVYGPYASQWVATALCMARTYQHLEDEMKWLWTKDLRTNTA HIDDVTRALWDIAAWYDAGKAKWDEASMGKVPIFNIVDEGATSQGTIATIIGDIFKIE TGFQGQLISTFARLNLDSVVDDVNDELLGPWADLLADAGITRPGPLTPFMEKELLKDT DLSMDGTRLKTLLGFEYKKPTLTKELIEEVIESYKKMKWWP FPSE_01781 MAAPAEKTITDLSGKWVLNKSLSDSSEPILALQGIGYLTRKGIG MATITIDVNQYSAPPKEPNTSTDVFTHIDITQSASGLTSTQENRCLDFEQREHSDWLF GSVKGRSRFVTLDQVEDDFLKQGWLVEADGKFVQSIAESVDNGWVANQIWGFEEVKGE RRYVRHVLVTKGSQRVTAKLIYDYQA FPSE_01780 MAAATATTTMSSATHPLVTKWSSRYRGATVEDLDPPAALSLNPS DAISLALLSAFERDYTHLTIVDSDNRALLGYISIPHLQSLLDSGKVKPNDPLSTAMTR FQRKGRTYKVITMETTLEELEDFYRGGVPEGPWEQEFAVITDEKRRFVLGVATVQDLE EFVKRRPE FPSE_01779 MSPLHPSKDALQGMFYSPLSATNRTPYQARAELFPIHSSVIEDA KGKAKKLSAEATVEFEKASAKAQAKTGKIELYSGRYYAACTFGGLMACGLTHAAVTPL DLVKTRRQVDSKLYTSNFQAWGKIYRGEGIRGIFTGWSPTLFGYSAQGAFKYGWYEYF KKTYSDMAGPEAATKYKTGLYLAASASAEFLADLALCPFEAVKVRMQGSIPNPYTGTV QGIGAITGKEGVAGLYKGLYPLWGRQIPYTMMKFASFETIVEMIYDRLPGQKSDYSKA AQTGVSFTGGYLAGILCAIVSHPADVMVSKLNSNRQPGEAFGSAMGRIYKDIGFGGLW NGLPVRIVMIGTLTGLQWMIYDYFKIFMGLPTTGGGPPPAKKQE FPSE_01778 MAGFFNKIKGSGTATTTTPSKQDAVAKKKEEPVLDLTPLEKMLQ NAGSLRDDGTDRFFGLENALFYSESFRNNVVNYPPISPAETNGSRPKVPITIRPPPTD PVENAPKQKGLSTSQVIKQRQNSSHQLPGQVGVRPEDKPDTPEYKKKQAMIKGPILEL ARENATSYGMDECTFTGLKDIFLALLESNTHTGVLSPQRFLEIFKRDNEMFRNSMHQD AHEFYGLVLNDVINSVESAARQMKLQAPTNGTDGLATSVEHVLGSAMANHVAGASSPA TGWVHDIFEGVLTSETKCLTCETASQRDETFLDLSIDLEEHSSVTSCLRKFSAEEMLC ERNKFHCDHCGGLQEAEKRMKVKRLPKILTLHLKRFKYTEDYSRLQKLFHRVVYPYHL RMFNTTDNAEDPDRLYELYAVVVHIGGNAYHGHYVSIIKVPGRGWILFDDEMVEPVDK NFVRNFFGDKPGMATAYVLFYQETTFEKVREEQEKEGMEEVKLASEAANLAQENGDKL DTSPLKRQATQPMSPLAHHESMANLAHARTAPAMPSAPPPDVSPPPTATTNGLSRVQT QKEEVKSKEDKKREKKEREAAEKAEKLAEKEREKQAKLDDKKRREDNLKAMQQTRRNE NDELAKVLEASKKSAAQEDEARKKENGTPQSNGILDRTKRGSKSMSRKSFSLFHKDKS GAQAPDTPNGDSSEKHDKLKDRLSFSLGRKKSTNLLS FPSE_01777 MWFFGSFLYVCILLINAVAVLSEDRFLARINLSPASYDPAFGAG PDASVKAKIINLIASVRTIMRMPLIFVNSVIILYELILG FPSE_01776 MKTSDIILTCLLITIPLTHLLVSPYTKVEESFNIQAVHDILVYG TPTKDVNDRLSHAYDHFTFPGAVPRTFLGAVLLAGIGQPIIALVGFEHAQLVVRGLLA AANIAALLTFKNILKRAYGQGVSTWWVVFTASQFHINYYISRTLPNMYAFGLTTLASA FLLPQASTGLSLVRHKQAIALLVIAAAVFRSEVAILLGTTGLYLLTTGRITLGPLIIV FFSSFLASICVSVPIDSYFWQEPLWPELWGFYFNAILGSSSEWGVSPWHYYFTSALPK LMLNPLVPALVVFALLQPGTSRAAQALTIPNLFFVAIYSAQPHKEARFIFYVIPSLTA AAALGANYISSRASKTIVYRAASVITAIFALASFGASIVMLLISSLNYPGGDALQRLH YITRDDPTPVMNVHADVLTCMTGLTLFGQNPSGYLLAFPITPEPDATAPVLLFDKTEQ EEKLSLPGFWERFDYALAEDPSKVLGGWQVVGVVTGYDGIEFLKPGSSPAGDESEEGR IGGERVLGLGAHVAAARNFVRGYTGGWWVGPRMSPKIRILRRVS FPSE_01775 MTRNEHVNRISSVMGSGNNIDTYSAVTVKSQLESELGLEPPQLQ YRYQYQPQSRSQSQSASSSTASTTPAPASAASTISTPTPIPAHHSPTPNPAPNTIPKP DPNADTDALTPTPSSSATTPSSNTSYVFSSTPRRRPSHPTNYPTRLSADGTASLSNQW SSFKDEPQLSPTAIATASSTTYAPRAGANAQSKDRRMSDLANYRRDLAVLETSRVPQI QQIPPTGGASPQIAPWANQPGSPSNTSTMPTTFFNESTDNLSLASQLSPGHQISNRQQ QQHQQQHHPSQHDTPDASYFDGRRPSAASILTASSQGSKTSIRGGFRKLQGFFGEEFP GRDSSDGSLPTSLAGKDQRGRSYSHSRPTHRDRNYSNATDYTRDASPSSSRPRTPVPA PEVVPFLYQDNSDIARYGEAPVRDIMTGPDRERYAGDGSSQVPPKTSSSSRSGHSIAH LPGHHRHNKSNDDPRTLRPTMSRDDTAIGTQMPRDRGAGSNAMYPTRSRGQSPTPSTR SAGMTWSSSKSTQVDGQTSPSHHNHGKRGFLRRLRGHHKEKDDAVARLRDLPQSTRSL QTKSSKTDLHRPSDVSSTTLAYAGSIGPGEFTDGTDLRPATAQRGATFNNKFPFAKKT RTHRPQDYPEEAIGPTDRNDPNNMYHLDTNLNDMEGILTKPPPLTPMDTTFVNPVEPE RHDSIISTAPKGRWDAPDSWAVRRNTEDNSYNGGPELDEIGSPPRPEEKASPYCIRIF RSDGTFSTHSMSLDSSVTDVISQVIKKTYVMDGLENYHIIMKKHDLIRVLTPPERPLL MQKRLLQQVGYEEKDRIEDLGREDNSYLCRFMFLSARESDFHAKTTDMGLARAQKLNY VDLSGRNLVTIPISLYSKAMEIISLNLSRNLSLDVPRDFVQSCKHLRDIKFNNNEARK LPPSLSRANKLTYLDVANNRIEQLEHAELNALTGMIKMNLANNRLKHLPSYFGAYQSL RTLNISSNFIDKFPTFLCGLPSLVDLDLSFNAIATIPQEIGSLRNLEKLLITNNRLTH AVPATFGQLVSLRELDIKYNGISSIDIISELPKLEILSADHNCVSAFVGQFESLRKLK LNSNPLNKFEIVAPVPTLKTLNLSNAQLASIDSSFANMVNLERLILDKNYFVSLPQEI GTLSKLEHFSIANNSVGELPSQIGCLTELRVLNVRGNNISKLPMELWWANRLETFNAS SNVLEHFPKPASRAPRIPGEESQPAPPPVNGRAAPLGTLSATASSEELSDDRRPSQNS STLLSVGPSPLNAGDRKSSVVSVYGKGGRKTSVVSRSATPSAPSQSVNPRKDSGLSSR LNNTFAGSLRNLHLADNRLDDDVFDQITLLTELRVLNLSYNDEISDMPQRSIKSWPQL VELYLSGNALTTLPADDLEESSLLQALYINGNRFTNLPADISRAKNLAVLDCGSNYLK YNISNVPYDWNWNLNPNLRYLNLSGNKRLEIKQTATGPLGPGAVNREEYTDFSRLLNL RILGLMDVTLTQPSIPDQSEDRRVRTSGSLAGHLPYGMADTLGKHEHLSTVDLVVPRF NASETEMLLGLFDGQALSSGGSKIAKYLHENFGHIFALELKALKTRLNETPVDALRRA FLALNKDLVTIAIQQSEERPLQTHRNSGQPIILTKEDLNSGGVATVVYLQSTELYVAN VGDAQAMVIQTDGTHKMLTRKHDPAEPNERSRIREAGGWVSRNGRLNDLLQVSRAFGY VDLMPAVQAAPYVSNMTIREQDDIILIATGELWEYLSPGLVTDVARAERQDLMRAAQK LRDLAIAYGASGKIMVMMISVADLKRRVERSRLHRGASMSLYPSGIPDDAQVLNIRRG RRTKGDVLDSSLNRLEAEIPAPTGNVFIVFTDIKNSTTLWEMYPSAMRSAIKLHNEVM RRQLRRIGGYEVKTEGDAFMVSFPTATSALLWTFAVQMQLLDVNWPSEVLNSVSCQPI FDKDNSLIFKGLSVRMGIHFGDCVSETDPVTRRMDYFGPMVNKAARISAVADGGQITV STDFISEIQRCLENYQDTDRGNASGSEDTFGDEETYASAIRKDLRSLTSQGFEVKEMG EKKLKGLENPEVVYSLYPHALAGRIEFHSQHERKEEGSGDKPAVLAPGTELCIDPDSV WTLWRISLRLEMLCSSLEGNEPPGLQPPETELLERIKQRGGEVTDRFLLNFLEHQVAR IETCISTLAMRHIAIGGGTIKELEDLHGPMSAILDQFMAQNRELKRYRRKYGALPNPV NSLSSSEEEEEEDDDDDDDDDPDTEEGSNTEQEL FPSE_01774 MGSTGQTLRAWWKESSVYQIYPASYQDSTGSGVGDLKGIISRVD YLKDLGVDIIWLSPIFKSPQVDMGYDISDYYTVDPPYGDVSDVDVLKDKLHERGMKLV LDLVMNHTSDQHEWFKESRKSKDSPYRDWYIWKPAKYDADGNRHPPNNWDAHFQGSAW EYDEITDEYYLCLFCKQQPDLNWENPAVRKQVHQVMRFWLDRGVDGFRMDVINFVSKD QAFPDSDKTVLRGTEYYACGPRCHEFLKEIGSILQEYDAFSVGEMPCVHDERELIKAV HGDRGELSMIFHFELMDLDHGVEGKFTPRSWKLSELKATTLKWQRFMYDNEGWNALYL ENHDQPRAVSRFVHDDPEHRVASAKLIALFLGFQSGTPFIYQGQEIGMTNVPKDWGLE EYKDLDCLKHWDLHKNDDIAAQHSYKVEYQRKSRDNARTPMQWDASPNAGFTTADANP WMRVNDNYTDINAASQTSDSNSVYSCYRQVLGKRKEYTDIFVYGNFQLVDESNDKIFA YSRQADSGETALVVCNFSVDSVAWKLPSEAREVLTSPAGRTLDDLNSGEIKLAPCEAF AVLLK FPSE_01773 MVGKDCVAIACDLRLGLQALTVSNDFPKIFQYGDVFLGLTGLAT DVNTVSDLFRYKVNMYRLREERDIAPRTFANLVSSSLYERRFGPYFVSPVVAGLDPKT GKPFICGFDSIGCIDFAKDFIVSGTASEQLFGMCEGLWEPDLEPDALFETISQSLLNA VDRDALSGWGAHVYIIEKDKVTKRLLKGRQD FPSE_07662 MLRLRRHSSQAASVNVDLHFTELLLPGRARPCLPCQPA FPSE_07613 MATNVVVIASDLRRATIKVTPGTYLIDVLEEACRRLNLSSDKYL VKHRQKTVDLSIPFRTSGLSPGAKLELVQKSKTPSAIQVALQIPPPDGREIPGGRLIK KFPSDLTIWKVLRQFESGEASNGKNINITARGVACMENSSGSGSGQLHYEEPVLNIMG RELSSFTDFQKTLSQLGYNSGSVLIRLSYRQTDKTLFDAMTEIGQFFKETDEEYQKEE APTTQEAELVSTEEVEKQEEQAPQEVADSKMTDVQAEQSPAVIPIQQEKGPETVTEDD MEVESSQSNDPLAPVNVFLAPSGSTPAAALAPAPETDFAPTIAHAQLHQARLQENSRN RRLLSDKELEEKAAAEAAKINAIKSILVKVRFPDNTSSDWEVSPSHSGQFLYDAVRHV MVHNDQPFHLVLPGTKIVIKDDPSSSNGLIKAYKMSGRTLINLVWDDVVPLAVRKEAF LKANIAQQGQQVKVPEPVTPDEKDEDVAVARPTPTEKDEGSGDKIAKKIPKWLKLGKK FPSE_07612 MAADTPAKSGLAVGLNKGHKTTPRVVKPRVSRTKGHLSKRTAFV REVVKEVAGLAPYERRVIELLRNSKDKRARKLAKKRLGTFGRAKAKVDELQRVIAESR RAGH FPSE_07611 MHLGLVILSALPATWAAHAYAVPQSLSAAEDNGCTLPDTYHIRN FKAESKDGGKTLTGFDFTFLDEDTDLTTPCHKNSSSKPITGMGTDRFACDDRAVEFLW DGDYQKLWMMEKVCSQEDGSLPYEASGSVMLPLKCSRTGSCTTNSTDHKSGFTSLQPS RQPPV FPSE_07610 MRFKLADSLRFFTVQLILATSTLALSNPGSSSQKHSPNPSAPSP KGISVPFFANLERLSRLVDIAYCIGTTGVRKPFNCVSRCNDFPSLSLINAWNTGPLLS DSCGYIAVDHGVTQHGDSGDLTAGEPAIVVAFRGTYSIANTIVDLSTVPQEYVPYPSP DHGGSEPPDEPEHTCTNCTVHMGFLQSWKNTRQFVLPQLRQLRLQYPSYPIQLVGHSL GGSVACLAALELKVSLGWEDVIVTTFGEPRVGNEGLARFVDEVFHLNDDNHPEGREFR RVTHKEDPVPLLPLSEWGYKSHAGEVYIAKQELTPSESDIYMCIGDNDPKCIAGADDS LWMTIRRLFHTRSLLTASDKLAEPNGFPSRFKLWQLLFAHRDYFWRLGLCVPGGDPAD WGRGRYQGLGPDTEEL FPSE_07609 MATERERFVHMSPGRFSPDSIGARHLVKLDGKTFLARLCEQAER YDEMVTYMKEVAKLGGELTVDERNLLSVAYKNVVGTRRASWRIISSIEQKEESKGSDK HVSTIKDYRNKIETELEKVCQDVLDVLDDFLIPNAATGESKVFYHKMKGDYHRYLAEF ASGEKRKGAATAAHDAYKSATDVAQTELTPTHPIRLGLALNFSVFYYEILNSPDRACH LAKQAFDDAIAELDSLSEESYRDSTLIMQLLRDNLTLWTSSDSAEGEAAGAADAPKKE EGEAAKPAEEAAEEPAPAPAS FPSE_07608 MGGITDPRIKVNPLMPPAKQERIHVWRTEVASALHLPTAPSLSS SVSSSSAATPDLDPDTSFATPPSPAGSRPRSLWKRLSWRFGPKRRTAVNMAAAAAELP PDGRTAMYRGLETREPRGGDMDEEGGILERDSERGNGLREKQERLARAARLLNRDGEE R FPSE_07607 MEHSSPAWSSQAPSPEKSCELYIRDYPHRSIAIVSSSHTLIFRY TTSTSEAIANGSLTSLAAITRPRGNVGETVVSKCMVEFAPVSKNLLQDYRRLTHRPIY GTLGLITVNGEVFLSIITHATRAATLRPGETVERIGGVAFYCLSSADYDDVVPLEPLD PEFSDAASVQSGPYGQGLGRREVAMEHPCHELRNLLSNGTFYYSTDFDLTNRLQDRPI NSNSFEIDNFDDGFLWNSFMISPLVQFRSRLMPHERASLDSSRILTSAIRGFCKTMTI PLSSSPIKNVKSGMPSFMTLISRLSCRRAGTRFNSRGIDDDGHVANFVETETTFWSPA GTMFSYAQVRGSVPVFWEQAADLIPGRQKITVTRSPDGTQPAFNKHFEELEQSYGAVH VINLLSETKPGEVELSNLYHNGIRHCPLSRAGQDGSSDHALLRETNYDFHAETKGPAG YEAARDIRRYIENSTDGFAYFLAEETNEPSYDQDSSGHARMVVVLQQEGVFRTNCLDC LDRTNLIQTMISQMAVEAFLGHRGEYAASDFWMRHSSLWADNGDSLSKTYAGTGALKS SFTRHGKMSLAGAVADVRKSVQRIYHNNFLDPSRQITIDMLLGRLVGQAPVLLFDPIS DFVSSELAKRSGEFTSYNSITIWVGTFNLNGRTEGVDDDLGPWLFPETLGNAQPDIFV VGFQEIVELSPQQIMNSDPSRKNLWEQAVKRNLNERQKRLGGERYVLLRSGQLVGAAL CIFVKTPSLASIKNVEGSVKKTGMSGMAGNKGAVAIRFDYANTHICFVTAHLAAGFSN YDERNRDYATIHHGLRFQRNRGIDDHDAVIWLGDFNYRIGLNSETARALVKKRDFETL YENDQLNLQMVAGLSFPFYSEARITFPPTYKFDIGTDNYDTSEKARIPAWTDRILRKG SNLRQLLYNSAPLKFSDHRPVHAAFECRVSIVDEQLRETISKELYQRRKADVGDATAH LGTGEDSDDEDLIGYDSIEPGLPPASSDRQKWWLDNKQPARAAVPVPKPRSGQAVGLN PNRPSNPFGLTDEPDWISIPRSSSKASLSSMSSSPFEKVSLPNSMASASSIQPRKLPP PYDPSALPAKVGRINLMDDQTPMGHVETPPPPPPPRRGTSGVAPSTGFGLNRVQTQPQ PLPPPLRSASAASHASQYSQNSKGGKPAPPVAKKPAHLSTSPNSSPGLSHSIMDEDFR PPLPSRAQTGGPMAGSQSQGHGVAARKPVGMPKQGMNGTGPNPSSPIGGMMGGVPSRR PAPPVQPKPQLSQSNQHRGQGSVDLLGSLDESQDVGWETLKPSTRA FPSE_07606 MSDFGHFGSEEEYATVRKHNAEVESDPDNFDHWENLIKACETLE GGLNRNSSPQALATFRDAYDRLLTKFPLFFGYWKKYADMEFNIAGPESAEMVYERGCA SITNSVDLWTDYCSFKMETTHDPHLVRELFERGSAFVGLDFLAHPFWDKYIEYEERQE AQDKIYAIHARIIRIPMHQYARYYERFRSLSHNQPITEVVPAEDLARFRAEVEAENVA FGGAPKPELEIERDVRAKIDAMFYEIFTTTQTEVSKRWTYESEIKRPYFHVTALEHKD LANWRKYLDFEESEGDYARIVALYERCLVTCAFYDDLWFRYARWMSGQEGKAEEVRNI YVRASTMFVPISRPGIRLQWAYFEESTGRVDVALDIHEAILLRLPDSVEVIVSWANVE RRQNGIDAAIQVYKNQIDAPTVDLYTKAALVAEWALLLWKVKGSADEAREVFTKNVTW YGDSRLFWDRWFQFELDQPSSAETEAQHGECMKKVFDELRERSQLSALVKKDLAQIYL NYLIERGDKDAMKVFLQVDREIFGPSSVAKLSTESGKENGAAKGELDEASRQRAEAQY VHFYEVHGEPEADAQGNADFN FPSE_07605 MASATRQFARAATRATRNGFAIAPRQVIRQQGRRYYSSEPAQKS SSAWVWLTGAAVAGGAGYYFYGNSASSATAKVFNPSKEDYQKVYNEIAARLEEKDDYD DGSYGPVLVRLAWHASGTYDKETGTGGSNGATMRFAPESDHGANAGLAAARNFLQPVK EKFPWITYSDLWILAGVCAIQEMLGPAIPYRPGRSDRDVSGCTPDGRLPDASKRQDHL RGIFGRMGFNDQEIVALSGAHALGRCHTDRSGFSGPWTFSPTVLTNDYFRLLVEEKWQ WKKWNGPAQYEDKSTKSLMMLPSDIALIEDKKFKPWVEKYAKDNDAFFKDFSNVVLRL FELGVPFAQGTENQRWTFKPTHQE FPSE_07604 MSSQIHNKAAAGVPYFTPAQEPPAGTPLKTDLVPTLFKPLRTRG VELQNRFVVSPMCTYSAQDGHLTDFHLVHLGQFALQGAGLVFVEATAVEPRGRISPED SGLWDDSQIVPLKRITDFIHSQNTKAAIQLAHAGRKASTVAPWIGGSVNKALATKEVG GWPDDIVAPSAITFADDYGTPHELTTEEIKTLVKQWQESAVRAVKAGFDVIEIHAAHG YLVHQFLSPLTNKRTDQYGGSFENRTRLLFEIIEAVRAVVPEELPLWVRISATEWMEW NNEPSWDLESSIDLAKQLPALGVDVLDISSGGNLKAQKIKVHQTLQTDLAGKIREALR ADGKELLIGTVGYITDGPFARLLVEEKEDPKADLVLAARQFLREPDFVLSAADQLDVD VKWPIQYHRATPKNRKPHSK FPSE_00448 MHHKKIACSFMAALAAYASAADSDVSQLTKDTFDEFVKSNDLVL AEFFAPWCGHCKALAPEYEEAATTLKEKNIRLAKIDCTEESDLCKEHGVEGYPTLKVF RGLENVTPYSGQRKAAGITSYMIKQSLPAVSILTKDTLEEFKTADKVVVVAYLNADDK SSNETFSKLAEGLRDTYLFGGVNDAAVAKAEGVKAPALVVYKAFDERKNTFTEKFEEE AISAFISTSATPLIGEVGPETYAGYMSAGIPLAYIFSETEEERKELGEALKPIAEKYK GKINFATIDAKAFGAHAGNLNLKTDKFPSFAIQEVVKNQKFPFDQEKEITHDNIAKFV EQFDAGKIEPSIKSEPIPETQEGPVTVVVAKSYNDIVLDDTKDVLVEFYAPWCGHCKA LAPKYDDLASQYAASEFKDRVVIAKVDATLNDVPDEIQGFPTIKLYPAGAKDAPVTYQ GSRTIEDLANFVKENGKYKAEISIKEEGTEEAAPAASEEKKEEKKAEKADEDVHDEL FPSE_00447 MSLASRILPSSGRALASRTFSTSARRMADASPLPARKPLGAFRG GLFGFLLGSFLSGSAAYSYLLKEYKTANDLLTEDIYTLQASITRLSNHVQALETRMQG KK FPSE_00446 MNNFGVVELASAKTTSAPGWAYVPDNTISRSTAPTNRKRARNVP GLTYSDLTARQDNKIRKEVEALDKDGGKDNTIPLPVKSGRAQGKHTPNVRKILQSQKT FGNHLDDFLAMQALAESNPAISRASGANSKRLSVSRKDTPSSSKPPPDEDTTMTDANL PPLLPEPSKSPPASHPGDYNPLLVSRVPEMPSDEELRKLLAHPPLNYGEATGTRDTKY PARSFCEVCGYWGRVRCMKCGTRVCALDCLEAHKEECVTRYGL FPSE_00445 MSSDQADAAKAAEGATEQSLPSRPAKQPKDKQPKDKPAKGGKSS GLEMAEPPQFIQHRLDIFDKIKARQDAEIAAKPREDIVISLPNGKEEKGTSWETTPGA VARNISKSLFERTVISRVDGELWDLTRPLEKSCKVEFLDFDHTEGKKVFWHSSAHILG EAAERRFGCYLCNGPPTEDPPGFYYDMANMGEQSVTEEDKKALEQLSNSIVKQKQPFE RLEMTKEELLEMFEYSEYKKYFIQQRVPDGTKSTVYRCGPLIDLCRGPHVPTTGNIKA FSVLKNSAAYWLGDSKNESVQRIAGVSFPDKKALEEYKHFLAEAAKRNHRKIGQDQKL FFFDEASPGSAFFLPHGVRIYNALMDLIKGEYQKRDFEEVMSPNMYKADLWKTSGHWG HYEENMFTFEVEKEKFGLKPMNCPGHCKIFAHSDVTYKDLPWRMADFGTLHRNEFSGA LSGLTRVRRFQQDDAHIFCTVDQIREEIESAFDFLSSVYGIFGFTFKLKLSTRPEKYV GDIATWDAAEKKLEEALESFAEQTGAKWEFNPGDGAFYGPKIDIALFDALKREHQCGT MQLDFNLPRRFKLRYVANKGETGVSDGSNPEEDLPAGYARPVMIHRAVLGSFERMFGI LTEHFGGKWPFWLSPRQVLVVPVMPAANDYAQEVQKIFKAKGLYSDVDLSSNTFQKKI RKGQLEQFNFIFVVGAEEKESRTLNIRNRDDQSTQAKGELVAIDEALEKLLQLKDTRG LVNKL FPSE_00444 MRRAALNKSKNRSLDIPPPVANSTSPIADSSVNVVEALRWDEAF QTDHHQLVNAVAIDDDTHDIETYSQPHGSQSQYNNTTFSTPDQSYAVPEPVIDSPMTD ALPAEVDTISAISATTTACFAVGTSDSTSFTTSGFIHQNNYSQYTSNTYAHAYNSESE PLEPDSNSESDLDQDQNQEEGDDIDLDLEGSVHAPQSDDSWTSPTHFDLLSDYIPHYQ NLGYDAEMSDSEGGAPLNDDTFSEPQHQTDDQYSLPQENNDETDTVTTPVNYISHTFL SLPTGADTIIMDAVPPPAPWVIMPGDNTAEPPQAQIPEPASPIGLPFISNPNPAMFGS ENLGLVDFLRHWAYQARFASSSLAPRLNAPCPEDIRRQAHKPPREICYNDLLGDRCDM QGLDWDSMNTTRRYARQRRDDTFKNYVNKDGSDRWSPHMVDVDIPSSDNFMRFKRYIV RQDVYLAHFQLRSVLACPSTSQAYYPRGEGVNRINLASGQTEVALHNNHMAGLGTLIS TLDANHGAMFAGTFNGEYYLKSLDSNDKKDYSEGTITEHLGGITNHVQIYKPRQSSGP IAAISSNDHGFRLMDLNTQKFVMQSRYRFPLNCSRISPDGRLRVMVGDDFKVLITDAI TGEIQQELSGHRDYGFSCDWSDDGWTVATGFQDKGVKIWDARRWCDSRGVSTPLCTIR SEMAGVRNLRFSPVGSGERVLVAAEEADYINIINAQTFGKKQTVDIFGEIGGVAFTND GQDLNVLVSDRDRGGLLQLERSGLGPEPYFHNSWRRYHDHATDQWRYRSDGFSHLGEP CQRRHISTDALPIF FPSE_00443 MQVTSCIYQGARASVVRMQTLVVLYEAEDDLVVTYHRFGRSHNG YVTLCLWRLD FPSE_00442 MKGGIAAAAVAAMATGAQAAYPHHRRAHELFRRGGEVCVPSCTT IWTTYYGEPTLVPNPPSPPKPTAQAVTTHEAPKPKPTTAYEVPTYEAPKPVAPTTTKV VVPIPTPQEYKCPTPGTYTFPATTMTVTESTTVCVGETTKVPAGTHTMGGVTTIVETA TTVTCPYAKETEHEGVVTSVIETTTYVCPSAGTYTIAPITKTCEEETVIVYPVPTSYV PGTYTAPEQVVTVTETDYVYVCPYSQSGVPATTKVPEASKPQPPKETKPVYEAPKPSP ETTEKVKPTKPVYDLPSIVPEVPKPSKPVYSAPETPAYTEPAVETSEAPKPKPKPSPP KKPSTPGNLKGGNDHYGITYTPYESSTGKCKSGDQVDKDIAALKGAGFQIIRSYSTDC DTLETVAPACKKYGIEMIVGVFVKASGCTYETPEIKEQVDALAKWAQWDQVKLFTVGN EAIMNNFCSPQELADLISVVKEKCSGYTGPYTIAETLNIWQREDVKEAICGCVDVTGA NIHPYFNSEVTPANAGEFVQGQLDLLADICKGNDVINLECGWPSAGECNGSACPGKKE QAEAIKSIRKTCGDKTVFFSYEDDLWKEPGSCNCERSWGCKSAFIGGY FPSE_00441 MACDLRFHQDGPRQSVYGQAHIPTSLPQPSAVIDKRPIRQRLRN WTATNDPEREVTHIIPDISFWSHVTNSVTRPQSTGSSELDNFKAESVGMVDGVEAIAE DEAEVSIVGHKSQTPGDLVEMKQPGSRTPLFGIYLGHFGNRHHFYTNSGRWVISLGFS AIFSVSNFVPVSQLKPILDLIPMGASADEYEELRNQEKGPGRDVGAALIAKMKKFILE ADQAYQSSLNNLDRARSIVSDAKKTKYLSLFELADLLLPQGLKQGKHFPPPALYAVHT ALLRNDFIFRPVSPSPDCHRKDHLFEIFPYQDFMMINRVALMVREYIHLMGRTGGKMP EKELASTAFGTFVLRAREIVLANREKRAWTTHGILAPTSGVTIKTTDWSRKHAEFIRF LEWWASYHLFEDSSHFHADGSLLLRALDLYKDVRLDQSCAWTFLQELGIISPWEIPSR YKVRFPEVKIQSGGGLIRETPTSLEDSIRPDIAEGVRKEWTNDTIFCIDAPETVLIDD GISLERTEKPDEYWLHIHTADPASGIKPNSELGRFLELIPENIYLPGHFQAMLPQEVG IDNSGDYKSESLVDQYSLAKGRPALTFSAKVNESGDLLDYKIEPGTVHNVKYLDPEDV SQFCNDPLPPATSDQTLVVGQLPDKADAPPNRPMVSAKDLDDKSKEDLLTLHRLGAAI REKRLQKGAWPIFIGGTSVTVKTGDVPLKQEEDTAVLPADPYIRVSHDKFNGASVVGN TMVLAGEIAARWCSDRKIPVPFRRDAHSKQNMPQLLDYATKELYPLVYKGISPSAAQR QELSRMTGGIELSTEPGPYFMLGLDMYTKATSPLRRFSDLIVHWQIHAALAHEREMKR SIDTEADDLNAILPFTDETLPNTLSLLRMREKMARTISRGTKEWMLMALVRAWKFEKT VPERLSFTVDARWKQGVSGRIDLFDLSANLTIDGIDGLVLVKNIKVGDKFDVELADVN VHSRQIFVKALKHHHNPNSQPALSPDSAEPTPAS FPSE_00440 MAVVLQSDDNGYFAGSGLRRSHSQSNFISSTSPFSTSSHLSDHH YSSSNKSYADSNSSSAPSSPRTVHADSVDLSYASTPATNLSIASDYDDHISLAESPED HFMFPSFAQEKFFVHQDIHPQIHHDDNLEPPPSPRTGDSYTVSPAEHENSEEASEDTS RPETPEHEKSEHAEDDTAVSSRPSRQVDYLSHEWREEDIWSSWRYVVTRRGEFPNSAR LENASWRTWMKAKNNLKTISPESLNWLKDCDVTWLYGPLQSGPKNTHSTHTEPSSVSL SKTGSLVNLTKKPILKKRSMSEVMLQRSLSTASLLKQATAAVKAQETRGILRPHLGRS NTDYFAYPFASRRLSGDSSSLAPSVESSGIISPSAERKHIHFNEQVEQCIAVEIKGEE EEEEAIDDHYGSDSDSDDGVMMKRVQTKKRPISRRKTLKSKPTAEGKTIAKLPSTTLK YREDTPEPRETAMKHSRSPLMSPSSSQETLRPAKQSGKFFFGEEDHDDSLDDALLSPR SGWASPSSEGINGGLNRSISSGSLCEEPAGMRRTPSGMFMPYEEGEIQSGDGIFGRVI DTVNTARDIAHVIWNVGWRK FPSE_00439 MYRQILLLLDSVASLATYRYTIEASVYVVFITL FPSE_00438 MNLFRAPAARAAKTLDRSLFAKTLNSAAASIKENKLLSKYRKEL EKTNEILFMERFNAILPDPDPLLASEGKKCIVLAPQIKHASPETWSPILQEAAKAGDI KVVPYDIEIGYEFWSYFDVIKSILPEELHEEIPSGFNTVGHVAHLNIRDEYLPYKNII AQVLLDKNPHIKTVINKIDNVGSENEFRTFAYEVLGGPDDLNVEVSEAGCTFKFDYSK VYWNSKLDTEHKRIASFFQPGEVVADIMAGIGPFAVPAGKKGVFVWANDKNPESYRYL EDAIRRNKVSEFVNPFNYDGHDFIQKSADLVLEASKRGDCAVVKPPRPSRKSTAPPPE PVRVPVPPTISHFIMNLPASAIEFTHNYRGLYHGHEELFEPHTETKLPMIHVHCFSVK ADDETPLNDICERIRKEIGVLLRPGDPENKGEVLIYDVRDVAPAKRMYCASFRLPREV AFASRA FPSE_00437 MSKKRTLDAFFSPAVKKTKTEFGTITPNDLIAEESTYSKHQFYP HPIKDLPASLSKELVTLPDQPGREINDQPDLDLLYFEPFIPTSVSRRLFEFLRAELPF YRVEYKIKRGGIETQIRTPRWTTVFGLDETSKFDDKGLPVDANTGSRALDKRYVNYPP RPIPKCLDELRRRTELATGCEFNFCLVNYYASGSDSISFHSDDEQFLGRDPAIASFSL GARRDFLMKHKPPPPNAVNPPTLNAKPLKLPLGSGDMVLMRGRTQSNWLHSIPKRTGK NQEDGGRINITFRRAMVKGGTDNYYNYNVGTGPVHRWNREAREMLAWKSREGI FPSE_00436 MPVMTTRDRLAYRADESGEHKVLPEKERQRMLESYLPKPNDPPP TVNSSPRAQRRSRLGLRRFLLNQIHVLTFAIMHGIFSLYIKLRQTWNVVGYQVSSVVK YHHGTPQYIKKDLLGLSKKPKHLSVILKLEENHRTKADVERLLDEVAEIATWCACAEI PMLSVYEKTGILKKHMPRVCDTVNQKFAFYFGSEHPGLTVTSPHKDDFSSPFGENTKE HLRLHLISEQDGRDSMVDLTRTLAEMSQRGKLSPRDISTELIDAELSEGIMAEPDLLL TFGPYLELSGYPPWQIRLTEIFCLQDNERVGYEVFLKALQHYGRAQMRHGK FPSE_00435 MERAASGQTSLFPRGPNFTLEDFSNKDFIVRDFVDSLAESAVPS NRRSGNAQAPFDPKPLIRTFENALDQLGSLGAELQEKESELMSHVRRAEVAHDSTLET LGEKLDESMRAFEQLDLSLNQEHSYKNGIGLNSRAHGNVALQIGEKLEDLDKKRRRAN DAIFLIQCWTEVSETGKLSMLQDVQRQAGSEHKVRCAMIVRQLMRISQRLDPTSWGQT NGQRNGGITNGIVGNVRLHNTREVLEKFCETLEQDLLQQFENSNKKGNYGDMMECAKV LYDFNGGASVIATFVNQHAFFLDRDQLLADEVQVDSDTWEQLADPDSEPPTVEPSLQN LLDEIKIVMQDESNQIKLIFPYYETVLIKFIQRIFQQSIQQRLEMVLDETLKISSLAF LRALHSSRTYLGTLVEDLKSHGLTENPEPCSASIAHTLDQQMEELFVPYMIGNSYIDR ERKSLEEMYSSLLFKYSIYHSRKKKAPTGFMASLAQQGTQLIASAKDAYIERLDSSEL TPTQKRMMLRVAGVQDKDKDNKNEIEVSEEDGALSVANAKRMLKWLAESVQRTLELGS QTDTPKDVNTLLNLLLTSLGQVYIETTLDAAIDQISVQENTKTEPDLSYLPTIHPAVT ITSLMDRFITVVLIRLAESNTTVRRSMEAQKRVAISNIEKKTNNVMKGTIDVVSNWVT KSLAGQKKQDFRPKEVDLDSLQTQTCLSICTFLARVHRLARQAIDGQNSEKFFTELAL VLLKLLFEHFKKFQVNATGGLMVTQDIAKYVSTMREWPLTKEVGSAVEMLTEIGSLFI VGPEALREKTRTLAATGMRGKLSRADFRAFVQRRDDSGTAGIQSVLSGL FPSE_00434 MSIGEQGESAHPLKIFDIARKQDRFLYALAPMVRYGKLAFRQTV HKYGVDLCWSPMILAKEFNRSSFARDSDWTFSTEPNQPPTIVQFGANVPLELARASAL VAPSSQGVDLNCGCPQSWACAETLGAALMNHRELVRDIVVETRQRLTSDGWGVGLEKD IDNPKGRSVSVKIRIHNDLRQTMDFIDTVIGHPQNRLIDWLTIHPRTRSTPSTTPIRT EALEILTEKYSKTLPILLSGDVFDLSTLPFQPTITTNNNAPLPSIDKLALNDTNSAVI MPRPSNTHLSGFMSARGLLANPAICTGYSACPWEAVETFMCKVARAPVPFKLVQHHVM EMTAPGMGPDKTSLLEKKERAEIMKLTNTCEIVDYLDEKIEEKTGRVGGMRRDL FPSE_00433 MPGAFGPEDYIDFYENPLEVLDNLESAWKNREPRITWSTNNLPT LDDVDFDWRTYDPPGALRRAPNITSQVLLDILQSSVQNVKERNVEEDRRKKEADAQRQ FQENEKVKNKGPYLPIIIPMEKPVQDDTSKDVPKIKPDILMTTFGPSVTVTKNGAKAN KKRLVALRRFFQRSEEKGENSAAGAVLMALREEAGETEPGSENLVRLTKPDTVEEVGC VSCLDDFHPKDVIKVPCHSYCHDCFIRLVSAACQNEQQWPPKCCLNEVPVKIVLRFIP SNLKKTFEERSKEWELPVSERVYCSEPNCSLWIKPKRIDLSRRRGVCDRSHRTCTLCR GPAHQGEECPQDVDMTLTNQLAEDEGWKRCSKCHALVEHREACQHMTCRCGNQFCYVC ERRWRTCECTMEHLQAVKDGAAARREERRVNELAEAEEIRQALLQIEEFEREEALKAE LLRQEQERLEEERRQHELEERARQESIRRRDIETKYQELRILLDQLNELQQVLLEVDQ EKEGENMVIGIESAKDSLERKHDSERSELKISISKKMAEKEDALNKDFQIRAAQENDL EQAYHERLKAYWKDRKDGDEEIENAMLDLRKRMDQKQYAWQKWKAEQLKDLEAKLQDN ETYREELMYSAKHRLDDSCQEKEREMVRRMAAENKWLEAVVLEREKLLSEWEYEEVEG DADSIFAPESDGAGDGNYIVGTAS FPSE_00432 MARLSGLQKEVLALYRNCLRETRKKPQVWKNERAMNAEVDTDTD TDALKATRPHFESYARNEFARNLSIDKRDFAAIEFLLRKGRRQLEVYGSPGIKDIK FPSE_00431 MVTSLSKLASSNHPSFTKIRPPHSVSDNSGRRGRSIFLPRRHVR VRHRTPTSQRHGRQPFSSPVHTPSATSSSNGSPENAVEPHGATERTPTGDPVTQNQTW LDYDTQSRPGHTSEVSSMITKNGHRPVSPLHMSEMDVVTTQRRVSPSSPRDHPGTTEA HKYATPSQISGTDAFRDISTTRNNLSLNNELVEAISRNVAQQLHLLTIKDESPRTKHN QRKAVPPTSDSLENDSRTTSQREALDHFTQELQQYAEQSGAKGKLAVLTPTPPRSGAS LRTIAALLPFRSEFKAAGLAITSKDQANHPFYPGSLKHRATTTPNLKSLSKQPHIVQV DGATRCPSSSTEIPFPAAEDMDEWRYAMVDNDERPRKRTTSADQAPRTRCTSCRSGDL CHCSG FPSE_00430 MDASKQPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDQTRSIIRN VKGPVREDDILCLLESEQSSRFTTPVMSAPKPPAGHFNVLFFASASSFTGKDHEALPA TMPLSKLFAELENRYPGIKAKILDSCLVTVNLDYVDLPGEEGTEDTMIREADEVAIIP PVSSG FPSE_00429 MALNRKYAALPDLDSAPDIYETPELTDDNSTVPTTAARTHSDDE FYDVEDETPGISRSRLRIDEARSRFLPTNVDANGVDFSDRVDGKRKSYKASSRRQRIL EDGTQELGDLSDDDDDESLERRIARLKREVEEAKNEYAKRKAESQGQDDSDEATGDDR LASLSQVLDEISKPAGRPTAARMPQPITPSSPEEKPETTSGEDATYTVTYAPTYEQSH ALAKAADFDRRLLMLEKGLGINASLMPEADTNGLPRAILPTLDSMNKQISTLSEASTA NLDAISRRVRTLAQEQDKLNDSREKAKSLREELGRSGSTTQPEESEQEAKINALYGIL PTIENLTPILPPLLDRLRSLRAIHADAATASDTLTRIEKQQAEMAAELKQWNEGLVKI ETAIKEGNVSIENNKQVMEEWVKDLEERMEDL FPSE_00428 MNRRVGLSKFSKPEALLSTFNIKGKQKQNLEKEPEDVNAPPVPS GDEGESEGDDKGGVPPVADLRLKPSRVKKDIVSDSDDSETERSNRGNIKRTNFPTSST AKSREKTTKKTRKRGSEQSAAEDTEETSSSSSKRRRVASGPANDSANHFIDARGFTKS SRSTVRYGSSRNGKGSQASRASQASPSSQESQTNKGKGIGSDRKTLAKQDEDGGLDSS PIKDKPTFKLVSRESLSPKKSESKKRKLTVPVDDLGSPEKGVKTPMILPSRENSCSSQ DKKGGSSSASQGSTSAGKGVFQIPAVIRNKKPKRKMRPPSPEYKPATFINPVDIDFDF DLEGGNADSVSSPILSDLDQLSDTESNEIPPEDEKVSEETMAKCPWCGDVVLEQALKD YSKGKRLSVQMQTRFCAKHKKETAMDTWRERGYPHIDWDRLEKRLDDHQQYLARIVDG KESHYRNIHAEKVQTGQARSLKKEGDLNPGYYGPRGCKVMCDYLVDEFGQSLKDKATK DRVIAGRGSAAFIQSVLVAELAVQLIMEDMNVNAREAREIMEESKTLGELLHEEI FPSE_00427 METAFAKPAGDVLASFNVNENTGLSDAQVTELRSKHGRNSIPEE PPTPLWELILEQFKDQLVIILLGSAAVSFVLALFDEEEGWSAFVDPIVILTILILNGV VGVSQESSAEKAIAALQEYSANEANVVRNGGQVSRVKAEELVPGDIVTVHIGDRIPAD CRLVSIESNSFSVDQAVLTGESESVGKRASTVVEDDKAVLQDQTNMLFSGTTVVTGRA RAVVVLTGSNTAIGDIHESITAQISEPTPLKQKLNDFGDKLAKVITVICILVWLINIP NFNDPSHGNWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSL PSVETLGSCSVICSDKTGTLTTNQMSVNKVVHLNEDGSDLSELDVEGTTFAPRGSIKA SGVIVRDLHVTSNTIRQMTQVAAICNDAQLAYDSQSATFSSIGEPTEGALRVLVEKIG PCAPTDTRPEDCVHYASAAYQKELPRLATYEFSRDRKSMSVLVGSGSNKKLLVKGAPE SVIDRCTETLVGSNGKKVPLTKKISDRLMTEIVRYGNNGLRVIAFASIDNVPENPLLQ TADTTEQYAQLEQKMTFLGLVCMLDPPREEVPHAVKQCKDAGIRVIVITGDNRNTAES ICRQIGVFGQHEDLTGKSYTGREFDQLSPNEQLEAAKCASLFSRVEPSHKSRLVDLLQ SLGEVVAMTGDGVNDAPALKKADIGVAMGSGTDVSKLAADMVLADSNFATIEVAIEEG RSIYNNTQQFIRYLISSNIGEVVSIFLTAALGMPEALVPVQLLWVNLVTDGLPATALS FNPPDHDIMKRRPRKRDEPLIGGWLFFRYLVIGTYVGLATVAGYAWWFMYNTEGPQIT FRQLTRFHHCSAEYPEIGCAMFSNNMAKSASTVSLSILVVIEMFNAINALSSSESLLT LPLWKNMMLVYAIALSMALHFALLYIPFLQGLFSIVPLNTLEWKAVVLISAPVVLLDE ILKAIERQFFMQTTIDVSLKTKKEQ FPSE_00426 MSLLSSSVSSSASPQSGRTGDMEDCNPLETESGQRDNLAFDPPS IYAKLTIAGMPFSPIEVENDNG FPSE_00425 MALVNPPTWPDVSHNIHSARHFSWTAGFPYLSRLDAHAGPPSLY DIKSSPSLEYSPLGDINALTAFDRAGTEFTAPRRVTNPEVASSGSQAHADLSKELSYK TPCSGNKHPRRPFHKWMRSLHRRVSHRDHEGAIWPADAGWQYLESNQSYQQSLNNKLS RRLSSSGSSLGLIAAVQSASISLASGSAVSRSRRHYGRSRCRSRAEQSSRASLSAPRF SEDSIPLEKGNVDVAEMHRSIRRRQILEELISTEEGYIGDVRFLIHTYINILAALPTL PERLRSSINHNLDRILQLHEEILGELHRVVPDSEYSQADHLTASFKVTSPKTGHRWWG SLDVLPEDQVSLQRLEREPGIFSEPQVAAEVAKVFSKRMHQFFVYREYGAKYETMIKD VTSALKSLPEWEAHQKGLEAFAFTIGAAPSSNDRKSLTIGDLLVKPIQRVCRYPLLFA ELLKCTPVIDCPNSHMEVETALMRLREATSAINRSTEDNLMKETLEKTWLIQDRIVFP NRRLDANSKNQVRSFGHIQLCGVLHVCWQTSTGVDGQYMICLLYKDVLCLACGGRYDP IYTVVACIDIQSTTIEDVDNGRGLQCHLAPFSWKLVFKIDHQLYEVVMTACTSKEESE WRSRLSQPSISDASTRAPGLNLFLSIDIRSLGAVFGRPGTIARSLSTHRTSAREFESS ALHFILKNTCAARSSNPTCAGTGLNRSQSLLATKKARTPVLVPSRSERGRLEILVADV WSHGVLPLPSMTNFARNEQAIRRSASTVMRKLSVSSMARRSGSVKRKIVEDLSSEEQV HHNSISSDSGVDVFDKNFREGSSHSYTTRSSLSPETQELGEECQIPDAQSPRLELTQI SELEPLDLIGIVSKKQLQSGSGGATARGDHMVNKLATKSSRTQAKENSPLRKDSSAFW VNRGVQIKDTSSMETQSPDSGKKHTGIRRFFH FPSE_00424 MARGETQQTKVHFKGSDDFVIFIDDVETYQKWKTDKSVPLAHFI SSFKIFCTHGQGAQGTLDAASKAALENEFGTSVDDDVIKQILEKGETQNTEFPERQGS KNDSKGPMISH FPSE_00423 MGKLIKNHWARLIVLSAAAYQVLAAIECFFWPKIFWDFLTRSLD GAVKPVPALQIINLIFGLSMLCLEWPLNFVAGSALHRSLEFRLAIIPLTALSAALIYQ GTNSAIYYLIGMVVYFWGYSEGEMICAKPWTLPTRGRSGGQSRA FPSE_00422 MGCGDSLPQGELTYLERSVSEVITPQVTHTAHTNLLETTLEACD ITFSITASNTTTVDFKVILSSLHELQSQEGKARVERLFLIEGGEHVAVILLLEGEDSM LGFSNVQAEWLCWDYAMPIIPINTIEALPGCLESLQQDYNRERSPPDANTSITSRDLV RWCVNGKALSRDQVNILTEITSGFGDFASRSSLPNGQAIIREYLGSKDGGRLISFLTN DFSKAQG FPSE_00421 MVPLPLFKLASLLVRHVSKYGANHIKAQAHDHPRFRAFAARYGQ YMHQLNMRVSVALLRDPEAERRAKEKAAAPTVKTEDQQKRDDQLKQKPEVIKSGLTFQ NIWRRKFRSLPENKAVDLFADVIGDAFILSVAIALIVYETWRTSKKPDINKMRIEELD QKLEDLRKREEELEEAEKKQKERYESLEEALRGLKDPKTKQPFFPTLQAS FPSE_00420 MTDIKTKTAAQLKAVKEKEHPPPPPTEVPEPPSADRPDGATYQV GKILGKGGFAVCYSGQLLPTKQRFALKIVKSHMPPKMEQKFQTELQIHSKMKHKNVVQ FLRAFSYEKCTYLILELCPNGSLMDMVKRRKGLTEPEVRFYSVQIAGAIKYMHSKGII HRDLKMGNIFLDSQMNAKIGDFGLAALLVTGRDMHTIRRTTLCGTPNYIAPEILEKGK KGHDHMVDIWSLGIIMFAMFTSKPPFQSSTTDEIYRRARERDYDWPSAETSRRYISQE AKELVANMLQDAESRPEPEDIIQHPFFTCGYMPTEAEMTPRLREVPPEREEFYASRMS SSLQAQSYRNFKDMCRDCGIGPFAPVQVVHTQTWKEMAAEEKAGLTPLIPLEEGIVYR PFEEWLKEQQQIKARYTASVAAQASIATEDPLSTSQRAPVGLLRQPPQSFAAQQRMQH RPAGPAPPAKPRPAPEPALSTSQSVRTRTRRELPREGPPRPTVESVGKSLRTSTRSAP PVRAASQQPPERQLSERPTLDRQTSAPATTAPPAPAAAPKKETIGMRPATLFGASERQ SRISGTKPDMILDRLRALDKELERALNSRSTAIVKSTSITPPHPHVVVKWVDYTNKFG LGYILNDGSVGCILRDIPTTENGKAALLPPAGVFIRGAERHIIRREDETYDDRSQPLP MTEPIKFFENNGETGLSEVVVSPEQFRVSVNEDGTAGKMQPGKDIFQHRKRERIILWK KFANYMIAYGRDDVAPVEETDPAGAISPGQKGTVAELVTFYQRFGDVGCWVFCDGHLQ FNFPDHTKIVLDAQGQWCHFWHLPQDAAERLAATGTIGAAALDDRAMLSYPLQTLLNF HKPAAARTAASRSAKAPARTRLEIAPELQGIPAANDFRRKISFIRDVVREWVANGGLG NSQMSRESRLRWGGHRETISSHAPQKHVWVTVGARWGDQRISTYVDSRKPWELGEDVD SSKK FPSE_00419 MSLPERPGASQAYNQRSVYRNSPSRRSRPVDIETGGYHAVDNQA SHQRGKSGSSFAESIGINSNTESMPLSPTSPDGQSRRAGLEQPISRKRSLIRPERNRI DRDHRNYHYHKHAAHMNVLPSSTGNDPIYEDFEASTERTNSNYNEGGSDGSPRQRRTV SGDHEKSAAVASTVPTPDRTKSGKIKRKSRRHSKPPKRIEEQLRPPTFWNVYCAIVTF WAPGFIMKCCGMPTRAQQRAWREKMGLISIILIIMAIVGFLTFGFTATVCGAPQERLR VNKVDGGNMIFHGVAYDLSESHHPPAQGMPLRGDGLGPNVLYDLPEKNAGKDGSFLFQ NVNGRCKGLITLAKGSDVPTNDDGDLAWYFPCKTFNQDGSSKVNTTTPYYSGYGCHTT LDSRNAFYLDLKGAADVYFTWDDIKNNSRNLIVYSGNVLDLDLLKWFNSTQVDIPKRF TELRDKNSPVNKAIRGRDVTRMFQSSSDKKYAQCFEEIIKVGSVDTETIGCIASKIVL YCALALILSVVGVRFFLAIIFQWFICRKYAPTKTSQSSDRRKRNKQIEDWSEDIYRAP IRLPGDVGSTVYGSSDRSSKRASFLPTTSRFSSVGGPDIRSQGGRRMPTTMASQSTSN QLLTPNSMFKQGNDSRASFLRTDPYSSTPTDGPGPAGFIHDAVVPQPPSDWMPFGFPL AHTMCLVTAYSEGEEGIRTTLDSIATTDYPNSHKVIVVICDGIIKGQGETMSTPDVCL GMLKDHSIPPDMVEPFSYVAVASGSKRHNMAKIYCGFYDYGKNSRIPADRQQRVPMMV VVKCGTPDEASKSKPGNRGKRDSQIILMSFLQKVMFDERMTELEYEMFNGLWKVTGIS PDYYEIILMVDADTKVFPDSLTHMISAMVKDPEIMGLCGETKIANKRDSWVTAIQVFE YFVSHHLAKSFESVFGGVTCLPGCFCMYRIKAPKGGHNYWVPILANPDIVEHYSENVV ETLHEKNLYLLGEDRFLTTLMLRTFPKRKQVFIPQAVCKTTVPDEFMVLLSQRRRWIN STIHNLMELVLVRDLCGTFCFSMQFIIFVELVGTLVLPAAIAFTFYVVITSIINSPPQ IIPLVLLGLILGLPGLLVIVTAHSWSYIVWMLIYLLALPIWNFVLPTYAFWKFDDFSW GETRKTAGEKTKKAGLEYEGEFDSSKITMKRWAEFERDKRSRSGYWGSRENVIGGGGQ TWTSPPGHQYNEEYYSDA FPSE_00418 MAAVNTPSSAAATSPNYYEPPSRPSSRPTSASRQSPVRIPDLDH AEGPRLRDGPVSPVRVNFQQGDWVQPSNADVEYTPKQALRGELVDRTLHPLPSPPETS PPPPPPQHDRRSQPTSPRELHDGAFMAESAKTTPAERVRGQDQYRNSRESDETASSNP QQVSIESSATTSASSLSGVNENIEGRASGDSAESSIIESNVPEVPLFQYHHQKDYPPS APNPEAGGSSTPDLSESASSLGRHLTPNPGNQMRTSLPRPPSSYSVYSDSRGRSPGLM PGGPQSRKSPDVRLSTYAELLNASYPQQAPAPLTPDNSNLRTVIGNNASLLSTQKTLD MYRANVKKTNDSSIQYSFAIFLISTAQEQGLDFSEPKTRKNSPKPQKGRDSPAAEGSV SSPQELVREARQILQRLASAGYPFAQYYLADGFASGLFSKGKEDYNSAFPLFVLAAKH GHAESGYRTALCYEFGWGCRKDPAKAVQFLRTAASKRHPGAMTRLGKACLSGDLGEKR YREGIKWMKLAAEAADIQYNSAPYQLGCLYENGYGADIFKDDNHAAELFTQAAELGHP EASYRLGDAYEHGLLNCPRDPALSVHFYTGAAERGHAGAMMGLCAWYMVGAPPILEKD EEEAYEWARRSADLGFVKAQYAVGYFTEMGIGCRRDVLEANVWYVKAADAGEERAKQR LAIIQAAVSGQGTPMEVAPPRNGKIQKNGKDDKDCIVM FPSE_00417 MLLPSALPCDASHSSHSRLQQSLFSPPASPPAPSHGSFANLMTT CRSLQSLLSMPAPIVVDSRPAHYNNAQLPTPPLAHAAPPLKLRLRPRPQRREPVGPKK VTKRAAPRAANKKRRVADDDMDRYSSASDSGSESPSRCSMEHGEPATPKRTRIAPEQM PLGLERSDFHNMHLRQGGHLLNEEDSDDEDWNAEDDRVLIEIVLEKLRLSKAEWQDCA RNLGRDRHAVDRRWKTLLLNGDVGLKSRPGR FPSE_00416 MARSSSPLPIRHSNVLPRTPSLARNTSTIDDADELADTIPCSPS AFLRDPQTTQPTQVLQKPTLAPMRSSSPASSIIEVPASSPFQQAKKPTPLGNRLAPAG TVFRPPQRTFPSMNNKRPAQEPISLISDDEDDLTPPRGDIRPTMFKAHVAAFAYKPEA DAIEQQAVITKLRQIYDVFGDKVPSHKAKEALNVCRNDLDETILYLERQSQPRPALKP KTGRRLISKGALQTSATSSRGNSVSYTASPSPEKKPRRRLVQGLRQQSIPSSPPFPSS PAVAPAHASSDDPLVIDLVDDDKEDTYQAEASPDLSDDDYKNNKVLISLNTCTVQELA AMTGMKEDQLACMIEKRPFGDLAKARRVTIGKKPGAKKASKISIGDNVVDAVEVFHNA VEAIDNVVDKCERDAKDIKQQVDTWDIDTFGHDKLRAQRQDSEDLPPTPTSISATKYV RPPIAKQPKYMDGHCKMKPFQLVGLNWMSLLYKFNIGCILADEMGLGKTCQVISLISH FVEHYEESSSSNKGRRPWPNLVVVPPSTYNNWLVEFGRFAPGLSVIGYRGSQAERAQI AFEIEQEPEAFHVVLATYSQINNEADIEAMQSIRLNAAIFDEGHKMKNPQTKIYRDLR RIPASWKMLLTGTPVQNNLMEMTSLLNFINPEIFKGCMDQIQYIFSQKVTIRDVSNGA FLYSERVKRARTILEPFILQRRKDQVLSDMPPKICTVVRCDLDEKQKQVYADYEEMFK MEPSKRVTKVRGRGNDQNNCWMQLRKAALHPLLFRRHFNDKKVEKMATILMNSVSQDD LRQPDIKHLIQELKDSSDFGLHLWCRDYPGLLGKFDIPPEAEMDSGKVRKLLELINHY QENGDRVLVFSKFSRVIELLQEVLAQQQIQHRVLMGSTTVEERQTLIDEFNEDPDIPV FLLTTGAGGTGINLTAANKVIIFDQSDNPQDDIQAENRAHRLGQKRDVEIIRLIATNT IEELIYKACQKKIELANKVTGAVADEDPAVAEQTLETEVRKMLMGEQMTPP FPSE_00415 MATRRIVATEKTFLDKDDPIDETSSTAPAVPSQVIYKLLAFTFA MVFIPIGSYFLTVNTVFNGKSLHTFLCNSSFAGGLAAVLANVVLIGYIIVAMKEDQSD QLKAENKKDK FPSE_00414 MATSTEQYRQYPPAPANYPTQEHYQRQQYQATAPAKTTQNPPAH SRTFSFHSQKSHKSSGSKDLHETHAEKEAKRLHSTADPTFALNEAEPSAEAAMMTESN FAPLRSMQHKDPYGNSIEDPDKSNPTRNRWERPLDTIRSFEAAIDGGYSRKSLYRAET DSQANWNRRNSSFSNQPRFPRDSYYGSRPVSLRPEGNQYDTGSRSNYFDGQAYSNGYG TGPSRQRMSRMQSEPQYQTGHDQTIYPLPNKDRSYETVTSAAGSGNSDHAGYQTDPTS SDNSSIDRTMPAKRREPFNEYASSSSQQQPANQSRPWPTPGMNGNGSHPQVPPPSHAQ YTPGQDQAPVPPQKQKNTLLRRTSTQQSAQQPQQTAGGDKRKSWFSRRFSKNS FPSE_11589 MPSEAGHRLYVKGRHLSYQRSRHTTRPATSLIKIEGVDDTNAAN FYLGKKVAFVYRAQKEIRGTKIRVIWGKVTRPHGNSGVVRAKFTSPLPTKSFGASVRV MLYPSSI FPSE_11590 MDPCMELKQNTTIVVLGASGDLAKKKTYPALFGLYRNQFLPKDV KIVGYARTKMDHDEYIRRIKSYIKTPTKEIEQQLEDFAALCTYVSGQYDKDESFQGLE QHLQEVEASRPENHRLFYMALPPSVFTIVSQHLKKICYPKNGIARVIVEKPFGKDLAS SRELQKSLEPDWNEQELFRIDHYLGKEMVKNILILRFGNSFLGATWNRHHIDNVQITF KEPFGTEGRGGYFDEFGIVRDVMQNHLLQVLTLLAMERPISFNAEDIRDEKVRVLRAI PAIEPKNVIIGQYGKSLDGSKPAYREDDTVPQDSRCPTFCALVAYIKNERWDGVPFIM KAGKALNEQKTEIRIQFKDVTSGIFKDIPRNELVMRIQPNESVYIKMNSKLPGLSMQT VVTELDLTYRRRFSDLKIPEAYESLVLDCLKGDQSNFVRDDELDASWRIFTPLLHYLD DNKEIIPMEYPYGSRGPAVLDDFTSSYGYKFSDAAGYQWPTTNAAAAPNKLIIFAAHL KESFIT FPSE_11591 MSDPAGEIVHITQGYIPLAKVLTRLAQSTHNALQDQIAALAKMP VPATAMNGNSTIPNSDVEDASSENIAKKTSILNFAMREHRKWVKALVITEWSRKADMV SQLIDLRFHLQGQEVLFTGALDVMGHVKRDLTFARMPSPDLKTALQVLSTGEAAWMPD LSYIEPPPLTREEEIQWMSDVNTQLSLRLNLEDFDKIPYPFRNYEIDSGRVTFKVSGE FEVDLTIADEDFEKQFWFIDFRFAFRPAASSIPEGLKNYLESHVNDILSKDGLLGCYQ FLHELVLTHKLNELKRQANQMSRGSWTGTLKVEPLNRALAIQYWTSRTQPGTPKSWVL VAVTSGKKANGQPDPKLSSHLTTKWYRDNKEVKEEKIAFDTDNLSAETLMKTAIGRHI DFLLGSVHSKLLSFPRFQNRQAAMVLRTSQDDPAASSLAMRVGFKDSATLLIEPTTGV FAVKPHSKFTWSPENQLNNGKNPAEDGAACLENVRCAVMEDELSRRGSTTGWSIKRSP LNKDELRSLTNTREWTKTIWLHRAGWETNWFVMVLLSPSGDVWWLVDVNRNASGQAPR LSSKLPLNKGYPRLDDEFWNNLTLFASGMIAQAVDQRELHKQNIKFKPHGANNWSLPQ QVRLPTLEIALSGIFPSMVFDNAEKDNPKTAAATESGKLGPIVRSASASGISTKQPWA NDIVSVRFKGVQPAEARPTGPESVKTGDDKSEVPFTCVSDAIIKVRRPAKFAMLKSHM VGRDVSWNARTGEFCLRMRSSIGQSMLESLKARVKAVDRFVSFFESMDKAKEWIVAES VSLKEVTFSYGPLAPEASNKAQPSRLWRITLNLSQNDIDLVMGGTNPHLPVTNLMQKL VNGANGIGALMNWLPESLPALEAVKKIRETWRDVEARHQGRFRFMMDSVDEMSIQYSL AGTVPGNQLVHREITFLAHIKHRRGEPWWHIMRKPLNGASTLDDEFSKALKPVWEATG EKWTGLVTGAAARPHDGTASLLLAIDEAIRPLAGSSFQNNSEVVVLE FPSE_11592 MSRSRAESTAAALLSSAARSTTKPHLQVPLRRLNGFRSLSTCSA SVLTRRRPIVACKHTQSFNDGRRYVSQAKDANIAVIGGGLTGLSAAYYLAKKLPSTTK ITLYEACDRLGGWIKTDRVPVDIGGKKGIVSFERGSRSLTSLAGNTFRFDDLVLYDLT LDLGLSLNFPPAKPRYVYYPDHLVSMPPNVSIFDIIREPLYLESIGAGLGLMINHLRK RHLPAEDESVADWLYKVTNSRKGIGNMASAMMHGIYGGDIHKLSARSVLDRIYWGWYL PNPGLHARPMPVPESLILETLGQDRQIQKLALEPKSALIDFGDKGMESLPQAIGAALQ DQPNVTIKTGEAVKDIQYDEAKQQVQINSFSAKNEEKSNSQAYDKVISTLSAQQIARL ASDKVPSLSAAHSVSVMTVNIWFPQENLKPPGFGYLIPNSVDPELNPEHALGVFFDSD VGTRSKDEPAGTKLFVLMGGHYYDRPDVTPPTEEEAIVQARNLLERHLGIPRDAPAYA TANFAKECIPQHYVGHQGLLRNAHAELKQNFGGRLAVAGGSFHRIGTIASLRSGYDAA VAAKSGLEATGLEYVENIQEFAVLSTELIPVRHFK FPSE_11593 MPVEVSLNTPLAEALNAAIQPKLVEVGWGTGGADDSALAEYIIL MLVNGKTQEQIAAELSGDLLGLGSDDPSTRDFSQWLFEQIDTINAQVNGGNNADPGAN QDPATEGEMDTDMNAGDVSELNAPTGPRSMRNGAQRGGRDKRMFGQMNKAMDRPGDSA LHRVRGQTGSERINTHGRTPPSGPRTGRGGMGRNNNTNNRSANIQAGLAGMAAGGPQQ WMMPGGQPNSAELVAILEQQNQMMYQLSQQLMNNGGHQGGFGQQRRGGKSLFDRTQHP GRGNYRKGFNDRQGGNNPSAGEGEGDDVSMSGEPREPPNPEDTVCKFNLRCTNKDCKF AHQSPAAPPGTSVDVNDNCSFGAACKNRKCVARHPSPAARLAHQSEQDCKFFPNCQNP QCPFKHPSMPLCRNGAGCTNSDCKFTHVKTKCKFNPCLNPNCAFAHEDGQQGGFKDKV WTAGEEAEHVSERKFVDDGAPEELIKGEDTDVKQEADVIG FPSE_11594 MWSKAILAVAAFALTPANAIWPVPKKISTGDKALFIDQTIDITY NGDFVCWTLPGSDSNSGACNHTAQLNTETLLHKQIPYTYNYQPDAGSKFTSKQIVQAG VSRALQGVFQDNFVPWMLRERDSDFEPDLQKKQWVKSLKIVQTEEDDESTFKPLNGEV DESYSLSLSEKGEASIKAKSSTGVLHGLETFVQLFFKHSSGTSWYTPHAPVSIQDEPE YPHRGILLDVARSFFEVKHIKRTIDAMSWSKLNRLHLHITDSQSWPLEIPALPKLAEK GAYRKGLTYSPEDLAGIYEYGIHRGVEVIMEIDMPGHIGVVELAYKDLIVAYNEKPYQ WWCKEPPCGAFRMNSSDVYDFLDTLFDDLFPRISKYSPYFHLGGDELNHNDSRLDPDV RSNKTEVLAPLLQKFVDYTHGKVRDAGMTPFVWEEMVTEWNMTLGKDVVIQSWLGGGA IKTLAEAGHKVIDSDYNFWYLDCGRGQWLNFDNGNAFQTYYPFNDWCGPTKSWRLIYS HDPRAGLSEEAAKRVLGGEAAVWTETIDSVNLDTIVWPRAAVMGEVLWSGRTDASGQN RSQYDAAPRLAEMRERMVARGVSASPIQMPFCTQGNATECAQVDG FPSE_11595 MGVVEALLEQVTLRTTLTILFASYCIYSIICRIDEHRRIRRLGH YGPHMKTYAPWGLGIVARFVVSTVKHQNLATWRDDIFGAENSWTVETRLLGVRAIFTA DPANLKAILATQFVDYGKGKPFHAEWKDFLGDSIFTTDGAPWHASRQLIRPQFTRDRV SDLHCFEAHMQTLFKAIANRGPLHGEDQPVPTEGLDGKVLDISDLFFRYTLDVATEFL LGWDVKSLTTPRQEFAEAFNDVQRIQNIVARTGKLRHIIPRYKFWKGLKTVNHFINFY IERALRLSPEELNSKTKDDHSYTFLHALAGFTRDRKVLRDQIIAVLLAGRDTTAATLS WTLYELGRHPNDVKKLRSEILSTLGSERTPTYDDLKSMSYLKAVLNETLRLYPAVPFN VRLALKDTTLPRGGGPDGSEPLPVLKDSPVGYSTLVMQRRSDLYPPISDTFADPQIFS PERWAHWHPKPHDYIPFNAGPRICIGQQFALTEMSYVLCRLFQKYERVESRMKDVDGG EPLLKADIVLSPGQGVNVAFWEAKK FPSE_11596 MTHVQGDEVDFKLSGERANHEAEMIGSDLCDNAQYALQPFRPKT SAGLFVGQERIHLDVVSLTIEKARTIHSSSKHLSSTEAAPAARPAHYDTSQKLSAIDA VKERRLKAGKLVAGVAAASDSDMFKGPTTGLPKSKRWDNHLSHESRVREPCTLKQAAR YMKKPGLISLGGGLPSSEVFPFAELGFKVPVAPKFSEKDTEESGQTVTIGKYDVRDRG GTYDLSIACNYGQATGSPQMMRYLTEHTEIVYKPPYADWKVCQTIGSTGALEEALRMF CDKDRGDSVLTEDFSFSTALETVGPLGIKAFGVSIDEQGLIPEAMDELLSNWDAKERG SRKPHLLYTVPSGQNPTGATQGTERRKAIYAVAQKHDIYIIEDEPYYFLQMQPYTGRN QPDVPPPKTVDEFVSSLIPSLLSIDVDGRVMRMDSFSKVLVPGSRLGWITASEQIVER YIRHAEVASQGPSGFSQVILYKLLDETWGHEGYLRWLMNLRLEYTKKRDALLAACEDH LPSDLASWTPPVAGMFMWINIDYTKHPDAGKRSIVDIEEEIFNSCIENGVLIARGSWF LTEKDKAPPGLFFRATYASATPENMNKAIERFGKAVRDSFGRK FPSE_11597 MENTRSAADTSAWQIKDHLLAILPAGVLFGATVAMRLPQHPHLD VVIISLLAVSGLVTVLVHASAFQQARLGRVAILVALGCAISRTRFFAETSTSLNDLPT ILREYIFIMGVVVFVVAMMAPNADEPRQPSDSIINEERMLIPELRNTRCKPGTKQSWD LASSISHLDLLSNLGSLDLKFMPGPKSHYSDHSGSGESAVTLSSGLMRDPSKLMEIIQ WVKAAAPPSFGRKGDSTSTESVLHEVSVW FPSE_11598 MYWPIGTPRIHATSSSRSSAFKFFVSHDGLTSPSDSSLTPSPGP SSSSQPHGPLDALESPPLPTPITPGIRPVEHDDFPASQPPQVLDNTEPGGIPIKDPIL ALRVSRTGNLFAVITTTSITVWQTKPAVILAVVIRSDYSLQTYGKNVDLLLRPDAAIL VIHTDQGYLITYSLATDSDSRVYKPHFANYSNVQRRRQSLIGSLTGLPPDQILWGAGE GLGVRDLSVRFRMVIKVDAGIESALALDDELMVSTRKPAAVQCIRWTPDSTGSQTRTE IISRMGWVEKKATIVQMTHDRPMNLLTWITSSGRAYAVQRYNHRAEMSQADDPDAKRL FKGHCFHIPQGENDRAITAVINARFSLIAVGCCDGTVQVYSVRDYAGNIPHSHTHQVP VSTASSGAFTTLSYSPDGYCLFAGFVKGWSTWSMFGKLGSHSFGSEETTSRANGEEWL SGIAGATWVGGGSEILMTARKHEAIWSLEMAKNAVTGCYNDANVFRTVLQTPSSVMVY RGYDVPDLTSISAEPFLWHTAKVPPTYLLNQWPIRQTVISPDGRYVAVAGRRGLAHYS VNSGRWKTFASESMENEFQVRGGMCWHQHILVAAVEANRKFELRLFSRETALDPAQIL HTQTIPAPVVLVTTSGEDSLLVYTYENLLYHFIFTPHGGSIRLIQVGQIAFHGIVRSP ARVRGLSWILPDTQLTDGDPSQDVAVASVIFLVDGKLVLLRPSLNDDGQLKYDMRVIA QNVEYHASVRDQPLRNANRQLEDNPLRNGPPALKDSLWVFDGMELKAWPDISEVLDAT GENGKESPPPVSIPVDFYPLSILLEKGIILGVESDLVQRRDVNFSYFHFAIRTHLILP DLLRFYLMQSRSVEASNLAHQYKELEYFAHGLEILLHRVLDEEVDTSPKPVDAVLPRV LSLLSSFKEYLDIVLQCTRKTEVRQWKTLFAYLPQAQELFEESLQRGSLKTAGGYLII LHTLDELGSSTEQSVRLLSRAMREGDWELCKELARFLAAMDETGETLQEAMRMVEVAL KQDSGQDGVGSRLQIPSSRTRNGGSGLTSGDEDGTSESDRRSGSEGGSVASTISAT FPSE_11599 MMDDYVSESDSDYTSYWRDWFISSRGNEYFCEIDEDYLTDRFNL TGLNTEVQYYQYALDLVTDVFDLECDDEMREAIEKSARHLYGLVHARYIVTTRGLSKM LDKYKKAEFGKCPRVMCHSHPLLPMGLSDVPNLKPVKLYCARCEDIYNPKSSRHAAID GAYFGTSFHNILFQVYPALIPTKSVDRYVPRVYGFKVHASAALIRWQSSKRDEMRRRL RKLEIDTGFRDEMEDEEDDDDEELEFEGIDGRMAVVEGL FPSE_11600 MGDSGLGTISGGLRGRRFPIRLILSYLSDWIICFALVGVGGWLD KVAPAKRPFSLVDPNISYPFAEHELVPAYLLFVLAIGVPFVIVAVVSLIFVPGPTVPK GTPKTLIWQRKLWELHVGVLGLVLSLTLSWFFTSSMKNLFGKPRPDLLSRCEPDIANV EKYLVGGFEWRNMTGQLVSAAICKQKDKYKIDDGFRSYPSGHSSSAAGGLIYASLFMA SKFAITIPFVMPSAAAAAGAASHAAFPSRISTGPIVDPYEPSRARGLDGSFSSAPMKG QETAKVQSLRRQAAAPPIYLLALGLVPFGVSIFIAGSRWHDRRHHGFDILFGYLMGLI AAIFAFRYYHLPIRAGAGWAWGPRSDERAFWAGVGRHGYAGTDEELGSYAPTRHDTGV SGDTSYPPMTSALAQRNVRSTSNQEEPRPSQNFQDVELQRMDDSDRLENRFPDNRFAE SRYGDAHYAEHRV FPSE_11601 MLQTGLNFAAARQSLLRFALNRSLPRTYATIRRSNETTASPKEL AENPEAQAAILRVYKPRSPGVRHLKRPINDHLWKGRPFLPLTYPKKGQAKGGRNVSGR ITVRHRGGGAKRRIRTVDFIRNRPGPHLVERIEYDPGRSAHIALVTEKATGRHTYILA ADGLRSGDIVHSYRAGIPQDLLDSMGGIIDPGILAAKTAFRGNCLPMHMIPVGTTVFG VGSAARRGAVFCRSAGTSAVVVNKNEETKDDGTRVMTGKYVEVRLQSGEVRRVSKDAC ATIGVASNIHHHYRQLGKAGRSRWLNIRPTVRGVAMNKVDHPHGGGRGKSKGNRHPVS PWGVPTKSGYKTRRKHNRNKWVVVPRPRNNGKRRDKAN FPSE_11602 MPTQVRATRTRNENDENSGTTRLTRAQAAALKVDELSMPAKAAL QTKKSTVNGTAAANTRKRAALGDVSNVGKADGVAGKKAKGLVSKAAQPTGIEKKTARP TRPALASQTANSKPAQSGSGTINNKRKVLTDTKPKAPVKKTEPTSKEPELTEENERSE TPEEAEAEKPEVSVEKPEVQDAPFKYPPGVNNLDEEDLEDPLMVAEYANEIFEYLRDL ECKSIPNPQYMSHQDDLEWKTRGILVDWLIEVHTRFHLLPETLFLAINVIDRFLSEKV VQLDRFQLVGITAMFIASKYEEVLSPHVENFKRIADDGFSEAEILSAERFVLSTLNYD LSYPNPMNFLRRVSKADNYDIQSRTIGKYLMEISLLDHRFMAYRPSHVAAGAMYLARL MLDRGEWDATLSYYAGYTEDEVEPVVHLMVDYLARPVVHEAFDKKYAAKKFLRASLLA RQWAKKNAVLFGITDIELGLDQIS FPSE_11603 MAPVLRARQSLSKDKFSSYFGNLRSQPYNDANNSRGSNPHSLRC IAWNPLGTLVATGSSEKTLRVWNPEKPHVKFSTELKGHSASIEKVAFNPTKDAELCSV SSDGVVKFWDVRTKACFNEVKGLGDAFTLVWAPDGNSLLVGNKADTIYVLSPTQSTPI STHQQSVQTNQIAFCWSGEKIFVTTAEGRIRVLSYPGFEPVLQSNHGDENSEYELNGH TSSCLTADLQPTGRYLATGGSDSVIALWDTTDWVCQRTITRMVGPVKSLSFTFDGSFV VGGSDEGSGLEISHVETGEHVHTFKTAGACPVVAWAPTRYCLAYSDLGILRIVGVDVD RK FPSE_11604 MSNSPETGPTTSGHKRPRSDDVDGDDAVPQQDIPDNASVPKPKR LACMICRKRKLKCDGVRPSCSTCSRLGHTCAYDEQRRKSGPKRGYVKALEERLKQVET LLKTQEPPQNVPKPVNVAMSGPPQTASPANLNISNAPIHLTGDHNMDQQWNFTDKSPQ QGVMEDFNFNASMDMGMNNVGGTFTWEMIGLGLEEPLPPQDTIDELHQIYFEKVHPSI PMIHKYRYLAAMNLYRPPVCLRYAMWTLACTITDKYADLKDLFYRRARKYVEADYIKG YGEHMISIAHCQTHTLLASYEMKMMYFPRAWINTGSAIRLAQMTGLHRLDGTGLDVKQ CLAPPKDWTEREERRRTFWMAFCQDRYASIGTGWPMTIDERDIMTNLPASEDAYNMSR PEQTQSLSECTSPMGAGKLSSFGGIVLMACLFGRNLVHLHRPDADDLDHDLNGPFWKR HRQMDNILLNTSLCLPPQLKLPTGLSNPNIVFTNMSIHTSTICLHQAAIFKAEKNKLP ASVSAESKVRCITAANEIASIMRMISHMDLSTVNPFISFCLYVSARVFVQYLKSRPDD SQTADSLRFLLSAMNALKRRNPLTESFLVQLDVDLEALALRIPKLKTAFPRNHDSPGT TGAPRGAKCDNPEGFQGITAYRNECNYMNPSENDMGQPGPPDIVEPNNEIPGMPATGD GSFGTQAWMSTEQQMPSILTPSSAATFEKTGSGSRAVSGFGDMTGDSQDASGSPDAMQ SNRPTPNSSTGSDQRNHLAPGQMNRSGVNSFNASPMSPNQTLMNPGVLDGSTQGFFAD TSGFVITPGLDQSGGFSMPDGWADINGQTGVPQVGEGVLRALMNMGSMDAMDLGTWES RDS FPSE_10959 MMARATVAGAAQIHVPLLPYLPRYGYVTATKMAGRAPHTPPQGS GSGSGSVTGAGLSAAFSAPDMPQQYGVALQLNMDGYVTHEIEEAYRLNAVHSTASATP ATPVPVPVMEAQRTAGTSDNVYSHRSTQRYKHKPFISHYWDCRMKGRPPGTPKSDDPN KKKRKRTSRQRDLCDVKIKITEHFPGADSELGDISLPGVDLAGQRLWTIQRVNGNGGN GKGDGVAGPHKHTLQRSDEIKKSSVQRYLAKQGDSVSKANEKVKGQSDIKATGAALAT IRKHAKDSNFKFYAACFCPFSQRAWIALEAKGMPYQYCETDPFRIPEEPILANPRDCV PIIKHGDWTCSDSTVMLEYLEDMGQGPPLLPSDPKSKAKCRFWIGHVRGVYPESDRN FPSE_10958 MPLLSRYSLRQNRGFLGSDSSALPSQDAQDRQQLRYELDLNSWN FRIWGVCASGFLTDSYNLFSTNVILASIAFVYWPNGPEWSGLLINFFTLLGSVVGQVL FGYLADRYGRTRLYGVELVLVIVSTIGVATSSRGYNDLSFLGLFTWWRFVMGIGIGAE YPLSAVITSEWSSTQSRATMLSSVFMMQPIGQALAQLVGLFVLLGFQRSHDLQGMRCG LDKLHEEECKKALDGVWRIVIGSGAVPALLAIIFRFFLFDCGIYSLEVRNKPGMALVN TQRIYGAPQGGGVETFPNRGAADRSMSAPGDGTNSIPMGQFSQSQAPTTYQINSPGGN NVAPSYRATDFGDGTHHGNPSQQAMPVQFSREDLHNYFIRDGNWAYLLGTAATWFFLD VSFYGMSLDNRGTLSTMWATTTPTKIDDSLECWASSLRGGNSTVPDWAVDGLPVWSTD ATHPCNTIYDVLIEQTKQYLLTVSLASIAGSGCFVVFANRIPRRQWLTASFLVLAGLF VTTGCVYYGVHQKQGAPATVVCVAICHFMFNFGANTLTFIIPAEIFPTCYRCLCHGIS AAAGKLGSLVAVLVVYGINQSYQAKNRQGLIFLLFGSVAAVGAIFSWAYLPDSQRRVE YEGKTYLEAKTLEELGEGRIKARLGGEFVTIEEKWDAIKRRKRGSMRSDPSVPDGTT FPSE_10957 MERYLTFQEPHRVRYTTDEGVCIHDQYVTIRYEFTTVESSIRFQ GDLRRKDLIDFYDADVVWTNVHGRTDGFGKVKGIGAIQRLKLWRDRYTTFHSLSVFAN KTDGQYREYDIHCFDGELRGRDDRAKQLRLNASGRRPSSGDDHHSHRRFSLPHHFAGA FSRFTGIWLAASCARLGTRTLGHDRHYALKSLI FPSE_10956 MKVILAINAGSSSVKISVYTADKNAEPRQIAEASVGGLTAPPAT LAYSRGSEKVLKAKEVSESVKTQGDAFDLILKIFIDDRELKEISSKEDIAIASHRIVH GGDYDRSQVITQDTYHHLEELSDLAPLHNGVALSIVDTCISALPSTVNVACFDSQFHQ TLPPHIYTYPIDPKIAKSNRLRKYGFHGISYAFITRSVANYLQKDVSSLNMIALHLGS GASACAIKGGKSWDTSMGLTPLAGLPGATRSGSVDPSLVFHYASDVGKLSPASTKHLH ISRAEEILNKESGWKSMTGTTNFGVVADSDEPSHRLAFDIFVDRIAAFVGSYFVTLEG RVDALVFAGGIGEHSAKLRSAVVNRVACLGFALNDASNQEPIKDIVHELGERDASQRV LVCQTDEQLEMARLCAEKEDIWE FPSE_10955 MTMHAINAAGRRISLLNDESASQQPQSQQQRSPLSFHSSYAFNQ SYPTTTSSSSSPNTPELLRSDSYDSQMSNDPVSPMTPNVDYYPRQQVIYTIPQDYNMD AKHVAYADSARSASYDAEMVSQPRSSPMPERTGKRYPCRYRDTHGCEKTFTTSGHASR HSKIHTAEKAVQCTFAGCQKKFTRADNMKQHLETHFKDKSRSSGSQRSHRTSLADARR NSTSGRPSASRVSSSRSRRDADPYPLPTPPLASPSISSGPWDMSGRNLPILNRPVTGR TPSGLDALAMAVACQEGSGV FPSE_10954 MSTDADDLLNDFGGSGDEAEEENNDGLIKDQEATGDRDRDAMEL DGDTEAKNDDDSDADLDRDDSEAAKVKVEKMQLGGVKDVRSVASLMQTLEPVLEKIAH FRSQAATQNTNLGNIEDHPEYHLLTQSNSLSTQIDGEVALVHKFIRDHYSTRFPELER LVTTPLEYAKVVAIIGNGPLDSESIKALQTSTDNPLGITLKSVLDGPSLMIVTVEATT SKGHEMTPEELQRVYKACEMTISLNNAKQTLAEYVQSRMNIFAPNLTALIGSLTAAQL LNAAGGLTGLSKTPACNIASWGSKKKHSGVATNIGVRQQGYLYNSEIIRAIPSDLKKQ ALRVVSAKLVLAARVDRIHSSPDGSTGEELKSACLERLEKLTEPPPNKGQRALPVPDD KPARKRGGRRARKAKEALAMTDLRKQQNRLAFGKEEKEVGYGLGEGTVGMGMIGQSND GRIRGTQIDQRTRAKVSAKNKGWGGNSTVGGAASSIGGFGQASNIDLRGRGLRATGVG STVGSGTGTSSSLAFTAVQGLELVDPKTQAALSKKRKAEEDRWFKGGTFTQVGGSSSG NGFKVPELPTAKRVDTGSTKTSSSASK FPSE_10953 MESSRGPPRVKNKAAAPVQISAEQLLREAVDRQEVGVQAPTQRF ADLEELHEYQGRKRKEFEDYVRRNRLNLNNWMRYAQWELEQKEFKRAESVFERALDAH PNNVQLWVRYIESEMKSRNINHARNLLDRAVSRLPRVDKIWYKYVYMEEMLGNIPGTR QVFDRWMQWHPDEAAWSSYIKLEKRYGEFERAREIFRTFTQLHPESRNWIKWAKFEEE YGTSDSVREVFGDAVEALGDDFVDEKLFIAYARYEAKLKEYERARAIYKYALDRLPRS KSMILHKAYTTFEKQFGDKDGVEDVVLSKRRVYYEELIKENPKNYDAWFDYAKLEETS QDSDRIRDIYERAVAQVPPTQEKRHWRRYIYLWIFYAIWEEMEGQDVERTRQIYNTCL GLIPHKRFTFAKIWLMAAQFEIRQGELTAARKLLGRAIGMCPKDKIFNGYVDLERKLF EFVRCRTLYEKHIEFNPANCQTWIKFAELERGLDDLERTRAIFELAVQQQQLDMPELL WKAYIDFEEEEGEYERTRALYERLLEKTDHVKVWISYAHFEINIPEDDEEEGDEEQPA SEEAKARARKVFKRAHKSMRDRDLKEECVSLLNAWLSFERTHGSVEDLEAVQKQMPRK TKRRRKLDDDSWEEYIDYVFPADDKQAANLSNLLSMAQSWKQQSGGGLGA FPSE_10952 MANYDPYDRDYSRRYVREERREDPRYLDPRDSFTSKTHREIVPR GREDSDLSIEEVRREFPPPGTRDIRRARSAGPNYYEEEYEYRRGYDPRDREYDRRSHR GGHSSPYYEDEDRKPKSKGMSKNEKIIAAVAGAALLAGGKELYDRREAKEERTEIQRN PLSTAALAGVGALAAYQGAQFYDKQQAKKDQKANMILQRGRDGYYSDYYSDEDESPRE KKGHKNFLESAIAATGLGAAVKSLTGGGSEDNRSRRGGSPSSERSRSHAGGSGGANKI QKAAMASLLAGATEAFRVAKEPGGWKGEKTKRILTAAAGAAAVDTATDDKGGKRGLAE AVIGGLVGNRLINGSRNDIEEDRKTGRSRSRSRARSKSQGGGSGGASGLAALATAGLG ALGAKKVLDRSRSRSRSRSVRGRGRSASYSPSPDRRRQRSRSRSVVDKARNGLAKLGI GASTGAAATDEYQRRRDQDDFSERGGSRSRRYSDDMHDDRRAGSNRDYYDDDRSYREK PRERRRGGRSDYSSSSSDIGDSDEDEKRAKKMRGKQIITTGLAAVATIHAAHGVYSSM EKRNARHKAVKEGRLSEVDAKKLKTKAIMQDAASVGIAAMGIKGAISEMKEAKDLTKE CKEFNQEKARRHEKRLERRVRHQSMGDGRSRADSWAPSSRRVDGYDSDLEYEYSDPRD YQGKPYRGNTFPAEPYGMDTYRRNPNGLPSPY FPSE_11071 MAAQSTFSYAQAAKGKGTAPASNSAASTDAAASVQDDQAPAADA DTAASVEDAQTEAPLTEQVNSEAPENVEPQTAVAEKQDTESVPGSESDVRSESTQSRQ TESRREDDAGRLDRPWRRNEKTQRSSSNATRSVDEQDSRKARRGKKGKASEKQAGEQA TDKEQETAPEPPKVELAEAPIPSVNIWHKRNEARQAKSTKPASTPAEATANGTSSQKD EKKAEASPAPLTNGVKSHQRQASTVRAERNGPRGSRMNEKDGKSEVPPSVDDSTAWPT PETAITAIKEDSKKKAADKLPERSDRSDRDSQEDGSSSKARQKWVNLHYVPTVNFETQ LPQRGTKPRGGARGGRDTTSRAATNGAAEKLPSASPANKASDSKARDASNNAASQPSA KRGSVDIANGQKKVSTNAGSDKAKDSSVQSSEAPQASRDRPEGRGERGRGGYRGRGHH GHSQSQHAISGSGYHGQGASSSRTQGYSPPMRQGGHGGAFAPSQRGRGRNGANSYHRM SAPSGGNRMPVVQPPFAPFDCTMAMPMDMAMPIDPALMQGQINQFLLSALKSQVEYYF SIENLVKDTYLRRHMDSQGFVSLHFVFSFRRLRDMTGEINHVRLACEDSTEIDFAVAD DGIELLRRRDGWQNFVLPIGERDEMARRQGPSQVTFKNKHYNFVNGQFNAAHMPYGVY HHDPSPQHFAPREVNGDATAGASQLSATVPDFSPSGTVPLVGPDGQTRITSVEALTNG HAENAAPLANGVHDEASQVTGS FPSE_11070 MASSSFRDSINSLGWSRRDEPVNTSQQSGLLSSIQSLNPFQGGS GYVRLPTTESAGAPLPAPTRREEEEGWFVLSRWDRLLIFGACNLAAVACFVICFTLFP VLSLKPRKFVILWSVGSLMFLASFAAVMGPMNYVYHLFSTPRLPFTAAYFGSITLTLV FALKLHSTILTVFSALVQLACLVWYLISYFPMGSTGLRFATSFGARQATAWMTG FPSE_01403 MAQHGFNQFSNGHSGASIDPNDLAMSGGYSPSFNNNFNSNSGNG TNGFTNSSAMFNDDELLDGLNGPPVTQSGMTGGQDFQGLNMGGFSQNAFSHRNSGLQI DQSHMNGYSSTPDGDPIQSPFNTGFNTQYRQMQGHSLGTSLHSPLSYSESPLAGAMNA DSNDPNFLKARARMSQQMQRKTSNNSRSPMSPMTPKTATLHGMTIGSQESPGFGGQAM KPANGQWLNTPAGSIPASYNSGFSSPMQPGMNQISEVMMKGGTSMPAKLGVASNAVSS QEMKRKRRRESHNLVERRRRDNINERIQDLSRLVPTHRLEDEKIRKLIQNGTPLSPSL TGISSPQATSGLAGPGARRATGATAGNITTGLPIEDKDKGPNKGDILNGAVSWTRDLM WMLHLKLQQQEEMMNTIAELGGHFPFEMTEDERRMQTELMDAFNKSENGTFSYSRTSG TGLRVPHHTDYRGESLSGGGGSLDPVAISPDDNLDLNDTNQFWHDPDDNNSGHASVNF KEEDEYGMDLTQ FPSE_01404 MAVPSVTSDNFLAVALVVNRSRDGPAFVFHYPAQVEPHSNSSSV TGNELEDILLERLSQQSFSDVADDAPASKQGRNPDEHSFTESGSQIVPWERVAGFPAR DLAGILTPARSYHKRLFQLSLDPLYCVSYPIHVPENGRWKKPKKTSRAEKRSAYNDEQ LAPHEAGPATTGKATEQPAEEKEVKKEEGKDKDDEKRSSMTMFNLVFILNPSSNEVKD VIESLYDHIIKKVSKAFKYSQQHSEFVWKESKRILVAKDKAREERKRMSVLWKEILQS SSLAAAMHDIYEAVSQNKIAALHLDTASGALTPSVQIPVPFYIDDLPQGEEGTQRGLW LTTANTFLSQDALEEPGFLDRNFSLLLTDDEKKIISELQADPDPTTASMVEFVRLSKP TQSFYQVGQSNILTLGQVRKYAQHFIFWRRAIAIPPLHAKDQYILSPNSDLSRLPLDT LEWQRAFPLAPPLPNFLAELSQAPRPYKQFSPSKAHRPTYLLMLAWLMRRGWVTQLCT FAYVVVWPEILYEVEYEIEAEELRAAADSGKDKDSKEDDSSTATSIPRTSESSEGHSG TDGSHIPTVAEQAAEKARLERIASKAQRDAAEKATAHARKPVPVATAHPSVNDHPHLQ GLVPHIILDAKKATGKESRYLSTIARRFDDEKLANSWQVMCKYFDGRCALERIALQED MKRKLVWNTLTAMNDIIALVVFGIGYVYSHGRKICNDRNLAGGI FPSE_01405 MASSPANLDFGHVPFLDLAYDNNESHDSALKLILTLMPDWASED SDVDFVRFTDGITNTLLKAINRRPGMSKLDVDRDSILLRAYGHGTAVLIDREREAENH ELLMKHGLATQLLARFKNGMMYRYILGKPARAQDLCEPLILSAIARRLAHWHATVPCL PDPNHARDDKHVNGNASTNGHANGCVNGDGDKSRQEQIDSTAPGKPPPNMWTTMQKWI FALPTDTEAQRERQALLQAELEEMVKKLSQRPGLGKNGLVFAHCDLLCANVIIHEDDD AAPTVDFIDYEYATPSPAAFDVANHFAEWAGYDCDYAAVPRQDQRLAFVTEYIKSYFA LTGESVDEEEEVRKLMVEVDAYRGVPGFYWGIWSQIQAVISKIDFDYAQYAELRLGEY WAYKAEEDGSRKASGQEMPLREQTWWRNE FPSE_01406 MSRDDYTPRGLSDLESHLDIAGQRQRPHFDVGTGSEPIAPSPNQ QGRHVTLNAALEVDMLRHKLRTLENLVERYGIASHVPSLSEAEEARALQYRCECLEFA FRQQNMDVVRTLKSSPTFPPPQGYSSWLDHHLTHHDRATHSLPLPDSTSLSSPTRASF KCVYEQCAHYIYGFSTQLERDNHIRLHSTKSSSDSELFIQADDSSNTSELPGKGAGPV PRDRLPPIHPPATLVTAGLPPLPFPTPSTASTATTRRDHGSSFSFSEPKPVLPRGNEE TTADPQLPPLKRARVGHDRLKSIGELKLIRNNDPCLRCRASNRPCDANNPCSRCSGMS SSESEIHWSILGCYRGSITSLVDVLLQGSFAWSQPHTPLTPSNPRRGSINDKILAQNP TISAVTRPAWRVDFQDTFWWQDGEPGFGEGVNQPLVPGYHDQGAPPPVLQLIASSPSF RGVSFDLLELLSLSGQLSMSREEEQTVHPALFRAKQLLREIIYYDASQPKPLLRIETS FPPRTPIDSRPSSERNVLLRECTRRYLVSLDFAASNLPSMGVRQWLGVFVSLCIFSAV DTILIDLAWSFQANDPSHAGTTPTERPDQVIRSVYQALVSLFNTSNDPLASSPESDDP IVRNIARIVRREYWPPRHLFSSINFLMNLGVGETPNYGFNGFVMPGRHPLGFRSSRAL SSAQAENVPRLPFSKPPLSMGLAGSSSQYIPPGIRSDFSLPGQFALPGDIAGRARRHT ISDDMSPHPESRRPSGGEPISPSRLKPSSRRTSLRRVYCDKCNEHPDGFRGDHELRRH IDAKHSATVKRWVCKEPKTLLPSSPQPVIPLSRCKACLAQKRYGAYYNAAAHLRRAHF RPHRVGKASGDWPSMSVLKDWMREVRQSIDVPDEHISSGEDDMDDFPTPTSYRDSMSH QAPMIPEAQPPTAALGPLLSSGSTEQSIPIERASPSRRPAENRTRCPHPDCGREFRDL ASHMLTHQEERPEKCPIVTCEYHTKGFARKYDKNRHALTHYRGSMVCPFCPGVGSPFE KVFTRADVFKRHLTAAHQVDQTGHSSGHRLPGGEDPVGTKARCSICKNGFSTAQDFYE HLDDCVLGVIVPSASTSSQHSRLQGSPQ FPSE_01407 MVHHRHHHESAVPGVIPVPHGPVDSDSEQISNEPIAYATADERR IFSHVTRPDDSYNEDGIYWADLPLSQRYRFVSKVDNDAAKEEAATAWSMFKEDPLSPL SWYFRHAVIPGAGLGLEGYVLFSIGNLEPLFKAVWPECWGKEPTTCSHNWVASVTYLE IIGIMVGQAVVGVMGDWVGRRWGLIQDAAIMFIGLLMLTASWGLTLQGWVICYAWSLF FYGFGVGGEYPITATSSLEGVSSGGRISTREDRLHRGRRVTTAFLMQGWGQFVNQVIL IALLAIFNNGKSSPPYSASAAQYTFRLSFAFPAIGTLWLLYYRTYRMRSAGKQLAEAK KRSNVTGYDLNALRHCFSNFGGRLLATSGTWFCNDVFFYGNKLFQGQFIKVISPDSNS IFTTWTWNLVNITVSLAGYYLASLLIDNKMYGRKMMQQVGFFMCFLMFVIPAFRYEYY TSPAGIHSFQAMYFISSFFNQFGPNSVTFLVAGEVFPTPVRATAHGFSACIGKSGALL ASVLYNYIDDQTKFYVVPWFGLIGMLLTWVFLPDTTGLDLKEQERRWHYIRDGKESEY HGVAVNPIHLSLWERLRGLGKNYDPEADWRAKVQDMRAEWELVQANRGPKETEGAMPE DGEFSPEIHEFFKRSSPKHIGRRDESLMVDSVNEKTAAPSDDSITK FPSE_03348 MPMDSPSGATLAPPAVTSLRAQQTSAMERSLSQDIREEREELRE AAEQTLNTIVDLNFDGTIKWVSPSWTDVVGTQFETVNGAHISELIVSDNKNVFTEVVE SMKKDDSRSHRVRFTVQLGPLSKLLPIVELEHDPEDQPFHVVDLEAQGIMVYDSVSGG ESHTMWMIRPWVAPREIKIDLPNVIVDSLGSGAEVLASYLTQLAESGLDDPEQLEPPP PVLCRICERQIPRWWFEKHTDLCLQEHRAEMDVQMAQENLAEHRHSIVKVLDALEARK SRSLAGDQTPVPVAEYKGLPIGPPPSTQSSPGSSLPRSRERSGGFGHTRARSFAIRRP QARIVELLMDLCDTAIEVSTPAIKESTTQQNNGEFRTQSPQSESRISQVLQWQSPSTN TLEQEQGLALLCADTEKVARTKVDAVFRHRKIIEYAERIRIELACLVQDCIDEALRKA ARIANGELTDSTEEGRLHSDYEQCDSCDEAVEADEDIPAEPLAPEPQQVEQRDSESLA GPLNSPSVLATALRQMSLSENRDRSRPTSFVASTRSSSPKECPTPRSHFGGQSNLGFQ ARDSRRDSIYMEGDFLDSEGSFRSSSVTSRNAPRTESPISEFGDLRRAASTRRRHRRS LILPGTSSPHRQESPSRPGQPSSPLRIKARGFPMSQEGVVSPEASPMLPGSDFSSPAA HSHRHHRRQSSAAISDFVMKPPPSPRLGANQAPPQQRPTQPSIKDFEIIKPISKGAFG SVYLSKKKSTGEYFAIKVLKKADMVAKNQVGNVKAERAIMMWQGESDFVAKLYWTFSS KDYLYLVMEYLNGGDCASLIKVLGGLPEEWVKKYLGEVVLGVEHLHSRGIIHRDLKPD NLLIDQKGHLKLTDFGLSRLGLVGRQKRALNSEISDGTPDLLKQGPFARSASIASSRS ASLDLHGHTQSPSQTPQMTPEVGSLAQPSYFSLGQEPRRISGTHRSDSGGSETLARMV TNFSLNDAELTSQANSIKSPLDEEAITQGSPDLPMSVGSRMSMDSHARNSLPLSNMMP PPMALFNPEDTNRRFVGTPDYLAPETIKGDKQDETSDWWSVGCILFEFLYGIPPFHAD EAEHVFENILARKIQWPDENECEPISEEAKDLINKLLCMEPHQRLGSNQDDKFPSGGD EIRSHPWFQDVNWDTLLEDEAQFVPQPENPEDTEYFDARGAVLQSFAEEMEDQASPQS SAAGSEYAERPHDALSRVRSQVNSINRKLMPLHIPPHVRDLKSRRLSEPVAMDDFGSF SFKNLPVLEKANKDVIQKLRAEALAAQSKGTSTSPGAVSSAVSPGPSLEGSPVLSNPV SRTISNAKATNRAQSPSGFGHVSASPNRASQPSSPLLVSFVAGQGAEGRRKASSNSSS LSHQSTAATLQPSSAFEIPKVPPSLQKAATTVGASSPVQTRSAAPPPLSLSPQKTTTT PRQSSNSSAGRSRSLTVGSQSQDGSPVASDIMHHHRNRRSQVFDMSPSSSDNEGEKHN ALLRVQRRRQSSRRLSQIAFDEGPTFRPLDVLICEDHPVSRMVMEKLLEKLRCRTISV PNGSEAVRYSMSEIKFDVIFLEYQLPQINGADVARMIRETKNANSHTPIVAITAYLKE LQAPHYFDSLIEKPISSSKLTEVLQGLCRWRPESPGHSSGMPRPVPLGIRKANSRLED SPTSGSSIFAGRLGAAMMSSREDSITSSLFGDSESVTTEELPVAVSRKGTNEWDEGGL NITENNDTHEPTKKAIPQLVAQQSAPAQMEHRTRGNDKVKGKREGSEQRTLEGTESAD DEDEELGGSREKHHEHHGRNSQQMLSKASLPSSKLGIEMMRANSHDSLTVGSESTPEA VTQVVTTPSKEMEAGILDGEIVAEPTASTPPDSEMKVEDITPKTDKDGTGSVDATPRP PTVARDGGCGEDEEATPRPVGK FPSE_03349 MSVFNNHLAGATERSFSSSLSPDRIIASSEDDNAAALDPEIYKS LADKRTTRDGNPPKKRGPKPNSKPALTRRQELNRQAQRTHRERKELYIKALEDEVLRL KEVFSNVSLDRERLADENKRLRDTLVQAGLQHSSPHVAGSATSRGFASNNTGSSPLTS QSTAPSVGSPMHLPPAVNQGFMPDPQQHGVPQPLYRGNPELEQAGIDFVLTLERPCMT HIQFMVERASEPEGEPCGHVLMASCPPDPSPESRPGLPFGKTHIPVDGEPSQKTWEVP KADLATLLDLSKSIDLDGEVTPIMSWGILMSHPRANELEAADFRKLSEDLIRKVRCYG FGAVMEEFEVRDAIDNVFSGKDSMMYE FPSE_03350 MTDSLDYIKICESCPAGDGWGPSVTSETTLNGVPYAPFSKGDKL GRMADWTAEGKDRDRGGRAQYNRNFRDQQVYGSSHAITFNAPPAEDESTFSVVSNVRD STKSRYGRGAVFTRGRGQRGGQAGARGGRTTLQRGGANAGGRQGYDRGGRNNAGRGGR RFGWKDYDKPARNRDASINVKAEWKLLEEIDFNRLAKLNLDTDEGEDIDDYGFLYPYD RSYDKQPVKGAEKKLTPIDRAAYNVTTSSDPVIQELAEKDEATIFATDSILSMLMCSP RSVYPWDIVISRQGNKIFLDKRDNAALDMVTVNENAADAPLDAADGSKDTINQPNALA EEATYINHNFTNQIVVENESAKVNMAHGNPFYNSSEDTDPPASKAYKYRRFDLSTNEE EPVHLIVRTEVDAVQKNAISGEDQHISIHALNEFDSKAQGSGGALDWRSKLVTQRGAV VATEMKNNSCKLARWTVQSILASADVMKLGFVSRVTPRSNDKHVVLGVIGWKPRDFAN QMNLSLNNGWGIVRTIADMILSSSTQNAKFVLVKDPNKSILRLYEVPTGSFDDDDEEE VEEPQGEDEQ FPSE_03351 MSAQDYYGGYPQQPQPSYGPPQGQYGPPQGQYGPPQGQYGPPQG QYYGPPQGQAPMQYQQAPPQQSGGKGGGGGCLAGCLAALCCCCVAEEGCECWSYSDRV ETKYEPKTNDS FPSE_03352 MASLNLSINGPSIKSSYNGVVNGPPPSSGSPTYAHWALFSVQAP LMSAFQDSAAKESILKVQSTGDGELTDLIEDFSEGRIQFAFARVKDPNSGLPKSILIA WCGEGVPERTKGYFTSHLAAVSKVLHGYHVQITARSDRDLEVDSIMQKVADASGAKYS AGSSEGPRSSAPPPVKTKPVFTPTTSSRANPLVAARSKRDENVDSDGWGADAPPVTRT QIEKVESAYKPTKVNIADLSKQPTATTSGSAPANRDETPGDVVKGGYQPVGKVDIAAL RAQAQKDKDDRPTPVKGSYEPVGKVDIAAIRAQAKKPAASEEPEEGEPRPVSQRMSAF SQPSQSERMTSLPKPKVANKFGGAASFSGTKAPTPGGLGFGAPAPEKSAPVGAASRTF ADQGGKTPAQLWAERKARERGESVGSNNAPAPADSASPVQPQKSGNEWKSGYAGKSWA PVQTGDYGRGLPGQISRENTGEQGETPASPSGVSALRDRFKDTVPISGAPPPPAARPA QDDDSPPPPVPGDSRPSGGFALPGLPNRPMPKDEQSDDEREDPSTYETAAERGRSPSP PRVAVPVSRGPQPDVGTPPEQRAPPPLPPSQIDVPKESELADDKEVSTTARFAGGAAA GLAVGAAAGVGVAAAAGAFDREPSPEPQYEPEPERAPSPAPQQRAAEPAHEAQGGYRA VIQYDYEKAEDNEIELVEGDIVTNIEMVDEDWWMGTNSRGDTGLFPSNYVELIADDEP EQPASAAAPPPPPVPAAEPEPEPQAPAAQADAGHTAVALYDYEAAEDNELSFPEDATI TNLEFPDEDWWFGSFNGHTGLFPANYVQLNQ FPSE_03353 MPTITEITSIPEWEQLLGSVPPTTLVIVSFHAPWAAPCAQMATV LSTLASEYPDTEPPTTKWVSINAEELSDLSETYDVTAVPFLVLLRNGQVVETVSGSSA VKVRTAIETQAKQSGENAAASGPNGVAANDAVVEEEQDPEKKKEELFKRLGDLVKAAP VMLFMKGTPSSPQCGFSRQLVGLLRDNSVKYGFFNILADDEVRQGLKEFADWPTYPQL WINGELVGGLDIVKEEMSNDAEFLTKYSVSAPAAP FPSE_03354 MTPTPPSTNSSQGGRSPEEQFRVVRKRNRVPLSCHPCRTRKKCD RSHPCSNCTKREGMGTSSCSYATPVSRKKNQSQGDSSPDDMQNRIDRLEGLVLSLMHG GANIDAASAAAAGAAARSATDSGSSAKVEREDENAMTYDEEEENSDEEDGLATSLGFL KVDTDKGKSLYVGQEHWHTILADISEVKNYFTSHKKELESSYERVKSSKPQAAREGPT LLLGATPASEVEIRAELPPKSAVLTLCSRYFNSMDNAVNIIHGPTFQQQLKDHWQDPN KTPIMWLGMLYSILCLAMLSYHKVGDEPPEWRGRTLELANEYRLRTVQCLIKSDYTKP NEYTVETMILYVFGEYSSRWDADLGLWLIVSLIVRIAFRMGYHRDAKWFPTITPFQAE MRRRTWALVRMSDVIFSHQVSLPSMIYENDCDTQLPNNIFDDEFYPDIKELPPSRPST VATPIAYMIAKSRLCNELGNILQATGQVGKHVPYDEIIRFDAKLRQIMQELPPHLKLT TLEGSHDPVTLIIARFNVDILYQKILCLLHRKYLPRARQSPRYAHSRRAAIEASLTAL DHLAVLYRESQSHGRLRSVGWFVKSIATKDFTLPAMLVILDLHFDNIAAQSAIRQDDE GAAMWDDEQRSKMIGSLETARKIWNTLADTSMEAFKAAKVLDIMLEKIKDPASNNADI PGVPSPMPGLMSGIGADISPPMAPGFVSPNSLPEFNATANSFSTPNPAAFMGMDFGMP GPEGIDFQTDGFAGAGPASPFSSMFGNLGAGNNAGMDMAANFDWNAFENYTQMANWGA DQSFQIYGSGGDQSSPDQTSSLGGRSGSTSQGQGMGRGGGGANGTDANMQ FPSE_03355 MSFVKNQGAGPPGLTTTQAASTTSNLQKDDVQMADEPYYEPSEA SSYDPAAALLDNISQPSKKRRPSPDSTDAQQPKKVRLGEAGARADPTVSKESQKLVVN RAKQLPGEIWQHIFTLVPPRDLGRLLVVNKLFHVFLSPSLAGSAQQPSDVHSSLPPLK PDAIWQASRRLFWPRMPAPLKGKSELQMWRLVCSTSCQLCGTKSQKNPRSSNNEAWRS GPGSTDVCPIFPFCVFTCGTCLKKNGVKEIDLSLSPTFPYFLLPALAPILVDTDMHVI PPRAMQMGTLPPDTQVTKLFWAEHVEQVKAEFTSVKALGSAAAEEWVKGLEIRGKQVL VDASRWEKWAGAGGIAHLRVGNVAVKAGVSAPAASFPSIPLPNNPLSNVTQKTDKQQL QTSNKVEQHGSSLPLEASAQLPHPPAQQKRTKEEAAQLKAQRRADIERRAMLLNPPLT ADVLAHIPSFQAALQLITPLDDGAWELLKPRLLTQRGEAEQRAKQTSTNTQVLREGPR KKEIEKNAAREPREVTDDEWDETQGPVRARIAKYADEVIESWNNGTKIKKKTCPQFAA DVLLYVRKRFYAEVVKDRAALVAAGKRPIVEPPEGPWTQRLTLENMKWVFDVKIKPRT EPLRKELFLCNGCPGGVGMLKFFGFEGVLQHYAAKHTTALSLGNVVVHWRAEWPEVPP FCPDPQTREKAYYEKGSSNSQQPQDVPLQQAYPGFQPGLPTGYLPPVYGAEVPAVPHY HAEPHMPMPPVTQYGQPGAHAYGGPYLAQPYHDATAYGSNPPRYPLGMPHGSHNHPND YAAPVPSAPSHMDYEPYPNQNDINSTTSFGMHEETQSDTIAKSTHSAWSQVGHIKKIP PPIRALAVIHHAAKTFKATHREPLPLAMFIDATARSRKLRTFRSLNGVACRACGSEVF HLPKLASHFKRVHEDLLISQGLAPLDWLTDMIKLPEEERLAVLAVILREDTAAYYLVE EAVPWAFDQAFVSKFSSKPSQTKSNNALPAQEPKQPQPQAAAFVTDDPPKKEHSRNAV AQMDSTQQPDHLLNSTQPQPVMPSITASLPTRLQVKSGQDIAPLRPASEVYGRKRHQA SAIQPRPAGPTEIDGSGTDSYSMSDFERVRDPTKERGNRDARGKTDHELTAHRPFHHT KPRHWRDEQSSDHRDAQEQRYSGTEPVGHRDRSASVGNRPHENRPSDNARVNAALDYV EVEELPVVQKHQVEDEEEEVVYVDESGREIGRGRRARNTLPRESRYGVPGERRFADYD HPPSSWYGDQTRYQESSPRPRHTQPGYHYRPEPPTAPPRAYYDYHGTRPPTEYPAEAY GLVEVHHPNGDYFVRRPIRRDDRPYYVYETQPPPREQSVYPTQQYAESPSSYRVDSQG NAPIPPSAPRPAYDDYDPRYPSSIAREPPAYRGNQ FPSE_03356 MAALGEDLLTTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSAGKS SVLENIVGRDFLPRGAGICTRRPLILQLINVTDDENAPDPSADPYRSPGAARRSEWAE FHHIPNRRFNDFGDVKREIENETSRVAGNNKGINRQPINLKIYSPHVLNLTLVDLPGL TKVPIGDQPTDIEKQTRNLISEYIAKPNSIVLAVSPANVDIVNSEALKLARHVDPLGR RTIGVLTKVDLMDHGTNALDILSGRVYPLKLGFIGVVNRSQQDIQGNKPMEDALQAET DFFKHHPAYRNISTRCGTHYLAKTLNTTLMGHIRERLPDIKARLNTLMGQTQQELASY GDMHFSGKEHRGSLILQQMTRFANSFISSIDGTSTEISTKELCGGARIYYIFNSVFGS ALDTIDPTSNLSALDIRTAIRNSTGPRPSLFVPEMAFDLLVKPQIKLLEIPSHRCVEL VYEELIKICHTCGSTELSRYPRLQAKLIETVSDLLRERLGPASSYVESLISIQRAYIN TNHPNFLGAAAAMSNVVSAKQERERKRLIQEERERREKRRLQELEVEGDGPEGEDGVN GPSEKLEKTKSGRTRATKQPHRSISPAASVRENGTSSLAAHMNATHLNGLRSNSPARL NQQGLGGARDSFLNYFFGKDGQTIGGPLPSPGAIPNGRHISQNSETSFPIIRREREFG RPSTATTVAPEDDMFDRTGKNYGLASQMGESAEPAMTEREAMETELIRALISSYFNIV RESIADQVPKAVMHLLVNHCKDVVQNRLVSELYKESLFEELLYEDDGVKKEREKCERL LQTYREAAKIIGEVL FPSE_03357 MPTTARANEPKQRKVTPPSPSYMTDDQFATYLAGLRSNRVARPG GARPQPAGARPVPSRSSLHRNSTGRFTPDFASPPDSAPHTLDRKDSMSPSIAGGSVAS RLSMISRKSPDYYSTSPAIPLKPSDVVPSATYMERGQRWMEKEEASSLRDAMEEMDIR KGSKSPVQETPNDDTRLYNAALDEAAELVWQHQHGTPPPRPDGPYRYKSHLRKNSYAH ARTASIGPSESNFSEGEGEAGGSVRDGSVRDGSVRDGSVRDCRCDPEQREPVERSASK SKTYGNIGRRTPDQRRHSLKRNISGEVGRPFSEDQIWEEPENTTSKDMSFSGRASPER LSNRFQTSTNRVRFQAPQEEAEKPKPLERVEIYRNPPTRSRNPLYTSNNSSEAIVPVV EDKVERKNGMEVRSNDIRAATSMRLKDRSPNLPEPTAVSDSPGRPIVSFDANWQAPDL STDTTPDRALPAAPSRSSSSFAQPPEKPIAIPSIVVAAESAPLPRAPTTAQIPSICVD ETQSNPRGSTPSVNVPSIAVDGPPSNGGGIPAIVTPGNESTSRPRPLPDPRTAAAGPR TAQKPRGHWSPAPGSTRRAVTICHECGFPIDGRFVALAGGNERFHPQCFSCYTCGTNL QAMEISHEPDHLRQERLDRIKRRAAGEVLDEEPGKTMAEDGDERLRFFCHLDWHELFA PRCKHCQTPILGEHIVALGAHWHYGHFFCAECGDPFDHGMTHIEKDGYAWCINCQTKR TERRAPKCKKCRIAVIGQYIQALGGEWHEHCFRCAECQGSFDDGQIFTKHVPEGTIVL CTGCRAMELKA FPSE_03358 MSDENANGTPGEQAPANSEHLNIKVTDNNNEVFFKIKRTTKLEK LMGAFCERQGKATSSVRFLFDGTRVQPTDTPDALEMQDGDTLEVHQEQVGGSSL FPSE_03359 MAPKDDVEAKPACHPRACAIQNCLTSNGYNEAKCQTVIKQLYEC CESFYERYGEQASTVSCPKPNLLKLKMKQLREEGK FPSE_03360 MAPIETENFLSYEAGPEVEEFANALDDCLSLPLSTEERRERILD LPRKYYENSLRRLAQVRPRMVRDGQDDVDMDADEIDPVNNNPASADLIKRLEKEAQTW DLLRRLLPLRYPRPEVDGKTLGTKHGLQDPEDLLGNFLISDSSARERQAVIQWLQNNA ASGPDIDELARELQQNADRGDIIAHGWLHTRSQIKLRKSVTAWPHLLDRQSPDIATSF HNSDGSPLVTQLDPDAATRQGRKLEPQDEYFERAIWLGCFEHLRRGSNLETIREWCQE RTEMWRAISTSAVLVSADDTQTFSNTNPASLALWRRMCFSLSRSGGCDDYERAVYGVL SGDISTVEKIALTWDDFLFANYNALLRTQLDNYILGQCPPDVASNLTQSFPSFDAVQF HGEPETVDKRLIRALESNPQIRAEANEPNKALQASLVAKEIGRHLYEQGVIISSSANQ SESNLFRWKPENIELNKEKFFQFTQHYGLRIVAHIYLLINLLDKLHSNDDSLAPASSQ PGVRRAQQNLLAGYANYLRLAQLQELIPLYCSILEPPRSYEVLSYNLIPESDNQQRLL QLKLIKKAGIDVLQFVKTQAWLMYDDLGPPQHGCPAKGSFSIIAPGPPTARRGRPVKA DFFGDDEKFIDTAHENLIRSLEWLLLVQETWPSVFSMGTRIYKFFLRNMHLNAARQLM KRVSFTDVLQAATEDSSEEMDMYEDIPEFWTKQLERRDIRDVTPQQALSDARKFRELE NLVRALDSLETVASLAELTNEEQKKNAGFWPAIGEEVKNTRENMQPLLKGWLLVGIEE GDQELRDLRQAYLSETVLAYIGTLHFAGTGLSRDNLLECMELASVVAERDSDLSTAFV EARRMKELVELFAACSKALAISTTGERRTTGTSSKKVRELGWSRDLWSVKP FPSE_03361 MASFASELQGATPPVLPPKPGSHEASRIATPASAGVPPPSEGRI PSNNVNPAPTSIPDPGDQWLPQILQDKSKQDLAELLANPDLLSALTHSPESIHPSLQA SHQALSAALNENIEFANQLIDMEARLSHQRASTQAQLLATHTLERQWRQKQSNMDHAL APFSPSALYQQLGQGVQEQALVCEAMEESFLDGEGEGVAATEREVTDWVRRYREAKVQ YYLRQERKQRWDEGRVGGWR FPSE_03362 MTTAPSSGSSRPATLSASESTAPAKDLSSSAGEVPIVPTVEIQH LARFEFSDAGTKVLMVEWYPDAVQGPAATADPSTNSSGEHSAPATDQVSAAEPASVSD PGVKIGTTDNTSWQVSWPGKSTNLPAADLETESEARTAPDSSTRQRRRRVFFLLPANA TVPSTITITPPGAPSLSLKPLPAIFPPGLAADPGNRGVLHTLWARQRLAAIDREVEDE LRSNAEGVGVEMALEERKWILDTFINPPQLPADSLSRPAATRSPTGRLGDKLKGLKLA TSPADLTPSTTANTFMSPISQSHPFQPRGRDIAVSSHEAMASSASAGPISLNAALHDD VTTVASAPARPMRRDDDDDLFALPMSPRSPDMKKSPFSAL FPSE_03363 MANHLPRTMAMDPPHITEFASERYFEKLNQLNAHQQQHQHQHQH QTPPTHNGLDASTPLSSRFILPLRESKTVDTEPIKPGEKRDKSRFFGLRSKVSLLHSK SNSPSTSAPRVSVESTRKSASFDQLFLGLPNELQIQVISALPLTDVLNLRLASKSWHT LITLNENTIARYHLEHHIPAYATRLYPITDSNQINFQHLCGLWHRLHVAAKLAFLMCE WITKDIFLRQTESQRIAFAPQNERMRRRLIPLLFTIFHFFETYRNLYLKRMAQNDGKG LRREPYTINPIEAEIMSMYDDQTLLRVHEVFPLVISSFCRRLRPPTYVGRVERSLRGY IREKPSDDVHVAILCIGGLRQVERLWEIKGYNSRRGAVDTWFNALTKDAPLTESGMST SKPKRSLFGRKKSTSEARPSGAVLNKARSSGSIDGNMDWDAGLVFNTSLSAGAPMSSL SSQQAQALLNDLPVLQQIWLTSAEALVLQRRIVERPQDIKRNQQVMLDLIREDGLDEE DEWWYGRSIPDSVRPPVSATDDDAD FPSE_03364 MNSYVGAGNKPETSHGLPAPEEALLPGTLNPYHDGRIRTAVPSK LKGRTTRTQGNFSVLQMHIQKPEMTARDLAAKRTSERTAAAAKLASSQGYRHPRRLRL QPSVTYPASVPVAISLTRTFSPPHSQLPSVVPPVPRPNSLPHITTSPAYQERSLHRQA VAAANITLDTDTQQVDDPFTESNGLQEISPEHSRGSLALDTIQEGNTEQPDGPETGQD LTQDTNMEVPGEPFMTVDSPGIETEHTSEVTNVMREVNKEQAREITIDLQETNTSHIA KPGSAPQPLSPAVVKAEQARILTLFRYTQPRFIVDQLVEALVHFGTMPDVPPTETSLF IQSASNNGQGDLFVSWLSEIFPAIPLESHKMKKPPTGRPRGRPKGSTAGYNKETTTVQ NVSAVFVPRSLEPHETTSTQITLIRDGPHKSQQAVAGTQGQDVPLSPIQTAQSLTATI VPALRGQEDVTLQPVADVSGQTVAIPRMRPIFPSTAPTAEVSASNPTPVQRKKPTGRP RGRPPGSKNKLKSISKAQSKKVDQQDSRPDKLNCSVSQSGASWPQQQSGGPSDTTEST RVNPNQAVTRVAQADAPNSLGGIKEKRKNTNTEVSNIQNLSFIALKEQRASQQAHPRE APATILPQASDSTAPTINSSLHAQHAKRRRLSQEMVQRDSVSSTSSISGSRALPDVFE SNLSLGFQSAQSRPSQYVSRHRNQNWDMENFYPVQQPQSQLHPRLQQPSRPQQLSRNT GSGA FPSE_03365 MSTKYAFLSLPQSVFDSSDRDDAVSSLRGTISNDNGSVLPFNIP DFKIGTLDALVQQADELTKLEASCEAVVSKVADSLKNVLEGDEDRIAQYKMVNDKPTD QYELANVDTDVKTKFNQYNSVKTNLAALQRRQTGNLSTKSLTPIVDPALLVQDSEYIE THLIVVPGNAKKDFLKGYETLAPMVVPRSAVEVAKDDEFVLYAVATFKKHSAEFLAKC REQKWTPRQFKYVEGGRQEEQRELDRVTNEERKVCGEALRMGRTGWSESVMIWIHVMT LRVFVEAVLRYGLPLDYVTALVKTTSKLAPKVKTALDSNYSYLGGNAFGRDKRGKITK DDAALSSEMAAAGFQTGEGHEYTAYVYYEIEFP FPSE_03366 MATNITWHPSLSRKEREETRGQRGLTIWLTGLSASGKSTVATAL EQHLLHLGVAAYRLDGDNVRFGLNKDLGFSEADRNENIRRISEVAKLFADSSTIAITS FISPYRADRQVARQLHEQATQGGDEPIPFVEVYVDVPLEVAEQRDPKGLYKKARAGEI KDFTGISAPYEEPEKAEITIKTHENSVEECVAQIVEWLNEKGYLNKK FPSE_03367 MFSQQVGRSGRIAAQSFRRFARSTQSRGYATGAASAAAGSAFKR AAGMLVAGVGASTAGGVGTWYTMHSNGMGFHSDEESLRRFVANHEEAKMVEETINNHP LIAELRANPELTESRPHMKMPASYRSRSLTGGALIGEGKVTVPPYAWVAPEGKQLVSV AYVGEDLCGHPGIVHGGFLATMLDEGLGRCSFGALPHNIAVTANLNVDYRKPTPAGSF LVLRAETYKVEGRKAWVRGHIELLADPGEKPTILAEADALFISPKYAAVMPKIG FPSE_03368 MATAETVDLGSAHPPKEDSIVAFEQVLPELKKTLVHLRHDYNKH EPEYFAAVENLSDHDLVGFSADNFESVRAATSAYGIHLFGKLRIPAMPDPSGPAYVHF RIFIGGGDEPPKLHCIHTEERDDSSGGKTYRAIFTKDDELEWFDT FPSE_03369 MAKPTKKGKGPRSSSKGPNLDKNALENLTSTLDKKLGKRKQPPT KAAGDQHQKRQRNTDNAAGDKKSGKIDEKTLLEEIKALGGDESDLALIQGIDSDDEEN VKGSKDSKPADKGLKDELAAFSKQLGFAEVEMSEASDDEEDEVENESEAEDDLEDVDD EEDDEDEVEAPKETAQKKGNMAFEPRADWHSTELRKLPTPTTDEPNPPRFAFDALKVH AQALLEEDATKYRTSVFAQSSHKFLSTIMSSGTLSDKVSALTLAVQESPVHNIRAFDA LMSLASKKSRGQALGAIGALVDLLGPGSLLPSDRRLQTFHDQPGLVGTLQRSSGKPWV PGNALPGKITPAHLIVWIYEDWLKATYFKLIQVLEQLCSDEIEYSRTRALDFVYGLLK DKPEQESNLLRLLVNKLGDRDRKISSRASYLLLQLQNSHPGMKPIIVRMIEQQVLLHP SQDHRSKYYAINTLNQTILSSKEPAVAEALMRIYFDLFTIILKTGSLGISAPTDSKPK EKEKEKEKEEEKDPNDRRHPSRRPPRPRAGKPVKPVASEPETEAADKLVSAILTGVNR AAPFMVGNDTVMESHLDTLFKIAHSGNFNTGIQALLLIQQISSSRSIANDRFYRTLYE SLLDPRLVNSSKQSLYLNLLLRALKNDVDSRRVKAFAKRMLQITGLHQPAFACGLLYV VGHLRETFPDISTLMDEPEEGDDDVDEKQKYDGRKRDPEYSNANRSCLWEVIPLQGHY HPSVTVYAAAILERNKKSLKPDLDSHSLIRFLDKFVYRNAKSTDSSKGVSIMQPLRAS KDVGDIWLGSRAAGGATTAVNSSSFWKKKAEEVAAEDVFFHEYFQQIDKEGKETKKKA KAGADVASDDEQEDEVWKALVSTQPGVDPDDDGSDVGFDLDDEDMASDDDDSPAMSLD GELDEDDDDMSVDIEGSDEETGGALISEDEEGFEVKEAVSEKPKSKRRKLKDLPMFAS VDDYAELLAGEEDM FPSE_03370 MALSGLTRISGKDICRRSLGVQLRAFSVSSISQAVSTRSTLSTT WVPTGGLTANDNEFGHGKLIRGGFLRQAHSGIFQLLPLGLRVQDKIEKLIDKHMHSVD ASRLSLSTISSEELWRRSNRLDSVAPELFRLKDRKDTPLILSPTHEEEITTLVAGIIN SYKDLPIRLYQITRKYRDERRPRHGLLRSREFLMKDLYTFDLTISEAVETYRQVSRAY RAFFAELKLPYLIAEASSGDMGGDLSHEYHLPSAVGEDSVVSCNSCDYTANDEVATAR VPLATDSATSVPASQFRVWRGISKDRKILVNAWYPQPSGGSPDSGPSLHAVKSVVPEL DTTIENPLPLWGEALESGDVQMINVIDGNLASSFESVRNELPLLTEPLKSHEVRSSSV VGSGTGAGLNLVPISDGDSCPRCDKGTLKIHKALECGHTFQLGTRYSVPLDACVDLPR SGLPDAAVEEGLTPGSRVPVQMGCHGVGVSRIFGAVAEILADERGLNWPRAIAPFEVA IIPSNGLADEPLDIYDTLSKNNGSQSGFDVVLDDRKRSFGWKMKDADMVGYPVLVILG KSFKENGTCEVQCRRLSVKDNVKVDALPEFISSLLDKL FPSE_03371 MSGKQEQINLDTLEPQQLAQVKKQLDEELEHLTTSFAQLHAAQN KFKDCLRCVKSRADAPEGANSVLVPLTNSLYVRGELSDADTVLVDIGTGFLIEKKLKS AEKFYESKVEELGTNLKDLEVIVQRKQTNARTIEEVLRQKIMASQGQDEQQA FPSE_03372 MYRGRGGGGGARRGRGNFPPAGSPRPLAGPPRPPVGPPRPPRFA STKNDHMPTSKYMRKDRDERIRQAEDAEYLGRPPADKDLIQVTHVLPSKEIPSGLEDS RVFNDIRRQYQVYITRDIPNILDIRCESMARLQKALEAINWAIRDMRLSQDTPNVCFL TQKPNDVLVNDMIQVGLGTRSSFLSRSPNIISDATAMDHHLPQLTSNIVSSSEGLMAL NKTMGLRVNFGLLVPIKRKKGAQEEATYADFAKLVQGYSRRGGAIFYTRLEDDGKAEQ LIRFLVQSEEAICSGVKEMKRGCEVVVKANGLDIKAEGDYISGKGVQLAMVRATRPEQ GALLNWTVAAPDMQYDWSFRIDAWDQVEVPSEFENLAKRISISLKPDDDSFLPIPNVN TAQLASLGEQITEIYTRSWAIVPFKESNYAIKIDVTNNLKGLQAGEPQVTWGIELYAP HWEESVNYASGGRKDWGKELEHIWTEGEDLKSRLECFMRTILEVQALLNRN FPSE_03373 MARTASAEKAKRQLPQNPNDLSDDELASDEPTWEWVYNSTPTTE RNDEPQSDRKRRKVVGDRIVGARIGQFECRIGDALMLKADGSNEAWVALVCDFVEDDG EGEKAVNFMWFSSEREIRNKEKKRTDFYPNELYLSPSWDINPLASVNGKAKIMSHDAF LSKYPQGRIPKNHPDYGKVFVCRRGCNTRTATYTEEFIWEEIYSGEDDLFALMDKIKA ETKAKRIRRKIRSPSPADDTYLPPQVPQTPTKTGRGSVAATPTSRRSTATPGSRVKRS ASKRLEFTPLATRKLSPSQVESSPFQIARSRLHVSSVPTSLPCREGEFSLVYSHLEAA ISDGTGNCIYISGTPGTGKTATVREVVSRLEESVGSDELDDFIFVEINGMKITDPHQS YTLLWEALKGERASPAQALDLLEREFSNPSPRRIPCVVLMDELDQLVTKNQAVMYNFF NWPTLRHSRLIVLAVANTMDLPERTLSNKISSRLGLTRITFPGYNHEQLMRIIQSRLE GVPGNIVDPDAIQFASRKVAAVSGDARRALDICRRAVELAEADAPIDPSTPSKRDPQT QSKGSARVTIATIKKAINEATTNPIQQHLRSLPLMSKLVMAALLLRIRRTGLAETTFG ETLDEIHRASLRPPPALPGVAAVLNSGLKGTMTSGQRPMIRPGHIHTAALELVAAGII NLEAQRAERSSKLRLSIADDEVKLALRDDGDLKALGIGV FPSE_03374 METPEVPKDALQLGALAAQNATVSRTLYSHAQATNTKRQKLDDA SEDPIMKRRFRNEYADVETLPPSITAKLPTKQPGKKTKAGALVRPAMKLLEGAPGSGK ASASAARNESTPQNMSLTTRGTQNMMQQKPEWHAPWKLMRVISGHLGWVRSLAVEPGN KWFASGAGDRTIKIWDLASGSLKLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWD LETNKVIRHYHGHLSGVYTLALHPTLDVLVTGGRDGVARVWDMRTRSNIHVLSGHTQT VSDLVCQEADPQVITGSLDSTVRLWDLAAGKTMGVLTHHKKGVRALATHPSEFTFASG STGSVKQWKCPEGAFMQNFEGHNSIINTMSVNEQNVFFTGGDNGSMSFWDWKTGHRFQ SLDTTAQPGSLDAEAGIMSSTFDRSGLRLICGEADKTIKIWKQDETATEESHPLEWKP TLARRKF FPSE_03375 MEKEDKFPLHTAAREGRVPVAEGLLKSDPKLSQRKDDDGRYPIH WAVSSNNFEIVQLLANQRSFDADVQDGSGWSPLMISASVPESEAVLKLIISKDADVNL KNFTGQTALHFVASKKNLDIARILIDNGASTRVRDRRGQYPIHRAAAVGSVPMVNILL KNRSPLNPTDNEGYTPLHHAIAEGHGDTAVALLREGADFTLKNSAEELAMDLAPDKEV RRYILQGAEREGIEMSG FPSE_03376 MTAPGKGSRWGAFLSSAFEGVENRLDNLLDEEQQAAQASQQYEQ QQGMKAAPPAPSPSPKPTTPAVVPKPNRANDRLQARLAKAIASRTSQSSPRSSIDTAR GSVDKERPASTEPVVSRQSTDTPEPKPTEHESPVAEPTPVVEPSSTFAESQPRSSQDT QDTSQSGQNAKQEFTTPIIIEPQSDTESQTAPAQQATDKTEGQPQSLDHEPDVPKATE TTQATTTSEELPQSEQDSSQADKNRSDEVQEYIEQIDSLQAKLQYLSKNAADTAKQAA NSAAAGSAERKLAEKDEKIALLMEEGQKLSTSEQKFRTTVRKLRLQITENEKQANELK KDREKALVETEALRSRINSKEEQEKRNEEVRKASAALQKQIDALKRDKTAGEEAYRRL ERESKTKAEQAQAAHTEALNKALDAEKKRQKELEGSIATLRSEKEALVERLRLTEIEW QEKLDRAVERGRNVEEELKLELRAAESKLEAMRAAAEEASAGSGGDIKLIRHIETLQS QYASASENWQGIETSLLTKAANLEKERDEAQRRESEMRKKARDSASRCKRLEDELQAV SPALATARQELEACREELATLRTQHVSAETALEQARSDLEKHQRAASREVSAEAERRQ WADEVAISTPKSQSRPDSPLLSVARTFSSDLIGLPVPGRQPRRVPTPGSQTDSAGEGF FFGRRMSSQPPRPSALSTTGPMMPPPPPFSPFEPPSESPRAASPPPDRDDLEDGDPSS PRNMAQDMISVSTVGAGPSVQLVERMSAAIRRLEAEKVASKEEMARVCSQRDEARSDI VNLMTELEAQKGATARVTELETEVENINSRYQTTLEMLGEKSELVEELKADVQDVKDM YRELVERTVTK FPSE_03377 MPINGTGRNANRRLPSWLTSSPLPPSDAQKESRRPNDEIISDPA SDILIDFNSSQGFHEAAKKSKKAKTPSAPPPPPPPPAAPPADNGQKDDMNAGGGGASE GSAAGGAGDGNGSGGGGAPPLRPPTTFDNISLGASKLDLGLSPPENKSISSWGAKLGF GGGGWGWGGGAKNEPQPAPPPPPPPESKDEDNPWDKPKGSTGDLGMKDDDDAWGFGTK KDKKASALWGAEPEEEPKDAGDPWGWGNQKKKGKPGGILEELALDTEPEGPPGGKKDI WDTWGISKKDRAKKKGIMEEVALAAAPDPPSMDDQWGGGWSGKKDKSPPSSLWGAQDP IPAVPDPPSFEDKDGDDFWSTFGSGKAKPVEDNPGGWGSWGIGKTGEDDTCESNFYNA HRVPEPPKIEDDGRDLWGTGKKKKKAGDLIQLEDEIPPPAPDPVEAVGSSDFLDSWEF GKKPKKPAVDPFDFKTSSADDPNDAFLGSIDTKHGAHDFLIDTTDPEQAAREAEERAA REAEDAEAARDDEEIASLVEKKTKRGGRLLKSDRERLALLEGNAARRAEARAAREAEA VAAFEAAQAEAQAAADAEAAAKAEAAALQAAEDAEVAKENEELASLLAKKQKRGGKLL KRDQERLALLENNASRRAEAQAAREAEAAAAAADAAAAELIYDIPLDDAPPPESPEQD AAAQAAAEVEAALASEIAQEEAEIESLKSKKAKKGKLIKKDQERLNILTDNAAKRAEQ AAAKEADEQAAKDAEDAAAAAAIEAAEAESAAQAAAEAEAAAQAALDAEAEEEAKEEE EMAALKAKKAKKTKLTKVETTRLMALVGNSVKRAQAKSAKEAEEAAAAQAAREAEESA IREADEKAAREAEDAAAREAEAAIAAEEEKELAALDALKIKRGGNLIKKKDVERYNIL SQRVRERTAKNAEAAATEAVQAEPVPEIPDAPTEPAPEPAPEAPPADADATPVEPEPV PGPDAAAVAAEDAELASLEAKKAKKGKLGKKDTDRYNLLNENAAQRQAAKEAEEIAAR EAAEAEAAADEAAQIAEAEALTTSEAEAILIADEEAELATLVAKKAKKGKLIKKDLER FNILTENATQRQASKEVEEAAVAAAAEVEAQAAKDAEEAAAAEAAEAEALAAREAEAI AIAEEEAELAALTSKKAKKGKLIKKDAERFAILSENAERRAQEAAMVTEQPEDPATVP EVEVEKPPPEPPVDDLPVEGDTPDANAATEAEAAAVIAAIAEEEAELASLVAKKERKG KLGKKDTDRFNLLTANATTRAEKAAEEEAAAAAAAEAEEAAAAETAAIEEAAAREAAE AEAAAIADEEAELAALTAKKNKKGKLGKKDNDRFVVLTENATQRAEKAAEAAAAEPEL EVEPEPEPEPEPEEEEALPEAEDLLIDEFDDAAASEADDEEAAIAAEAAAIAATIAAE EEEEMEILIAKKGKKGRLGKKDSDRLAVLAENAAQRAEKAAQEEAAALAEPEVVEDPP ADIEEPAPEDAPEAPPEEEAPPEEFDAEAAAVVAAEEQELADLDAKKARKGKLGRKDT DRYNTLAENAAKRAEEQAAKEADEVTAAEAAAAAEAEETAAKEAAEADAAMAAEEAEK EAAKAEAEAVIAKEEEELAALESKRERKGKLGRKDTDRLNALLDNKAARDAQAAEEQA AKEAEEQAAQEADEAVAREAAEAAEAEEIAAREAAEAEAAAIAAEEEAELAALTAKKA RKGKLGRKDTERFDQLTANAAQRAEEQAARQAEIFVEEAPAEEEIAPEEPEDPETEEP IPDVEELVEEAPEDPVPIDDEAAAEAVAIAAEEEAELASLEAKKARKGRLGNKDTQRF NFLTENLAKRAEEQAAKEAEAQAAAAEAEAQAEEAIAEDALEDAPEVDDGAAAREAAE AEAEAAAIAAEEEAELASLISKKARKGKLGRKDTERFDILTENAARRAEEQAAKEAEV EVDVDEPVLEQTEDAAEPEPEENPDDEDTAAKEAAEAEVQAIAAEEEAELAALEKKKA RKGRLGRSDTERFNTLMDNAAKRAEAQAAKEAEEAAEAAEAAAEEEAPPAEEEEDDEF VDAEDGDDELAEEHDLEAEEAAEPDEEDAVAAATAAEAEAQAAVIAEEEAELALLSKK KVKKGKLGRKDTERFQVLTDNAARRAEEAATREAEEAAAAEAGPEPEPEAEPEVDPEP EADQEPEDDSNEIDEAEQAAIAEEEAELSALIKKKAKKGRLGRKDTERFEILTENAAR REDERAAKEAAAAAAIAEADLEVAEEDPEAEAVEEAEEPANDEEEEEEEEEEEEDLAE AEDDEAAAREAEEAAIADAEEEELESLKAKRARKGRLGRKDTERFAVLEENAARRAEE HAAKEAAAAEAEPDEPAEEDEPDEEEEEGEEEEEEEEEEEDNAAAKEEEAAREAEEAA AREAEEAAAREAEAAAIAEAEEDELESLKAKRARKGRLGRKDMERFGILEENAARRAE ECAIKEAAAAVAAAAEAEAEVEEVEEEEEEEEEEEEEEEADKENEEEEEEEEEEEDEN AIADKEAAAREAEEAAAREAEEAAAREAEAEEAELDSLRFKKSRKGRLGRKDTDRLRF LEDNAAKRAEELAAKEAAAAAEVEAEEAPEEEEDDDDDDDDDEDAAEEPTAASNDDIA DESGQEEAEAVPEEAPEEVKAEEPVDDEIVEVIDLSPKKDRRSRKSRSRRDAPPPPPV PDPPLTPPPEPKQSRRPKLAREEAAWGKWGATTREEPDEYYQEKPSRHRRREEKTSSK GSSSDKAEKTSRTTAPFGKTPLSRSTSTREKRDGVSSKRRHSEAVRGVVSPPPEEMLV QEDPGSRRKSRKPRSREDEDAMMSGAAPAPERYERRHSHKTRSREDDDVIMVDPDLAN DRRERRRSHKTRSREDEDMAMADDIITPGLRDRRRRDRRSRDEEPVMVEADVPTDPAL PRGSKKGFAGLFSGLRTPKNERPDPLRRRSTYATTDDEALRTARMDGDAVVVDADREA RRAARKAKRADPEEEARRAKDEARRERRRQRDKGADTQRQDHDARRSERRAARQRGEE ERRAQEEQQAEEELQRAQEAKEAQRSERRRLRREQEAAAAAEQEEQEARRAARRERRR PRPADPSEDEHERRRRREAKRAARPTDEAEGHQRRPRRHEEMQQPAWPHLGTSSWVQE HVDAPPPPELPQAADANGEQDEDDEMARREARRAQHRSKYSDETPDEAEERRKRRARR EERERGGRHRDRRSEGSDERHHTRRASNPVDTAAAPRTSWWKKIKR FPSE_03378 MRTTQLLSISVPVPGTLPPGAVLAALQAVDPFVAHHRTVTKLEE VPANPADTAEDPFFGPFDDTFRAFEMQELVNLAPGLGKTITYRAIFQVIPDGLRSRAK APVGVVVRAQWQVRQQQRDRSATGPISPAGSDSTASGSTTTVEGDEFELHEQVLLEAN SLLMPFITESCVSVHREICENFMAATFKEYFGTFPMH FPSE_03379 MDQQAQAHRGRSLSTGHQQPHINHSHSPSPSPYQHNDPSVGLGL TLDQPAQQSYESFNNSGFLSPQQSPSFLPPAHQQQNNSFGQANLSDPAILDHNSTSLP FNNQSQAAYLSPNLNDNDFSLFPNTSGQGDQFNAPLFDQSTLNPNDMNTMAAQGHHSP TPPHLFQHDGQQPGSAHQSPAFNQHQFSSPPSHSRHASLGPADALLPNQIADWNRPQF QGHRRTPSEYSDVSSVAPSPNLVSAESFETDIGGHSPAHRPSDGGLYQEVLSIGNFSL SDPQIGGSPGHQGRSPSHSPAISPRIVPQQMPDLNQLNQNSFGLATPHNPYGIPSTYP DVNAPVEAFPTLQPSGADLSSMAPPAINIDFAPTNSKQGAFDTPKSRMDQDSLTPPDR GRPRSRPRAVTDPFHPGGGLLGRQGNVNMMSPSLGADLSARSDSRSLSPLDRQAGTSP SRRRQSTSAVPNNVIALRLADPEYQNNPDNGATKRVQKHPATFQCTLCPKRFTRAYNL RSHLRTHTDERPFVCTVCGKAFARQHDRKRHESLHSGEKKFVCKGDLKVGGQWGCGRR FARADALGRHFRSEAGRICIKPLLDEEMMERQRLWQEQRMQHNMAQSMVAASGMPMDG PVYPMDPTGNPMLPAALLAQYPALAQLNWSTPDVNSGMDDEVSGRSSFDASDYDENDD GGYVSGPGTGFGEGGMGQGFGEMGYASDFGGR FPSE_03380 MLSTQLHHSQASRQAAKAATLGLVRTLSSSESLLRTNAIVRTGY SAPQQHRLFSSTPNNRLRDFFPVKETPHIQKTKPAWPHEGYTYKEMMAVEPAHREPKT IGDKTAWKIVRVARYCMDKATGMDRDQKSDKSKPTTSIVAQKPLTEAQWLIRFIFLES VAGVPGMVGGMLRHLGSLRRMKRDNGWIETLLEESYNERMHLLTFMKMCEPGWFMKMM IIGAQGVFFNSLFVSYLISPKIVHRFVGYLEEEAVHTYTRCIKEIEDGNLPKWSDPKF EIPDIAIQYWKMPKEHRTMKDLILYIRADEATHRGVNHTLGNLNQNEDPNPFVSEFKD REPPRPALKAAGYDRAEVI FPSE_03381 MFDRRLLGVQVKSMSNNCRNVDALWCRLPKDHPSLPDVNCIRIH RPKSVRLEQTQYSSSQGNEEQENTTGLIPSAHNLGTAPHTKLHFPLPHSRALKHNCDF KLPQITKHNPSSKTDIPTDIMPPKKWDEEESDDSSSSSAAPAVGAAAVAARRKFDDEE DDGDVLDSWDADDSEAEREKERKAAEVKQKAAAAAAAAKKPKGLRIAQHQAERAQQKA EAEESDEYEETEGEKRERLRRTEQEADLAHAADMFGDIGISANRSKARPATVVVDAND PTKTVDISKLPLFQPKTKLQFETLRASIAPLISANAKSAHYSLFLQDFTKALAKEMNS EQIKKLASSMTALGNEKMREEKAADKGGKKSKAAKTKTSLVTGRANVADTTTYDDNDD FGDNTRRRPLAPGFRPTASSLDLYTPAPVQLFANSACRRRKDDNQVPRRRLETLSVTR GQKQGSMAEVLWEGKAAGMQKWLMP FPSE_03382 MAKGIPSSVIGRCLGTSSNYSPAIFASTPIRTVTDLSARWPTTP ILFSNVRLNHTQRQTQIWKQTVSNGVPGTPVFPLCHAIKPQKSMRERTTPLTARSLIS QPSALLSWSAITWQQHRLYSGRGSSSGNGNGPKCSCGKDITDTPPTPARTTEDLKKVK PSTLSETSKDATNQTTKSGDQVPAGTDSEPVSYMSYLHLPRMPHRPTKDELLEAANGF WQRLKVRLKWISIRSMRPWNIDEWGAFVSWFLFGHLAWIIVGTTTFFSLIILSINTVV AQETLAQWVGDYLTQSAGVTVVFESAIVPKWRDNVISFRNVFVSRRPGQGNVSSVSKG SSDAAAEAAAGRKAEAPEVEDDGNYTQFDITLSTVNVTLSFLNWWNGKGLLKDVEIKG VRGVLDRTSVTWPVEEVDPLSYRHKHQPGDFEIEKFKMEDLLLTVHQPGGFRPFSVSI FSCELPQLRKQWLFYDFLSANHMSGSFDGSLFTIHPRQVHGVVPSGNGDPEASVGFGD PKAWKKFSRLRIDGLKIDHLNRGVEGPFGWIYEGNVDIVADVMFPADTDESITKVMAD FYDQLEDIVDTNRHRFIRNIQEQGPALLTSGHLSNFTSDLLGDKPAEEEPQTSEEERR YLIMDLRISMNDVKAAVPLFTKDMSYVNQALVRPIVAYINAKKTYIPINCRIVKRASD FDGSWSIFDCGLMNDMSAETYDAFANDVENQQSRVRRFKKVGFWTLSVAVHALFMGMA GNVV FPSE_03383 MPAPTALKQAESAPLANDISLPVEGADEEFLLDAPGAEDADANV LVPVEDSNDMQIDEEGRPRFAPARDIDPVTRVETRKIPIPPHRMTPLKQSWTSIYPPL VEHLKLQCRMNIKRKTVELRSSKHTTESGALQKGEDFVKAFTLGFDVDDAIALLRLDD LYIQSFEIKDVRTMHGDSQARAIGRIAGKDGKTKFAIENASRTRIVLADSKIHILGGF KNIHLARESVVSLILGKPPGKVYGNLRTVAARMKERF FPSE_11514 MAIKKVFARSVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EACELRDGDKSKWNGKGVTKAVENVNTVIAPALIEKNLDVKDQSAVDAFLNELDGTTN KTKLGANAILGVSLAVAKAGAAEKGVPLYAHVSDLAGTKKPYVLPVPFMNVLNGGSHA GGRLAFQEFMIVPTDAPTFSEALRQGAEVYQALKGLAKKRYGQSAGNVGDEGGVAPDI QTAEEALELITDAIEQAGYTGQMKIAMDVASSEFYKESEKKYDLDFKNPESDPTKWIT YEELANMYSELCKKYPIVSIEDPFAEDDWEAWSYFSKTQDIQVVGDDLTVTNPLRIKK AIELKSCNALLLKVNQIGTLTESIQAAKDSYADGWGVMVSHRSGETEDVTIADIAVGL RAGEIKTGAPARSERLAKLNQILRIEEELGDQAIYPGKDFRKSVNL FPSE_11515 MSGSGDLVDFDLIEGQKENIQSLPGGRSAKKLAQLYSPSPLHKQ STPTPSDTRNVNDCIRAEFEQEVENIAESDDPLDVFERYVRWTLDAYPSAQATPESQL HTLLERATKTFIGSAQYKNDPRYLKLWVYYIHFFSETPRETYMFLSRHGIGESLALFY EEYAAWLEGAGRWAQAEEVYKLGIEREARPAPRLLRKFKEFEERVAQQPDVMDEPSSP ALPAMRPALASKVDPFAAAREADPQAQRSSSSGAAKPAKAKMAIFSDADAQPSAMSLM GAGSKGWDSIGSLADRKKENTIEAKPWAGETLEAGGKKSAAPKMSVFRDASLSQIQNI VVVPSKHQISLHPQTGKKERVFVDLAAIYPTPEEIGTELSFEEIMAANRGWLGHSWED ETFDKNLVSQPAVPLEIEEISNGMGEKLMVHQDAVDKISIHKNSGDKLMIRPDPMDKL VIHQDSSTKLSIHRDNVQYDENGRTIEQPRAPRGSKKKKMMEANETQIIKAKLDSPSR PKLKKKNTSEPTMTLHTKAATDDIYDIFNAPLKPAGQEEEESTCEDDYESDDNYTSDA ESTGTTRQIEPSEAGDDDNDEDAKSLSEWSDFTTQKHIPSLDVEGNEDGDTQASGVIS TTAAKHEQESTEALDNVDDQSRNEEDDYEDGGDIETPVSEDFPPQTRTTFVPIPPEDY EPPTRPYRDPAEVANNRLPFMTPITERTEVSLDVTMEYKTPCKRESSMTIDEEDEDEE SSDLEPLGSPIRDIINGAIAAPKISAPLAPKSAGPLGKSIPSKFLASKGPIIREPQCN PVDETVRSEIIAKMLPPLSSYSGFYDHRSEKYEKGGEIRRFAKALSKANKTGDRIGPV TTPVTIEFPDIQATYTIKKELGAGAYAPVYLVENSAPVVDENDENAIPVMGKGAFVIN HRSEIEALKMENPPTPWEFHMMRLAHTRLGPQHRASASLSYAHEMHLYQDEAFLFLPY HPHGTLLDVVNFFRAEPSAVMDEQLAMFFAIELLRTVESLHSKSVLHGDLKADNCLLR LDALRDDGSLTSQWRADGSGGWSSRGVVLIDFGRGIDMRAFVPEVEFIADWKTSAQDC AEMREGRPWTWQIDYHGLAGTIHTLLFGKYIETMRCDQGGLSKSRRYKIRESLKRYWQ TDLWSDCFEVLLNPVAAAAAGGEEGSKMPVLKSMRSVRERMETWLEANCEKGVGLKST MGKLEATFGKNRK FPSE_11516 MARTSLRNKQTVNYNEDHNDDKMPKAKVAARAITTASKIVENAN GVASKSVSAKVITKRKAEPQAEPENAAPAPAVKAAKKRKTKAKDEDATPLADRTAIST LKPAMHIGAHVSAAGGVQNSVTNAVHIGANAFALFLKSQRKWANPPLDSEAKNQFISL CKDHSYSANEHALPHGSYLVNLAQADEDKANQAYTSFVDDLQRCEQLGIRLYNFHPGS TGGDARPAAIGRIAAQLNKSHKATKTVVTVLENMAGSGNVIGSTWEDLRDIIELVEDK SRVGVCIDTCHAFAAGLDLRTPEAFKITFDSFNEIVGHEYLKAFHLNDSKAPFNSKRD LHANIGTGFLGLRAFHSIMNHAPFAGMPMVLETPIDRPGADGKSVEDKKVWADEIKLL ERLVGMDAESDEFKELEIELQSKGESERNKIQDQVDRKLAQDAKKGTKKGGKKKKKDE SDESE FPSE_11517 MSNPLADGGIPTLNGVYPSESNKKVTTIPNPENDQPAASDQPQL QDMINGNNGQERPQMPDRDFDSSISTSNADFDDLMLSTNGMYNGKPNGVHNGHANGSA KSYAEVAAEGEENDGKSQDTDDLDKSYADVVANRDAKDGRSDDWTYPKLPITAQPKDL KSASWRAGGIRFAPLRVPMRRRSQTAAVLFHCMSIATLVSAFWLICANPLAWPIIIPY LIHLALSTAGTNGNLTYRSEWVRSLKLWKLFAGYFPMKLHKTHDLPTDRKYIVGYHPH GIISHGAFAAFGTNALGFRELFPGITNTLLTLDSNFRLPFYRDYIMLLGLQSVSKESI WNLLSKGGPKNDGQGRAVTIVVGGARESLEAQPGSLRLILKSRKGFVKMALRTGADLV PVIGFGENDLYDQLSPKTHPVVHRIQMILLKVFKFTVPALHGRGVLNYDVGLMPYRRP VNIVMGRPIRVDKAHGPQPAQKDIDELHERYVQEVEKLWETYKDQFSVERKTEMEIIA FPSE_11518 MASDASAFTAIDPPPSTRTEATPPAIIPSEDAAIRLLKRPRSPS PSSPRSLAAQSQGLLGLGSPAKSARLALASSLSPTPLTAAAALEDERRRREADENDDG TRGPAPTSDNPGHRVLTSLMSGGVQAMSRPADAPQLAPTAAMDPSPKASNPVSLAQTA TSQVEEHGATSPHSTNSLAGVPVTESPTPMDVDATKVDPLQQQHQQSSQQVVEQEERH QPGSLSYPGSLQATGNLAETPTRGMSFPMPTPGQTSPTSSGSKKHKCPYCNTEFTRHH NLKSHLLTHSQEKPYVCTECQMRFRRLHDLKRHGKLHTGEKPHVCPKCDRKFARGDAL ARHSKGAGGCAGRRSSMGSFVDDNDLENSIAEADDSAMSGLAYDNADEEELRRQSLPS ITAQHVAGAQADGYGAHARTYPPPGARPGTGGLYPPNVNQNQTNSNSSQPVANNIAGH NANAGISSVAAANANMYSQTGITESPKPLSPGVQGHEVNNLARQRSPSLTQQLQQAQF GRRASDLQSPHNQSRPKLPGLSHPEFAVPGSTGFSHTRSGSGAQSTNDSGNMFAQSDP SVWAYVQTLEEKIKALTDKVSTLDHVVADLKQQIETRDAAAAAVAKV FPSE_11519 MKNIITYTLAATLAAGATAQHHNHQHIHARRHAGSKVEKRSPDV ITEYVVGATETVYELAGKEIDINAAKAGLNGGNLVIVGESNPTYDAPAAPQTPAAQPE KASAPKKEVGAQFYESKTAEASTAAPEPVYSAPAVVKPSKPKASKPKTGGSTSGFTGS SSGVDKKFKSGEVDCDTFPSEYGAVALDWLELGGWSGIQYVPDFTLSAASIFKIDTAI KGDGCTPGAMCSYACPAGYQKTQWPSAQGADKQSVGGLYCNENGKLELTRDDYDTLCD AGVGGVSIKNDLDEEVVTCRTDYPGTENMVIPAVATAGGSVNICNPAQDKYYIWNGSG TSAQYYVNKKGYSIEDACVWDSPKGKDAGNWSPVVLGVGQAADGNTYISIFQNLPTST AELDFNIEITGDVNTKCSYVNGKWSAGGSGCTTTMPKGGKAVIRYF FPSE_11520 MSLNRVQSIETLTAPPGHHGAASIERRGSDASLRVRRLTFNPVP QDFETASLRPDEQPAETVGAFEVPSWKRLLQIAAAVVHCLFAAGIVFGYAAIKPVLKL EGAYSDICEATIHRSPTSHAGAVSDDPLNTCVEIRLNLMFTVAAVGTNVAALPVGAIL DNYGPRVCGLLGCLFLTIGTLLMAYAQSLPFDGLLPGYLFLALGGPFTYISSFQLSNA FPRHSGLILALLTGAFDSSSALFLVYRLIYNATDGDFTLHRFFLLYLVVPILIFISQI LIMPGQSYKTVGELVDQAAEADDNIIIHSTTSTQQEIDEHTALLRDERREERERREAV VHDIENLLGSAKGDDQVEAKERQHVASGVWGVLHDCTVVEQIRSPWFILICLFTVVQM TRINFFVATIRPQYEAIFGDRELAVRVNTFFDVALPAGGIFAIPFIGTALDHVSTVLV LSALVACGTLIGILGVLPFEWAAYAGIVLFVLYRPFYYTAVSDYSAKVFGFRTFGTVY GLIICLSGLLNFSQSGLDVLFHQTFNGNPVPVDIMLLVIGLVVGVSLVIFVAMELRKI QQKARAGISQ FPSE_11521 MDIDSYRYRVPKPNYLPHIPDDDRDNSLVEEYSNTPLGLASEMD NAKFYNKCKRLAEESGIARPKGYNVSFHCNPDIEEHHFGVTHPMKPWRLTLSKSLIYS YGMSFAMDNYISRSATYEELNEFHSSDYLDFLGTVLPEPVPRDLENQGVDLKFNLGGS DCPLFDGLFNYCSLSAGGSLDAARKICSKQSDIAISWGGGLHHAKRSEASGFCYINDI VIAILQLLRHFPRVLYIDIDVHHGDGVEEAFFSTDRVMTVSFHKYDPNNFFPGTGALD DNGPKSEHNPGAHHAFNVPLNDGITDEQYDHLFNTVIGKIVEKFRPGAIALQCGADSL AGDRLGRFNLQVQGHGACVKFCKDMGIPMILFGGGGYTPRNVARAWTYETSIAINAQD KINPILPEHAPWRDHFRQDTLFPTLEQILGEPRVNKNPPKRLQDIVQHVTEQLRFVEA APSVQFQTIPPDLGGVRDDVEERIKEENEERRDEIRKAREAAVGAVGTAMQL FPSE_11522 MPRDDLSIDFVKRMPQAEPLDPGIILEDWINRVQNLPEEIQFVQ NEVADKDRQYNECVRIIEDRDGKIQKWIKTNGSHEPNPKEELLRAQVRENYARADQLS QEKIVLHQKMQLLMDKHLRNLDSQIKQLYDRAEPGFTDPDEVPSLLRPSAANHTAPSI RAINPASHMTTAAGPSTPLAAAAQTSSNPTLARLPNHPNVRHAQAQQHAASAPATPAA SIILNRQREGSAGPATKRAPRINPALGTLPTASSGLARHSSLGPGTPKGGAPGGAGLA RAGSAGPRAGSVKSAGTVSGRRGTPTGGARKKMPNKSNLSRVKKASNRNSPAATNDSE LSDVDSGSGEEEEGADGRSRGTPVADGKDMDGDDIVGDADEDDEEAGDDKKYCLCHNV SYGDMVACDNDNCPYEWFHWSCVGLKSEPNGTWYCPVCAEKFKKSK FPSE_11523 MSFSFGFAGDDISDDEQNSSTVKPTAPAPAATNSAFPVAGKPQL PPTLHQLSDLLAQQPSKIAYSLLDVKLDDGTSVQLPRRELWDVRVQLMAEEENLAGAQ SEGLGSHDVKTGVYEGGFKSWESSVDLVKVLAANKAISTLEQKPFRVMELGCGTALPS LAVFQWAMTSNSERKPLSLILADYNPSVLQLVTLPNFILSWALNNTQLPALQEAFSIE GEVELGPDVLAAFQQSLQESNITLSFMSGAWSQEFVDLLYTLPSGNGQPNATLILGAE TIYSPFALQAFLETLFLILERELDTEGSQAGAYIGAKRLYFGVGGSLDDFIDKARQKG AKVEQLREEAEGVRRGVVECVLGPT FPSE_11524 MRPSLLRLASATSTQRGLKPNPMALLPPIPLYRRLLRAHRKHLP PEMRILGDEYIKAEFRAHRKVDNPAHLIGFLTEWQMYAQKIEGDQWVGDKLDEQKLSK MSDEQIQQLYELMQAIQNRGKEGGEQES FPSE_11525 MMATDNNSGPPAKKRKTKSKDPNALTVGGKVISLEGYLTAPPKP KEAEAKISETTDSETPTTTTAATIPERKKEITQQRPTKNPNDRRVKDRYNEKPRSRED PKLLKTRKQLPIWQYREDIQQALRNNSDVLVLVGETGSGKSTQVPQFLYQEPWCRRQR IKLAGSDEEISVGGTVAITQPRRVAATTLAHRVAQEAGTPLGKGRLDGLVGYSVRFDH QVPKGSRIKFLTEGMLLQELLRDPNLKHYSAIVVDEIHERSLDVDLLVGFLKQILASD LSGRGGIPLKVIIMSATADVEGIQEFFKDVRPKTQDENLLQVLKIKGRQYPVTVHHEP KPVADLQDALLTTIYKIHLQEQLPGDILAFLTGQEEIEVAQKLIEEYAATLASDVPKI KVFPLYGQLSMDAQREAFLPVKASFTRKIVLATNIAETSVTVPGVRYVVDCGKAKVKQ YRARLGMESLLAKPISKSSAIQRTGRAGREGPGKCFRLYPETAFKTLQDSDLPEILRN DVLGAVLTMKARGIQDVLAFPLMDPPETEAIEKALIHLHFLGALNDDGTITKTGETMA RFPISAPLGAVLLASASPEFDCVVEAIDIISCITSGEDIFLSIQSEEAQEEVETFRKE LQRREGDIITYLTTIQQYTAENADRVNWCKQRKINMRNMRQALNIRRQLRGMCLKEKL LDEAPPADPQPFIPISPERAEQVLKCFMRGFALKTAMLAPDSSYVTVQGKHVVAIHPA SMLHGQKKEAIMFLEHVYTNKNYAKKVSAIQAVWIAEALEGK FPSE_11526 MKKFGFGKKGDDGDDASRHALFGRKKSSQSASSENPYAKQGGKD PYADDAKYANVTPYQQARAGLGNAPSPGPGPQAANSYGAPPPAQGGYNSQPPSGYGAD RYGSGGGYGGNRYGDAPSQNRPAAPTGARGPGGYGGFGPSEPDNNRDDLFAGAQERQA QKQQPGSNGPQSGAAGGSYGGYGEERELTAEEQEEAEYQSILAEKRQLQQESASSVNR SVQMARQANEVGRATLARLGAQGERLNNTEKHLDLAANQNKIAQDRAAELKTLNGSMF AVHVSNPFTSKQRKAKADEDVMNRHRSEREQREATRRDGFQANQRMENNFKDVNAAAR PRQQPRKKDYGKFNLEDEEGADELEDQIDDGINELEGQVSMMNMVGRAIGKEVDTQNK QIDRIMDKASHFLFQPVWIHC FPSE_11527 MSVFTYDPDPPRVSSPWAKLSDSGKDKTEHLGSDVPQPDQQPES GPLSDYGVTKLQAEPQDGPTEYKLHLLLRPRRVFTRMSTTNKSRHGRPKLSAPSPAAS SQPRQQRLESLTTQLLWRLQQSSPYHLSSSKELALPKLPDDNVDLTAPVKLKKLVPGL EESKGALYEIGVADDGTLVGLTKDEMDESITTLRVMAASLGCAVKVRRRVIVGDCEWA ESTELIDSTVVMPDEVIRSGKLWVAEALVMPYLGLINGANRENDTACNKQSAAENDAM PSRGSSSTPQLRVTFTGPTTSGKSSLLGTLSTGILDNGRGNSRLNLLKHRHEMVTGIT SSIAQELIGYKDQSILNCSHANIESWVDIHDCSEGGRLVFVSDSAGHPRYRRTVLRGL MNWAPHWIILCLAADDTEITTRSQGVPDGLGVSAGGVDLVKAHLTLSLKLGIPLAVVV TKMDLASKMSARKITNKILSAVKDAGRVPKILRPDQKIWGDLSRVPDDDSANVKDLLK GITENGNLTEIVPIAFSSAVKGSGIGLLHALLENLPLPSTPTARDYIGMALNPEQPKC LFHIDDTFSPSGSYGHLTGGSAEMAGPDIVVSGYLRFGQFSIGDKIVVGPFPPEDEEP RELTPEGRQSPSSYGLSISHPSSAELARVAMKNAISASTIAGEWHDAQIVSIRNLRLP VSTLEAGQAGSIGLNIQSPTTRPQNGSIGHTAVSEIPRIRRGMILAIPSKHMADTGLK LQAASGFTAVFEDPDIHTLTIGSFVNIYIASIRTVARIRRISRQDNGWDAGAEDTDEM EEIFNLNDELDLNNVGNESDQPKGGVEMGLELLHHREWIEMGSRVILLEGGSQDKSGL EGFVGKVVEIVD FPSE_11528 MPSERELQINPIVPESMIHNTKALSNLHSLTASLFGVTAGVLGL ESYYGFLVYIVFSFITTLLFYVLKVAPESLPKGHTPLDPSRFYRGLFDFWMGGISNGI SGFVLTWTLFYGLVRA FPSE_11529 MTQDFAPLKNDLLLRTAWGQTVERPPMWVMRQAGRYLPEYHEAK GNRDFFECCRDPEVATEITLQPVRRFAGLIDAAIIFSDILVIPQAMGMTVEMVDKKGP HFPEPLKSPDDGQYSQVLAKDVDVAAELGYVYDAITLTRQKLDGQVPLIGFCGAPWTL FCYMVEGGGTKLFAQVKTWIYKYPEEAKKLLSKIADICVEHLALQVKAGAQLVMVFDS WAGELGPASYRQFSEPYLKQIAEKLPAKIQSLGLEKVPMTVFPKGAWYALDSACNLGY NVIGMDWLHDPKEAVKIRGDRNIVFQGNADPGVLYGTKEAITKAVEEMVEGFWVGDKG WIANLGHGITPGVNPDHLKHYFEEIHRLTKKN FPSE_11530 MLASHGRGGAGNMADSTTTEKTDPSKLQTPVLKGPVVTTGRGGT GNMAKNDDPRETRKRQDVEAVPRRPSYGTQYSGRGGAGNVFKDKDVQLTRKASNEAAI TDDDEPSSAPSPTSGESLAQKGKNWLFGKKA FPSE_11531 MSQRMPGPGYSSSVPPPIHAYQQQQQHQHPPPSLLPPPPTQHHH SSHAPPPPPPPSSSSHSLSSHQHHAPPPPHHHSQLPPYPPTQYQQPHQNQYPRPHPLP PSRNDEPPPPSSEPSPSDQHEKPKYEPPFVSKIEEGTGLKYSLDVQQQPIRARMCGFG DKDRRPITPPPCVRLVIINSETGKEVDYNTLDHAMFVLSVDLWDKDGIKEVNLVRSST GPGSGASSNNYSYSTLEPSTPSYQQQALPPSRESGYPQGQGLGYGQEYPPSVQQGYGQ APSYQSSSSYGPPQQYFPRHSGYNADPPASSAGPPFRNGYGQDQNALTRMAVVGTQPQ GMFTRNLIGSLAASAFRLNDTDKKAGIWFVLQDLSVRTEGNFRLRFSFVNVGGAGGLP IHVNQGRAPILASCFSQDFSVYSAKKFPGVCESTPLSKTFALQGIKIPIRKDTNIKGE GDEEMMYDQN FPSE_11532 MSEKSRLQAEKTETPNKDKAFGVELAVQFSTISWSSGWGN FPSE_11533 MDLVNSLEGRLLFAVPKKGRLNAATLNLLEGADVQFRRENRLDI ALVKNLPIALIFLPAADIPTFVGEGRCDLGITGWDQVQEHDASVRAYNQLRRSSVDLT STEDKVAGSDMVMELGFGSCKLQVQVPEKGQYKTPQDLVGKTIGTSFVHLAADYFLKL EQGENADGDLSPRKMRTKIVELSGSVEAACALGVAEGIVDLVESGETMRAAGLKAIDT VVDSTAVLIKSRTPSNPELIDLITSRIRGVITAKSYVLCQYNIERNRLVEATKITPGK RSATVTTLDEEGWVAVSSMVEKKKIALVMDDLTRLGAEDILVLDIHNAR FPSE_11534 MAKIGPPPENAGNNQPSTLYSLVMTPINITVFLLSLLLVDFRHT AGRNNFYATGSEQARWMPRWLLQPYQHIGHSDHDAHGRWHYHSKQKKLMKMEAEEAFQ MRSTVLVFMAVALALATSAVWLATSRLYHGVTALVEHQSNNQTTPLLSGV FPSE_11535 MAHNTRFETMASRFSPSRLRNSLRRSSSASTSTQASYSSVNTMG SSAAATINSVISRQPSLLNLEAERKSFGTMHSFACELCKGAKRMSRSTETPEIPASMS TVVAMRMPLLIDIPKPDDDASRFFCCCNAATIIRSIKR FPSE_11536 MSSNSWDQDGRPARRQSIPLQDLDGSHVDNSQTQAGLFYQQPAS SSFSDTPQMSANAPGISTYWDQPYDHFNDDVSPGSPIDPMALQAALPPGMDHHEPSPP LPSTPVRTYDPPAPYVEDAPSTDYAESDRVPLTAGVEPISGSLSANNLDSRNRDSFQT VASVENSPSRGRSTRSLGEDLRASYGSTRNRNFGASLNPNEYPRPRSPSTSGALSRAG SIVRAMSQRVVNISGETEVIDHRASRHRSRSPVGHSQDRNRDTVNSMFADTSYQPQLV RSSSEKSTQPRFGVEETEDPELPRPPLPNPLKGKSLGIFSPNNPLRLRLCDLLVNPYT EPFLLLLIVLQAILLAVESAPNVFEKGRPDRWGKHAIDWAMLALFIIFTLEIITRIIV SGFILNAPEYSTIDRKRGIRAAIADQYRAVFQPERIKSVKKASQYNPEPSAISRSFTT FMQGQQALPRTLEEHQRFQLARRAFLRHSFNRFDFVAVVSYWITFWLSVSGLETKHDI YAFRMLSCLRILRLLALTNGTAIILRSLKKATPLLARVAFLITFFWLLFAIIGVQSFK ASLMRQCVWLDPLEPTNLDASYTNSDAFCGGYLNNQTGETMPWLKFNNSDSLTDLING TKEGKGFLCPRGSICLQQSNPYNGTVNFDNIFNSLELVFVIMSANTFTDLMYMTMSSD YFQAALFFGAGTMIMMLWLTNLLIAVITSSFQVIREESKASAFTVSEEPPVQAHSDER LRRTSSLQRVYHKTRAFWILVIAFGLICQACRSATMSSKRARIIDTAEIIITILLDIE MIIRIVTDWNYFHKSWRNIFDLGLAIITSIILIPPIRRTRAYWWLTVFQILRVYRIVL AVPVTRELILLVLGNAAGIGNLMFFVFLMTFLVAIFAAQLFRGQIPLYDDGELNRISF YTIYNSFLGMYQILTSENWTGILYTVTSYTRYKNTAWVGAILLIGWFIVAFFILVNMF IAVIQENFDVSEDEKRLQQVKAFLQRRDLGASSSNLALSTIFGFSKYRKNKDPLDYGP ATVEMLLKDAVVREFLDDQMNPKPEHHGPVRSATTLLGGEIKPGRFSAVWGKIKDWFS DREPNPFYSSLRFDGANDTSDPRQMAEQAMSATMTRRRAQREYLAKYPNYNNSLYIFT PNNSLRRLCQRVVGPSRGIERIDGVVPDTVLWYCFTIFVYTAIAAMVILACITTPLYQ KEYQEQHDFSIRNWYIWTDMAFAVIFTVEAGIKIIADGLLWTPNAFLRSSWGFMDSIV LVTLWINVVTLLINDGAISRAIGAFKALRALRLLNVSNSARNTFHDLIIVGWWKILGA AFVSLSLLIPAAIYGLNLFNGLLLSCNDGSDGINTMDNCFGEFASTPFSDDWPMLAPR VAANPFYSFDDFGSSLFILFQIVSQEGWTDVSFAVQAITGPFKQPSDLASQGNAVFIV IFNLLATVFVLTLFISVFMRNYTEQTGVAYLTAEQRSWLELRKILRQISPSKSSYDDS EKSWKKWCHKRAIEKKGKWYRTITVILVLHLIVLVSEFADEPWWWTRYRDFVFFAFIV AYTVNIAIRIIGLGWVRFRRSSWDLYSLFIVFGAFVSTFALLVSDTELEAYVQLHKVF LVAIVLLLIPRNDALDQLFKTAAASLTVIGNLLATWLVFFLVFAIAMTQAFSLTRFGA ETSANTNFRTVPKALILLYRMSLGEGWNAVMEDYASIEPPLCVIDEGNFFNSDCGSKS WARILFIAWNIVSMYIFVNLFVSLIYESFSYVFQRSSGMAVVDRDEIRRFKEAWRSVD PAGTGYITKAAFPRLLGELSGVFQMRIYDPEDSVHSILEDIRDDVKLTHHSSIATTSG FSGIDLNKLNARLRRIDSEKVRHQRRRFNTFYEEVLVSADPDRGISFTSVLMILAHYN IISDNKSLRLEEFLRRRARLQRVDDQVRRGVVLGFFDTLYYTRQFKKHLMRKQSARMT AVPQLNIPEIMVENDLSEDETGRAGSRSPLSPASRPSSVDAGEPRNWLGSVDLSLHDT SWSHPLSFPRAAPPSPTMPTQPSAFSFEIEEDEPQQPTAQARTSAPTGRESNHLDPTS PLQVRGMLDDSVWGESIRRSATVRQTQNRS FPSE_11537 MPSRKRPLAAIDGDDGESEPSLQHRIRNMWQFANLCQWIYIFGK AAKIDEAIDVEEIEAECLKPNSTMLTDIALALLKLVSSHRGLTHDIFDDQMRKQFTNK SPNYNPFGTADAPLKFNDFDIFTKRIEPYGWDSNDRTYFVLDDNRIYRLTEAPPIVKT AKPKKSRLSYGSRRSSKRRRSNMNAEEEATETDQETKDETPSENDGLGGMTWECLAVT LDDVRAVVDGFRKTRDENEKILRDQLEEHLVPILERQEQSRQRRELQRDRELANAAKM ANAKRSSRIAGKIEQQKHDEHIREEERQRREEKANRQREELAQTKKEKERDKRMISRE QRLRERETRRIQHEEELAQLSEDSKNLSSSGRVSERRLKVEIERNKQALKELEEEEED WIFDCTCGLYGQVDDGAHSVACERCNVWQHSKCMGLSEEAADQPEFHYICTSCTRREQ EAKRPRPTIKLKLNRLTSSGTEPEMRGSLGHDGSLANGEQFRRVDKTSDGSRNTVKDS VEDGLALLTTAHGTTANGADFINTTIDARSGPTRGLFGTPKMTPVIPAPVKLDASIQG SPSGSVVMTSPMTSFRTPSPSKGMDSFQGDKTVESALSTPQISREIYRAAHEQNGTLP STAGLSPTKHSPPRPLDSAKSNRFKAATPILPPIALSPSPQHQILTPPTKHSEPPRPF ENR FPSE_11538 MQQHILPTPPSSSDGFSQRPTAMMDAHTSHTQGTMSPEQSFAGY PYATRYSTPVREDDSSVYEPSTVYSQRSDVSFIGYGGGLGITSNPYGPLPDEVGYNAH LPYTPEASPSAPCQTSEAITTRSGLSIAKKSLTTRTPAVKSGRVQKRSRAEKARNASN IVSKPLSESAKDFPNIHVADIETFVNRSAETRLSETSRNKKQGQIKRPMNAFMLYRKA YQDVAKTQCAQNNHQHVSKVCGAAWVLEPVQLKEAFDQWARIERVNHQRAHPGYKFTP SKPKKAKQGDDGDEEYSDNDSEWNGRRGLSNAARKSRYRQVSRLGEASPTAFDAVNSS MSDPSTAPYHDVYAHTHHDRPHVLPYDQVVSNPYGMSMRQYNGLGISSEMTSRAASPV ELEYSIHGLDGFAHNYYGAPLSTFDNAPTPLFGSNPYDTYDGLPAGATPLGQEGWIPQ IETDHDMMPVTAGYEETTAQDAYLKGNKDDWKVEVMDEPGHFEDWYAQTEQGL FPSE_11539 MTWISKTVTVTGLVLLAHACYSAQEHSAISSAAVHHGQPQPLAT HSLPIDISIEALVATLVVVLGLVMGTPQLRPIKWHEWAGKIEREGEAGFVTGSGEVEK DYRGNPFSLLEARPGFIDIRKQRREFTKWVKAEEK FPSE_11540 MPRTSGSSSSSSSGRARGWGRGRRARGGHASTRRNTRNSSSQSG SSLRSSPNGYNLTRHYSARPELYIPHEEENPRSSCEPVQHEVVMAMDMKDDFTIGCAY FSTTDGILQVSEDISAASLDIAGQFLIHAQPNSLLVSARAPSSEFSIETAHERLVGLN SQALSSTGIVFSTGMEDDANEESIPGHPLPESCAFRSLRYGGCINMNSPVSVGCAGAL LGDILRRRSAGFLPDGQVAGVLFRVADIRMFSLSSYMYISNDTLLSLQILQTEMHPNS QAWGSDANQSNSKESLSVYGLFQHLASTPQGRTQLRRIFLRPLLDINIISERQRSIAV LLQPENADKLPQLTSTLRKIRNLHTTFAQLRKGIEFPSAGQSFDKGVWGTIHRFTTHA LTLRELIASLNGGSDVVLFKQAIEGIQPPGLVAVKGMIDKTIDFEQSKARRRSSVKVG IDPQLDELKRQYDGMDSFLTEVINHVHRELPDWARKYVQSCIFLPQIGFLTVVEPNPD TGNGLYEGEGTTLEEWEKLFNTDGAACYKNSYMRELDEEYGDMYCQIGDREVEIIHGL VSRVLKHEEPLLSASDMCGEFDAILALALGAEKYNWRGPQVVEESVIHIEEGRHPLQE LVVPAFVPNSCHLFAGPRRTPEVQDDSPQAIILTGPNHSGKSVYLKQTAIIVYLAHIG SFVPATQAIVGLTESILTCISPRESMSGGESAFARDMKQAALSIKTSSPRSIVLVDEF GKGTNGDDGAALLAALLDHYLSLESDCPRLLAATHFHEVFENNYLAHHSSFKIAHMNV RLEQEASLVDDQVTYLFNLEYGHNTSSYGGRCAALNGVPGSVVDRAENVSQLLARNED LGAVCARLSPMDEDVLEKAELTARLFLARSFDDEDEDISSEAGENTRDPARDILESIL S FPSE_11541 MLGYSCFLLAFVAASTSAQNIPEVPAIATATSTATYYPAYIPPA SVIFKRDDSCPANTFRCSEELGERFRDICCQNGQTCAVDADDEPACCPSGAICTGTAP ASATGAATASPSFVPNSYFAFPYAAATFENEASCTSAVSACGENYDQCVNYLGDGGQY GVTIVVPGGGGTTVEGGGQALGSSSATAVCSSLSSRACGNVSSADCSDYGNHASSSNS RGLWVASLGAGITLLSFLV FPSE_11542 MNQDDQKPPETHTGDNTPLDLKGKGKHTTKDESNSVADRLQASG RLVLDAFGSGPELSGQQSARKADPSGSNINSIGKISSSTGEASSHKLRSSTQAASLRS KTNLDSGPSVQAFNDFVSADTTLDIDNNNVNLNQEARDQMSHLKAIPKSQDVSQQEKL DGAAVVSLLDGHSDELDAVLVGAHDPIAENDSDTLTPEAAAKLREALFSANSPSSGSR LDDLLNFNPEFLNQPGSEAELERQLYLGTIDPDQARSSWLQQWGNVLAGYTDHVWGEL EPLIVEARREVEESKARGPEVVPEAKALDRLRQVLAHVRGY FPSE_11543 MVDSEANSPTWKFTQCFGDKGDVEDITEGMSSPLASSKASSSAS YSNRYACSGTADIISTVEFDHTGNYLATGDKGGRVVLFERNETKKTCEYKFHTEFQSH EPEFDYLKSLEIEEKINKIKWCRRQNASHYLLSTNDKTIKLWKVFEKSLKVVAENNLS HDVTPGSIAGGGGAPKPLPAHQFKNAADLKLPRLTHHDTVVAAVPRRTYANAHAYHIN SISVNSDGETFISSDDLRINLWNLNIQDQSFNIVDIKPANMEELTEVITAAEFHPMSC NWFMYASSKGTIKLADMRESALCDQHAKLFEQEEDPSSRSFFSEIISSISDVRFSHDG RYILSRDYLTVKIWDINMERQPVKTIPIHEHLRPRLCDTYENDSIFDKFEVVFSGDAK NVMTGSYNNNFMIYPSDPDKEVEVVLQADKSAFKAKKVGVPTPINSSTSPTATNGKKG GSRAGSPGGQGQRMRKETDADQIDFNKKILHMSWHPFEDSIAIAATNNLFVFSAL FPSE_11544 MATETPSNILRKRTAADMTVVENSVRRDSTSRESANGDLERGSG SSIAQHIAKLMAHEVGPSLLTVGVMLSLIFGGCCSNVYALEAIVNFEPSSGTLLTFVQ FLFVAVTGFIAQFDKNSRFFLTPNKVPISRWIFNIVLFFTINLLNNHAFSYDISVPVH IILRSGGSITTMAAGYLYGKRYTQTQVIAVFLLTLGVILAAWSDAQAKGTSESSGRPA FSTGLLILFVAQVLSAIMGLYTEVTYAKYGPQWKENLFYSHALSLPLFLPFAPSMVRT FSHLMTSTPIQLPGIFGSVFTKVMVPNQIIFLITNVLTQYACIRGVNLLAAASTALTV TIVLNIRKLVSLLLSIWLFGNQLASGTLLGAVIVFSAGGLYTVGSRKKAPAEKEMPAR DQKAS FPSE_11545 MARWKPGGPIFEESESAALDVRARESDEEVDETGDGGAAGHGAS HKDAVSDAGSHNGSDAEELEDGMLLRDLPKRTTFYDPVAERQMSQTDAKYFYHRNKAD VRSVGGAGNQSIPQSPFLNSGSRPATEYGADSLVLDSTGRRVDSFPPGLEMPNPAIDP AGFTQSPTKVEEAQPTSFPPNDSSSFSHAPQVAQDPRLPDGSSNGLPSSGLFDTEPHI TSELSAISKNIQRILDLRRKYISLSNQGPDDNPRDDPNWEIYPPPPEPAWRQSSRQAA STAEHVPGQENTSTNGSKEASGSVPKDVPHDHHEGKRSTRKRKPGQDIGEDFDMADVL PLPGNDGRSYKLDDNGVYQVFESDNAHAPATQVPTIREFYMDLDDILDVSSDGPSKSF AFRRLQYLEGKFNLYVLLNEYQETADSKKVPHRDFYNVRKVDTHVHHSACMNQKHLLR FIKSKMKKFPNEVVLFRDGRHLTLAEVFASINLTAYDLSIDTLDMHAHTDSFHRFDKF NLKYNPVGESRLRTIFLKTDNFIHGRYLAEITKEVISDLESSKYQMVEWRISIYGKSI DEWDKLAAWVVDNKLFSHNVRWLIQIPRLYDVYKASGLMETFEQVVKNVFQPLFEVTK DPSSHPKLHIFLQRVIGFDSVDDESKVERRLFKKFPVPKVWDTKQNPPYSYWIYYLYS NLVSLNYWRKKRGFNTLVLRPHCGEAGDSEHLAVAALCCHSISHGLLLRKVPLLQYIF YLDQIGIAMSPLSNNALFLAYERNPFHQYFKRGLNVSLSTDDPLQFAFTKEPLIEEYA VAAQIYKLNSVDMCELAKNSVKQSGYELSIKEHWLGRGCNKPGKEGNAMVKTNVPDRR EEFRYFTLMQERDVLSKYVTYNATNEPLASGNENKMSESVASIAGHVSGMGLSTGNET ADPEMSPPAGITKVSSQIGLSGSREQTWPSDAMADHHLSGSDPRMFPGIFTRGHRTGS MRNLAQASDDRDTADDVFGPET FPSE_11546 MGFLGVYRALYDYVPQAEGELAINDGDLLYILDKNGDDGWWKAK KKAGADDEEEPTGLIPGNYVEEVSYLEQSIDVRSIDTKLSSLIFKAQPVEHARALFDY TRQTDEELSFHEDAILNVYDTSDPDWILTGLDGEYGFVPSNYIEMGAAAETAQPPLPS PPPPVLPSRPPAPPISEPEPEAASPDLPNETASPPSNPAAAIAGVMQNRSVPQPPQQP VAPRQPPRASVSFSEPPDVEDEPVRSPTLPARPRVPAPPSPSYDEPPSPAHTRSFQQS HIDPDRRQDVERTPGGFHMYNINEMISVMGKKKKMPTTLGINLLTGMILVAPEHASDG PSQEWTADRMTHYSREGKHVFMELVRPSKSVDFHAGAKDTAEEIVSMLGELAGAVRFG DLRETIIGNQSSSGGSGLKKGQILYDFMAQGEDEVTVAVGDDVFVLDDTKSEEWWQVR RLKNGKEGVVPSSYIEVTGSVAAPSSGGINSAKSLVEQNRLDEIRLTKAAIQAGKEPQ QVGPGMPLPERGSSLTARETGSNSGQQRSRRENERNDSGNQSRSKSKPDSSKVRTWTD RSRSFSVEAQFLGLKDGKIHLHKMNGVKIAVPITKMSREDLEYVENFTGISLEDDKPL ADVKRARSAEKKSPERTPSAGATIDKDPKSDYDWFQFFLSCEVAVGLCERYAQAFIKD SMDESVLPDVDATSLRTLGIREGDIIKVMRALDVKYGRNRGGKRGAADAADGEGGLFS GPGGALRNNTRKGRPAPAVQTSDVVDASAFSRGDSSKTGEDGAKSPAPTSPSNKNAEP RQAASNGFDDDAWDVKPSKQQSPQPPAETKPAPEPAPVSPLPPALTGSMQELSLLSTP LEPTRTEPAPAPAPVAPQVPAISAQPTAQSIGGATPSFFTSVAQARQRPTPPQGSGQG SLVPPPPQRPLSAPLSAQPSGFAPPPMMPQMTGALQGQVAPPGQSLSDLTQARLQQQY TAQMQQQQPLQQMQPNMTGFPGQQIPGQMPFQTGPGQFMQPMMTGMQGQNQFMPMQAQ PTGFQQQFPATQSMYGAPTGINSFLPPALEPQRTGMPGMLPQATGMSNMGNMGGMNNA PTPQPLQPQQTGPPPPVRFGVTNDANKLAPQATGRRANLSQATPQNPFGF FPSE_11547 MKDPFPLPPSVWLSEKTQPFADYFDLPTLPLHVHEVFAAALLYS VIFWPISPWISNLLAPEHYSKLSRKRRLNWDAHVVSMVQSCLINALAIWVMFVDTEMG NMVWEERIWGYTGGAGFIQALAAGYFLWDLVVTSLNFDVFGIGTLAHAIAALLVYSLG FRPFLNHYACVFILWELSTPFLNVHWFMDKLNMTGTKAQLYNGIMLLFTFFSCRLVYG TYSSFRVYRDVWSAINVNPNTEALMMPTMSFAHPDSTVPLWIGVAYLASNVTLNSLNF YWFFMMVRAVRKRFDPASESQEKVEESPITEAEIDFSSVATGPSKASGGRRRKA FPSE_11548 MAEALRELLAPEQTNDPAALEYLTYLAEQQSSFLQTSEPQVLSQ TSHSLLLAIQALSKRSHKPIVDSAASHASLRDSLPTLAQRASDLVQAVPRLDAQAEHF SSAFGKASDSKLLARRKQALLLLRNSERLVDVMEMPLLLSSAVSTAPVNHSTTLELYA HVRRLASLYPDSPLVTSVMEEADAAIRQMAADLIGTLRAPNLKLAAAVRTMGWLKRIV PDLVTDASTEDALPAVFLVCRLSTLLSTLEALEPLRDLADEERLRKDKATTTWSGGQQ TERYLKRFIEIFREQSFSIVSVFKSINSSFSSQATSSEDPLGALPSPMANFPLHMVEM LVETLRTYLPTVKDQTSRESILTQVLYCAGSLGRLGADFGLLLASIGGVEWVELVKRH RLLAGRLESVIGDYHRGSQAGTGTGTGGVGAGVN FPSE_11549 MGISIASSSAQHGDAAVPSPMTEKRASRPANSARHFVSGLGSGV TSAVLLQPLDLLKTRVQQSRSSSLVATLRELRKSSSLVQSLWRGTVPSALRTGFGSAL YFTSLNAIRQHAHQTGILGRRLQTHGRSSVLPSLTNSGNLISGAVARTFAGFVLMPLT VIKVRFESSLYSYPSIMSAVVDIRRTHGLRGFFSGFGATALRDAPYAGMYVLFYEMLK SRLGALASKPVASGDGQTRMQATLASSVNFTSAMLAGAACSIVSNPFDAVKTRIQLQP LEYKNIWHAWYKMVAQEGVRSLWDGLALRMSRKAMSSALAWTVYEELIRRAGAK FPSE_11550 MSRSSYSYEDEDDYEVRYQKRGPSPAAGVRYVASPQRPPNYYNA PPGPSFLGADRLNVTSIHRSNSRSRSRDHSRTRERRASSPPAPAPVIITNKIYNDLSS DDEDDRRKQVSRHYRRRRSRSRSSSSSSSRSRSRSSSYMTREEWEAERTRKELEDLRL ATAREKDERKLVKQFRDEWEAEQARKDLEKNRLASSRERDERQREKDERKLVKGYREE WEAERSRNELEKMHLAHTREEEERRRLREIRDEEELKQAKRELEQIKGRKARAEEEDR IKKDIELRRLKEEDEATEERQRREKEANSAVEDYKRRELERVTKEKKLKDQKEKEAAE AVERYKQAEKDRIAKEKADREAKDREYQKRLKEDLIKSGLDDKAIEAIMKKEKIKKEQ SFSRPQQQPQHHGMPGPAHQYAPVNQIATIPPQITTMPPQQAGQYAPVNQIAAIPPHQ SGQVARPTYTRMARRHLSIESLREFGVEFDYDADTDYVLIRRWVPEWEQDRLWKHTKL VREKRSKMLMVEEKRHGRDEPDFEWVRKKSDKHSRRRSKSPSLLMYLAGVRPA FPSE_11551 MSIPNEALQKLVREIESQALVAQQQIGLARTQITSKQREQRLVK LTMNEMSTLPSDAVVYEGVGKMFVSLPVDSLRQKLEGQTQTLEGEVDKLSQRLLYLET THKNSREHIEQMLRTK FPSE_11552 MALSANAKDAPNLEASQKDVSPKAPAAAAVAAGRQASKQQVRHR ASVACASCRDRRIRCVVPKNQNECTACAKSGAECIIKNDDERRRPISKAYMSSLSDRI SLLEGMLLEKGVVPPPAAYPPKTRHDFQEGMATASGNSDPSPQADPKSPGSDARSPPD SHADDTAGNENDSAAAGSQYENTQLNRSSNEGSPFRMFDSKHEDVIHRLLSTKGNLSF DQISGRLRFFGPTANSHVYAESTSLSDSREPSEQIRRAVKTIQSLSQETHDYLIEGFF HYYNSVIQIIDRAAFEADRSSKSSKFYSHFLHITVLAMGYRAADMDRDDMRKITLNPR ESTLHREAKHMLDIELERPGGIPSVQALLLLGDLECGVGRDNTGWMYSGMANRLAFDI GLHLGCTSNMSEQDTKIRNMVMQACVIYDRYWGLFLGRPLAIKSQDVDLLSNRFSQLA AFGLDHAKTDLTRDIYEQLIELMELAGRIVEIRDLTSSNRTAEQTGTFAANEAEENKY LQVINLDRQLQNWYRRLPDRMAWKPSNVKIAPYSFFLLHQQYHVTMILLHRPWAKYGA ITGDNASTGSYPSPENDMMADSESPGQPFGHNSEAPSTTSDDRQRAVHGSRTSLARNI CTQQAMRVARIFWQHRQRFDGRKIFITGIQHAGTASIALIAALAYQRNESSRQTYTGY LEILSDAVGDMSSTYHPAMRMDDLLKAVLEQISSMNDVPRSRSGSIGHPVSAGVSNAK GSLPFESNTSVPVVPVRREADAEFSQPVKKRRPSIHRQTSDFASSKSSFMGMTAQPTP SLTDKTYTLPYGQHSQPPLDSIMFPMNVHSQADQLGLGLVGNTVNVHHSGIPATHMMG AINTTNLPTSLPDNWGLHHMQPSFAQGQFHGAIDWTSGTAGLSASSVLNQSAAMSSGI MSGMVAFSSTKEPHKFTSNESAMNEPVPNKLSQMGTTWNSGTVESAGPGHVDGYGPGI GKPMQADDESNDEQRNYSLDFFHFA FPSE_08575 MPVRVPRLPAAKPSEIFFMGAAGVGVLTPLYLVMPGAEERLSNQ TNKWAPRWERNVSYFIPPMEKGVQRIEPPVAKMVQRVEDRLPLERMAKSVDKGIRSGI ARFNGENKP FPSE_08574 MSFAPINPRPMLEELVSKQVLVRLKWGETEYKGTLVSIDSYMNI QLSGTEEYIADKPTGSLGQVLIRCNNVLWVRAADAGGDADAAMSG FPSE_08573 MADFANFDGTPSSLGSDTNHRKAAILERHLPDGYSAEPDVAFGP ANPSGSVSASAANADDDSQAEPVESSLLLQGGDMHRDMFKIKARASSLRRAATFSHHA VPRLASEDELSHSEQREPGGFRRYHIQRRARQRRMSGYTIVAKNFVDFLDLYGSFAGE DLEDNDSEDESAIDDREDGDVEQPPELRPLLGRRRSSKRLRKTGDATTTKTFFTLIKA FIGTGIMFLPKAFRNGGILFSSITLIVLSLVNCGCFRLLLDCRQIYGGGYGELGEAII GPRFRSLVLASIAISQLGFVCAGLIFTAENLYAFLDAVTANHRELMFSVPTLIALQLV ALVPLALIRNISKLGPAALLADVFILIGIVYIWYYDIAALSQHGMDSTVKLFNPRDFT LTIGSGIFTFEGIGLILPIQSSMKKPEHFPGLLYLVMFIITIIFTSVGALCYATFGED TKIQVISNFPQDSPLVNAVQFLYSIAVLAGDPVQLFPAARIIETSVFGERATGKKSIA IKWKKNALRTFIAGVCVGVSILGASDLDKFVALIGSFACVPLVYIYPALLHYKGAAQT TRAKVIDVIVMVVGFIAMVYTTLVTVYQWIDSV FPSE_08572 MGSSSSKVAKGAARKYPARAPGVAVPQAVARQPRAEAPKTQSKT LGSSAKDEAIRADSTDPDFPTGDFSRRLHQMGIADSNPTYSPSSTAATPFGSSAPSGP YFAPSRSNPTLIALGARDRLQDEAEEDFANMGRGGRRFLDMRTMVDAMKFRDRGIPEK DIEAKLRIQHGLLSKLGREKTFSHVTSPN FPSE_08571 MVSKTSAIDHLSPNESTDTARVAPRRPKASRGQHSAEPKREDED PTYDLMDLPQPTHAPTDAQPSCKPPYDLSPDALAGNQNRTKRRGRPRLDSTQTKNPKQ DKPKDSSVAKSARVAKKPTKRRKRAEKGTSSGTDNPFVANGNHVSHGLFDLATANQMI PQCSPELITTCPKPPSPAGMCDSKTYISYQIDMARHLAYNGVSGPYRVGEFLCDIYLP DGRFVRIASLNEDPYGNSFWCHMMTGMRIHSRDASKVTGKPVAMSNGTLLWMEDENGK MIPPEWSFNEPHNFPRVPSTAPLQHPGLRSSYNPTLF FPSE_08570 MDISRESALQAASAANIISKFVAYEQVQTLSDLRGTLFEPIVNG KQGSLRLDASRTGVVVLCPSAVLLTTETAFSMVKELIETTQSGSIVLVLCGGIGHSTQ LMHDAISRHPRYSRISDRLRTLPEARMLEAIAEQFWQLRVDSGTQASMETATRERLTI LVEDTSTNCALNAVNTRKLLDANGYTSPHSIVVAQDPTMCRRTVAAFEQVYANKADET PVLRSWPTFVPKVLLTDSPSPENTGGLTSLLSYDFSDSEDNRKTDLWGMDRLMSLLVG EIPRMRDDENGYGPRGKGSIVHVDIPKQVEDAWKLLYDLLGQSVR FPSE_08569 MGTGKKEASRRERQGKGGDGLNNVKTKGENFYRDAKRVRQLNIL KEGKAQRNADGEITKAASFQSRDIPNARIEPNRKWFTNTRVISQDSLNAFREAMDEQA RDPYQVLLKTNKLPLSLISDGKNVNTENGIKQHKAKMTVETSPFAEVFGPKAQRKRVK LGVSSLDDIIGDTEKSMDTYRDRLEQQKLLSGASGDAEDLDDAGKPLTMAIEPVFDKG QSKRIWNELYKVIDSSDVIIHVLDARDPIGTRCLSVEKYLKEEASHKHLIYLLNKVDL VPTSVAAAWVRTLSKEHPTLAFHASINNSFGKGSLIQLLRQFSSLHSDRKQISVGLIG GPNTGKSSIINTLMKKKVCTVAPIPGETKVWQYISLMKRIYLIDCPGIVPPSTSDTPT DLVLRGVVRVEKVEHPEQYIQSLLNRVKKHHMEKTYELKGWDNSTEFLELLARKAGRL LRGGEPDLDGVAKMVLNDFMRGKIPWFTPPPKSEGEADTKVGNREGRLGEMPRKRKQE EAVDDEDASAEAQLQREAEAALEKAEGESAGEESDFEGFGSDTEEARSRKPSFGATVD GESEVESAEDDVISVGGSEEVEEEEQKAPAPAAKSKGKKNKGGPPSKKRRA FPSE_08568 MSFGLTTSGTRVAARQLLTASRAFSTSASALEQVPPESPLYIRL PTPPQSDEKKLPRVRGYLPVPREIFPRAEDKHKRTPEYLAKTAPKPRFPKKAENKSQA WKKTMAEARRKNLSHGLNDLWLRQERRVRLHNQRVSHEFDKNHEAVKAPERADDVLTR STVLSGVLETKTFAHPHRVRLAIQRQAKNKKILSAKREARQDALMELYINASKFIVSE GELKAEIDTIFAEDFFHKQGFDVGRYGSAENTWDVWGKPTSINDMLETTTGVSTKIMD FYETEYDRSVKRQKRIAEEFTGGKME FPSE_08567 MAPALRSLLIGAVAFWPGIAMASHNATYSQADQLRAQLALMGDR PDGCPPCFNCLLPAHTCAQYAGCNEFNGKCACPEGFGGDDCLEPLCGSLPKGKDRPMR SGSTCECDEGWTGINCNVCTDNKACNALMEKGEGGVCYQNGEVVNHNYQICDVTNQKI TSLLGKQRPEVTFTCKREDQTCDFQFWVDAIESFYCHLSECDSTADWEESKNTTSYKC NKISCSCIPDRMLCGKDGSIDLTDFLDQSIQGPGRFECTQKHGGTHDCAFKEPEMDAL ILALIGDSSIFLDCHAGECLYETEVPGWKRPVKQINTPLIAGVIAGCSLFLVAVIILT WYLSRRKLNYGAIRLEDSDDENTKLMADHKPASLYFEDVAYNLNGKQILTGIRGICKP GEVTAIMGASGAGKTTFLDILARKNKRGQVYGNFYVNGEKVDDSDYKNVVGFVDQEDT MLPTLTVHETILTSALLRLPRDMGRAAKEQRVLEVEKELGIHHIRDSLIGSEEGKGRG ISGGEKRRVGIACELVTSPSILFLDEPTSGLDAYNAYNVIECLVTLAKTYKRTVIFTI HQPRSNIVALFDRLVLLAQGRTVYSGPFNQCQSYFDEIGYECPPGFNIADYLVDLTMH ASSTQSVDDGTVGLDNSSVGPSSTRAIKSVASISGTTSGDDDAGSSRPKNHRRHSVKL RQERQLFTRRKTVDTAASSDAGGEDNGAYRLHQNPVDSLTAPLLEDPHDLPPAAATGT DLDIMTQSFVHSDIARSTHEEIQQAIQTADNANGANSNGYTTQGPNIHVSAVGKGYAR VGYLRQFIILSQRTWKNLYRNPMLMLTHYAIAIVLAVFSGYLFYGLTDDIPGFQNRLG LFFFLLALFGFSTLTSLNVFATERLLFVRERANGYYAPATYFAAKVLFDIIPLRIIPP ILMGSIIYPMTGLVADSTHFFNFILVLVLFNMAAAAVCLFIGIVCKDGSVANLIGSLV MLFSLLFAGFLLNHKATPKGALWLQTLSIFHYGFESLIVNEVLHLTLVDSKYGLDITV PGAAILSSFGFNNDAMWPDIRNLGIFAAVFIVLSYAAMHILLVERR FPSE_08566 MVRIAPFAVEQWMDKYETTAGALNVAETCAASVSIDDLVGMCRD TQTPGPVDTSIKLTYGAIPGSQVLRERIAARCSSEGTQLAAEDILVTQGAIGANFLAL YSLIGPGDHVICVYPTYQQLYDVPRSIGAEVTLWKLKEEENFVPNTNDLVDMIQDNTK MIIINNPNNPTGVPIPDSVLKCIAQIAKEKDVILFSDEVYRPLFHGGASGRMQAPQPA TAFGHEKSIVTGSMSKGYALAGIRVGWIASKDKSIISTIMAARDYTTISVSQIDDQIA RYALSPAVLPSLVDRNLALARTNSRLIKEFIEGHKSVCSWVEPTAGTTAFVRFNNKNG EPVNDVEFCLDLLRKNNVLLVAGSKCFGNDKDFTGYVRMGYVCETDVLVEGLKRLGTF IESNLL FPSE_08565 MRATRAARWLNSRLILGAQQSSCGSHSFTISAAAPAWESRRAFS QTKRVCNAAQEALKKAQEDAASLTPEYVAANMSTEEAKRLSRVRNIGIAAHIDSGKTT VSERVLFYTGRINSIHEVRGKDSVGAKMDSMELEREKGITIQSAATFADWKKTENGKE ETYHFNLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQSQTITVDRQMKRYNVPR LSFVNKMDRMGANPWKAVEQINTKLKIPAAAIQIPIGAEDEFLGVVDLINMQAMYFEG PRGTKVRVTDQIPGPLQDFAKEKRQALVEKLADVDDEIAELYLDEQEPSNAQIKAAIR RATIARAFTPVMMGSALADKGVQPMLDAVCDYLPNPSEIENTGLDKSKDEKTVKLVPY DSLPFVGLAFKLEENNYGQLTYIRVYQGKLSKGTYLFNSRTDKKVRIPRIVRMHSNEM EDVSEVGAGEICAVFGVDCASGDTFTDGGLPYTMSSMFVPDAVMSLSIKPKRTGDADN FSKAMNRFQREDPTFRVHVDAESEETIISGMGELHLEVYVERLRREYKTDCVTGQPRV AYRETIAHRADYDFLFKRQSGGPGDFARVAGWIEPNDEPDKNHYESQVVGGNIPDKFL SACAKGFDAVCEKGPLLGHKVIGARMVVNDGATHVTDSSDHAFNLATQMAFKKSFPEA GGQVLEPLMKTTITAPNEFQGNILMLMNKRNATIHDTDIGSEDFTLICDCSLNAMFGF SSQLRAATQGKGEFSMEFSHYAPAPPHLQKELVAKHQAEIEAKRTK FPSE_08564 MSFRTLRIGLIPGDGIGKEVIPAGRRILEALPSSLGLKFDFVNL KAGFETFEQTGAALPDKTVEVLKNECDGALFGAVSSPTQAVKGYSSPIVALRKKLDLY ANVRPVKTVMTAAKPIDMVIVRENTEDLYVKQEKTYDTPEGKVAEAIKRISEKASFRI AAMAGDIALRRQKIRDAGASSIHKSPLVTITHKSNVLSQTDGLFRATSKRALADPKFS SVAVEEQIVDSMVYKLFRQPEDYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVGEG FAIGEPCHGSAPDIQGQNIANPIATLRSTALMLEFLNEEEAAAKIYAAVDGNLEDGKL LSPDLGGKATTEEVVADILRRL FPSE_08563 MSQVKNLRAMFENKGDTSPERGRSSGASTPVQGTSVVSESPRPL SKVRTNFVAIEKDGRIGLQRDPSHESSVSRRRLSMDTDSESVSTVPDRPSASLEDAPR GNKPFFQEDIPESPRHPIEPPKTPTEQMGAVTDEPSINPDKQIDKEEPSPSLLPAELA PEEPTPEKPASEEPTPEAPAPVSPKKETKEKKPEAVPAAPAATNGKPKANTVKELAPA AIVKAATPKKTTTTRPSAVSTKATSTKPPAKSPSATKPPSSTTHKTETKPTAKTAPAA KKEHRQASAASSTATRPTATTASKKPQPLKPTTSDTGFVKPKPKSPTKPVHLPASLMA PTAASGAKTGRQAQASASSQNLNVPARPGSRASATGTSASAGKTVKRQPSNVGRPRPS LGPPPKKPSEPTHAKKEAPVDESFLARMMRPTQASSSKTAEKVPTTPPKKTTKRSSSS GADPSRREFGVKTPGSAKKQTRRPAESKPSAAGSVASDETPTIEVVPEEQTPGPTKSQ STTEEIKANDAQKDSKKPGQALVAADEGHNEVVEPVQKESQAQEAVKTPIKEKQEAAR PIAPQPAREEKPVKVSNITAPEKTETSPQEPPADQPNEPVVESEKPTENSDIVPLVEE SSSQPPVVVKETAETSGNELGQETEQEVVPLLEKDPEPALESETTEKQNTEIETASKD NMDDVKATDAAEEKDTTGHQTEEEKN FPSE_08562 MPSITNIAHMCSHLQNASKARLGITSVKNCKYNLQLALALHRSG FFSAVYRAGPRPPTMEQMISEPPVTVTNENVATMRLWLGLKYWDGKPVLGNANAISTP KRLMTANIKELARLTRGFPTKVSGGVVSGLNLGECLFVATSQGVLEAREALAKKQGGL LMCRVS FPSE_08561 MEKPQKIVVVGAGPVGSLAALYAAQRGHEVEVYELRPDLRDPST IPLNFTKSINLAISERGINAMRHAGQPGLLDHVMSTTIPMRGRMIHGRSPTGALFEQS QDYDVKGRAIHAIDRAGLNKRLLDILDNMPNVKLFFNHKLTGADYRTCKAWFEVADGK TSKESRYKEIDISFDLMIGADGAHSAVRYHLMKFTRMNYHQEYIDTLWCEFHLKPVQT DNTADPMAKFRMSPNHLHIWPGKDFMFIAIPSDDGSFTCTLFMPSKDFSDLESNPASV PAFFDSHFPGVTDLIPGDELIESFNTNPHLPLVSVKCKPYHYGSSCIIVGDAAHAMVP FYGQGMNAGMEDVRILFSILDKHGHIDESNDPSSESSSASGPAFQRALALAEYSAVRP PDAHAINDLALQNYVEMRSSVLSKRYRLRKYLEEWMSVYFPRLGWQTKYSRVSFSNEG YLDVINKSDTQGKILVQGFLGLLASPFIVSAAMFCYRYRRSISAMFKAIRQLP FPSE_08560 MATDAPAKQCMGADCSNDAGSLQCPTCLKLGIKDSFFCSQECFK RNWGIHKTMHKSQSNILHHLKAPKAISPDPATGYYNPFPNFPYSGSLRPVYPLSPHRT LPQSIPHPVWWQDGNPRYSRSLTNRNKIEILDKAGQDAMRKSCRLAREVLDIAAAAAK PGVTTDYIDELVHKACIERNSYPSPLNYNNFPKSCCTSVNEVICHGIPDQRVLLDGDI LNIDVSLYHEGYHADLNETYYIGDKAKADPDTVRVVETARQCLDESIKAVKPGTLIRE FGNIIEKHAKQQNCSVIRTYCGHGVGKLFHCPPNVPHYAKNKTVGECKPGMTFTIEPM IALGKYRDITWPDNWTSTTIDGKMTAQFEHTLLVTENGVEILTARQENSPGGALPMPS TENGDAKA FPSE_08559 MMSGEAWLFLLSVLINAVNLFLQVFFTIMYSDLECDYINPIDLC NRLNTYIIPEAAVHGFLTFLFLINGYWVPLILNLPLLGWNVKKIVDNTHLLDATEIFR KLNVHKKESFFKLGFHLIMFFFYLYSMIVALIRDESS FPSE_08558 MADFGEYPPNMAPQDALIVREQAEPDHAVVPFTAEDLSRPKAGP LNPFKDESNALKRKSVPTGHAEETFLSEHTFRSKHRAIERLGGRPERQYQTNAELKAE AARIRAGREDKGSATIAEGPGSYVGPWARYKKAEYEIVAEHEQLASDEEYEIVEEEEE VVESGTIVKAPTKALEQRKEAEKMGDERTEFHGSAETDYQGRTYMHVPQDLDIDLRKE VGSVTNYIPRKQIHSWKNHNKAVTALQFFPTSGHLLLSASADTTVKIFDMYHDRELLR TYHGHSKALSDICFNTSGTQFLSSSYDRMIKLWDTETGVCVNKFTTGKTPHVIKFNPG PEHANEFLAGMSDKKIVQFDIRTKEVVQEYDHHLAAINTITFVDDNRRFMTTSDDKSL RAWDYGIPVPIKYIAEPDMYPMTRAAPHPSGKYVAYQSSDNQILVYGANDKFRQNRKK SYRGHNNAGLGIDLDCSPDGQFLASGDSGGYVCFWDWKTCKMYHKLKAGNQAITSVKW HPQETSKVVTAGMDGEIRYWD FPSE_08557 MDEKDGPPPEEPVHTPVSATVPITNTSTNGLLLDAQSDKNRDRV VTGNNQETKCTNNHQKSKPVTALVSNETFVARQSESPETRLSGSLDSVVIEYGGTSGS DLDSTSDLEFSSDDEDCEHETTEDFLPKATNYCDPLNKNGIDTCDLGAGDMNTPDADE YVHTKVASLPLKPTEATVIFENEYFQVKRSDLAGWGAFAVRELKEGDQILLEKPLFTA TNLTLFDGFASLSKPLQDVAYSLHANDNFHAAYPVEVLIWKTNAFSTANPSKGRCYDE AGLFPIASRFNHACEPMNSVKWHYSHADGNLKMTIQAKSIEAGKELTITYDTLSPIAL YDRYGFQCSCGGCPGLSDWELKEREECQW FPSE_08556 MLLMPRHHAGLIGPAFGRELDRPSYQTRERSTSRPIWQLRPPHA TRVSMIERLPAEVRDIILSHLDYQSLIFLSMVNQHFHRSVVPQTIASPLDKFSFIMRA AKDFPQHRSSETRNKHQPGNSECYYCFRVRAPEHFDVLQATIAYFDPQGRVVSGRLPG PEDKPAELRRFCIECGIKTGLHQPSDCLETKTGQNLWVCGCRRVWQKPECLRCPDCSS TCPLRPKKKWASERYRHLDDAVMHVYGDTSYASDKSVSLLKKHEHQLGQGWRNITVSV SEACSHTI FPSE_08555 MSLFEEANCDRNATAPTASDAGVAGAGILLSFMITALLAVILSS SVIFAEMRGKESVIRRKLLNGYSDSQIMQGIGIQSVGLAKMNSLVPYHFFLIWMLSLL SMATHNATLLALKQDYRRDWVIRWMRQFLMFVNLALSCVSGVFVLEGVSKGLDDKTLP VSCVWKVDGTGAASMAGLSYVGTIVVIAGNCIVFGLATWYLHSKQQRFYKAIQIAGLI LMTAIAVGAAVRIILLSQAFGHPSIELKDQGETVWSFGQLLSMLLLILPLVNVIEIYR GEMQMARPVQDERAKVYDGELQDNPQGSRTNLFQK FPSE_08554 MAPKIAIVYYSMYGHIKQLAEAEKAGIEKAGGTADLFQVPETLP EEVLAKMHAPPKATDVPTLNDPSILESYDAFLLGIPTRYGNFPAQWKAFWDQTGKQWA SGGFWGKMAGIFVSTASQGGGQETTAQNAISTLTHHGIIYVPFGYAKAFGTLTDLSEV RGGSAWGAGTFAGADGSRQPSAKELELAQVQGEHFYQTVAKFTG FPSE_08553 MHLNAPIPRGRKHGSLLQRRQSPGTGQNMVFIILGSLAAIVLAG TLIICIRKSRADKKKLKGAQTQDANKGGIFKWIYGRQGRYAQTASEDGGESARQSHQL DSNSPSTNSRQNRNSTRNNNTNGSSNNNACATIDRNTSVRSVLTLPAYRQSANPNEQV LGREGERDGVDVIIDLPTAEAEEEARNEEMETMYQIRLARRQAIAEREERRERRREAR LRNDYRELEAIRAETRAANEDNTITELRTTVDQLKDNRQRSVSSVSYADVGVARHDGT RIRANSIESERVGLLSDAASMQSGHQRGRSFSSAASHDDDFSSLAPARSRGASIRSGS ADGRAGSSPELVEADLGDEAMPPPEYEDIPLNDDRSSNHGPPPNYPGPEQSVSQGTSQ TTERDLGSDWQMAEAPATAGHNSNSSRNGEGNGAAPQLPSLSIPQLPEIVIEPSSANP RDGERSPHR FPSE_08552 MATRQGEENVATLFADIHYFYGPEHVKPRHHRFDKGSYVYLFEN ANERRCRIEIANQPGTEDQDALVGYLDQAHVRYSYKQHCAVTLTGPEAVADQNEWHLP TYDPYNQNKYHYQLHSLDVYFWTQQDALQFVNGVRRVVPPSHVEVLDEPGPPPQPAPM SAVVQQLENVAISDPQYGSAAPSFAPPPTAPAPAPSSAAPSAPASFVPMAYNPAAPAA PETIQHREKTPPPDEDPLNPLAVAVAYDYHKQPFTPGMPPPSQFPPGGVTSPGLPPPQ FGQHPGIQRAATMPAHALGSPYGATFPGSPGFVSPPPPPQTQPPAQAQPHAQPTPPAT NPGLPPPPPGGFTQYSYTQQQQQAPGQAGSDYSVHQQLYRPTQTELANSEIHGYEPKT SEPRGKLEENAGRLERGVTGMLRKFEKKFG FPSE_08551 MEAADIPGEQPPLQTVKETSVTENETKDASEDIPENAEDGASKT LKYSLLGPSLLKAGQDKVDQTKVSEIIYNASKGSKFFNREEERDKTLTQKIEQIIARK TQLEKRDLTRDLRNADRLIAELELTRDLTQHIVHVDCDAFYAAVEQLDRPEIKDLPFA VGGGVLTTCNYVARKFGCRSGMAGFVAKKLCPNLILLKPNFQKYTAKAHEVREVLVNY DPRFESASIDEAYLNITEYCQEHQMGPAEVVEQMRREVHQKTNITVSAGIAANARLAK ICSNMNKPNGQYVLSSDRPTILAFMRDLSTRSVNGIGRVLERELLEIDIKTCGDLYEH RQYLNPLFGEKTSEFLFTCYLGLGRTKIQPAEEYERKSVGTESTFRDMSDPTQLREKL RSTAEDLEQDMKRAECKGRTLCLKVKLHTFEVFTRQAVLPRAIWLADDLYNYALPILT KLEQDMPGMRLRLMGLRCTHLVKTKKPDTMAFFGFKPRRTDSEERKPEETLKRKASDD GEWEQWPEADFQLEDADGLLEGSSSNQDTPEQSPGRRHGKEIAPNPIKADVAEEQWWD CPICSRPQAANERQFNEHIDLCLSRQTIREAIQADDTSIRRDPTPESKRPRTIEKKRG RPKVPDPKQKQLFFG FPSE_08550 MFEFIKNRWQDLREEHPRLDAVATKVWMVVPPINFITIHYAYFI FGSLIFSVIFWGSSQPSQSIDYIDCLFLVVSSFCDTGLNTVNLSQLTTWQQVLLFILF IIGSALWVSFWTVMARKHAFEKRFEDIVRTEREARKRRTALRRSKPLGRFISFDKYKT SPASTGTSGILPGLGTKQKTETEKTDTNTNDLFTTTKPIRRAVTAPEEPISSDSDDND SNRTHVAASSRIPPPTPSSGDHIQFASNLSPRSGGRDRDSVSIYRRNDDTELDRHPSR ASVADSDESEDFLLHWKKILGSHNTSKRGQFYDLSSDEREALGGCEYRALKVMSVTVP LYGFAWQAICGIALGAWINNNRPSAATVNAQNPWWCGIFLSASAFNNAGMSLNDAGMA AFQDGYFVLIVVGLLILAGNTAYPLLLRFFLWCSLRLLQLTTQPKTLGPWKETIEFIL KYPRRVYTTLFPSGATWWLFLVIFVINTIDWVAFEVLNIGNKVVESMPVSDRIIAGWF QAIAVRAAGFAVVSIGSLYPAVQLLYMIMMYVSVYPVSITMRHSNVYEERSLGIYEDD PQVLEAENGTGHLRTISEAPEKPALRRRVTAAAQKTVKKSMTFHGVGVRPPPKGPDDN SRISFIAQQMRGQLAHDLWWLILPVLIIMIIETDHFNQQPLAYSIFNVLFEVVSAYGC VGLSVGAPGQSYSLAGGMHRGSKFVLCLVMLRGRHRGLPVALDKAVRLPGENLAREEE EDSKIRRTNTMFRMASRESRAV FPSE_08549 MKAALFLSALASAAVGVVAEELKIDVTLPVVCERKTQKGDGVHM HYRGTLKDSGKQFDASYDRGTPLSFKVGAGQVIKGWDEGLLDMCIGEKRVLTIPPEFG YGQRAIGPIPAGSTLVFETELVGIDGVPKPEKIETKVVEGAESAAEAISEATEAAATA SQKVAGKVAEAIVDAAKAAKTIIADTDDAPEHEEL FPSE_08548 MSSPYTVQIHQSTKEPSISSSYSYSSGSYSSSTSTTPRSLSPGE YREYGADKHTTQVAKSGRNLVINHNKVSYEKDSPAPTYAGAYTRT FPSE_08547 MADTTEQQQPKLVDDSPISPVERRNSLEAHLKHRPERSELIEKN ILPASNAAPGLQAHQKELEKHMLEDKLNDKISHRPDPESLIKEGVLRDDPRDVTQDEA AKKYDEAIEDEYAKREGGA FPSE_08546 MGISRRPKNKGAGAAADGASGGAKPKKATFETTKKKEIGVSDLT LLSKVSNEAINENLKKRFEGREIYTYIGHVLVSVNPFRDLGIYTDDVLQSYMGKNRLE MPPHVFAIAEASYYNMKAYSDNQCVIISGESGAGKTEAAKRIMQYIASVSGGESGDIK QIKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLQIYFNTQGEPVGADITNYLLEKSR VVGQITNERNFHIFYQFAKGASQQYRETFGVQKPETYVYTSRSKCLDVDGIDDLAEFE DTLNAMKVIGLSQPEQDQIFRMLSAILWIGNIQFQEDQGGYAEVTDRSVVDFAAYLME VTPDQLIKGITIRILTPRNGEVIESPANPAQAQATRDALAMAIYSNLFDWIVERINKS LKARQPTTNTIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTLKAEQEEYARE QIQWTPIKYFDNKVVCDLIEQIRPVGIFSAMKDATKTAHADPAACDRTFMQSINGMSH AHLTPRQGNFIIKHYAGDVTYTVEGITDKNKDQLLKGLLALFQHSGNDFVHTLFPRPV DTDNRKQPPSAGDRIRASANALVDTLMKCQPSYIRTIKPNENKSPTEYNGPNVLHQIK YLGLQENVRIRRAGFAYRQDFDKFVDRFFLLSPATSYAGEFTWEGTTEAAVKQILKDT SIPKEEWQMGVTKAFIKAPETLFALEHMRDRYWHNMATRIQRMWRAYLAYRAESATRI QRLWRKKRTGAEFLQLRDQGHQVLGGRKERRRMSLLGSRRFLGDYMGVNASTGPGAQI RNAAGIGSNEKVVFSCRGEILEAKFGRSSKASPRIIVISNSKFYIIAQMLVNGQPQIT VEKSVPLGAIKFIGVSSARDDWFSLGIGSPQEADPLMNCIFKTEMFTQMQRVMPGGFN LKIAETIEYAKKPGKLQQVKVLKDSQVPADYYKSGAVHCQPGESPSSASKPTPKGKPV PPRPITRGKLIKPGGPNGRPSRIQGNRAAKPRPGGGARAVPQPPAAVSAAASIPAAVP APAAATHNALPSHAKAASAAGRAPPPPPPPATPARPPSPPRVMAKVLYDFAGQRENEL SIAAGEIVEIVQKESNGWWLAKNPQTAQQAWVPAAYVEEQAPPAPRAPPAPPRSKPTP PAPPAKRPAAGRKPAELQQRDSGMSLNTPNGSDSRSSTPTPSLGGSLADALLARKNAM QKEKEDDDDW FPSE_08545 MAGLNDVPFIKGLAVPSVCALIIFLGYASQFLFNYSTTLEPGPP TRRETIIFNSLLLILWITYYRTVATDPGRYIFKDRVIEAEGQRWCNKCAAPKPARAHH CRHCARCVPRMDHHCPWTRNCVSMTTFPHFLRFLIYTNMSLWMLGYFLWQRFSKIWEH RRLPAYLGPSFYGLVCLSLISIVNFVTTVALGIMLINTVRSWVFNQTMIEGWEQERHE ALMDKGPKEWWDIMGPDGEKVRFERLEFPYDIGFFSNMAQAMGTHNVLLWFFPFAGNP TIAKDGNGQGWIWEENGFNRIEGLWPPPDPDKLRRAARGWPAGNRDYAEELRQANMSS SEYKAGFLKRQADDEKRKRHLMAELEEVDDFDMYDDEEYDRELDQGLGWVNSDGDRLR DYGVDEEASEPEGVNDDDDVPLAELIRRRKVLKKDGLDD FPSE_08544 MAGDTVVQLFALCAISSFFYISQTFERHSLTESPRLSSFLLFLL SGLICYGVSYITKWLPGADGRFTSHRRQGSLLDGVPDGAAKNENTHLPGRPRRLSLPI LILCIVLRLEIFHRVNYQQQCATPGLESFLCVLFFAYEIFTSRKKWGFPPPEDPDDPW RSCFDDLIDWFSGPRVTLTMAVFSILVFSTGTYHAIGRITRSTYYCFELVESRRMTLA LQCMGLMLDVIIVILLWRLLAWSRTAKLRLRTLGTVLTLSSLSMSLVWVGSRIFRGSS VLHAGFGFLYGFDIIVDSVAFAALMISATFWVCETSPLTPASIITFLVGTAKACHDIF QYGDYLHPRRLPEIMPLYMIAFGMIAFTYSHGIRSVLFIRRFFLLALLVGLAMGTTVF IAKSKPQTFVRHPINDFIYRANMRQGRWMQEATISNSFPVAHKIYKERHDGRDPPPAF ADWYELAKHTVVIDRFDQIDRDLAPFKAISPRKLRQRTSLVSQMPGVHVIGIKNGNAS RLPEVSGHDAELLDSLVATINKFSKFLPDMLFPINLSPSPRVLPSWETANGQSRADLT PIVNLISKRSVEEGSLDGAGAKPAETPVSKPLPALPKSPQTDHQSTISPANYRQMQVE GCPPGSRTRTSPHWNIGEFCADCVRRHSKAQVMVNWERSLEYCFQPDLKYLHGMSLSS PHAEPFRDLLPLFGPYKSEPFMDILIPLPQPEDDKADISWNFDRRYDSMFWRGQTGDG YIPNQALRGNHKFRLLHMMGNRNPEDKVSMILPSAKDPSVYQHEKVPIAEANLVAPTS IGMNDYTGCKGLNCEILLQAYPIVEESKDQEPLEYRYILLLDQDNGPSPDLLRVLRSK SVPLISTLFRTWYSDRLIPWLHFVPIDTRYQGLHTTLTYFTGTHNKAHINGRDTDMKA QAKDAAWIAQQGAKWAKEALGEKDREVYLFRLMLEWARLVDDKRDDIGTVKNDKGEFQ SLPWTKDQSWQL FPSE_08543 MNSVAHSMAEASPAITTAPINQHQQPQPPPSPSPSQPTSVSETA PTPSLPSISSPSAQSASLPAVLAAATAVASVSVHSLPQNPDELPPLRQPSPTSPAHYT FEQHQQQEQQQQHHHHHHHHTHHQLHPVTPPLRKDTCSSISTQATTATLASTETNNTS YSADTSPNLHQSIFSIKDGSDVSNNRRTSRRRTGPLSQQSRERAALIRKLGACIDCRR RRVACHPSHHNMTWEDVVSKFHRSHSPTIQDIAPSFAAAGRPLSPAAITNVQALFTHD TQEMDIDSGTPGSSQPGRPPLSDARIRTPLPSGPRLEKSLSLPGIESFKNDLQTNVGR MLSTPSRDRYTSVQVMFLFWQDDEDVPTIQSAVRELAEVFDKYYRYNFQVQAIPSSSE GCKSSWRWLSRQLNEFVEDRDERDVLKIVYYAGHTYLDSNREMVLSSSRDSEQASTIR WGGIQQTLEEACADTLIIMDAAYYPSTRMIRQQGVLELLAASVSEEHFYDIDRCAFTR ALAEQLRIRAARLSPLSAAELHANLFSHYSKMVQDKHPEKEVLTSFPSPLHMMMSGNS RLPSIFLSPVYENSPMRTSFSSYENSPQLHLSIRLDDDSVDIESWNEWLRLMPEGIKD IKVEGPFRATFR FPSE_08542 MGGVVSAFSSSSGEDPIAARQALEKQLADREETIAVLEEDLSKK EKECTTVSKDAEDLREKIKELEAQSSLALDETHARIAILQDELKKGGDSTSEELRSTK EAAEQKAKELEDAKSSLTATEEKLQGLEQERQSIADELATLKAELVEAKEAREALEAA LTKEIDTLKTQISEAEQKHQALTKAHSTLEEELAAASSAADEGKQALTGSEDKFTTLQ SSHDKLESELKAAATALDEQKKALAGSEEKYAALQQTLDSVKEQSDSQIATAKKDLAE AEEKTNTLQETHNKHKADSENELSELKKQLAELSDLQNKYAALEETNKSLENELAELK EKVADLEKTNVSLKSDTSSELVAAQNDAAEWKEKHGSLQTTHDGLTQDLEAAKKDLAA SEEAQKKLAEEHTTALTKAQGDSSAELEQVKKEAADLEAKLKSTADEHEALKKERDEQ AEKLKTVTGDHETSQQKQEETEAKLKAATEERESIEKELNEKSTKLADLENQIEEAQS KAAKAEEDLNASQTEKKELESKIADLESNAANSKESESGLTTKLQEAEDKVKNLESEA AQAKESESELKAKADEAEAKVAALEADAKKAQDSEAELKAKVEEAEAKIKSLEADATK AEEAEAKVAALESDAKKAQDSEAELKKQLEEAQAATEAEKKESADKTKSLEDELNELK EKFAKAEEAAQKVESLEAEKKAAEEKAAALELEKTDAEKKAETAKTAFSSALEKVKAI QGEKKEALEKVTALEAEVKELKEKSATTNGSAEA FPSE_08541 MRFATFETSALLAALLALPTALASDSLTLYLPAKPNPFTLPAST HATLSSAGIHISAPLSAANTFVFHNVTPGSYLADIHCPTDAFHPVRIDVQLEEGGEEG IVRAWETFRGNDWNNKGEVVPVKEGSKGRGFEVRAIGGKNYFMERPQFSVLSILKNPM ILMAVVSLGLMVGMPKLMDSMDPELRAEFEEQQKNSALSGVMSGQASGDNPLTNFDMA AYLAGSKPKDGGSPAASNNGGNNKNQGVRR FPSE_08540 MADFAPPTGPPPPKAPEVPAGWAVRWNDQYKEWFYVNVYTKQSQ WEKPTAPVFPSDDGAPAGPPPGYEPGNTPIVTDTKKNPYEDVTANAGGSSQDEDAKLA ARLQAEEDARARSGPGGPDVPAGYGGSNNPFPQSNSPYPQQQQGGSNYPSELPPRDRG AKSGGFLGKLIGKGKQMADKRQQQQQGQGGYGSGYPQQQHYPQQSQGGYPGQGPPMGY SAQPHYGGGGYGAPGGYGGYGGGPGYGQPGGGFGGGGMGGGRKPGGGGMGMAGGAALG AGAGLLGGAMLMNSFDNDEQDAYQDGFEDGADFDDGGD FPSE_08539 MNCNELQEHARADCFLVKKPRALQWFYKGELQKEKEEERQAGRF ELFLDLLYVAIVANFSDELAEHPDGAHLAKYILIFAPAWHIWADLREIMNSYYTDDIL QRLVILWVMALLVLYANNANDADVDIAAMRTTVGAYLVARFTTLTVFLVTSFAAYQHR AQARIMAGFMFIGLIITIPLFLEDISIRAKSAIVAVGIFYQEATWALTLSPWIKGKLN LTYSTAVDIAHEIDRMGAFFIIILGEFVYSIIVGNKTGIGLTSGYAKAVCTLIIAFVL NWIYSSGDGSVQAVHPIRRSAWTAFGFFLLHLPLAASFLIGGHVAAASTAIEEFEDGQ RWLVGGGLGVGMFCLWVYGMLYRVDGECALLMGQTLRIGMRLVIAIVLIIIPESHNHL NAEDFMFVVMGLFAFLLIWETLGGLSKTSKLFEPWTDRHPPPEEDDREGLAG FPSE_08538 MSEELSKNFETLQLHAGAEIDPTTRSRAVPIYATTSYAFKDSAH GARLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAALAASSGQAAQFLAISTLAQA GDNIVSTSNLYGGTYNQFKVFFPRLGIKTKFVDGDKPEDIAAAIDDKTKAVYVESIGN PKYNIPDLEAISKAAHEKGVPVIVDNTFGAGGYFIRPIDHGADIVVHSATKWIGGHGT TIGGVVVDSGKFDWGKNAARFPQFHEPSEGYHGLKFYETFGNITFIIRARVEILRDLG SALNPFAAQQLLLGIETLSLRAERHAQNALALAQYLEKSPYVSWVSYPGLESHPYHET AKKYLKRGFGGVLSFGVKGGGAGSEVVDGFKLISNLANVGDAKTLAIHPWSTTHEQLS DEEKRSSGVTEDLIRISVGIEHIDDIIADFDQSFKVASDATTKGEKKEIPLGDKEAEA PLAP FPSE_08537 MDLCSSWNIGLMVQFPYSRTRTDTQNHTEEHEKLPSLGATDRPV HPAPTTNSRALDRGPSYSFRISPDMLSYDIGTSSFDSDMLPGLESCRFLTLRLFYTSR VLYA FPSE_08536 MGRVAFISLRVLQLALSIASIGLSSYVVHDYDRRSRGSAPSPFS YLLTSSIVSIVSVVYLTIAPLFVPRLYHQYAAVVVEAVNAALYFAGFIAIAVFIGSLI MCEGTVCSCARADAVVAAGQFTAWITTTAFTAKELFQRTFQEPKKDIDSREMGQA FPSE_08535 MALQTQKDYDIQNKKLFTVPPPGRPYGVPVAGTERPNRTAAYRH WAVGDGPLVTSLEPGINSTHDILNRSARKWPNSKCLGTRHWNQATQQWEDKYDWITYA DFDVRRKNFGAGLVEIHKGIGYASEKYGIGLWSQNRAEWQIADFGAASQSLYSVSLYE TLGPDTTEYIINHAEVACVVCSLPHIPVLLKMSPRLPGLKLIVSLDPLEQGELASHTK ASVLNEIASHHGIQIFSMAQVEEIGAKSGRAPQPPTREDICTINYTSGTTGNPKGVMI THGNAVSAIAGGRTNGNVCPKDVHMSYLPLAHIYGRLIDQIAVAEGAAIGFFRGDILG LVDDMKILKPTGFISVPRLFNRFNSAIRTATIEADGVRGALSRRVIDTKKANMRLPPG QASNTHFLYDRIWTPKVKAAVGMDRVHSMVSGSAQLDPDVQQFLRAAFANHFAQGFGM TETYAVGSIQARGDFTTGNIGGPMCCVELCLESVPEFDYTVDDKPNPRGELLIRGPVI FREYYKNDEETRKTLDADGWFHSGDICEVDKMGRFKIIDRKKNVLKLAQGEYISPERI ENVYMGSTSLVNAAFVHGDGTQSSLVAIFGIDVENFAPFASKILQETITPNQVADLRV AANNPKVKAKFLKVLDGIGKKHKFNSFEKVRNVHLDIDPFTIDNGIFTPTLKLKRPQA AKAYREHIDRMYEELAAQEPIGKNKL FPSE_08534 MSFGLTSTVSRSLLNHGQVPPAPIPLLNTLRRPTDEPPSKRQRV DQPLPRKRSVKDCLRDQVYPLVQNATSGLKRDDYHLHALTIKAVTVLSISKFFRRRWD ETCGYLSETDLGILGSQARDIVAQLTEGSEFRVSPTPSSPPQPRLPRRATQQAPTQGQ ELEDSETSEEYSDTEESDESEDSDGSEDSEGSEESDLPELEEVGEPEGLPRFRAVNVP EVSQELEEVEAPRGFSELIKEPRTSGVPHSPQICTAPEPPRVPEPQIPEYINRQPCKS RERRQPNPQNRFYQIAKKPYLTAEHRKAIEAGTKLPVDASTVSIESEPIVYHVDFTPQ EITEITEKLWRPRNERLEPTLETLMQWVRLGGQPLPAPSGRSLRDMNAFGADLWEGRV AGIPRVLSLSAERVSQQTEKREGQLRRASRFSSLLMAREISGNQGFQQTRLYLNFQQE FNTLREDDLEVVAEFTNCAGDVTTGTWISNGSFLCGTTTHSDTHNQQYNKPGNLLICS TSKGTLRAFPDHRIPRPRVEKGENSTEAMRQSQDPWLYSSVVSSDYNVEDDLAYTSSF DKTVKIWRVDPQGVHMEVIATWQHTGNVNFVAAAKDRSGRVATAADSPTDAVRIYTVK KGNEANSTYQTFSCSRTDAEGSDKWAYFPATMQWGRAKGCQHLLLVGYSPRSLTGDDT EIPEDKRTSGEIILWDAERGMRINVFTASTANVFEVAWHPTLQSFIAATTPNSLSVSV HKVKTLIHIFQRDKERLGGGGYAQYQSLDCYASDINELTFVPNSPRHAYVSAACTDGK VYIWDTAQGDKPIHTLSHGKPIEEYYGDREKEDTGVKFTAWNTTLDRFYTGSSDGVVK VWNIRRLREPFVRDILTAPGPIAWGGFSPDTSKLAIGDATGRCFILSIDDRDAPESHF MTLPGTNRRLRRPQQLIPHPEPDPPGTEHGDDMDIDTELDDATYARRTYLDTQYIRLT KNPVIGAVQGPQYSETNLFCRDAHLNQDPAAPLLAEFERFQKASEADSIGRRRRSVRR VKIPAPPDERLKAVHAKNKKQDFTLEQLERGEIDSLVKAGALLSIEEDWGFVYEDEDM TSSDINTASGNARLNKKYII FPSE_08533 MATLRTDLPGPIGDKKLEKKPIKFSNLLLGAGLNLFEVTTLGQP LEVVKTTMAANRGDSMAKSLGRVWARGGPLGFYQGLIPWAWIEASTKGAVLLFVASEA EYYARAAGASEFGGGILGGVTGGVAQAYATMGFCTCMKTVEITKHKLAASGVKPQSTF QTFGEIYRKEGIRGINKGVNAVAIRQMTNWGSRFGLSRLAEGWMRSLTGKKENEKLSA GEKVIASALGGGLSAWNQPIEVIRVEMQSKKEDPNRPKKMTVGNTFKYIYESNGIKGL YRGIAPRISLGVWQTVCMVAFGDMAKAYVEKVTGEAVTAKH FPSE_08532 MASVARLSNAALRASLRSSPVNGSAFNAIRCYSAKTQTLKERFA ELLPEKIEQVKALRKSVAFSLGSLLAEAWIHPEPHVYFANRGEHGSKVIDKVTLDQVY GGARGIKALVWEGSVLDSEEGIRFRGKTIPECQELLPKAPGGKEPLPEGLFWLLLTGE VPTEQQVRDLSAEWAARSDLPKFVEELIDHCPTDLHPMAQFSLAVTALEHTSSFAKAY AKGINKKEYWGYTFEDSMDLIAKLPNIASRIYQNVFKGGKVAAIQKDKDYSFNFANQL GFADNADFVELMRLYLTIHTDHEGGNVSAHTTHLVGSALSSPFLSLAAGLNGLAGPLH GLANQEVLNWLTEFKKSVGDDLSDKAITDYLWSTLNAGRVVPGYGHAVLRKTDPRYMA QRTFAQEKMPNDPMFKLVSQVYKIAPGVLTEHGKTKNPYPNVDAHSGVLLQYYGLTEA NYYTVLFGVSRAIGVLPQLIIDRALGAPIERPKSFSTDKWAELVKKL FPSE_08531 MASAVADLEAGLQAMLNLKPPGVSGSRITSLTSLCVSNIQSESV LIQKIFTHFKKAPGTHKLGVLYVVDSVTRKWLEQAKAQAQAIDSSAPDGTYAAGVNRV TELMPVLMNDILQSAPKEQKDKIKKLLDIWEKGQTFPTPMLESFKEKLNAPQQNESTT PPGSPPANALGSARGSASATPAPSAPAAPPAASGSSILEALANIARQNTSAPPANPPV PAPTASYNMPASGTPQPVPSAMPPVSYPSASQPVSMPSMPYGMPHMAAQNGYGGSPIN NPSNPPPNPLNGALAGAAAAAPGLGLDPKAQQQIMLIKALADQGVPFDKIPALIQSMT GVAVGGVAPPAQQSMPYAQNSPAPAQPAWGSLVSKPDDSRMPGYGHTRSPPRYNGRSR SRSPDRGWGGVRGSPRNGRDRLDYGRDSPNRGRQDDRRGADYRQRSPHGHRGRSETPL HDQGPAEKWVEYDANLPSGHIKVFSRTLFVGGVTCSESELRGIFGRFGTVQTCIVNKD KRHAFVKMLSRQDAVAAKQGTEDSRNLEVPLRTRWGVGFGPRDCSDYSTGVSIIPIHK LTEADRKWMVTAPYGGSGGRPIESGLSVEEPDIEIGAGVSSKAISRRMQTDKGGSNGP KSTRNRDEDLSRWRRSRDNGSNSGSNSGSHHRRDDHRDLPQEGQGSNPSFPFGIGTLP NGMPNFPAGFSFPDPTN FPSE_08530 MSLRYLDTLELPYQSPDLVMIHSTTRFSACLTHAAELLEPKVSS TLKGPLVLQIQTPTTPKKMQGFSPACIRRTRLYRSKRFGLHETFGTHGAAAVPLASLE KHPTLCLSGFTIQLRLRS FPSE_08529 MAEEKAVGAPALDTNIETGGFDEKRGQAPATHNPKAPVAEDEEP DEDMDALIEDLESEDGHEIDDDEEATPGGGRVVPEDQLQTDSRVGLTEAEVIARRKKW GLNAMKEEQENMILKFLMFFVGPIQFVMEAAAVLAAGLEDWIDFGVICALLLLNACVG FIQEYQAGSIVEELKKTLALKAVVLRDGTLKEIEAPEVVPGDILQVEEGTIIPADGRF VTEGCFCQVDQSAITGESLAVDKHHGDNCYASSAVKRGEAFVIVTATGDNTFVGRAAA LVSQSAGGTGHFTEVLNGIGTILLVLVVATLLIVWVSSFYRSNGIVDILRFTLAITIV GVPVGLPAVVTTTMAVGAAYLAKKQAIVQKLSAIESLAGVEILCSDKTGTLTKNKLSL AEPFCVAGVEPDDLMLTACLAASRKKKGIDAIDKAFLKALKFYPRAKGVLSKYKVLDF HPFDPVSKKVQAVVESPQGERIICVKGAPLFVLKTVEEDHPIPEAIDHAYKTTVAEFA TRGFRSLGVARKRGEGAWEILGIMPCSDPPRHDTARTINEAKRLGLSIKMLTGDAVGI ARETSRQLGLGTNVYNAERLGLGGGGDMPGSEVYDFVEAADGFAEVFPQHKYNVVEIL QQRGYLVAMTGDGVNDAPSLKKADTGIAVEGASDAARSASDIVFLAPGLGAIIDALKT SRQIFHRMYAYVVYRIALSLHMEIFLGLWIAILNRSLNIELVVFIAIFADIATLAIAY DNAPFSQTPVKWNLPKLWGMSVLLGVVLAVGTWIALTTMLANSEDGGIVQNFGKIDEV LFLEISLTENWLIFITRANGPFWSSIPSWQLSGAILIVDILATLFCIFGWFVGGQTSI VAVVRIWIFSFGVFCVMGGLYYFMQGSTGFDNLMHGKSPKQNQKQRSLEDFVVSLQRV STQHEKSQ FPSE_08528 MNREIPGYYFDPEKKKYFKIEKTQTAPSSAAWSTDAVKRRKVEE KIQKSVQRRAHLVRNHIKRHFITKDTVASALLAREAGLPYAAERGRGKLEDGDLGAAS WAEGLVAKGNVPFAPSLARQRYPNMPCFYVSGEDEKTGLGVVYATLDEETLVGSYIPT DKNDSIHFSREDTITSGRALSFRNEMVRCPQMSSVKYHRPSHKMLLTSREPDHSCGLY LFSPLLSDPEDVTCPQWLLGETNHYQRLSVRHGLHDEWMVHSSTPAPPSSDLICVLGS NNGLLQVRSNEALSAIAPRVAPKDMKLPQEIFAQDFQEGNHNVLLAGGRQPRLWITDL RAPQPQWSFAKHASSITHIKSVNPYQVLVSGLQSSMALYDVRFLSRNPRATKPLLHFS GHRNEAHINIGWDVCPELNVIAAAQDNATVKIFSLRSGRQLQCPTVESIHTNAPIKAL VFQNMPRERMPSLFVGEGSLLRKLSLGALTWDDEA FPSE_08527 MNSDVNRHSTPSDADTCTPKFKDANSFDEYVCSLQAGGTTTEEV LREVLLCLTKDTRHGLLPSSLTKSFAKGDAQDQALQEISSYMHTDLYNKKYVFIVSPL DSVTCPLAVCAVCPTECTIAVSGVGESHLRMQEFLSSMIVAIQPIIQQEKSGYAVKLM RLLPIHPPPDDLCLFLARNAFKLIGNETELPSFGIDRKNTGKGFLDEWHAINAKDVED VDVEDVDDEGDKDEERPEANWKDDEDSSKFFDLDDLDNRMSKIDLGDDARVKAIVASA LKDGERDAMVLTDDHETLILGDLPDNQASVTMMMSDPEIYEAVLTFRARIQEVVDRLV VFALCLEYPNELPVFQVFAMFCMANADKNHRSRLVRLLTHASVIPIGLQYTLGLQKWT VDQFDVMTKLVANETKHFLTAYGGVAHCSNMVTFFYAGSASSTIYSNSLVGESRRMRD HHTMMQKGPENIRELRKRGDGQCLFVHEKMAESGDDWFFFPMFRYPLKHDDPTALHKS ALALFAENCMMIFLSCNKKRYTTRKHGNQWFADVSHKILHTLRPKDFPVPPWDGANIA LPMLQSPSCMWNLFNGRLKNVQLTPALQATLERHFQSDPRPWLSFDTSRLILKEHGYT AGPPSIRALADFYAGLLKKHGIEYENKHQRYLQRYSVLYVGIIKVVEDLGQVRRSVDG SYMFDADNVPWDKVAQVAQSLVSDDQRGNYSADACKLLYKSHKSAYFKQQVLNPSNWE RLRLGVPEAFAHTRPRARFGKRMNDRIWVVCRHFLYNHLVTAQKIEETDDNSIRLRFI ERARVEFLLEEVIEQLQKDVALDPEIRSAEGAWENESLKAKIMWDLYSGYHELETGVH RDIYVSTKQRKESEPDKQDPGTRAKLERLMASHVDPGLVAAQTAPRMSTGTPETSYQI GPGDVEPFTAFQLQDKGHGAPKVLFQGRSGQLASGSNSSTLPPRQSTTRKNRAPWVDD ICPDCGEKVEAGNARAGHKRKCLGRCDRCTQEGLVCKLVKNGRGTCEECYNNSLECTR PYITTMGLFGAGICERCEEWKRDVKRHQKSCDGSIEPGDGFESRKKTCEDCEAQTTEL PWQVHQLQESGAFGVLHALDKLKRIMQRV FPSE_08526 MSATSPPVSKSEHTITGTQHSGIEHAALHYSDVFNTRAAYFDIY SIVMPGHHLTTDAFYAAAQGAVQDHENEVILSRSLIQSYVEEESVDTKRDILENIAKY FKEAERSTKREIFIISPFGRTTDFFVRCGIFNRTLTATGYRSPQAIPPDWVARLLEEV VAAIRGQTPDFQYIHEGWTAVTDEDFGMSLVSIIMYHIHLRTVEGPVDASGLGESERI RDYCFWTYVNMELRRRQEQGDFIPGTHDLLDATISSEPGSETEDLSLEYGTGCDAQKR KRNSDGEVGAEVEAEIKYFFPDEEDSDPTWPGPLLELPPGLIGANVALPADQDDPFSQ FFGSQLPATQALIEDLKQIFNNQHLLSLGFATCESILTRHGQDTSQTMVNTIREMYGA ILHEKGLAYQKQTQAVGLRLAILFAAVIKVSEAQQLVWFSSQNQNEGVYHVETAHLDW TSVHTVAEGFAPEGFKGLYTAQVCEKLFKFAFNGDNKNLLLSALYWNTLREAPFQQRP EGKDSILTPNPQYSGTCQRCLTKTLKWVATSEIAMADVRDALINRFLAGEKGTGEESA RIVPPWFPV FPSE_08525 MASGQGQGPGPGQGQGPDTPGTVHSPAGQSLGSGPGPDDDSMSL KRDADMVNPSSPATNDESAKRRKKSGPGSRGVANLTPEQLAKKRANDREAQRAIRERT KNQIDTLERRIQELTNQQPYQELQSVLRAKEAVEQENADIKRRLAGIVAMLQPIIGQS AIEQAYVSPAQTYAPPVQHTTTLSIHNSHHNNAHAASTPGSAASPPSHEPPSQAHWHL LQQQQQQHPHHHSSHASASGTTSTETQAASQLTQQRRSLQHGLDMGPERLGLEFLLEP SQRVNRIQNGVNGAQDTPQFHHTPMKHDWTGVNQERALHSRSASWGSQEKPGHPSQEQ QHQCQHQAQSQQSLSAAHTPESSHSPGYSPALSTAGRSIPPRSDPNTVSSAAPIKNCQ PTCPLDSLLLDFLSERRQRAADGLPTQDVVGPRYPSVSSLLNPANSAYSHPLSKVFTD ILATFPDISTLPERVAVLYMMFLVMRWQIEPTQENYDRLPEWMVPLPCQTSISHSAWL DHIPFPKMRERLILDHNPTLYPFDNFFVPFTTTLSLSWPYEETDTLLQDPESDELMIN PVFERHLRNLDNWKLGDAFAKAFPNLVGTFNLKESTSPRPSTSDSR FPSE_08524 MSLFGQSANTGGSSLFGGNTGGTTGGGLFGQSTTQNQQSGTTGG SLFGQPAQNQQQQQSGTTGGGLFGGLGANKPATGGGLFGQPQQQQQQTGTTTGGGLFG QSTTQQPSTATGTTGLFGQQAQTQQSGTTGGGLFGNQQPQQQQQQQQKPSLFGSSLAQ PAAAAKPSLFGQPQQQQQQQPQQNAPSMLGGSTFGASTLGGSLFASQAPNGQTQAQSQ PAGAYFDSLFAKSQKADGKANMEDLPSLELGLGDLRHRLRKLQSKPSDKPLGGKAHYL LAASGVDPGVAAKDLGLLDVQPGRTERATHGYAPSELDVETYLSNLQTKTTLSMIADG IDRSVRDFDNFLEDNVTMEWEAQRKRIYQHFGITPREESSTTGRESQSAFGRSRRKSQ APAAKSGRASVLGRSTLQKSVIGTPSRIGAHQTDFSDVDRSSESGGLDGRVSSDDRVL RERQGRLSEKIRNLNTARLLKRPYPILSELAAVEQKSHEPHAPHVVEAYLAVMEIVGE DPDAETTLNHATAKEREFADMYLNDNPNSSKSVEMRKRILTGANSFLEKQFLREVESL IAKHPHEAKLGGLPDIVSKVKAYIRLRSARKDLVPDNTELQQVQGEYVWAIVFYLLRS GHVSEAAKYVNDNTNQFRGIDRTFATYLNNYAASEDRRLTNRKLLDRCTNEYIQRSRN APENSIDPFRMACYKVIGRIELGNRNLDGLNTDINDWIWLQFNLAREGDKTIEMAGES YGLAELQSSIREIGLKHFPKANSEDSNGSFGMFFYLQILSGMFEDAIAYLYPFSYIDA VHFGLALEFYGLLRPSDAMSPSNDLRSYSTKNLPQINFGRMIGYYTRDFRAADVVSAV DYLTLICLNQDLEGEAGQRHGSLCHEALRELVLETREFSKLIGDIRPDGRRIRGIIEE RGPLIGLDAEDDFVNTVTLQAASFADENGRTTDSVLLYHLAGEYDTVVAIVSRALSEA VSLEIGEDPMRLMPVKPRAGGQEADAGSSLSLAAIDDPVELAKTMMSMYERDAMFYRR IQDQNKVACRVLLEMSSIKGLVEAGQWAQCLDRIRSLEILPLDAVGDGSTIRAYASKF SGLSQPVSINVPNLLMWTIICCVRQREQLTSGQFSGNEGTRRLMVDQLKQMTLDLTTY TSQLRYRFPPHLHEALARASAE FPSE_08523 MDRPPQVLDHYIGVDVGTGSARACIIDETGDIKALASENIKLWQ PETGYYEQSTTDIWQCICECVRRVVSESTVNPSSIKGIGFDATCSLAAFTHDTDEPLP VTGPDFTNDGNDRNVILWLDHRPVEETEKINNTNHKLLKYVGGKMSIEMEMPKVLWLK NHMPPEVFERAKFYDLADALTHLATGNETRSYCSVVCKQGYVPVGVDGSVKGWQEDFY NDIGLGDLTKDDFKQMGGVNGVNGTYVSAGEPVGTLSRLAANQLGLPMGIPVGSGVID AYAGWIGTVGAKVDLGDDELNAAVPHNDLAQAFTRLAAVAGTSTCHLALSKEPVFVPG VWGPYRDVLLPEFWMAEGGQSATGELLRHMLDIHPAYNETCALAKAEDKHIYDFLNTH LELMKEKHSAPSISYLGRHHFFYGDLWGNRSPIADPNMKGSMIGLDSDKSTDNMALWY YATMEFIAMQTRQIVEQMNNSGHEISSIFMSGSQCQNPVLMNLLATACGMPVLIPRYV NAAVVHGAAMLGAKAASHSKEDGSEPESLWSIMDRMSKRGRLVDPSTDEDEKTLLDAK YEIFLDMCKTQQEYRSKIDKATASKKSRMNGTVNGTVNGA FPSE_08522 MADQGEKKGIWSMIRRASSRRHSSKPPVRKQQLQLRLGLAGARH GLLISFREPRFSQARVLQRRRSKDLEPREYHRPRRASNGDAGHSPYRQSIGSAPDLTY DNNRPTTAEDESTNMRRRQKRGQAEPEPEPRSSPIRSKSSGFLNKARISNGRPVRPRE PPTVTWPPYGISIEDELPQQHALEIIARGAPSHKGHKRPVAHQPDEFYSLHTTSSGNR ADGKVSDHTDVANLHDSMTQLMTLRLLGHGYADKPWETLEQPSNAFRFGHLPGTITLN EWASMSSVLPPAIALRDAGVMPRTMSLENIFERLQELRHGIEDDDESLLYRILYKRIL RDPDKFLNPHRTLDKQITDLILVLSRPDWIDFSNPRNQVATRFIFERGPENQEQFHKF FHQLLLSLELELRIQSEQHSDWAKEKLTSQIPPSIQWNLALARRWQDFVRVDDVGKTP EEILLRYKLKKRQIRMLRRFAQMMRWPNLTDTLDNMKQKDSELALDEVSSDAFAFFSG LVLPGQTFPFLMMNTLLDLDPDSETDNLALLSHMHPHCGFQYKNSYTYWSASSIVGKV LAPTCHAVGGWIGPARSTADLEPTQIARIRSRKPKQRMTPQDVESMAERSDPLGPASE TYPVDDYQPVAPRGGPEGAVDTVRVELLALKPADGPEDTPSTNVSKRFDATIQFAING VSWPLNLMYDVSFLAAWPCSEGPHPLFFDYIYTSIRVDSITGVRDWGNLYGGPPLHSV RSSPGPGMMGGPYKDMFSQSFNHNHTPLNGFGQPGGPEEEDENDDEKVLVVEAFGVRD NEVLARAWCSHWGLSAVVADIERTCMACAIREAYAATLTVVILVKGQEYPNNE FPSE_08521 MRFGRTLRESVYPPWKDKYIEYAKLKSLLREDVADDDNQPWTEE DETRFCEEIFNNQLEKVAQFQEQRFNALKERVESSFDKLKELAPVESEEDGGPSKGDI SATRLRALESELDEITNEVRELKKYSQINYTGFLKIIKKHDRKRGDRYKVRPMMQLSL SQRPFNSETGYSPLLNKLSIMYFAIRQQLEETGEQLPPLDLESQGETHNGERYTAHKF WVHPDNLLEVKTVILRHLPALVYSEKSAKELDGSDSPSITSLYFDNKKFELYGEKVNR QSEATSLRLRWYGQLATRPEIFVEEKTVDAKGGSQDLKFSIKDKYVKSFVDGDYKTEK AIQKLKRQSFAPEKIQEFEQTVGTIQKYLKEKNLSPVLRANYVRTAFQKPADDRIRIA IDTNVAFIREDTLDRSRPCRDPNEWHRLDIDESEMKYPFKNINQSEVNRFPYALLEIK LKDDGLRKRPTWIEDLMVSHLVHPTPRFSKFVHGIAVLFEDFVNSLPFWLSDLESDIR KDPQKTFEEEEQRRAQRHEDVMAVGSLIGAGAKSGSYKPTQSSPVSKSYLADRMSRDS IAKTLNRQTSTPGNGEEVGESSGQHQEEQGRSYGTLSSVIPGFSLSKYSRAKRASQQP LPEGVVAPTQWIKNMGELKVEPKVWLANERTFLKWQHICILQGGLAIGLYTAAGENTI ASVIGLLFVLIAVFAGAWGYGMLRVRRTMIVERSGKDFDNMIGPMIISVSLMFVLILN FFFAWREAYGRFNNGNNDAGELLSEDLK FPSE_08520 MGLNEDFDDRQIQTEAEQQPYIPYEYQTENNDSWAGALPVKQGL YDPSYEKDACGVGFACHIKGKPSHKIVSDARNLLCNMTHRGAVGSDARDGDGAGVMTS IPHRFFIKNFEKEEDIKLPPLGQYAVGNLFFKPDEETLQESKRQLEDVAESLGLRVLG WRRPPVDSTLLGPAAKSREPIIAQPFVVLASAYGTGNAPEMTDPEKFDERLFERQLYI LRKRATQSIGLHNWFYLCSLSNKNIVYKGQLAPVQVYSYYHDLVNADYEAHFALVHSR FSTNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGVMQSDVFKDELEQMYPVVE DGGSDSAAFDNVLELLTINGVLSLPEAVMLMVPEAWQGNQHMDPKKAAFYEWAACQME PWDGPALFTFADGRYCGANLDRNGLRPCRFYVMDDDRIICASEVGTIPVEPESVIQKG RLQPGRMLLVDTQAGRIIDDKELKEAVSSRYDFRAWLDSELITLPKVVEIMEQALDLA PKLDDKTIQSDPLLHSYGYTHEQVSLLLAPMAADEKEALGSMGNDAPLACLTQSPRLL YDYFRQLFAQVTNPPIDPIRESIVMSLECYVGPQGNLLEMDASQCGRLLLPSPVLSIE EFNAVKNMSNKYSEWTVKTIDLTFPKNQGVQGYIKHLDEICNEASAAIEARDRVIILS DRNTSADRVPVSAVLASAMVHHHLVSNKWRSMAALVVETAEAREVHHMCVLLGYGADA VNPYLAMECILKLNREGLIKKKTTNETLIRNYKHSCDGGILKVMSKMGISTLASYKGA QIFEILGLDETVVERCFRGTASRIQGMTFELIAEDAFRFHERGFPSRASIGPPGLPES GEYHWRDGGEPHVNDPTSIANIQDAVRTKNDKSYEAYSRSEYEQIKNCTLRGLLDFKF EDCTPVPIDQVEPWTDIVRRFCTGAMSYGSISMESHSTLAVAMNRLGGKSNTGEGGED PERSQRMPNGDTMRSAIKQVASGRFGVTSAYLADSDELQIKMAQGAKPGEGGELPGHK VSKSIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSSPRSRVSVKLVSEVGV GIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGR VVVQTDGQLKTGRDVALACLLGAEEWGFATAPLIAMGCVFMRKCHLNTCPVGIATQDP ELRKKFTGTPEHVINFFYYVANELRAIMAQLGFRTINEMVGHVEVLKMRDDLRTNKTA NIDLSLLLTPAHKLRPGVATFNVRKQDHKLHVRLDNKLISESELTLDKGLPSRIECDI VNTDRAMGTSLSYHISKRYGEAGLPMDTVHVNIKGSAGQSFGAFLAPGVTLELEGDSN DYVGKGLSGGRLIIYPPRSAVFKSEENILIGNTCLYGATTGTCFFRGVAAERFAVRNS GATAVVEGVGDHGCEYMTGGRVVVLGSTGRNFAAGMSGGIAYVLDVHGDFHSKLNGEM VETSGLEDPAEIAFVRGLIEDHHHYTGSERAARILVDFNRALPRFVKVLPVDYKRVLL EEAAKAAEAKRAEYNLPAVSTAQHKKSDKVAKLQDLEEAVGDNAAEKKRALVLDKTRG FKMYKRRQEKYRPVNSRLKDWAELSSRLDEDELKYQSARCMDCGVPFCQSETGCPISN IIPKWNELIFQGQWKDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINEDPVGIKSIE CAIIDRGFEKGWMVPQPPKVRTGKTVAIIGSGPAGLAAADQLNRAGHLVTVYERADRL GGLLMYGIPNMKLDKRIVKRRTDFMADEGIVFKTGVAVGEEGHPSLNDLRASHNVVVI ATGATVARDLPIKGRQLEGIHYAMEFLHKNTKSLLDSELGDNAYITAKDKHVVVIGGG DTGNDCIGTSLRHGAKSVTNFELLPQPPPERASDNPWPQWPRVYRVDYGHTEVRQHTG KDPREYCIMSEEFMDDGSGKVKGINTIRVEWTKSPSGGWDMKKVEGSQQFFPADLVLL AMGFLGPEARVLGDEIEKDARKNVKTAPGKYSTNLEGVFAAGDARRGQSLIVWGINEG RQAAREIDLYLEKYTNLPVTGGITKRTAQEIFSQIQVEA FPSE_08519 MPRLQVPDRRTTPLFLWGEKTSVHGETPTVFTAKPRACFLVSGS SCPGIILCMRPGKGSSAETQVTLQEFV FPSE_08518 MFTTLPDFTPRDSHSLWYRSSRSPYIPPGTLDDFNEGAHNQQHG ANQARQRTRIIERSTLARLAADEQYMQRRRLNVQNYGSGWLKPPGVPKTLHQMREEKR EQEEHQEAMRREQLAQELAEAEAAGAPGEEGTMDDVQLDGAQDLDDEIPDADDGYGFE DDDEDDEDDEDDEEEEEEDDDEEEEEEGEGEETDDEALRAERENNLMAAHMRMGDDAF REALVRGEPDANDMYGEAEEHEEEETNGQLLDEEDFVQEDDLGMDMDADLDEDIPEAE SQGYEHTDSEAEISSSEQRSSSDEEEEDEDDLDTSFQPRSTTLPPPLSPTFHGGRSLM SHPRASMDLSNLLSQDGSSFMHSSPAGRRARQ FPSE_08517 MAQRFGASSLHQRDSRSALFEGYNGDAASRRPVSASPNRGYGYG GYGAPSPSPLGQGGFDSSRPAPFRAATPNKRGQYSDAVLNELESQNDAQVEGILGKVK VLKDMTVAIGDEIRESSALAEKMNDTFDSTRLRLRGTMNRMLVMAQRTGVGWKVWLIF FAAVIMLFMYVWLF FPSE_08516 MSDNSATIPQDPTQAQDQTMAENKGKGKALAEQVTEDTAMDEDE DDDESDEEEEVAEADEDGLEEIDLNNIVQGRRTRGAQIDFAKAAEQHPAEDEDEEDDE DFQPAEDRDTKMSD FPSE_08515 MRIASFLSTICAAALVVAEQRTAQIYVQAIQSSPSLPQPLAEIA YDAGSLISTEVVSYEAPELPETASLVRVGIYDPKSEKWIAGTTAASMENFDRGYAPTI LLSVDESGDVRSVTLKGVRVDAGQTRDFGPKAIVIADKKGSQPELNKPVILSPGGRKA EEEEPKSFLQKYWWLIAIVVVMSLAGGEK FPSE_08514 MLKTSPALSASANASPTAFRYATSSPQHSSKPSPALRRRPSTRS NASSATDAMKSSPIQRPRQYVANDPGIHGSPNEAQRPPSSLSQKASAQPSQSPHPAPP SRSSQDQPVQPGQSLSGVSPTKRRSSPAPIDPQATVAANSSPQTSKRPKPDTPPSKVL PDRYEFCAIEDMVELVAHMLSELITTNDAIRISNGGLTRFHSRTPPGISVRDYLHRLA RHATLTPPLLLAMVYYIDRLCAMYQEFTINTLTVHRFLITAATVAAKGLSDSFWNNTT YARVGGVRVAELKLLELEFLYRVDWKIVPNPEVLVAYYKGLVERTPGYVLESDGSDEE DEEDDDEDIEDCDNAASEN FPSE_08513 MAPAQSHPILAQLQEARSLTERTTALRALKNEIVGHVQRKEQWI GLGVLEPIVRTLIEATSSSKPTGKESRPPQSQRPLSEEEHMRLQAIQLIACFANGGPT FLAPLSAAQAIPALMANISPFANAPQLVVAALKALTDVADACVRAAPSSDLNIETLAA AVFFTEYLDSFGSMLSITSTDNMLQSQVTLAAGLISRLCHQEHHQQALATSGILDALA TQLASVAVLRGEVIPGAYDQARKDGLSEAFPDRAPRTLRLSSILEAITAILGDSKYRA NRLAHSPSILAVFPSIKFYSAKILDVEQPASNSCQQPVTAMDYMLPINLPRNPSASSQ GSLQSGSSDSQSSGRSSLGKFSSSALWETSHYSQVGSSEGSAGDIESPLIPWLVNLVR RSDEYDRLLATSVLAALIKGGVTSKGSREASLGLLVVPLLVGLIAKNDNEVSDVNEVD IATKRTILERAPVVLARMITDSEYLQKAAFECQAVPVLSQLLHHAYQPVKESEQSHYW SPQGDVEMDIENNSPMARLGKEGQNELLVHRVKVRESTLKAIAALAGGKEDYRKVFVA NSLVPLVVESLSEYPRKLQTMKERAPERTGTDPSRNEETPGYGTNPASVIAAGCHVLR MLSRSVSILRTLLVDYGVAIPALSLMKHPDVNVQVAATSAICNLVLEVSPVRELLEER GVVPILCDHAHSENPALRLNGLWALKHVVDATGPDLKKACLARLGSQWLLQLIRDDTE DMALHEGRDDVDMQTSDERHGWIYGSNGMLQELDAANSSRLRQAEDKLAAIRESELNP AKRARNDDLAIQEQGLHMIRNLIGRPRSVQSPETANETSEMIDFLLQEFDSAEFFNVL TSKLRAKVYRPFNHRAISTGRDTRVFHPQAKLVVAVLYILSHIAASIPRHQQMIIAQT DLMKLLAQQANSKDREVRVALCHVIINLTYKDDDSEAQACALRAHELRKLGFSTKMEA LTKGDGDLDVRERAKTAVFQIEQASY FPSE_08512 MPAIFDRNDALDVNPQLGDARLSDAGSNWLWAVTAIFLVSFLAC FALSIKPRNNERIFHYLFTIALFVGTITYFSMASGIAYSVVPTQRNIGRAASYQIYYA KYINWVVSFPVVLLALGLMSGVSWATILFNIFLAWIWIISYLCSAYTTTSYKWGFFAF GTFAYLLLAYQTLHPGRKSATRLSLSRDYLMLAGWANLLWFLYPIAFGLSDGGNEIGV TQMFVFFGILDVLLIAGLAYAFMFLSKRWDYGALNLHFTQYGRVHAGHDGVFPEKRAP GDHTVPATAAPAHATPAV FPSE_08511 MATPSAIPTNSKRDTTAQFHRVTRGNRSLWYQLTVLQQPERARA CGSGMKANSDRRPVDPPPVVELRIVEGPTLEEGKDVTFDYNANFFLYASLEHARPIAS CRVSTPTTNNPPILTGVPASGMAYLDRPSEAGYFIFPDLSVRHEGLYRLTFSLFETTK EEQDFDIQPADGDLPPGVDFRMEIKTDPFSVFSAKKFPGLMESTQLSKTVADQGCRVR IRRDVRMRKRDTKSGGNNNNNNNNNNNAGNNAFERREEDFGRRRTVTPAAEDPHGIRN RSQSNSSEHRASYSDASRRPSMVDSYPPPPPPPPSYDPAPSAPRHLAFGESSGPKYPA PRQYAHQPGLQITPVPANGPYPSAAQSPYAKTDAPYGYSRHLPPSCPSPAPSVNRDLY DRRQSTSTYVPPSPSVYSTEGHYRRDSQASYPPTPAAAPLPRMNTEPSRGSIKISALV EPMPVIEPQVDPLPELPPVNVGGKRKHESVFAQNTRPLFNGQRQMDPHYGRSHRGYSP DHDQGSYSRADGQISVIQFNKYEY FPSE_08510 MGRPKPRRALSASRFQEGSMNDRTSAAPPVQFLGPEELAALERP ALTYAKSNNARPMSDDFSDKQVKKGRLLGQVWDEVRGRLGFRKDNEDDRGLRKRSRSR KRDQDDQRGREREREDIQMTDMPDSRNNLDPPRDDMPSREEILANYHHLMASGFFTSH AIQSTRQPPPGAVQQAAQQIAAPPADLMDALSRTPTSQGTAPPPPTPPVEPRSPLRAK FPLKLQSPPGNPGAATYEELVASGFFTPPLSAGASSPTPFGAAPSPAVPTGIVSKDHL HPPRQTRGSRTGTPSASCTTSPIQSPASVSSRGTKRAAADSNSDAEAGIGARDSPTPK KKLRKTSSRDIGLHSLRNVASRRSLLSSRRSVSGPHGAGAGKDYNKLARRVLGRLPGA GGRSSFDLDRRVGSRPGSAARNSTEESRSPLQEVQYARVLRSRKIAAEEPHTTLNGNK GIPVVPDIPDKFALHDNTENLVPAMRSRY FPSE_08509 MTPAPTGRRSPVIVGDAMSGGDFNNFSSDGDLAEFLDFNSNSPD TLQTMETLGGSDPKGFLAPQDLASTTTFPDSPNGSSYQDSSSESASSKRTTSRTSSKA AMNTTDMMVDEDDVKMEWGNPSFSTYEDDSAYAFGSSDADTALESMYTFTDHNDTFLD HPYAFDTASSSPNGENSVTSPPMPTINPHSFTRDATPKRKKPQGHQKASSQYSVSSAM NALKTTTSRENSPMSNNMIMSQDPSPVAMFNSPSPHNPVDFSSRAANGAGLGWPSRNG VAGQSAPDALPLHFSGQINQPMPMPQQLNMPTPYNVTGQYSLTILPTPFKSRVETQIP IKMVLSPMPPGITKLHLPPHTISKPKLLAKPAPKKSPDMLELFVSLVCTSAMDEPGRT ENALKRAASHPQRYLPPDNDDKNAPSKGGDVRICAGCITRERKRAARKKVKKPEEEEV WQQDEQHRVIVFNTQEVKEWQPANGPMAVPGTLQVDAPMRIACYCRHHGEKAGFNVIF TIKDFEDRVIAQAMSKPIMITDDHKTHPQAQTAAQQQPGIPEAPVPVPGPGPGPAPQA AVETSALLPPTPNGAFSMSPTNGDVPNMPRNNQPPYQSSMSNVTPTGACGRMLSRPGS PTLGGPIAKKRKSSASRVPNGLTMTRMDTTPSPGSQAAQLPNTTSPFTPGLGGFPQAE SYVGQQSLMYASAGPPTPSSNEAFFNGNRSASMDNMMMVPPLYSAPASNNASRAPSPG SLMNGVANQFSQDTSSNMFSVSIGGNETRLPPVIHKIIPNQGPKIGGVEVTVLGAAFS QGLEVWFGNQKATTTTFWGESSLVCLLPPSPVVGLVPVHFKHANPQAAQAFAMTKQTP FFKYVDDNEEKLIRLALSVLGNKMSGNMMDIQDLARRILDDSPSAWSGGPSGGPSSGG QMFSHAPYAHLESQLLKCLDLIDLDDSTHRTRLDLKRSTGHTMLHHACSLGYHRFVAA LLARGASPNAQDKGGFSPLHIAAIHNHAEIVRRLMLHGADPTLRSDSGLAAADVAQSR AVLRTIRRSERHIRSRSSGGSLHSRASSATSLRSLWEPMTKVHTHDGPISPDSSEESP EYTSGDFEDEDPDENDYLSMRRPSGFRQDRERPNLRRRMTGELEEELVPPVTAMAATP AALKEQLQQQIHQFQQSIAMQFQNLPHFPQMPAFPNMPDYQAPFMRRVQQFMPGMAGP RPEGEQPQRWWDSAPKASASAPPAYEEIYPREELDRKQASAARAAVEAEADQKCAALF DLPTTTEVETESVEVTEVTEITQAKTEEPEVLKIGRKNAITKEQQEQFRRAHEVKMKR ISSDHNLFFIWIPLLLIMICAMLYSYFPWLFTFAWAFVRSLYNHGLSKTQQLIQHNLP DQIERVIEV FPSE_08508 MPKRNAPYGGYGGGAPKKRKGPKNCYGVRAGRKPGIYDTWAECE EQVTGFPGAVFQGFYSFEEARDYMRDTDWMRSMMHPSTSVPAASVPTARIPSVSAAQA SVPKVSASGKYYAVAVGSKPGIYDTWSEVERYIKGVSGARHKKFNTWEDAKDFIIKNG TPETCQALGIASASGQQAQQPWQNPAVHARETRLEYQPPQAQYQPQQAHYQPPQAQYQ PQQAQYQPQKTQYESAAEQVRQERMARQAQHEHQTQHEHQTQHEHQTQREHQIQREEQ IQRERLVQQSRQEQPAQEAIRIYTDGSSLGNGKPGCSAGLGVFFGPGDVRNLSERLPG LPQTNQRAELLAILRAMEMVPLNQVIEIWTDSKYSINCVTEWHTNWEKRGWRTAQGKP VMNLDIIRDILAKKREREAAGAVTKFQWVKGHGSNPGNIEADRLANVGSRMPRVH FPSE_08507 MSTELAPEVSLTPDSAEAALPAKPEHRGPSEIVPNLLIDDFKEK QIRRGVLIPLKTTQEVRQDHTITHAYITRAPTRQANDVITALRNMRPDDNSANPLPHL RRCSKPGDLPAHLKTQFMNDTAVGRQIHTAKSTWIYIIIGEVKDFTQEELTEVLSIIE GDEGELFIEKIPIPLLAPTSQVQAAMWSSQFWPTVYRKNNPLGPHPSIVARGTEDIKD DSSVWMALAHRVALQAKETGIGEAIGAVIVQRDGGKVELVGVAGDARWHQECGPLGGT SNPMTHCVVRAISMVAQKLVRHERRAVGLPFNPPNLDYDAFQDGPLLEIEKKCFVQEH PNKDGYLCHGLELYVTHEPCVSCSMGILHSRMGKAVFATHMPRSGGLSSDDRPDGGGR GLGLFWRRELNWSLMAWEWERDGVPNLPPLDPITHV FPSE_08506 MHNLLGASPPSPALPAQSPSESLPPTSNPTPNPTSDPSSHPNNP NNYAVLPPPADGDHGHLPPPANAPSSLAVALRSLNDLTETPTYARSVTSTAPSSPRIT GDPAFGNPQLTYGNSPPLRQNSGGHTPRVRPHATTLNIPGMTRSRVSPDGKISSRDVA AKLVIVMVGLPARGKSYITKKLQRYLSWQQHDSRIFNVGNRRRVAAGRRVSVHPKLQP EGEHLDPPVHAASILLNGNPTPFGPDQSEPEKLDLNDAAQSEVDQSATFFDPKNQTAA AMREQCAMDTLDELLDYLLDQGGAVGILDATNSTIERRMNIAKRVKQREPKLGILFIE SICQDPNLLEANMRLKLSGPDYRDKDPQKSLADFKKRVAAYESAYVPLGEYEEKNDLQ YIQMVDVGRKLIQHRLKGFLSSGISTYLASFNLAPRQIWITRHGQSVDNEIGRLGGNS ALTERGHCYGQALHNFITYQRKQWCMEQKSKKAQASFPPIPGDNTPPYPELNREIEDK NFCVWTSMLDRSVETAEYFEADDDYDVKNWEMLNEMNSGQFEGWTYAEIAKKEPEEFA KRAHDKLNYIYPGVGGEGYLQVVSRLRDMVREVERIEDHLLIIGHRSVCRVLMAYFMD LSLADITDMDIPLGMLYSIEPKPYGLDFHAYRYNEDQGWFEELPNYKPQKTARNSIIK PQH FPSE_08505 MKIKALTRSASTQQVAGSDVTKQPRNLDSALHPFERAREYQRAL NAVKLERMHAQPFVGQLGNGHVDGVYSMAKDPNSLDHVASGAGDGIVKVWNLADRDEI WHASAHENIVKGMTWTRDQKLLTCAADKTVKLFDPYNTPSNAAPISSWLGSSAFTSLS HHRSNNSFAAASSAIHIYDLERHTAAPEVLKWPTSVDTITDVAFNQVETSILGSCSND RSIVIYDLRTSTPVTKTVLKFASNRLSWSPMEAFNLAVANEDHQIYLFDMRKMDRALN ILKDHVAAVMDVEWSPTGEELVSASWDRTVRLWNRDSGHSRDIYHTKRMQRVTAARWT PDARYILSGSDDGNVRLWRANASRREGVKSARQRQALEYNDALIERYQHMPEVRRIHR HRHVPKVLKKAGQIKSVELKSIKRREENERRHSKKQFERRRGEREKMVLATEK FPSE_08504 MDGMDYDPMVMDGEPEQPQVKISAADHMHVDFELSKTNLSFANA VRRVIQAEVPTIAIDLVEIETNSSVLADEFIAHRLGLIPLDSKGVAELNYSRDCDCEQ YCEQCSVTLTLHAKCTSDEIMKVYARDLVVDGRHGNSVGSPMIMDPEGYGCLIAKLRK HQELKISCIAKKGIAKEHAKWMPTSAVGFEYDPHNKLNHIDWWFENDTDPAVEWPKSK YAQWEDAPQEGEPFDYDAVPNRFYFEVESSGSMEPDQIVREGIRVLQQKIGGLLKGLD PRKYGGDEADFDGPRSPDMNMEGGTTPWQDGGYTTPYGGGGGQTAYGGGGMTAYGTTP YGGSWQ FPSE_08503 MRTGNAPKNMVGTFVHILRNDGPLGLYSGISASLLRQMSYSTVR FGVYEELKTRITRRNEGRDPSFATLVGLAAGSGFVGGIAGNFADVLNVRMQHDAALPH AERRNYRHAFDGMVRMAREEGPKSMFRGWLPNSSRAMFMTAGQLASYDISKSLLLKYT PMEDNLKTHFTASFIAGFVAATITSPVDVIKTRVMSSTHDHGVLHLIRDIHRTDGLMW MFKGWVPSFLRLGPQTICTFVFLEMHRKAYRKVQGLDANL FPSE_08502 MILYVQGMRYLRASSTPRIVQLSRSSALLRTACAARAYSSVPPT GSLDSVTIRGQTIKTDPVWFNVPNNVLEATSRKLHLLKDHPVSITRQIMQSNFPEPTF KYYNEFSPVVSTKQNFDSLGFPVNHPGRALSDTYYINSETLLRTHTSAHQADTFRGNE SAGYLVSADVYRRDAIDRSHYPVFHQMEGAMSWDRTKVPNGDVAAAVWKDFEKLPAHG VKVDDPNPVMHPERNPLQDAHHTAAEAEAIGAHLKRSLENMVVDIFSRAKATALKEDP NFVDEPLQMRWVEAYFPFTSPSWELEVYYAGDWLEVLGCGVVNQDIYINAGVPNQLGW AFGIGIDRIAMLLFKIPDIRLFWSKDKRFLSQFEGVTDNLDKIKRFVPFSKYPPCPKD VSFWLSSTTAAGGNTKGTFHENDVMEIVRNVAGDVVEDVRLIDEFTHPKTGRKSMAYR IVYRSLERTLTNDEAVAFHEDVRQALVKELGVELR FPSE_08501 METSPQHSRSASSASRRSNRSNLSLDLSNLPPMTPPTPPSNTLL FTNLTDPAIFLPENLEVIRDLITQSAPIHAFAPLKSFRRIVVSFFDEQAAIAVRQVWD NEAIMGQQCRVYFGMATPVDKRDEHLALPDAGKLFFISPPPSPPHGWEMRMEDAPNKL VHAEDLADALAKLHHRPGPMDEDQNSPVTPHDSALPGRTRSRSSTLIYKPEEKSSMPA VIVDDMTDEPIQYSPIEQSKPIMAHTARPPVELMHHA FPSE_08500 MDHYYSQDFVDARNVWGHKFNWTRNHQTGSEINHLLYSYDKLAT DALDRLDYFSPPSSKGWKCPHGSGPGQRDLYELLKKYANSDEVLGQLWKEASTVPEWV DWEQIERGQQVVYQFSGQVLFGTFSLTRPKLLYQSLLGGMGAYRVVETLSRTGGFGVN VTRRRLLETLQHFMEVVEDIDSIKPGGKGYISSVRVRLLHASVRRRLMQLEQQNPGYF DMDKWGVPINDLHTIGTIAVYSVAIIFVALPRQGIYLNQQQTADYLALWRYVGYLLGA PTDWMATPEQAKVLWESIAVSEVAPSENSRILANNILTAEARAPPLHLPREVLAANAY RLNGDQLAGALGIEKPDWRFRAIVWLQSVFFFLLSYSYPWLPTHMQKERERKFKHFAN FMIQNTKAGGLKKSSIFEFQYLPRIGMLTELGIGAEPEAKLSGSDNGSKLLIALKKAV LVVGSFKLGKCHVPMWRICLHLFP FPSE_08499 MSCTCRATPLKIFVQGLSQVHRFEASPSLVRLSIRTRPALQQNN FAFARPARSLHFSKNLCQDASGAEAAKETETADDNSHRNINDTSRVREEQSIATVEAP DTWKATPSINEKAPKRRENRLDKKPRTAYNRGENNFNASSTFNAFDKTTEPKKPRIKG PSSNQPQSGAAYWKAQKAALKEKFPEGWRPRKRLSPDALAGIRALNAQFPDVYTTEAL AEKFEVAAEAIRRILKSKWLPNSVEEESRQERWFRRGKDVWESRAALGIKPPQKWRRE GIARDPSYHDWRKEAVQRNKTLAEKDDWDIKRSYSPRAKRTSAPGGTYTPRVARERKD TYTPRPNGTYTPRSGGRDA FPSE_08498 MPTQYPRPPQRESWFAPLSIDLVLKVLNTTLLHPFVCWIIPLCF RAQTVKWEAPPMVAAIAWATIITLFWMANVINQRIAHGIPREVDLSEEVIVITGGASG LGLLIAEVYGMRGATVAVLDVNEMENTESRGVTYYKCDVGNKEQVAKVALQIEKDLGT PTVLINNAAIVIGKTLLDLSMDEIDKSLTTNLLGPFYCLKTFLPAIIRGGNGGTIVNV SSVIGTVGAAQLTDYAAAKAGLTALHRSLTAELRQSHPDIRTVLVTPGQVSTPLFYGV QTPNSFIAPVVEPVDVTKEIVAAIDSGKGATVAMPLYARWIDWINVLPVGVQTIARWV AGVDRGMKTFVGRQGQKLE FPSE_08497 MGQWWVDSRIEATVTRSYVVSQLLPDEVERLDRPVAFGGEDLTE RTYWESIRNDAPRLFLILVDLGVPDQIFGVVDDGWDDAELPIALEDVDRLLLTATRDE KVEKKFYQRQFHFLLKSLQRGNHVTYKDNEVVPLDVVEKPSLPTHKSNGHDKVRLPNI PGETFCRRRYVLGNGPGAVPMQDFLDAVHGIKGLQNEHMISYWASYTQHGCGYVLFTP SSDFTLKSFLATTPSQFKNLAKARRRELIMNWILCLVDTLCDFHSKNQSHGYIKPSTI FFTNQNHVFYSDSTRLTPDNVILHTDKSSFDRERYDYAAPELWSRPAGATSPSHRYPS SDEGHFGMIQTYDPNGSPNTMFIAPSPQYSGQQADIFSVGCIILELLSYLVKRTSSKF AGFRSAKHKTAGRGGAVLDTSFHKNLGQVELWMSGLAKDATRKSTSTKDGANILKGVH PMLHVVTGMLAMNPYDRPPAIEVQQRVYQILTDICNISEPHCVHQYSQDLEASFGGLQ IHPMGDGTVGSLPGGSNTTYGTPRTYQHSRNGSSGGYSQVSRTTGSSETDMEAIHQVG SVGLHQVRTQNSWPRNVAYSQYAGVSQYSAGQWDSHTA FPSE_08496 MRSPLLFLLCAFMSLAIAAEVHMSGNRAPTSQFATHGVASQLPC RDQAVHTQDRVRVRQAALSQMHGHSAKASIVQTASVNVKAFANGGMSFLFGLASSSND VLDYINNGTTSVFSRSVTGEKGSAASGRKHVAYTSIALVLVMLFFAVWM FPSE_08495 MVDRNQCQTHTRTPWGTSEHRLDHRIRYALMVAFSIFFIVVVIV AVIVVFETTNDHSSENLTNLASAQGQVLIKTTHDNNVNKEVEPYHPPSQVCGAQLQDC QAFSQPDIYCPPLTVCHHTKTRISPSGIYCCAQSDECIVDEEHPAACAVNTTQCGRDL GGGCCPDGTMCAPDGCLSIQTSLRKHSMPRAMITGLFHGDKTSDAIHTGIKFGEVGVV KSSACHAFLGPSLFVMPIAVIMTWATVR FPSE_08494 MAEPAQPELSAAMSPTGIKQEPSHEADILEGLDLDLESIMQAAT KRPFEDHSNDDDPNKRVKSETEPVQQNTLELDQGAEESLEDGLALLVHNALNNANDFM FDPTTDQMDIDGIPDHHLPPPPPPSSPSVTFFSDPQKYLRKASRHALGNMALSVLLLF SQSFDDSIKPIQDADSQHAKSFHDLQSSFAQIKQIYSSGPILSADEPDLQDHESRTIL DLANLAQFCIWLLQTAPSYSEAHQHFHAIFRGQVSDLGSDALDLYLSLKTQVAIDALV SKTTEQSSEQVLEEALINGMEDKLRGLHNGFDLTPADTEFITSIRSRKTSLEGETSTE SAVLREKYKVDDLLRQVSTCVKTRLALMSDLGSRLGHPMRSSEETAVDLLGDTSCDPT LDMEDLSSFFEKTTSGLVQDALAGLTEDATTTANPNPEPNPEPIPEPVPAVAETIEPA PTAEPTKTPEKEPSSTPQTNGKPDLITDYKELEALVAESTSNYVKTTLHGLSPAPYQP TVPQSTTQTYLNQLQQQQAHNTYYSSYTQSVPEPQPPPPEPGHNLPPNQTFPSAILYD KARQAALSKNSSHTRREGLHSTRRPWTQEEEKALMAGLDMVKGPHWSQILTLFGQNGT ISDILKDRTQVQLKDKARNLKLFFLKTNSEMPYYLQAVTGELKTRAPTQAARKEAEER ARLSSEEQRATLNGIMTLAGGLQNPQQGQGRAVGTPTSAVGSGVMTPAQAASAAQAAS SQQSQAHNQATAHSYNPATSSGYPPSTLPQSRPSIMSPPQQSPHQAARPQSSQMSMSP QTPRSQVPQQNHNAMHSPVHAQAAAQMRSHTSTPVGQLHHSPPTQHTPTTQPAAQHHA HTHYAPVPHTQLSYPSPTSTNATPDMHSHNHAPAPATQMDQHMHDNAAEAALLQGLQA AVAESL FPSE_08493 MFSPQYRKRGIRETTCAATGTICRNTTTNLRIDDHTFQSLYCQF HACRQIEAGRACAVAKPPSAIVCSQHSRCQAVDNGMGCALDVKDGNAAMHKYCMQLHL CGFPECENERSKRNEQYIPFCVDHRCEQDNCRNYKDVGIFCIDLDDPQRFCDRHRQCE KPQCPRLCHTRDNGQPSPFCGAHYCQAPDCEDGREAGLFCPAHTCAELGCLKGRESFK TEYCKSHKCKTKHCRLRRLDSGYCPYHECVYDGCGAEVVEGRLCEAHRRRMKSSFGRR LSTQGMGYAMHGDEEDVAEELNMAISSVNTICVRSTIARLQGLDHPTSASITGALQWD VMNPGRYNPKSGFEATPKGCSVKSMLVAMLVVKTKTLKIQIDACGTEEATCQDLDQGW TKKMRG FPSE_08492 MSRRCYVETSPDGRPQLVTIKRSRSYHHTHRHQCDYYKVSREEW KTLIRQNELLDEANQAYAAQNETLRSRLHASEAETHRLCHVVIPALNDQVAKLSRENE CLRRAAEKPCDPPVHILPISTHNHSELERLREKVCKLEKENKALRDDNGDLRFRLREL SKQVDQSLSRRVAELTKQIEYWTNQSGFWKKKYDELRERHLGLITIVESKTEKTEYYD DVLKRRRVC FPSE_08491 MPLHQRTSASPTKRSSSSVRSSSSVRSTTSSSSSSNNKSRALTV QDHDYEYQFDMESELRDDLQKANTELNKANAENDKLSDQNDKLKATIEQLTRKLNESK TSLEETTRKLNESTISCRELKAQVEGARSEADVLREDNRRLNNDFEELKGDKRRLNSE CDELKEVKESLEKDNERVKFEHHDLEQRFIGLQAKHENLTMRHNAVSSQVTSSALTSP MTSPMPSPLPAPLPEARKNPSRPSSKASSKASSKVSSKSVTKREPREDRHREQEDRHQ SEREENPRDEQPRERRHREDRERRTHDRDDRGRQRSEKPKDKDRFEAKERLSKRFDER PSVAPRQTSFIEPWGPGGWSPRYEAPPSDLDAYGQMTLGRKAASVVSSGAYSNVPRTT SIVNGHFSSDSSAYDDERYEDGSYHPYPIPN FPSE_08490 MKLYPSISEDLAAWVQQQPVFFTGSAPTHGSHINVSPKGLTDSH FAILGPNQCAYIDRTGSGCETIAHSYDNGRLCLMFMSFGPAPRIVRFFCRSKIVEWDD PAFPDLVRRISKGKRSTFDGARAVIVADVFEAQTSCGFGVPRVKRGIYAPDETLKGLS LDQVLQEGVDGEVNELAVFEARPTMDMWVGKRVENNTLLDYHKETNVLSMDGLPGLKA ARRSVGETLWFTDAKAHVKKVLVQSEAVAVGFVLAVLLYAVMVFMGAISAT FPSE_08489 MSAQAPQVLSRRANGGSQASANQNSESTKGKGPQENSSHGKGRG RGSNTRSTETNKPANESETPKPTRTKAHNEGEKLTIRRLPPGMTEAEFASILGSEWEV GKGKVDWFCFAEGKISTDPSKPSRPGRAYVHVMRKDDILPLSEAVRNAVWEDAKASFN SPSLIGPPVLDIAIYKKVPSAKKRTDARQGTIDQDPDFMAFLEELANPTPPKEPDEGE EGDDLGKSENKVTTTPLIEYLKEKKAKNVKDGTSSKNSKHGQGDSRSGKGKNASKEDD SGRKRGKDSKPDKADRAPKETVKILTKKAASEQNADGSKANSKNQANDANAQEAAPKS RRAGILAAARILQRDLGLSPGSAHRKARQDAAKADADTKTTPEKENQALTDAKTSNPE PPASPVKSNETETPAAKGRGAAGNSSKQQQPNRRTRGGKNADKNKERDNEKDNTREKD KNKGGPSGQTQAAPSPPIVLLKKKGNEAESSQPAKPATSTPQPPTTQGGRSKTENSAG SSKSASNKGASAQKKPPTVSPDATRGFVKHANPSQGVTEALLKQTLSTFGTITFVEID KRKGFAYVDFAEHDGLVKAVTASPITVAQGTVQVLERKDKKPTNANSPAPATTPAAGS PAPEKTSGRGRRGRGGGGGSKAKDSGGNNQGATAATATNSNPTNGG FPSE_08488 MASSVPRPGPANLGPNAGLDEWLEEAKQCHYLPERVMKELCEKV KEILMEESNIQPVCTPVTVCGDIHGQFYDLLELFRVSGGMPGESNVQAPKTATTVITS DDIEPPTEITNPKLRKKIKSSGENATSSGAEEVEAGEADEDPDATMADRLESGVTVNS TSQSADNRYIFLGDFVDRGYFSLETFTLLMCLKAKYPDRIVLVRGNHESRQITQVYGF YEECQMKYGNASVWKACCHVFDFLVLAAIIDGEILCVHGGLSPEIRTIDQIRVVARAQ EIPHEGAFCDLVWSDPEDVETWAISPRGAGWLFGDKVATEFNHVNGLKLIARAHQLVN EGYKYHFPENSVVTVWSAPNYCYRCGNVASIMAVDKDLNPKFSIFSAVPDDQRHVPAL KRGPGDYFL FPSE_08487 MPKPKATKGNPIHNAISEWLDSISLENTESNLTWRADLLASAPK RFTVYEPMALLPTGSFTSQSWTTLLQKVGKDACQKLWSGILEQISLQGKDTLTHLAVN EGIPLHKAGVEADENVRRSPSGLRTLYGNFGPQDAPAEEPSQNDFDKTLWASTKQNGI FQTWAPRWTMFSRGNVKEKARLLDMGNKILKGTWAVDLYAGIGYFVFSYARLGMRVLC WEINPWSVEGLRRGAVANRWSVRIVQGNDLALPTEKIVDGGEQIIVFLESNEKALARI QSLQSSRIAQDVRHINCGFLPTSEPTWRDTWNISAPAGETWLHLHENVGANDTETRRE EIQRRFDDWQQGTDRAAKVEHIEQVKTFAPGVWHCVFDVHVYKI FPSE_08486 MRTGIFLSVSFATIVFAHSQKPIVDANADWMTKHMAEEHHVQGW DADSFFTLHDYNGDGWWQAAELMRTYGLFDESNKGMGDKRKEEVRDILLGLLDKDSDS SVSRKEWMDYINSGKTLPDLNTGPGHHGDDEYEYEIHHWEKYHDDNTKLEDLTHPEDI EHFKKHDEMEDAQDRLEAMQKLSIVEANIPQKFRRQ FPSE_08485 MSRQIEQALLSLMPTYGSDLPPSLVELAGSLLAQSRHRASALKT EEEIARLYACANIACDRLKITLDLPPIEPRPPIPPRIYNRLYTHLDNILPSSASTPGR TAAGRRTPSSRFRNNGGSPADRPLPSRGTPTKEQTLAQFRTPSKGASGTAKKATSDQQ TFRGINLHGWIQPVTRWVCEETDHKKLAPTILAGLETIVTPGGRRTGDEWVLQNVTAL FAAIYFFVTVHVKALASGENIDGEGYVPLRKEILALLTRARQEVDVKGLPEENAWEDW SNINSKEFDDAVAKVNERSWLTGDWYQGIADVVKLTQRGHFEDVAMLDDETMPKMQVK KADTMFQDKYDFLSDARRAEYVHWEKDIYAKIALSTGTAMEVDTQ FPSE_04970 MAVLSLLTVALALSSPAMARPRPQLGNGDDAQEPGAGLGDGLIP TVLPGAGATETGGLGGALPTGGLGGLLPGAGGAAPGGAQDGETMREKIEKFLNDLFGG GGNNADPPAAAPTGGAGGDASTATPVATPGSGDAGANESPLLTPGRNNAGAGGTIPTF VAGPGAGFGNGGASDAPAQTPGSGNGGNADASEAPVAPTLVPSPGSGLEGPGTAPGAI IPTFVAGPGQGFGNGETPTPGAGAGAGNGNDNSSDAPQTPTQTPGSGSGTLPTNDLAA PSGAIPTFVAGPGNGF FPSE_04971 MSDKAQDRINAIGNQLLPPINKVAPGSSKLRVEGKVVIITGTNS PLGIGRATAHQYAESGAKALYLCDFDDTHLETHKKEINAAFPSVEIYTRCFDAADEDK VKEVVDDAMKRYGRLDVFFANAGIVGRTTLFSDFSKDEFMSILNTNTSSVFLAAKYGA PAMMETSPDKPHSGGSIIGTASVAGIRSNAGSTPYSASKAAVVSLAQTISYQLAGTGV RVNAICPGLIETGMTAPVYEAARARGSEKKIGQLNPMKRGGRADEIARVALFLGSDES SYVNGQAWAVDGGLSAGHPFVPGRLG FPSE_04972 MTTEEVSKQTPEEFFQSIGDKVKNFAPAASAEAENDDDERAVEE IESLCMNCGKNGMTRLLLTAIPYFREVVIMSFSCEHCHLQNNEIQAAGTVQPKGTHYE LRLTDLADFSRQVIKSDTATVKFIELDLEIPEGRGQLTNVEGLLTTVIDDLEMGQETR KEQTPELHIKIAEIIAKGRAMLLGDSFPFRVWVDDPAGNSFIAPDLKDGVGKWEKHEY ARTDEQNASLGISDTNADDHQAQNPGLTAEGDVIPNEVYSFPATCPGCMRPCTTHMKM VDIPHFKQVVLMSTVCEDCGYRSNDVKTGGEIPEKGEKITLEVKDSTDLARDILKSET CALECPELKLQVNPGTLGGRFTTVEGLLTQVRGDLHSQIFEASGPGQGGDSLASDEKN QWTAFFDGLDAAIRGDKPFTIKLTDPFASSYVQPLVDPPAPDPSIHRESYTRTDEEEE ELGLKDMKLENYGENDEEEEKKDGEEKTETATES FPSE_04973 MALAELPGTIDFLTDAAHLLRTTAPETSAHLMSHRGNLLSQFRV SPSDVQRQHVCGGCGLIMIPGQETMLKLEARKSMRAKTKGKKSGINSTPKDNNEGPCK ILHCDNCQRDTKIVLPAPAPAVRRKTAQNKVKKTVAPVEPPKQISNASSKKRARNRKA GLQALLSGQKQQSANPLSLSHFMK FPSE_04974 MFALYLTADLQGVTNLRPDDTQDNPFWYMFKVQCTSCRETHSNY VGVNRFETNEMSGSRGEANFVWKCKNCKRESSASVKSGPAAYEQIEPAKAQKIIEFDC RGLEFVEFKAEGEWLAEGAETSTKFTAIDLEEGEWFEYDEKSNEEVSINEIKWEVRRS FPSE_04975 MQALWSRAGQAHRCGCRRASDAVVSTLGRRVTTATRPRKVTIAD VFTACYSSMFATAALVDAVVKEDRRQELDRLLEETRRELAQLQLQNLEATQSTTENIS LGQMHHLWESIKDMYTNRPYMKEIYRPATIRIDEFLNRVQTEQYQCPDKATMDAYRRT DYENLEQTIMHEETDDSIVHRRPMNPKQLHNAGRTVNHLIQQLLKRAAAHDKSNLPSP SFDEAVKFADQIPSYKFLVESDLEMMKKNRATLNLGLRKVVGSSLNLKEKVGRVCYNL LVSAYPADMHTYNTLIVAFDRHGYKYLAESVVNSFYYYRRLSPTPSTFVAILNHYKDS GNYGRFLRSLACITGLDDKTGAKVRRRLAEGFDSSRSRRDRIQTWTQTGDYFWQHAPL NKPVVEAVIHGLLRVNLIDQAAMFFVSCMKNEVVLGTQIIKQLFDECIAALDWRSAVR LIQGFAHCSFTWPSMLLGRDRDTAYLIGRLRVLLDLAGLQNSTGEVSTSVLDNLSIPN FGFRKFLSDLATADSTSQLEIQDSTTGLVSSPGRRLLQIEALWKEQDFIAKTIRSIES KLLYPDLPQNFRESMASHIGKTAANNVVGLNREIMEVLARLPRSDRVEKGLAECKIFE DASVAFYENSASVPRSKNSVSGALSDNSIFEKLMQSRRTTTGEQTDAELAVSLRDDEP QMPLTGTTANKRTNTAGRKPLRARSMRRTTLSATDERVGYSERPSFG FPSE_04976 MKFGRNLPRNQVPEWAASYINYKGLKKLVKAAAEKAKNGEKVDP AEFFFALDRNLEDVDFFYNKKLAEFCRRLNLLQNRYGRTPDVVATLDQDEVEEVMGAL LELRSQFRNLQWFGEINRRGFVKITKKLDKKVPDLVTQRPYITTKVDVKPFAKEANTA RLLNEINRWMSVLSEAQTFNDTMSEHSTRSLGRASAKGMLSLPQAQLDALDQAVRNDD VLALEDGLKECNAIEEGAHPLLLGLLQRSISARSKICISFLVSNTKTLDEPDDINGRN SIHRLVIHIGRTKATNGEQDSKSRPVPAGSQFSNRHLEPGLTTSKAATALNQNEALLL NKDDEAVQLFIFLLEQLRPEQRIALKSRDSFGRMPLHYAAQFGIVVICQIIMSKMQEW GQFHVKDGIDTPEWQDNDGYAPLHLSVVGGHPLTTRALLQGENWEGCSKGKADIRKSI AKSGAVLAIATKSNYSVIVQLLIEAGVDINWKDKTGETALHVAARFGHVECAQIILKG TQDQKVDLEATESTYSWTPLHVAAVDGRSSVAELLVEAGADITRLDSSGWTAREHAAL RGHMDIARLLETDTLEAESPPVRPVDTLQPTPSDMSSIDERRSNGNGANNVSRSQDAI KSFGHRYLTNESLVLVSLGSMDMRKNVEPVSLDRVPLTEAHNTQLDTALSIVVSATGA HGEPTIIDLPVHDSISTEPIVFMTLEAEKVKLLFDIVPTYSGNDKNKVGRAVALLSSV KPTLGSRRMNLQGDVCVPIMSSNFDVIGTVNFNFLVITPFHHPNMEVTSRQTYWKKLE STMLIGHRGLGKNLTSNKSLQLGENTLPSFIAAANLGAHYVEFDVQLTKDHVPVIYHD FLVSETGIDAPVHTLTLEQFLHINSDKSRDSQQQAKKKPPHSGVPGDFRARSNSVAPK RSQSMGFAGSGPDDLDERMKHTKDFKEQGFKGNTRGNFIQAPFATLEDLFRELPEHVG FNIEMKYPMLHESEEHEMDTYAVELNSFCDTVLSKVYNLSGERHIIFSSFNPDICLCL SYKQPSIPILFLTDAGCCDVCDIRASSLQEAIRFASRWNLLGIVAAAEPFINSPRLIK IVKENGIVCFSYGVLNNDPIMVQRQVKQGIDAVIVDSVLAIRKGLTSGETPAELTNGE AETNGTLKSTHELKEKLEELTVNGAGP FPSE_04977 MAGSKRPAPVPQNVLRWNNSKWRSGPSQHQPTGAMGVPTLLQYI HSCRRIPTSLELQMEKHWILFLLLFTDFSALRHYRQLHGPDVGTSIGKITSEDIVGSI FIVTLRRSSCKEKLRHIHNTGMAVEDWAYQLSLNMVSLIRGNAFFVSRQP FPSE_04978 MGPIDCDVDASPAAKARPEPILQPRTTTGKARATRSKSSQVSWR PVRQSHYIADTVLSWRTALEATSLGQLPLAYTRKKH FPSE_04979 MELMELVENEPTARPFQCDWQSCTKSFNRKSDLQRHYRIHTNER PYSCSIPGCGKSFIQRSALTVHIRTHTGEKPHQCQHIGCGKRFSDSSSLARHRRIHTG KRPYKCAHDGCSKSFCRKTTMVKHQRRSHQQGMNPNDIDDCSSDSDDDESPSTPQHSS MTWSPHDMVSMGQTAPNGSLHRASSYADFESQVHGHHMPAHYAHRHGIPTTVPHEYHG HTVPDQHAHVQLVHRAAAIPRQTYYVTEQGNPGVATMTSSLPPHYHLSQHVERPAMEM PYSAPGIPTSIQSSPSTFSATSVPSPMVQDGFYAHQPTTQAAYTAAETQPAMVQYHHP IQHHMAQAQQQPVVSQPQHMSTTTEHYPPPSAHPQQEQWSNYDPPIEVTTIGQLPAYG SAVYDIYAPKLEFDDPSLQLPSSRLASM FPSE_04980 MVLPTAELRLPEFLQKVDLTSFSRSRFSAMALMTAQATISNMGR VGLHAANPILRHPHFQPRASSPSSFMRSFSTSSGILSSRGISPLGPFSVQRRAFGSSN GISRNQLATLEESANRNPGNANAQNAFYQLLLRANMPAILVERYQSGRFATSPATNDA YQRALAMLGASATQAANAPGATNGNFGRTQWPSYEQGIANAAVTGAANAGNPMGHKGE PIHVIVQESTRSTIFRWVKFLAIFIVTTYLCFALVTIAIEAFSTFRRGGPNSKQDSEV KAEKQNTRFQDVHGCDEAKEELQEVVEFLKNPEKFSDLGAKLPKGVLLVGPPGTGKTL LARAVAGEAGVPFFYMSGSEFDEIFVGVGAKRVRELFTAAKNKSPAIVFIDELDAIGG KRNPRDQAHAKQTLNQLLTELDGFDQDSKIIIIGATNLPKMLDKALTRPGRFDRHVNV DLPDVRGRIAILKHHAKKIKVSPDVDLEAIAARCPGQSGAELENMLNVAALRASRAKA SFVSKQDMEWAYDRVTMGSERKSMVITEKEKEMTAYHEAGHALVQLFDKESSNTLYKV TILPKGPSLGHTAQLPQMDKYSYTAAEYMSNIRVALGGKMAEELRYGGDKVTSGVSSD LERATDLGFMMVTLFGMSAALGPVEYGRRYDNLSSETKAAIEGEVRKTIGKSYEDVRK LLTEKRSELDLLAKALVQYETLDKSEVEKVIRGESLPGRITAPKGPMRLPIPQQAPTP PGLGGVHQPQPPETPAPPAAAADTSRTDG FPSE_04981 MTKVLLTGGSGFIAAHTLEQLLEKDYSIVTTVRSEDKAQKIRNA FPDKVKDGKLEIVIVTDIAKPDAFDQVAKTPGLDAVVHVASPFHFNITDPKKDLIDPA VIGTTGILKALHASAPNVKRVVITSSFAAIIDEAKFTDGSHVFSEKTWNPVTIDDIAR SDTTAYRASKTLAERAAWDFVAEKKPSFDLVTVCPPLVLGPVSKHLATLESINTSNAR IVNLLRGEWKDEIPPCTPVPLWIDVRDAARAHVRGLEEPSAGGKRLFTTAGLFSHREI VDIIAKKFPESKDRLPGPEVKGGELPPKDQIFGIDNKETNELLKIDWLTVEKSMTDLV ESLKEIGI FPSE_04982 MTGCTGHIWGFVAPDVQDPPHCIALCRERFLKELLPEDETFERV CEALQDKDRMEREQPFQALYCCDAQACGVDNLGELGRDPNVNWLINACQDIGYHSVID PGPPQPYHICDPESINGSDKHCQDASAVDITQDESSQTTPRPSSAKATTIKETVSSRP TTKETLTSVPLQSSIPQTSYSTSRDSSAQGTSNPSSSDQNDTKDNKGMPTGVKVTVAV ISVVGLLAICALIFCLFRRRRSRKNDIRRLIKHPTSPPPVADSPTPLVSPAISFSASH ADAEGVPLTPPARLRERRYLPTMGDQQDSFPTSPLFSPTGRNLSPRHERTPRIYSSNQ VPMIVMTAPDGGNMRNNERSASISDGIITPPPSALIDPLGLGGNTSPPRPPRSRDASF NMLASPGPPPTRALPSTPPVRPSTPTNPPQKDMGNYGET FPSE_04983 MGSPRVLRHSNGRKVSIGDGDNESELSDPASTVQSPSGVDFNEF EDEIIVRARTNGTRKSSPPRPASDAEDETMTNGDEEPVASHYPKRKRTSIFNDLSESK IIADERQTSTATTKAKPSRSSTGTVKGVTVGYWRDSNAPTEERKHAVIGFIDVRERLR TRIQPVTRAGNIITDEYPLPPGPGGSWVTFDRIIFLDHLVGLDHLQVKEYVRLRTKNI GTEKNQPEQTAGELEDVQEAIRRAKLIPTTENTANAPQIAYGIELPDHLQSNRDAKRR RTSGGFTSANTVSSNGTLVEHTPIQPAPGGPQPVRNIVDPLPGTRPTRILLGTWAKSA ESNPKNRHAVYGILGQNDMFRVKLVRETRDGRFVDGNFPSGAGALWIPYEEVDFDKHL ASLARHEIKEYCRVRQSQLDRGETADERQQNEITAISEAQARAAGTSYKALAPLSIAP RLPGVFGEDQDQSSKPSWTGNENRQELRQSRRVEAARAEGRLTRQPLIDIDAAQPTPA TRPIAGKTQQSTNALERTSALAEREITRVEQAQERAHLQAANRERAAAAAAQAAAAAA AKIPGMATNGRQQFHESHEMQRLNKVWASQESMRFSRASADDAKMYGGVKYERKANGP FMGKLVSQGTIINIDGEDYVEYRVLTKPSFF FPSE_04984 METVDSDKARPVAPPEPISPALSSTNAPKPPISRTASPTHAQAH ASTPDSTSTRAIAVETKSPVAPDSAISIATDAPLAAPHSATEPQAATPSMLRSPLPSS SSTVVAAVEATATATAAPDSASGTKDRVLSPPSVPSTSTVNGKSPVITAASPSTVAVA AAAAAAARSPSPRQVKF FPSE_04985 MAFDDDDNPHALAQPAGRANGAATEDADEDAPDYKLFLSMFDKH GVSSKAIRKGEKDFESHGTRAQDGLLEASRQVMDNVLGYTRIHREDAWVRGWCFPDWW AEMELAGEKEGLWLRDRVVMVEHERGTWQKDIGRAIPGKIERLGTGRLWLLPEEAIFL VERGTMDLWWPNRQLEDILPHADGKIKTGMGPDDYDVGLPLSLEAAYSLFIGNEGERG KLTLPQYQVYSNLKRAGFIVLRAPPYEAHTPAPQSKTLWQWMFSFFGSETQTTNRNPS GPLIQPGLYRAYRPIYDQLALLPRHKPLPTPPVVHPPEDPYRVFFHVWKSGGPPFSKK NPPPPSFRIAVVDAGNTCVPTLEEIEALLESTPHDPPNESWQGPGRMYQRLKHGHRNV LVAIVDRGLVNYMRFGEGAFGEERVFERFDNRGGQRGGKKMGRGGGRGRGGRGRGRGR GR FPSE_04986 MAAPASVRVSGPPNSSFLVGYPGISATLPRIEGKVEIRPGQGFS MPVPVSLVRICLQRRETIHPDADSIAKRHLGAPRRETTDLVGKEQLLFRCSSGKEAER IIAMDLPFVLFIPYGRGGEESNRRIPPASLQLPSRTAETYYELVVTVQQGHSNQYKYT FPIPLQRYDTLSTFGMYNKPESKLVTNDNIVHLGINLPRWSYGPSDPITVYIKLSPNL DWLSKAKRVTIDKITLTVEEEITYNPEGDEPSKKINKISRQVQVVKTKMPEAGYATNV GLVFPSKDLRDPDGVVKRGKPQFPLYEVTSFTTTSTLYKIEFYLVIKVNLSGARDVTI RQPIVICPLDQQACKEEMDAIEQAAKDASHVDPNNPMLPAPTVILANDRDSLRALGLC MVGGQKKPFIE FPSE_04987 MEGKRRANTEKDTENRYSLQETASDASRTPGPGWRPGRDPANIE INIGPRRSRRLRSRQEEPSQDVPHTDEQPSQSTSAGTQANRLEEQRTTTSSSSEIAAR TSPDIHTRTKRERRPVPPDWEPPMTIGASLGTNLSRLENAERSVLQWEAIIANTRRTQ PRADLSGLEKQRDKARQEFEWMEESDENLIPVGELESTKRHRVRKRIDLLTTSLGRDE CPAGDTNIRAAIKAYQTGQIKCWDRWTLIYAGHLADFCPSYESFTLDRKERLDRYHSQ YGEGWLWYEPPLAPGGNHQAEQLMAATWAQPSADSGLLTGHKPYNWEISMGFKRVKGF HSRFTQRAGKAGRKKSGKVLLYQTQLRELGSDKRAPCYVEDDDDDTTAPRVCFKMLLD SGATHPSLHNTDLQYIGIDRKTYPAQTHIAVSTAESSTAVARVYEMRVDVCRYNGESL VGDDPIFPNERRELGGIAPVMILVKSTPDESEPLSEWYEEALDNGEDVSEEAIAKRYK GSQESRLSGMLPFQVCYFAGAPGKSVFWFGEDRRDVLGADRMPGQQRWELHLEPKGVQ RPKEVSHLDRPTVVFDHQMKGLKLLDTDSATDSRTSVLTIDDATKKRQVVMKTGKDPE ETVIQKTSKRKAQTGSSTNTKPSRKRTKK FPSE_04988 MEESTDNDSTWRASELDLGHGTPSESAPAVSSGTRIRSRSDRIL KLLKTVLTSFSLGFEDLPSEARQAYIRFQQNQDFREFGATLNHLLVEERLDGLMRQSP AFLEAAVELVGSRHPQLGLKERLDELEGRKREDSLKRQSNRSDKNRRRAKKEARRGDE TRSDDDNSDRPLNARLAKTLTTKKPRIRRKKGRKAQKGQAEGQTRLGTGAQYSLPKAP TIIVSDENTGNEEGQNAPVNTPVLPGFVISTRTGSKNRDGVNPRIEEMRNRQGQGTTR SKNTMELTHPDFHLYPYKGWKVEQPTVLLHRARNFLADEDIDRTLDWSQKFAELSEYF SYLLHNWQGEEWGAELHEVIDMLHTHWVYEQYHYGAPKLNLNFNNVWPKQSKRLPPIP GTDCPVENLDGAEDGDLGERKLLLNKICPAIDVHYKVPSKALPKYIELYTADAPHTWR VPFESISPSQGLMNLENDMYEKCVFSNMSDTCKGLETAEAKFKSEYGKEPFGFETLEA FAALRGTRRAALQQTLSPLNNAENLAVCNIFRTLILPPAKIPEKPDAGIILPTMQVAE VPQKESRDPFGYTLAHRWYMRADQHWGDWAYEHAVKECHGHRLWEERKEPIMDLPRNF KGPYVHDFLDHEMKKAVLLLKMCEVLRDRLLLQKKRTPRSFLTDVSQCLADGMAGKHW EDAGLKFPEEDFVPGSRDLVHIRPEEEDLLRLLGQNSINKKTVDPKTKGFKVGHRSRL FEKRVKEMFYGEGTKNVHKIGFDEFMEELNRDCDGPVKCWRFSEDEAWKEISILQKNG VIVSHGRTVHRTEADLHPEQLVRWLDTDKDLGAFVQDQDKVSSKEPTKDAEVSHENDE IETISTAISIPESKTPGDYLFGLPRTEHLRNYEDLVDIDIDTLEQDMRKTKRFYTRLC FRLGRTIHDLQAKRETYRQQLTVDQREQNRDFLDFVVRLWDSDAACRPNNKEKNPKKG DVKRITPAYQDIIKIVEPEAYDTRWDQYVLSKDHHEDDLLNREKIRKGIIREAYENKS MIFPSRIDRYTDEDGLTVELPRRHEPVWSFAHPERKGKAPRYWDMNRWPLHLQSESTA ERIRSGNFHEDARAIFSSAIVTPSDSPTTPAVQKTSQEVDEEVDEELNEEEEEDEDTA VSGISEQEADTSEHQGKTIPGIGKEFAVTYADVAESRRTFTPGPPHYFLGSTPLQKKY IENRIKSGIASVEPPSFTWRQRLGALFGRRIVNDPTALPEVNPRDIPESKPRSENSDD ESEEDMPGVMENDDIDVVMEELVTQQPHRNDHELPEAPSPMVPSFPHSSNEAPAESTP AVVQGGSRLAGQESEREPPRPLSRGRRGRSRHLETQQLHLTIEEPESEPSVVYTQEEM PDSSHRALYDSD FPSE_04989 MFKKYFGLRGQSLNYAISAIAGTDFLLFGYDQGVMGGILTMAPF MEQFPDMHSEDPSVSAAVRKNRSNYQGIAVSSYNLGCFFGAIITIFLGNKLGRKRMIM LGTTIMVIGAALQASAYSLEHFIIGRIITGLGNGGNTSTVPMWQSETCSAHKRGKLVM IEGALITLGITISYWVDYGMYFAQDTSACWRFPMAFQIVFCLIIMAFVMNLPESPRWL VLKGRDDDAKEVIAAIADQDVQTKYVDNEFRAMKDTTTEMSKGAFSDLFSRNDNKNLH RTILAFVNQMFQQISGINLITYYAASIYSGLGMTGHMPLLMAALNGTEYFLASLPAIW LVERVGRRKLMLFGAAGQCASMAILAGVGSSDARACQIVGIVFLFVFNSFFAVGWLGM TWLYPAEITPLRIRAPANALSTSSNWIFNWLVVMITPPAFKNIGSNTYIIFAIINAIM VPSVYFFFPETAYRSLEEMDTIFQKVEHGMKGALGVVKQAKIEPRRYDNNGNLLIAVE EVEEKAHAEHRSGRGSSSGQSGEHNNAAMFTSHDEENQRRET FPSE_04990 MVDRPNKPSALASTPAAPPQAVADITHDNSRVKAVLPSGESVEV LLHGATVISWKDASGAEKLWVSETAALDGSAPVRGGIPIVFPVFGTAPDHEPVAKLPQ HGFARNSRWEFLGKSTSEGSSSSVKLDFGLSSESISDDFKALWPYKFALIYSVSLDSE SLNTSIVITNDGDAAFDFQTLLHTYFKISDIASTEVTGLEDSVYLSKVSGSEATQSGA ITFSGETDSVYTPANGPKHPVVIFESGSPRYRIVRDNLDQVVVWNPWVDKSAGIKDFS PKDGWKNMLCVEPGSVKGWQKLEKGDAFEAAQTITLA FPSE_04991 MASSSSAAWDPSSWRSKPMKQSPNYPDPEPLAKAVQELSDLPPL VHPNEIIALKAHLRDVAQGNAFLLQGGDCAELFDYCRQGPIESKIKLLLQMSVVLIWG TNKRVVRIGRMAGQYAKPRSSPTEMVDGKEVPSFKGDIINGFRLEDREIDPNRLVKAY HHSAATLNYIRAALASGIADLHRPLDWGLGHVRDPELKEKYSAIASSIQQTLRFLQVI NARPGELDSVELFTSHEGLLLDYEQPLTRLLDKPTARTVSPTPPGSDGEKKEYYDTSA HFIWIGDRTRQIDHAHVEFFRGIANPIGIKVGPTTPASDLLSLLRTLNPSCEPGKITL ITRYGAAKVGDLLPTHIRAVEDSEYRRTVVWQCDPMHGNTLSTPTGIKTRRFGDIYRE LQETLRIHKEQGSNLGGMHLELTGDAVTECLGGSEDLGEDDLSTNYTSFCDPRLNEKQ ALELAFLVADHFSREEKAV FPSE_04992 MTDETPSQTAKSAEDRKAASALENLDAADSPSTPQNVDADAANK AIKSLGSSKASASATSHKNVKVDAADVALLVEELELPKPKATELLKSNEGDAVKAMRA FIKA FPSE_04993 MDSPPKRMTRARAAAKATEPSVKTTKIVTAAARARSTATAGTKS TAAKRKTRADENDDDEEQQREFAVVRRTRGRPRKAGEVEEHDAEPEAPARPRGRIIKK TATEAPKLTTTTNTTVPAKPTRGRPRKAVVIEAPAPAPVKKTTTIRTRTTTSTTTTKP TTKPTTLAKPALKKSVKFQEPDKENIEPAAEAKEPARPGIRGRPAKRGGAAGSRTTRA AVRSAESTENKPLSPKKITQIPVSKDDESEDELAGDMPPAKPMMKSPIKPPTNMVKPQ PEQTEEPTESISASESISNPPSLGAHALRSPAKRQPASPDKDTLRSPARRIGHIALPG STMKKTQEGDTQAEDVFSFGNSLLQSAAKRPQSPIKGFNFEVSLQPQQSQSAMKASLL LSPPKRAMPGLKPVTEPRPRDVGAFGEPLIMKPLVLGTPSRAPSTRPSDKLMSEEQAE LDLDTVEEDVFNQPLENLEFPGRLSAVLPRYADPAWKKDMGIVDEPQDEMDAVDEPET VEDIQEEAVAEPEPIAEAADLTEDEEDSMVVDEDVVEADIGLEGIETKNQIPTQSPMQ EQNPMYQLREKDLEPQDTDSESDDEDSAPTNAVPVTPTPFGRKTPKPSRASIGGFSAL ADRLGSWKASTPVKMAPLTSGEKKAGVLSGRVATPKSNDSPASTHFFDDEMTVRAGME DLQTDDIAEIMDPDFDDMDVTEEDVELAQEANEMSLMESDVLEEVVNTDAFDDTLSEA SQEYGDENEMPSSMVPTTPVRPVMKTFNTTTKVPLKPADDSSPTPLKKRSFSASRVAP KRPSGPTSSGVAIPYSSKGRKSMPATIPESPSAPSTPVAATPSKSDLWSSLGTPARTP RRDLNPAILRGAVVFVDVYTSEGADASSIFVDLLTQMGARCMKSWSWNPNGSENDMTS SKVGITHVVFKDGSKRTLEKVRESNGVVQCVGVSWVLDCERLNDWVEESPYKIDTSNV PRGGARRRKSMEPKALANMNGTLVTSPTKGGSRTTPSTPNNRRQSTQWMYTPSEQDED EDMEDFEWSEAILTPVPKTPAPEAIARYAANLDLESASADDDDDDDLDESPTKDALLM RTCPPKKSIREMGAGLLSQTKDDGVLMRLMAARRKSLQFAPKIGSPLARTWK FPSE_04994 MFFFFVCGEHTFRKEVPGYEGMVCQCHHCGNMAAHVQKSNPWFT FCWIPLIPLSISGYTDVACRICNFHQPLENRPDVKAMANGGGPPQQQWGPPQGAPQGQ QPMRYG FPSE_04995 MLYDLNIAWSPSTTEEQLLQTLTLSSSLGYSTVALSHTLTLPFP ANPVAPFPSIPSSPTSKLPNVLHRATLPLSDPSANNYRIPSLASTYDIIAARPLTDKA FQNACLTLDVPIISVDFTKHLDFHFKPKPCMAAVSRGVRFEVCYSQALIADARGRANF ISNVTGLIRATRGRGILLSSEAKDALSLRAPADVVNLLSVWGLGNEKGMQGLGEIPRS VVVNEGIKRNGFRSVINIVQVAEQEKGSNDNADDKSAKNDAEKKNKNRGKNQNQNQKR KNGEEDTQQLSKRQAKKMKLAQRNAATEKK FPSE_04996 MTQLRTILQTPAAVAPVAAEPVTVTINAEPVTVYETQYVTQVQV ETQYVTQVDVQIVTQVAPPLPAVTIKEPITIIEVEPVTVNHVITETVHIPGDAPAPVT ITVPGEGPAAITIIHTVQAPQEPSAPVVQVPGPGVTTIPIPSSSLPRTTLASDPYAVV GPIVTMSITPKLPTSVLEPPAAGPSTSPVEQPVQQPAPAPPTSAAEQPVTQPSTIATE APVSQPSATTIEQSSAQPSTTAIEEPTKPSTTAVEEPSAEATSSQAEPTAEPTPTQEE SEGGAPTMSPSMELGNMGPDTTGAIAAPALDGTRPTTATAGNGPSQTRAPIDLSDPSK LSSVLDLGNLGGGSGPLKARATGTP FPSE_04997 MEARCQCGSVTFKTPLPQPLALYICHCDACRRQTGSAFGTSAIF PRFELPDTDLLSVYSRPTASGHQLYCYFCRKCGTRLIHSTPVSDKQTSLARSLVPSYF DPKLNSSCHKSKNVISVKGGCLDGLDWKRAVHIWTKTAMVPIPEGSETHSEESGYTDY GSTQEELDQPGDLVGSAGCNIPPSEKIGSSSVEVNGGEN FPSE_04998 MPDSMLVKPENKVTFMTLPPEIRLHIYHWLHLMCPVRHAQLAPW YPTPVHCQYILQPVGSKAEAESAASKGQAELDQGLLSPYRPLSGLPTSLLRTNRQICR EARLIPFDQNEFVFVNWFASGLWAARAFTLALAPWQRAALRYVRLEVLARDVVVGGAG REEWRALCREWSPGVRGLRMKMVLGASTSVVTGPAYGGGVERRAEAARQWVGEGLRFM KRLERVEMEVLAREMSDEDKLIWCETLQRELREGGMKKIVVVCTEKMQEKMEWIKTDI GWRNREAEAGTVANSTTEESTVEVPTKQR FPSE_04999 MATLNLEDDELKALEQTLSKVAQLSSSIQSFRQDLVKSNPIPPP KSIQASAKILQKNLRSLLESTNENADLFNRMAIHPSTNYPGRVHENVLLQLLRKKLEP DVEELVSQGIETARLATPAGLESLESIWKELRAWLMERVGYFATNENNDPYTAEERAN GTENVRTGLRRDIEDDDDEEDEEEEEEEQQEQPAAAPVQVRGPEPETLLWFAARGDFE VPRNVEYERKEDAFAGVYVYKGLQGVGVPPTVQSPLQQGSAPL FPSE_05000 MAPDLNSLPTSNGETSTAPAPQLVSETDRPNILFIMADQLAAPQ LKMYNPNSQIKTPNLDRLASTSVQFDSAYCPSPLCAPSRMSLISGQLPMKIGAYDNAA QIGSDVPTYAHYLRSKGYHTSLAGKMHFIGDQLHGYEQRLTSDIYPGDFGWAVNWDEP DTRLEWYHNASSILQAGPCGRSNQLDYDEEVMFRSTQYLWDHVREGPKKRPFALTVSL THPHDPYTITKKYWNMYEDVDINLPEVKMNKEDLDAHSKRLLKVCDLWDQDFTEEQIK RAKRAYYGSVSYVDDCIGRLLETLEDAGLADNTIVIFSGDHGDMLGERGLWYKMSYFE SSVRVPMLINYPKRFTPHRVTQNVSTLDLLPTICDLVGTKPSPHLPMDGISMLPHLEG REGHDTVIAEYTGEGTVRPMMMIRRGEWKYITCPADEPQLYNLARDPKELDNLAKFRK IAPQTAEEEEAKEAFHKFDAEAHVRWDFDAITEKVLLSQRTRRVVWDALKEGEFTSWD HNPEDDGRKKYIRSYMDLDDLERRARFPVVDANGYESKAVSHVRNG FPSE_05001 MSSRTHYFQSQHQTQSQSPSQPLPHQHLRPLRQSHTIDFASNSN VSPALVNRFPSTTSSSASSGYSHGSDHSFNSQYTSASSSAGYGATVHGHKRAQSDVRA RAKTFETGENMTSKKAPDNIYNSARHSLRPLPQAPAGTRPDTPPNARHDRTKSVDIGK LSMSHMGQISPTKGSPTKTSPLKPSSPSRPLPMRPNSMLLTRSDSFLPQENTLSPLSP HHVHSTHIARDELEGLGKSSTSQLRTLSRLVSSDSPEDFTITSPTQEVVGLRGRRRLQ RGDRSNTGPSQKNTGYSWEGRNWMDKQRQFLQAYEYLCHIGEAKEWIEDVIHKNIPPI VELEEALRDGVTLAEVVESLNPDRRYRIFQHPKLQYRHSDNIAIFFRYLDEVELPDLF RFELIDLYEKKNIPKVIYCIHALSWLLFRKGIVDFRIGNLVGQLEFEHHELEAMQKGL DKLGVTMPSFGNMSADFGVPEPEPEPEETEDERIARELKENEESIVDMQAQIRGALLR VKLGDTMQQLWDSEEWLIDLQSRIRGDFTRQIMDYRLQMKRFAIQLQSSARGFLVRRR FNRREQILEALEPDILELQTMIRANKVRNQVRDTSAQLAKCRGPIREIQAISRGFLAR KSHVAQYEETKESSGMVEKLQAAVRGALLRGKVGQDLEDLEMQTPAIVDLQAAARALL TRNQVDRELHHLKSSGPKWEKLQAFARGFRAREDDKALRSELNKHIPDIEQLQALARG LAVRQNDQALRAELNEHAPAVERLQAFARGLRARQEDKALRSELDKHTTNVEQLQSFV RAAAVRRDVANTLDALKENEPAVVDLQALIRAMLERQRVAVILEQLEEQVPEVTTLQS HIRGFLYRKQHEAFLEELDSHTLQIVDLQSILRAMMERARVEDIMAELEQEEESIVAF QAAANGFMVRARFEEKKRFYNENMQKVIKIQSFVRAKVQGEAYKSLTTGKNPPVNAVK NFVHLLNDSDFDFNEEIEFERIRKTVVQQVRQNEMLENYIDQLDIKIALLVKNKITLD EVVRHQHNYGGNSMHFIANSSMSSANQFDLKALNKSSRKKLESYQQLFFNLQTQPQYL ARLFKRIREQGTAEKECKRIELLMMGLFGYAQKRREEYYLLKLISRSVREEISCARDV QDFIRGNFFWSKLLNNYTRSPRDRKYLRDLLGPLIRDNIVEDPALDLESDPMQIYRSA INNTELATGRPDQRPLDVPREVAIRDPETRQLFIDHLRDLRDICDQFFLALEDFLHKM PYGLRFVCNQIFENLRQQFKREPPENLLQVVANWLWRFYLQPAVIAPENVGVIEKSLS PLQKRNLSEVAKVISQIATGRPFGGDNVYLQPLNAFVAESVERLLQITSDVIAVPDAE RTFDIDEFNDLYAKNKPTLYIKMTDVFSIHNLVAAELQTMCPSRDDILREIMHELGSA KSNENEMNAAGSSDIHMFLTPKLHETDDPEADVKALFMETKRCVLYIIRVQTGTNLLE ILVKPISPEDDHKWRMLLHDEFSVGSNTRGAYSDSNMIDVTRMSYQELKRTALENIMR LEKLGRITKHNYYQDVLNAIALDIRTKSRRRVQRQRELEGVRLTLNNLHEKAKYLEQQ RKSYDDYIESAMATLQNKKGRKRFLLPFTKQYNHQRELERSGRVPKFGSYKYSARALS EKGVLVSWSGITDFEKINLTLSCDEVGVFSLEGSRGHIQIPGASALMPIEDLLQAQFE AHQFMTLFEGSLKLNVNLLLHLLYKKFYRTQ FPSE_05002 MSSKRGPSVLVTDARQRQPQRRVLQRQDTGAGAPGSQAPMRFMT VDNVLQYNSQIPSGQPRGPPGPATLPSGRHPGPPGSALSRRVSSGGLPNSQSRTGQQI PSRTTKISEKLVLLPEAEDNGDDVDEEIESESILARRVQDDENRPLKDEELDVLKKRG GVRGKSFAERLSKTQRTDKVSRLTAYCTAQAYKIKPTAEFLRKKHEAKTKIYDDCLYV IYALPLLNGNDGTRIRSRPILKTPGTGKTVLDLEIERSEQRDHHEGYFDDDAYEHPSP ERGHEIPARFTDRPSTPERSNPFHHDDDVSSMNRLAPDAKNFAEMFVYSYGVVVFWNF TEHQEKDILADLTFADADAVENGATSLLTRPLDQEDYETEEFHFEYSADIQRPRIFND MITLLPKSDHMIKLTISHAIAQSTRLCFFEERMSETMLDAQHVPKMLALTGELKMTRT EIVRMLGKLFRSRVDINLSSNILDVPNFFWESEPTLHPLYAAIREYLEIDPRIKVLNE RCRVFLDLAEILSDSVADAKMSYITWIIIVLIILSIMVTTAEVGIRFGMLNKAKGTNV NRIITAPVLGAGMEQTVLPPSDLEILAQTLGLQANASFEEVHKSIWALQRKDLPNASI LHEDI FPSE_05003 MSSRPHNEEPLPLLSAEERDRDMKGELDVEGDAGRAEPPKNNNL EHEYSIPSTVKFAWLGTYFFFSLLLTLYNKLVLGMFHFPWLLTFLHASFASMGTYAMM QMGYFKLSRLGRRENLALVAFSALFTANIAVSNLSLAMVSVPFYQTMRMLCPIFTILI YRVYYGRTYSYMTYLSLLPLIIGAAMTTLGEMSFTDAGFLLTILGVVLAALKTVVTNR FMTGSLSLPPIEFLLRMSPLAALQALACATATGEVSGFHQLITSGKVPLPPAFASLFG NGFLALLLNISSFNTNKLAGALTMTVCGNLKQCLTVALGIFLFDVTVDLLNGAGMAVT MLGAAIYSKAELDNKNRKSQQAAAAYKPVDQQSR FPSE_05004 MAPTYIKVPSKDSDNVALSVPRSPGAGAHFATTTLRVDGMTCGA CTSAVEAGFKGVDGVGNVSVSLVMERAVIMHDPQVISADDIKEIIEDRGFDAEVLATD LPSPVAKRFIDQDGIDDNDFITTTIAIEGMTCGACTSAVEGGFKDVPGIKSFSISLLS ERAIIEHDPDLLTAEQIAEIIDDRGFDATIVESGKVAADKAGYSGGVGNIAITTVAIE GMTCGACTSAVEGGFKGVDGVLKFNISLLAERAVITHDVTKLSADQIADIIDDRGFDP EVLSTQAATDHQSGSSSTVQFRVYGVPDAAAAENLEAALAAMHGVDSVSLRLASSRLT VTHQSGVIGLRAIAEAVEARGYNALVAENQDNSAQLESLAKTREIAEWRTAFRVSLSF AIPVLIIGMILPMCAPALDFGKLELIPGLFLGDTICLVLTIPVQFGIGKRFYISAWKS LKHRSPTMDVLVILGTSCAFFYSILTMLVSLIMPPHSRPGTIFDTSTMLLTFVTLGRY LESSAKGQTSRALSRLMSLAPSMATIYVDPIAAEKAAEAWDKDPSTPKTPKTPRLGGS AQEEKCVPTELLQLGDIVILRPGDKLPADGVLVRGETFVDESMVTGEAMPVQKRVGDN VIGGTVNGDGRVDFRVTRAGRDTQLSQIVKLVQDAQTTRAPIQRLADTLAGYFVPMIL ILGFSTFLCWMILSHVLSNPPKIFLQDSSGGKIMVCVKLCISVIVFACPCALGLATPT AVMVGTGVGAENGILIKGGAALERATKVTQVVFDKTGTITHGKMSVVQSVLEDGWSDN EWRRRVWWAIVGLSEMGSEHPIGKAIVAGARRELDIEVDGVIEGSVGEFKVTVGKGIN ALVEPASAVDRNRYRALIGNVTFLQNNGIEVPEDVIEASERVDSGANKAGTPATGTTY IFVAIDGKYSGHLALADSIKEGAAATVYVLHKMGIKTAIITGDQRATALSVAAAVGIS PENVYASVSPDQKQAIVKQIQSEGEVVAMVGDGINDSPALATADIGIAMASGTDVAME AADMVLMRPTDLMDIPSALHLTRYIFRRIKLNLAWACMYNVIGLPIAMGFFLPVGFHM HPMMAGFAMASSSVSVVVSSIMLKFWKRPRWMDEAAAEQRGGLQWKSGRGIIGWMREI LGKRRVKKEEGYVPLQNLDSEA FPSE_05005 MEQTKALNALEPFLALSKSATSPRAAADLVTRATSAPNTFLFSE LLQTPAIQNLAESEFASHLTLLKTFAYGTYSSYKSTPGLPELVEAQAIKLRQLSLLSL ASDRQNLSYKALQDSLDLPGSREVENLVISAVYAGLLHATLDAARATVQVSSVAPLRD LAPGAIPGMVTALKTWYGRCTTTLSDVELQIKEIRTTAAARQREQRAADERLQQAMQD HQEGTGGRKYDLPNMSRDTMARRGFNKRSVMDVGNLVDNDSMDVDESEEEKKRASKRK L FPSE_05006 MAEHEPVNPMASPSPQPDMTRNRLPTLFEVLSRRTLPPVDLFSF YIYMRDQQRSVDYLDFWLDVAQHMSLCRHYVRELRRSVLIGTPEAQSKRSSAILENIG DLEPRAAGPSMYATEKEKNQDAQMSAFLREDQSHDSPQSATGPMRPSPQFSNSHDITT ESNSPAHTVARQDIRASAEKILYTFLLPGAEREITLPGSITQEVTAAIEEYGRDDPEV FDVAKDYVFQAMERDAFPGFLRMKALGNLIPPTLIMRLILGLISMFAALWASFVLIFL DYSRTTRCWLILPFTIGVYFLASYQYSLDPIMALIGYSEYTPFNFSRIREPYVRKLIA KRAMMVMAVTFLVDAALCVLFILVPGKRL FPSE_05007 MSSTKKPSGKTQRSAIADVVAREYTIHMHKRLHGVTFKKRAPKA IKEIKAFATKSMGTTDVRIDPQLNKKVWEQGIKGVDYRIRVRISRRRNDEEGAKEKLY SYVQAVNVKDPKGLPTVVVEE FPSE_05008 MEYTMENSQNPAPQAAKVNSGSKGPDSQSTTKRLQTELMQLMTS PAPGVSAFPSADGDLLSWTATIEGPEDTPYSGLTLKLSFAFPSNYPYAAPTVLFKTPI YHPNVDFSGRICLDILKDKWTAAYNIQTVLLSLQSLLGEPNNASPLNGEAAELWDKDA EEFQKKVLARHVDIEDE FPSE_05009 MEVSLHSHIGQHPNIIEWFASGEDDVWRWIAMEYAEGGDLFDKI EADVGVREDIAQVYFVQLISGVSFMHSKGVAHRDLKPENILLSSDGSLKLADFGMATM FEYKGQRKLSSTLCGSPPYIAPEILACGRGDKKAPDASKYSPDLVDVWSCGVILFVLL IGNTPWDEPSQGSWEFQEYVRTSGRSTDALWGRIPAEALSLLRGMMSIDASKRFNFTQ VRQHPWYTRHNALLSADGRVTDPINLATQMLANLRIDFTHQPTSQPSSSDNMDLDTGL NAGKFSSTQPETPIADKEWDWERTPLKSVVSPASSLPHSRRAMVDTLADEPTMSQFSQ TPGPSMTLTQQARRFRDICPPESLTRFFSAVPPAHLVQMLSDALHHLNIPSAPVSPNL YGNPVAHMKVRALDGRQQNLHGEIQIDRQPLPDGTEILDIRFVKVKGDPLEWRRFFKK VVVLCKDGVYVPES FPSE_05010 MFPPYATPEPDINDQYQPQGTPYNKPVANFTWAMPDSLGPINTN NLSQYGYTSAESPLVMSASSNGYPSADLALLSRSNFNPQTNFLAGAEWSWPNTQHGSY SQSLVPTGTPHAREVLAKLRTCIHLFLSTRQILRNVRQKNRRHSASDCHPWITGPKNV SYPVPTLNTSGSDLGVLGNLSNEQQANLLNFPDYTGYTDCAWPNNALCVFGSGDNNLL LPPQERIPRRITELHEDDGVPRMERGLPDLTIKPNASKNAQPRTRRKVSNLKQSKKRP IACVDVEGSSDDDTDEGVKDKKRPKGSPTGTLFACPFYKHDPVKYKNSRSCVGPGWRS VHRVKEHIFRSHKLPEHQCPRCFESFETDRALSEHSRSHVQCQLLSGFAQEGINASQE KLLHVRAKKNNAASHVEKVEVEEDRWSEMYKIIFPDEEQPSSPYYNRAQLTIDEFGKS IMDDFNQRLSAKASCLGIQPSHLIPLFSVLQESVRSVQQGGANSNNQQSQLTAVQPQL SQPSVFAGSQFSAIGNDIVVDDQFMAQMLSDPTLGISQTGCWNTL FPSE_05011 MASVAFSRSTRAGIHQWSSKVSRPVLINRRNLSSYLVSPKELHE ALQKNPPSTISTDPRVIPLCASWFMPNDGRSGIETFREQRIPKARFFDLDKHIDRRSP YPHMLPNPKTFAAAMSGLGIRKEDTVVVYDSKELGIFSAPRVGWTLKVFGHNKVHVLN NFKLWVEQGLPTESGEIYTVECRPYQIPGMDEDSVASFEQVKEIAQDHNKEGAEGVQV IDARPHNRFTGEAPEPREGLSSGHMPGSINIPFSSVLDPKTKAFLPKDELKKLFAEKG VDSQHPIVSICGTGVTACVIDTALEEAGVGSPESRKVYDGSWTEWAQRVQPSENLIIK TAQE FPSE_05012 MADRGTSRGGGFASRGGDRGRGRGRGRGRGRGKNEEKEWQPVTK LGRLVKAGKINSMEEIYLHSLPIKEYQIVDNFLPKLKDEVMKIKPVQKQTRAGQRTRF KAIVIIGDSEGHVGLGIKTSKEVATAIRAAIIIAKLSVIPVRRGYWGTNLGAVHSLPT KESGKCGSVTVRLIPAPRGTGLVASPAVKRFLQLAGVEDAYTSSAGSTKTLENTLKAT FVAVSNTYGFLTPNLWKETKLIRSPLDEFADTLREGKRY FPSE_05013 MASSAQASTSLEELPARPPTPPRESIIDKTDPMKASANHPFDPR LSLQTPPGANTPTSTGATPSGSTSRRSRKKVEWSSHTEYKDPPDLEASRFFKSSPITT PSAASSRPIKGILKPSPSPNPLASSLNGQPNGLSHQASIAEMLDSTIKQLAGPDRDSR LDAYMMLSRALKASNNLPDRVALQGKMSLFMSFIERDVRAKNEKGTADSSLVNHALNL LTTFLHFPAIASTLTSDFGVFIIDHCIRCFSDPALPKDLARHLMQVAAFQSFSSKVMS SDRVGRLITSLHNIENHLTGKSIIMGRIQIYKRLIKQSRVHMAVYTDWLKDMFTDMLS TVRDIRAQAISLGTEAGFALRNEKNVMRKVTEILQSPDGKTTYIQFYIRKLEEMFKEK ETASIVPQIWSVVTFYLQCPISRWVHFGPWFKLAQDSFNAADLHTKQEANYAWNRYVY LSITNNKMGAKSLGPLGQPLTSQLRRKITSKQSEEALKLRRTVLGGVCNLYYYAFRPN NDMSWTDSAWDLVLQPLMGQLLNLDGASEPLADSVTQASRIVAGLLDVSTPRGWKDDR IRDTALISPEELPSIDPRWTRRNSERVFGLVSPILKRKFTDLANPESLTYRLWQAFVG SIAVASAKDIKVADETARFIAHSFDLLSDIWTKGIPEESESGTKFLSSVQHYVEVLVR SLGLLSFTEKKLSMSTTNTFEPAATPSTRLDRTDMSNGVVQTPLLHLFSMLSSKPQGV ADDDNLAECFLSIFAPFFHDKPTRTRIELAREMLRLLPRDVLSPYGPWILAAQNIGSI LDQNTVPSSSHPPSSDKLLGPEYREIVSLLERGLVSHPSLPEERWFSLFNLLSNHIVQ ECGDAGRALGLVEPLAKIVTDNFFEESDRSNGTALSVAIALFAAAKLPRDRQAVEAAR RRLWGAPPTASRSSSFDPFQYLYQLQNQSMKFFYDNETEGVDEKMVAYFKALDTFTAT AFSQNGIKTLPKLQLGISHWIQDEKAHKGLRSGSPVSEPLRSLWDNICAQFLTLGRLE KKDLDTIEPLISAAFRSKHRHIVNKMAETWNALVKDEESLDCSESLKSIIASLRPTVD VLYPGMEESIGDFGAQVQSFVDSQDDLSFMALSSAKSTGPDVEKAVSPAPSMTPMSMR GPLTRKRRRDATPESVKTRSSKRTTTPRLRHDDSQIQFAPIVSSPLVGESQHLTERQM EVRQRQEENAGLYSDIRSSPRPRSQAATEEKPNDSEAQKPRNLETTPERKASYEEFIT STPTPRRGLALHIEGINDPPSSPPEPRRNPLLSEIQTRSKARDSMESWQFSSPPGSPA IDLQAVETRPEAETPTKSNSSAKNKRSKRRGRKSGSSKKHETIPSSLAGQGEMEDESV LPDVPLTQNMEEAEEDKNKSPIHNQVESPQQPEHLQETPKSGDDEFVDAQTSPIGVKN NAAPSVDQKQAPSQNHESSFALSEGDESSFMRFVVEFESRPHHDREQFESVSVSPENK KNNNKKRVSPAEVQGNTSFGEEQVITKQPSPADVVPSTPVDAGSENSELPSTNIRRSK RKRNRTDHSVETRSKRRRSTNLTGGEKPEDNQPTSQEPNSPIPTVRRSSRRNAGLKAK ELRNQKAQASPTTKTKRLSASQALQTSSPNDGRDGGDTDEELMSQLVTESMAASQSQD LDVKIPDALVEDSMEVMSVDEAAAMEEPATEDREGSVEEAQDAAAEQDETEEEVSTDV KSELIMETLRGGLKQLQGAALSRDEVYRLEDMLMDMKRELFEAERRGRL FPSE_05014 MATLPPTGLPTGTDKLEYIKELINDLSEDLNQELYLPDDRAAVL DQLKILTRDPTNADPLYTEEGVSTLLRHAYDKPSTKSADAARRVLANAMVLKPVTRDI FVNKGFAPNACQGLNGGSFDDEFLNSRILFLSTYGTKVDLKKLIDDEKLADRISENLA RHTKESKAKSDPMQDMALVESAKLLFNVTYYCPDKSSSFTSAIPHLVALLLKQDISQT KPLDPPVGFIVNALANLDVGSSDCQKSIHPEGDPEKVVSRLISILDAAMKNVPDDQLD ATVSPLLGVISSIYKHAPDSSKKYIQAKLLPTEEDRKEVLGKGDALSAKLLQNFNNPL APSVGTVIQHLLYDLSENDANKFVENVGYGFASGFLFQNNIPIPPSASDPGSQKPVNP VTGQHVDAEKPVDEPEMTEEEKEREAERLFVLFERLKNTGVVDIQNPVEAAMREGRYR ELKDDEVEEIE FPSE_05015 MPVPKGTPTVFYARDKSPLGESDDPHESIENDEPLVNIESDIVG YERNSEESRTPSLDDDIENIAPAEQSPSPEEEVHVEPPKRKAGRPPKRRRRTEAEGDV PSRSSQRVAFVAPSHNDEDSKPAKAPAKRGPGRPPKKKRAVTVQVPGVSSPTKRRSGR VPAETKTTNAEPEIEWEVENILDSGVDRPTGVHLYLVKWKGFSNKENTWEPRVNLSKC HKLLREFEMKH FPSE_05016 MPVSIPLPSLLASATGIAGSAWASGFIASLSLAGVPGALQLSAP SSTIVWHQLFSRGFALMPKFAVTTTAVYLYAAYTAQQQGRPWKGLVVGAVSTVSIIPF TVLFMSSTNELLLKAVAGTLEASSEDVAKLIGRWGFLNLVRSLIPLTGAAAGFSALFS TL FPSE_05017 MAMDPVEKPPLDYVKVKTTLPVYPLPPNSERKPFQTKRLTMRPM TQDDFKHVRAVRTEPDGMIWSTQGRPDIEMEETQKSLDRRLPPNDVKGYDWLISLTET GEFVGLGGSCVWSAELGWPAIGYQFLKAHWGKGYASEFVKGYLDHWWSLPRTEVEISV DKNTTLGDSEVQDECISAVTVGDHAASQNVLKKCGMEFASSWTETDGKGFSEGIILHG FFARKGKDGNSL FPSE_05018 MSTREGRTAKVLSCSNCRTRKIKCDKIQPFCGQCSRFGLDCVFP SRKPTRRAPRPRQSELLDRISRLENIVNQADPAKLKQLDEEDISSQPEITSLTATLND RCDESQAAAAQAANAEVSEQYLSSGFWVHLCAEVEGIRHALNQPSEEDDDDEEGESPE SMDMHSSAPSGYLLGNSDYSSRQPLVHPHPNMMIRLWTIYSRNVDPLMKILHRPTMSR HFQAYVESPTTHRFTPEINAVMFAIYFCAAACLTPETCFKQLGESKEVITKRYRVTVE RALAEADYLSTTKLETLQALTLYTSMMRVHLHDRTSWVLTSLVVRIAQGLNLHRDGDG HRFTPFVAEMRRRLWHFIVVVDIRGSEDRGSESTLGRSSWDTAEPTPIDDADFGPDSP GPLIPKATPADNVVCMCTAMCSGIFGFMSHPQYSAKGEPEHFLYTEDQLISHIRRLEN TFIHTARPSHLPSLYASEIARIVILKLWLNIQYPFNGGPAPDRPRVSKETMLRTAISI IELRERMTKSQWEDRYAWWSDTYVQWHPLAVALAELCVQTEGELVEKAWVVVERTFPS SREHIADTAKGSLWRPIKKLLKKARAARTEALLKRMDLNRSLNLDPVSFMPSAMDMPQ QLQFNPTQQMTDIPVSGPGLTQQYDTSSMNPSILFDPPEVLNLDFGMGLEQGVPMEWS YWTEFFNDTQMETSPGGGSGTGESS FPSE_05019 MHSGRLQEYEVIGRHLPTEADPTPALYRMTIFAPNETVAKSRYW YFLRGLKKVKKATGEIVSVKVLHEKHPLKVKNFGIWIRYDSRSGTHNMYKEYRELSRT DAVESLYSDMAARHRARFRSIHVLRVVEIEKTEDIKRPYIRQLTQKNLSFPLPHRITK ENTQKLFSAKRPSTFA FPSE_05020 MNTTFQQFAEAHSLRNGYKLAQTLSPVPPADDPQRLMAVWRSTN SHSVKGDIKHFIKSSTAHKRKLDHDETTGWVEVYTSYWKAVSEILAGESGKSSWTKVY EAWKELTSVLIRGYNSHGFEAWTIPSLYMVGKYLRLFAIKSDEERRAKAFDTGPGASL ISDDFDPETDKQLQLRDCEGHLKRIFSLCLNDRAPLEESRKWGIYFVINLLFKTYFKL NSASLSRTILKTLAVYNDKGDMPPLEMFPKSQRVTFKFYEGVLLFLEENYIKAESHLN EAWQLCHKDAYPQSERILTYLIPCRLLTSHVLPTKALLENYPRLQDLFLPLATCIKTG NLQAFDQALQRGEAEFVKRRIYLTLERGRDIALRNLLRKVFIAGGFDEAKEADATPVR RTRIPVAEFQAAISMGSGHLVDPDEVECMLANMIYKDLMKGYIARERGIVVLSKKGAF PGTGL FPSE_05021 MFSTSRRHESSTKSFSKGHKASKSSASFSKDSGISKRKHESRHS RRPTMTSMTSTSGDTTMGDNMASPIVTLVVGSEQRLFAAHEDVLSNSPFFNHALRNGY MDAASKRISLPDEEPEIFSSVLEFLYKGDYTPRLVHNKRRNSYELETASEEQRAAVES TVYHRGIDGDLLKDTVIYCAAEKYGLDELKKISLRKQGLQSGIQCSTILASARYAYAN TPDTDSKLRAHYLALIIRSRSTFKRSGTMQLEMYNGGTQLFFDLFVALCNHVDDISTA QNTPRSNRHS FPSE_05022 MPPLLFTGLFVGLWCWKCAMMVLFQNTIIYNPFLPPNARSMTIN EVSRDCGRLKWREERIRSLDGTEIALCVADVPSVPEPSPAKTKPPIYVLYFQGWPISL IVKCIVATTQIARSFLDNASSSRERAVNPLHHARLHESKSDKGDGEKPTVLLWGQSIG CGFATNLAAKGEFPRDLTIGGLILETPFTNVRAMLQALYPQTWLPYQYLWPFLRNHLD SWANLGMIAKRFPETPPGIFIVEAGKDELVPANHAEELFQQCQRVGLPVERHKVRGAL HNEAMVRVAGKQALAHSIVTAVTQARRHERLYRVEVLGELCQVPYLGAPC FPSE_05023 MPASDKDNDVHAHIELPSGDEQPSPRADGDSSPSLRNSKGWDGK LRVPKSLSLANPEAMTDSEYSDEENVMKGEKIDADEDLLDDEDPETDEIMCSHSRIAS ISSLRLERFKQVSRICLRQNSIEQIDGLSALAETLEDLDLYDNLISHTRGLEDLTNLT SLDLSFNKIKHVKHINHLTKLKELYLVANKISKIEGLEGLDKLTSLELGSNRIREIKN LDSLKAIEELWLAKNKITELTGLGGMPNLRLLSIQSNRISDLSPLKDVPTLEELYISH NMLESLEGLEHNPKLHVLDISNNKITSIKGLELLSELEELWASYNLISDYKEVAKYLS DKKCLTTVYFEGNPLQLQEPVAYRNRIRLTLPQVKQIDATFVRT FPSE_05024 MVNVPNIKLNSGFDMPQIGFGLWKVDDNCAEVVYNAIKAGYRLL DGACDYGNEKACGEGVARAIKEGIVKREDLFIVSKLWQTYHDKKNVEPITRRQLADWQ IDYFDLFLIHFPVALEYVDPEVRYPPGWHYDDAGTEVRWSKATNQETWEGMEDLVEKG LAKSIGISNFQAQGIYDMLKYAKIRPATLQVELHPYHQQSELVSLAKAEGIALTAYSS FGPTGFIELDMDIAKSASPLMQHEVFTTLASKYNKTSAQVLLRWATQQGLAVIPKSTT PKYMAQNFDCFEWSIDEEDMKRISKMNLNLKFNKPTNYFPTEKLWIFG FPSE_05025 MRGRDIRVKTVRYPISKHLRASYDQKKEWCNIRQRNFCAVKSAL LRGDTSVTLLNWYDVPDYMWNHLTQADEEIDTLQIDAFALTPKPAHDPDPSGNLQADA RKDIESRKWYGLKFTFVKVLAKGGQGYVSLWHVTFDDGSTKKVVIKKGLSPSFDPEKE ASFHLRYKDAEHTTQVIDLNQYSKDIQEELLKKDPACRPRFMKGYPWDAKRLGCAVFE YVAYGDVWKYMESIVPGKKKKFPNQVLWGIMECFALALATVSYTPSFDGDNTFEKDYR RAEELDKVEDLLDHGRRAWYSEHDVHQDLEALNVLMGEDPAHGNQPIFKLHDLGAFSE CMRREWRNVTEFKIWERRHYPKDHAVTPVGYCQEQISKEWDSLPIRCEKSEVQKMFCG SDFRRGTKCAGRYGTWTNVFLIARVMESMITREIMRTKCMLGSTQSYATSSACASTKT LPTDPNHWIY FPSE_00811 MDGLGFLARNSIKNPNPKDQAPVVDQPALPAQPTQPAVDQGRPA PKLNAVQKAAMDGIGFLARNSVKNPNPKDQAPVVNQPAQPAADQGRPAPKLNAVRKAA IDGLGFLARNSVKNPNPKDPQPVPPPQPQQPKRPANPRVPAKVATARDKRILSQPRTQ HGQVRPQKRPRLEVGPGEAMDIDREEAAFRLSGNPGHDATPVTQPVQPRRPSDNFVMS INRATQNPARRVRFEDPLKPSKTSKVQKKLLSSSTRKAKRVPGPKKSQALEALVQGDT SYMPVAVQNIMSRSKHLEARLKIDAIPIYAYLK FPSE_00810 MIRGHTHRASSFTSISRPHLPSIDENEIALTPSPPSPSTPPAPL RIPRKSPLRALRNNGIPPPAYIPSARAYRAPPPAHYSPPSYSYGYHETKGKFIEPDMT DSGSLRERRFCGVDKRRGCCLLVIFMIGAAIVAIALGVGLSIGLDNASKDIPQESSTP EPTPKFPAGSYAFRADLQNTSAECTTNPSTWRCYPYTQGSSATFFWIITPNDNDDSYN ISSTANPFAPSFANLTLKRLDENTSQERLQFSFSMTKTVVPDDMISSSNIAAKCMFDD TLFEATLWTQGNGGNTEGSDGEDFAEWPGDVEIVQRKMFRGGSPQCVDSQGSVVGDIK SSNGTCECRYAN FPSE_00809 MAGQSTLRHTAAEEQLAAFLDKWTGKIKSRLRGTTRTTRLLATL ALAISIILGGAGGRRWWKNRRHEREQGRKLVRTNSWLHNKDGSRTIYVPYKDSTSKVV INTTKPLTFDAHRRLFLNPPRVSGLREGTVPAAQTKPGLNIAFLHQFLSLMSIMIPRW SSKEAGLLVSHGAFLMLRTYLSLVVARLDGEIVRDLVAGNGRAFLWGILKWCGIGGFA SYTNATIKYLESKVSIAFRTRLTRYIHDLYLNDNLNYYKLSNLDGGVGQGADQFITQD LTLFCASAANIYSSLGKPFVDLCVFNYQLYRSLGPLAITGLMSNYFLTASILRRLSPP FGKLKAVEGRKEGDFRSLHARLIANAEEVAFYGGAETEKTFLNREFKSLKTWMEGIYM LKIRYNILEDFILKYSWSAYGYLLASLPVFLPAWGGVGGRAEMVENGVRGGRERNRMK EFITNKRLMLSLADAGGRMMYSIKDLSELAGYTSRVYTLISTLHRVNADAYQVRAGQS ELYSLSDVSGTIQKGFDGVRFEHVPVVAPGLWPQGGEELLESLSIIVRRGEHLLISGP NGVGKTAISRILAGLWPVYRGLVSRPKDIGQDGIMFLPQRPYLSPGTLRDQVIYPDGH VDMKEKRKSEDDLIKVLEAARLGYLPDREGGWDTRKEWKDILSGGEKQRMGFARLLYH EPQYAIVDEGTSAVSSDVEGLLYETCKERGITLITISTRASLKKYHEYNLVLGMGDRG DEWEFERIGTEREKMQVEKELQELRERLTQVDEWKKRRDEIETELSAVWTEQGEVLEA PTYAEKVAEVQE FPSE_00808 MSNTNDEIQPQATSADAEMSNRGQSSREEGTSSKKGRGLLKVPS RSSSQRNQSSPTSTGLSGATVSDPRNSIGSRSKESKGSLRARRRNGSTSSNRTGGETE PTNTAVNSQQNSTAAPPQKKKRGGLFAFLGCCGTPEGPAGNEENNENVHKLDVLPQRP TSARSRTNTPQEQPRPVTARESQVTVPAPEPKEEATSSGHAHDEITTTERENRESKQS VPPSVTVDPPKPTPTEDEPHVADKTPNSGDVDMRDAATEEPEEEAAVAPAVEAPAQRT IPPPPPPAVVAPSQFTDASPSAPEPQKWLLPPIAPEHKGRKCLVLDLDETLVHSSFKI LHQADFTIPVEIEGNYHNVYVIKRPGVDEFMKRVGELYEVVVFTASVSKYGDPLLDQL DIHKVVHHRLFRESCYNHQGNYVKDLSQVGRDLKDTIIIDNSPTSYIFHPQHAVPISS WFSDAHDNELLDLIPVLEDLAGPNVADVSLVLDVTL FPSE_00807 MSSPRPSSPVNGGAAASGANIGRPSSPAIPGGPRTAIRRRAAAD QKEKIANARPSSTRAAGAGGSSSTMLRLYTDESPGLKVDPVVVLVLSLVFIFSVVALH IIAKITRKFSS FPSE_00806 MRIPITPTETGGLPSSHGAKEKPPCTFLNPCRKCEIQTIIRGQR PEQASREQASTLHCEHECVQCKVQEHKCKPLSKAKKEASHRLIKAAVEHMFYGKPIKQ WDDAIENADIVVKSEASSPAPKVSIERPITSTPGQASSTITCEVPFGNTIKTLEVVPA EEIRLDDVTQGMANNMGIRLMQLEAEMSVLIKMQRTMLDAVPRGTANKPDTRFM FPSE_00805 MASIIDNKAAAGRQQQQQPRDDDSSSNLQLPDIHIADSDAAPPP YGESHDQVHFSQPGFDAADGRVNININAKNRRLADLLAPTLQDQLAPEPEQPDLPPAY IPPSLGGRPGQTPPPKLNVVVQIVGSRGDVQPFVALAKVLKDTYGHRVRIATHPTFRT FVEENGLEFFNIGGDPAELMAFMVKHPGLMPGFDAITSGEITKRRKGIEEILMGCWRS CIEGGDGLGPAPKPHASNAPLDVSLVSGNSGQEPFVADAIIANPPSFAHVHIAEKLGI PVHMMFTMPWSPTRAFPHPLANIQSSNTDDVMTNYMSYTLVEMMTWQGLGDVINRFRK KALDLPPLSLIWAPGLLSRLKISWTYCWSPALIPKPNDWGRHIDISGFYFLNLASSYT PDPELAAFLRDGPPPVYIGFGSIVVDDPNAMTEMIFEAVRLSGVRALVSKGWGGLGAD DLGKPDGVYMLGNVPHDWLFEHVSCVVHHGGAGTTAAGIKAGKPTLVVPFFGDQPFWG AMIARAKAGPDPIPYKQLTAEKLAEAIKFCVKPETLEQAKAMGQKIREEKGTDVGGKS FHDHLEVDKLRCTLAPSRAAAWRVRRTQVRLSAFAAAILVEQGILQWSDLKLYRMREY NTEEQPPDPISACTLSLVTDIGGIGMAIADMPRELFKSMSNPKKKDQTPLDTANPRAT ESDASLVKPQGTDSDQKSTSAASIADTETLNSAILTSSHSNASHPTLSDAASSTSGLS SPDRSSAQTATNQKSWKEQMKQAANAAKQDRGASPVNYVDAAVGTGRGVGRVVTTGAR TPMNFCLGLARGFRNMPKLYNDETVRPVDKVTGVGSGIMVAGKEFGYGLFDGITGLVT QPLKGAEKEGMQGLIKGFGKGIGGVVAKPAAGFWSIPAYTMQGVDAEITRYFSKSVLN YIVSSRAVQGQHEMQEATPGERNDVVQRWNNFASDLDKFYRWKKKEKVAGKRPEGSQQ DSSEAVLERPQTGWLHTRNLSFEKRKKLHADKEAWERASSDTPVPESKSSGASAIQMH SSEDEELEKAIRASIQETSRGNAEEDAQVEAAMRESINVVRQRAEAGEAAPLPLKDPS IFKDAEYQITDEEYQALVEQAIQQSLGNDAPLPQYSEMPGFSETDTVAQSHTASTNDD DKELRQAIEASKKQSPPPLPPREVNDDEFERAIAASKEAMEKESSQQTEEDIVMEYVK KQSLAEEEYRKKMGQGKPSANTGDDDDEELRRAMEESLRMNRGDTSGPSGI FPSE_00804 MRCQILASALISGAMAARPFLEQPDTGLEMVLGDLPKGNLPKLD QMIGLPDFEWAAQNYLPIENYTYYRNGAAGEWSYRNNLEVFQRYRFKPRTMVDITNVE NTLPTTILGHNFSAPFFISPCAKGGNAHPDAEKNFVKGAAAGDILYMPALYASLTIEE IAKAKAEGQVVFQQLYLSSNDTETQELLDRSEKAGAAAIIFTVDSAADGNRHRAARFG VGSADSEYSYITWDYYKKLQKMTKLPVVIKGIGSAADAKLAVQHGAPAIILSNHGGRQ LDGSPSGLEVALEIHEEAPEVFKKIEVYADGGVRYGADVLKLLSLGVKAVGLGRPFMY ANVFGVDGVKKVIDILKHEIAIDAGNLGVPDIQKINPSYVKWKFNNWGQ FPSE_00803 MPGSIKSLKRKPTAKSAAKRIGRMFKRTNSEDPEMTAAMDTGSP KASFDAPRPSTSSRFSISSRMTSRDDTEATPRGSKDQSRVFASWFEPSTPKKEKGPEA VAPPPLVSAYSEPILDHVKPLEPIEPSKTEGFPFPAPAQTEHEPKTTDTTPKKQTEPL RQPGNVDIKAPEQPVLAPTTPQASRPLSSLPVAKPVIYEPESPILPAVSRAEPEQKPI PTPTDESKIIPKTELGYEQKNTAQPKPTAKTTPNTEPAEQQDISLPKTRHPSKSPDLP VQVPKSNTTTTRKSSMPQPTVEDEPEIVKPSKAPKEHSRKDRRTRLETVPKTEPKSLP SKPPRKETKPKAQLPVISENRIPAPIVPEAIREYAEPKDSPKDLAAPTIPSPAKISSI PAPASTSVPKAVTYTAKHSFAPSISFQPSRTVYFPDTAPAPKTVRPPITARAPIIASK PEVVVSILTRAAPKSVPAPSIASTPVRAHSPLTSWIMSTA FPSE_00802 MFSEYASRFLAQSQSRLSNFGQADNIENPQRQSDWPPRSTRNQR DTGRGNGPGSRSFLGRGNPYQQTGAGSRFGQLAFASRISAAQDAPLFHSTLDEYREED DEEERERADMFALQRSRRVAAASKLADSVESDAHSRGSMEESLNHEDPYQDMSMRRGI RSSWNGTRSTHRPGLARDTLVEEAEDDDRSSDDRTSSRTDNKGRMVDVGLESQEDPDE DPPASLLGGETPRDSSPPAFQRFKGTDNERTPFMARRESTTETEASMRRDAPPQEEQA QTTIQYIEGEIFRHDPFFAWIFLIALAGMMSTYFLVWLHTSPRKSPVGDTIYTTLQKS FHMLAVDTVVAVFVSFIWLAALRSFVRPLVSVILVAVPVILFSFSLYSFVSSFKGRTH GASFQDSVMRWASVVPAASCILWVWLVVRGRRAIQQAIEILQFSSRILAQNSALLIVG FGCLALIVLWTWAWLAMFTRVFMGGYFSTRLVRFVIQMSSWWLGAAFIFLYMWTVSVI NAVHRATTAATVSQWYFHRNAGPATPSREIVSAALNHALTTIFGSLCESTLMSLLIRA PLIFLPRKLGAAVTNIASFWIPTPVIALMNPLTITYSAIHSQNLATSARGLDQMELVS PAVPTTTLTPRVLRNRGGQPNGLLPYRLAKLLLVATRLIMATGLGFAGWVITAKQLKI QLPDGVGMRGSAYAYVVGMMASFIGYSVMGAMEGILSGIVDAVLICYGSERRMERGHG RFCLEAAYLFGERRGGDALEYA FPSE_00801 MSTTPWDYIAKLVCIGDSGCGKSSLTIRLCEGRFSPHHDVTIGV EFGSRIVPVGPPHSKPGALAAADGEDKDPETSDGLPEPPRDASSTPQKHMKLSLWDTA GQETYKSVTRSYFRGASGALLVFDLSRKQTFQHVTDWLNDLRQIAEPDIVVILVGNKA DLTQQEDNKREVTREEAEEWAKRNGVMEYVETSAKSGENVENAFMRVAERIYNNIQAG KYDLNDRRSGVKGAGAGGNRQVKLSGDANKSTGGMCC FPSE_00800 MAAADKAAVASGADLGDGLRQRPVAAQANLQPPTPQPEDNKKLV KKEPSFLDTLDRWEVVVAPLIFTLLALFTRLYKIGISNIVTWDEAHFGKFGSYYIKHE YYFDVHPPLGKMLVGLSGVLAGYNGTFEFKSGEKYPEEVNYTIMRIFNAAFGILCIPL AYFTARELRLKRPAVWLVTLMVLCENSYTTISRFILLDSMLLCGTVATVFCWSKFHNQ RNNSFDPEWFFWLFMTGLSIGCVCSVKLVGLFVTALVGLYTIEDLWRKFGDTKMPVTT LGAHVVTRVVGLIVIPFLIYLLSFALHFAILDRSGPGDAQMSSLFQANLKGTQVGKDS PLEIAIGSRATIKNMGYGGGLLHSHVQTYPEGSKQQQVTCYHHKDTNNDWFFYPNRRE EDYSPEGDLRFIGDNSVIRLIHAQTGRNLHSHDIAAPITRGHKEVSSYGNLTVGDEKD HWKVEVIRDTASRDRSKIRTLTTAFRLKHEVLGCYLRAGNVNLPQWGFKQIEVTCTKE NNPRDTYTHWNVEAHWNDKLPPAEAGVYKSPFFHDFVHLNVAMMTSNNALVPDPDKQD DLASKWWQWPFLHVGLRMCGWGDDIVKYFLLGNPLIYWGSTASLGIAGLVIAWYILRW QRGVNDLSEKEIDHIHYAALYPLAGWFLHYLPFVIMARVTYVHHYYPALYFAILNFGF LIDWFTRNRNNTIKSIVYGVLYTVIIGLYIYFIPICWGMTGPHKEYKYMKWFDNWRVT D FPSE_00799 MSSLVSDFLINPVLRQARRFSEISRSTFIGNGGDSTEHADAVSD SSHADTSVSSPLSTSLPDPAPVPIFDSPLTRPLSVSTLETRVEEEPEPVPIMSQDSPP RDHIGIALSPLSSRRIPEDDGMRELRSRIHAINSRDIPPSEKARLIHDVLLEGYTSSR AVPLVKKILENGGNLDQPLSQSPSSPASRPSMFWQNQPEEETFTLTEEDKTPTFVPVK PPKRHGSETPVEPFDVIQETEQPLGCQHYERNVKLQCFTCKKWYTCRFCHDANEDHNL IRTETKNMLCMICTTPQKASDMCIKCGELSAYYYCDICHLWENRQSKPIYHCSDCGIC RRGMGLGKDFFHCKTCRACISTSIEGSHKCIERSTECDCPICGEYLFTSPRPVVFMPC GHSIHKKCYEQHMKVSYKCPICNKSLTNMESQFRNLDVAIQTQPMPPEFRDTTAVILC NDCSGRSTVGYHWLGLKCSICRSYNTVELNIIGGDRSTAQPSVNDDGQPLVESGREPS GSISGNRGVAVGNRRRHSSHAAETQFRAPDRVARSVSPGNLGFDSIMAQLPPNEEDED DILGLWRSRNRANASDNDDDSELDDLSDALSDAEEEDDDDEEDDDDIVLFGHR FPSE_00798 MPEPDKHAAAQQAVDILHEISTILNCHLDRRTLSICISMIERGV NPEALAQVIKELRQEGQRMEPPAAAARRR FPSE_00797 MATDFRSSFSASMRQSMPPVGPSVANQLPNINFGFDDLRDRMAK FTARFDAFIEEGRKRVLDERNQFRMNVAELQEDQRMKKRDIEIVQTKTATHQQTIEKE EAETREMETAINSLASQRDNHLSTRDSLKAEIAQTQAEIETRLAAQREYAQHQQSQSR FNVPELDFWITNLCLKIEGAGHDDRLKFVYTHVDEKDWEREAWFELVTSSRDYDVKHC RPKVEREKVEKVLDKLNESRELVVLLKGMRELFVEAMKS FPSE_00796 MAETRRLADLIHGHVGSLTYLPPEKATTQVGTFHTSRITSEGEF SEQPLAPIQNIDQVSLAPHFKVIGSSAELYPPSKSPSPEISSNLWQERRIQKRWLLQA HPEAFMGNSAVSTLLEENMDRYSKVEGEISDRPLLSIGQMTNVSDQWRVAGSPMLAAA TGESGELLRLARIDQSKWQWGTDKHTTLQPSVIDPDDPEEEAIWASDGLPISQVKFAT SMSRYDVVRWLIVQKHTSTTILSPEYHKVPVAQSSTTDLNIQRPRLSRIDPNPILTIS HKMTGGNAQMDVAFNPNSKGQSPQIAIIDECGYWSIWDTVGGRPRTRISSCKVGHISD GLLDEIPSPTEHKAEKHGILFVGAAEVDSFWEDDSQSADEFGESAKRSSHLLIWNREN YEVIDLESHIALPQLSLLARPKSKPDAILDIRVSPANQNHIFVLTMRNLYWIDLFATR REEDSPSPKPTILISCPRLLDREALRMTTCLTSEGEQQAAMVFVFSPTHRQLETYWFG YSKQSGLPYWHRDVLSLPNGSSAVQGDIQSLEIHPARLTQDGKPSSGLGADYYRAGVQ FYQGSILSKNLGVQYCICVSVKDRSMQITLPTGRLVRSKTDQAQRWKKKRKQFLRHMG LVFVLPDGMADNINDVVKRRDQSTEIDVMNNRASEVLGPVNLKLDFMCRYIQQNFVAI SKSVHDIPPALLYAIQEKIAEGMAEGRLSLKTWKEIDDQTGSMDLTSYNNAEQPDILD LFLGDDGQTVVTQLGRQTSEEWIRELVSLSHLNQTYVDLWLDPVEGRLPEEEEETRRG WIADLAKDMFLATAGVMVQDMPLLGTASQGGDERAPPTQLSATQIRSSQTWDGGISSS PPSTTANITSDSAIRRLQLLAPSLQLDKMETAKRSSVLSRWPTERGVTTDDYISSVAI ASDRKFEDARLRLQRLENKRKAHAEKYRLPPAMRPSGESTPKAKKAQIRTGGLGAPPR SSQMRPQREDPAELPPMPAAPTPAQFMSSQQGMPSSSQSQGFFGPSIAMSQPVSGIFG DRKKAKKPKRKSGFR FPSE_00795 MSHCHDEHSGHGHGHDHHEHDHSDDITPAVQFSLYSQINFDHIV TLNEADRDAGLQIVKKNWQERLSTEPELASDVDEQLLMTVPFTAQIKLHSILIRTSPS SSAPKTLHLFINRDDLDFAAAEESDPVQTLELSQTSDLQEIPVKRALFGKVQRLVLFF ADNFGNGDEDVTRISYIGFKGEWTQLGRAPANIIYEAAANPGDHKLKGTNVNQMGSGI GGRGPGM FPSE_00794 MPPRLPIRLALPRPCAVAARPLLLPLTATRGVKYGWTTAPPRNK HKRFNQPSSGLPALTSGPAAALKRRENTTPLRSGVLAVKKGMTSMFVGKIRVPCTVLQ LDQVQVVANKTREKNGYWAVQIGQGARDGRNVTSPLLGYYEAKGIAPKAELAEFKVRD KDGLLPVGVQILPDWFKKGQYVDVKGRSRGMGFAGGMKRHGFSGQEASHGNSKNHRTI GTTGPSQGSGSRVMPGKKMPGRMGNEFVTVQNLKVMMVDNELGIVLVGGPIAGPKGRV VRLQDSKKRKAPPQPHREAALKTLIERHPDHEANLQAAREKHLQLKSKREEAQLRG FPSE_00793 MSQQLALPRLVQRRLAAVLKTRTVTLRPAQRRWLTPAPKPGDGP MMSRRADRELPDISDVTFRWRRTFPIFLLIVAASSVAIFNYQKMSSPVVSSTLYALRT NSEARALLGDEIYFRHQIPWIHGEMNQLHGRIDIWFSVRGTKGEGVMRFASNRPTSKG FFSTTEWSLTMEDGTRLDLLDSGDPFRALVGGGGDDLPPVEEDAPTRGFRKQVEYK FPSE_00792 MPNQRGTTARLRRTFQYPEEDSTDSQPEVLDEQEQEQYINQLAA ENAARDVQFRRFLLAIPLLATIPYLPALINPPTAMLALLSLTSLFSTAYLLHHQPPAS SGIPFLDNWARPKTPRPTRPPSLSTRDSSGVFDDDDEDEVDDVPYAPRGRPRQRRSSF SYVETRSPLETYLPFLNLGLGLVLILMAWAIGRTKSEAVWPGMGYLPLVVYAIILISK MVMSSVDPEKELASLKYDYKGA FPSE_00791 MATSPKFGKQIQKRQLEVPEYAASFVNYKALKKLIKKLSATPTL TSQNDVLRSATPVDSQAALQANKATFFFQLERELDKVNAFYMQKEAELKIRLKTLLDK KKVLQSRQGISRRSAKFTTLEEGFQQFATDLNKLQQFVEINGTAFSKILKKWDKTSKS KTKELYLSRAVEVQPFFNATVISELSDQATTSLQELGAWSDGIQVNFQSGHVVTSQHF VGTDEGDADTLLLDTVITGNLESLKDLLLRMRSANEAGDGDSSLMERITRTFLAAISE APQESLRVLLDTGLVDLHSYDDINERNCLHQAAIYGKQYVLEWGLSVNVVVDRTDVYG RVPLHYASLHGRLEMLKVLLDGNLANQRTIDLTDHDNFTPLIHSIIHCHLNCIELLLA RSARIDPVSDADHVPLNLACEHGSVAVVEMLLKHGANILPDAEGLYPQHLVARAGQTS ELLLLLKEFGADLDQIDKLYGWTPLVHSASEGNVDCLQALLKVGVDASIVDEKDLPAM YYAAWEGHLACMKLLTPFNTRPRASPFMVQPALGPMDSSTAPLPMSLDPDAIPELELP PPIIPLRRYGHNFLDTKTVVQISFGDVDEQPLVFFQDGKYPAARLTISSKVSDLIPKN IILPFQEDTRIVSFQVDNLETFSLDIDVFPTYGAKVIAKTVALSSIFKGLQGTSVCCL PLFDPRLRAIGQISFTVQVIKPFQGKPLEITDFETYWKATSQFNQPTSAIVTGSSLSG DYVRLFVQYTSDGVPVLWPRWTIPCAGLDIPVCRLTLEQFGSMTIRSNSRADLPSLIN KSSESIAEVYHILATAGVTLQEALALLNPGMHVNLQVLYPTSEEEKAFSLGPALDVNV FVDSILNIVFEHARTQRAQSPDVVRSVVFSSYSPRLCTALNWKQPNFPVFLCNDLGRE ETSGGNDMALSSGRRSASIKEVVRIAQSNNFMGLICYSPLLDMVPALVDAIKSHGLAL VTDKSSDSPNASPMTDPFPRPPKGVDGVLKSHGILRFNDSIDM FPSE_00790 MKNKATAESGPESPTTARPFELDDDDVQESGVLGGDEENTTTTA AATNTSQSQTPAQTQAQAQAPTSTNEAAPPKPPRPVSETQQNEAILKEAFPSVEMSVI KAVLRASGGRVEPAFNALLELTDPDAAQNEPADVPPPQPPRPQNRSQMSQMEADELYA RQLAEHYDNVGAYENRTANRGANDGRQRQAQQGPEWGDDREHSFLDDDLPVIRENLRK GFFETQEKVNGWITNLKKKIEENFDESEEQTQSQGQPFRRPGESSRRSGDYERYDADP QVLSDDFAGMKFSSDGTPMNRPMANTGTYKPPPPSTSPKPSNGRRVGFKEETEEINMY DSSPRVPPKDAAPSGTRGSKWQPMSAVEPSPIVENDPFSLGDSEDERETHPKPKDDKT DDSERLKKATAEAMADSLAESKETGAKKD FPSE_00789 MADLDLDASFIPALHKPAALLPIAKHRESLLYVIEKHPVTIVIG QTGSGKTTQIPQFLDQAGWCSDGKIIGVTQPRRVAATTVAVRVAEEVGCELGKEVGYS IRFEDVTSASTKIKFLTDGLLIREALVDPLLTRYSVIMVDEAHERSISTDVLLGLLKK IRRKRPELRIIVSSATLQAKEFLDFFTSSSDDQTNSKNGDNEKKDEISAIVSLEGRTY PIDTLYLESPAENYVEKAIDVVFDIHTQEGEGDILVFLTGREEIDNAIQAVSERMMDL SSKYGPLMPLPLYAGLSTEQQMYVFDKPSEGTRKVVFSTNIAEASVTIDGIVFVIDSG FVKLRAYDPRTGIESLTATPVSKAAASQRAGRAGRTKPGKCFRLYTEQSYQSLQDANI PELQRSNLAPVVLQLKALGIDNIVRFDFLSPPPSELMAKALELLCALGALDEYAKLTR PMGSRMAELAVEPMMAKTLLAAPSFGCLSEMLTIAAMTSLGGSVWFSHEGERKKMETS RRKFAVEEGDHLTLLNAYQAFVTKGRKEAKFCHENNLNYKSMSRAISIRAQLKRYLER FSINVDETLAGQISSEDNAKKAEQIRRCLTSGYFAHAARMQPDGTFRNVEGNMVLHVH PTSLMFNRKADWVIFHEAMETGSKIFIRDVTKIEKTWLLEYAPEFYQITTDRRG FPSE_00788 MMLSSSTEEQLENERKKRRMSERKRVGKEEEEVVELDAGGALRE RHRSSLGEAGTVVKRYPNQGRNDGAKWSDTRSNHRIGP FPSE_00787 MGLTHYHDQRADIGQGASSISQKMTSSSSHIFRRLVRRESCKDD NSCAQSSVSNSLVLPIVVAIIVPIVLIVGFLYYLHRRNMKKQMLEDAHDPHKSLDFGL GDEGGAKKSARRSIFMGGGEKTLAHKPSQLSMDMNLSSPYLLPPGLQESRESLNSLAK SLGNDNQDPYQYVAAITQSETGSLRSFNPKDSHSRNTKFNSPRNSGKPGSLKMPPSRM NSLPETPVSATESRVDPFGTPKMPAPAHPAKSPFDSEKDAFHPAPIVPEIGVVSDFDE KNAVPSVQQPPIARSKTPDFELPNFSQEPATDFPLPPAREHEATGLGLNFSLPQPKSP TVSSPEAPKSAPLAPNRQSEVSDYAAADISSYYEDHDDDTRGRSMQRGSFVDAAPQPH GLGVPQQDNKRLSVGFRPLPPDDINESEDPEYRANRIRSFYKEYFGECADAAPPMPPM PAMAQGGAPAGAPAPRAAPKYYEDYDQGYMGGDQAYFDPETNAFVMPYAQPVTRRAMT PPPAGRRPGPGRPGPRPRGPNGSIGGMSFHSGPGGRSRAGSALGPRPDSSASARMRQP PMKRMPPPAPLTTLPTPSKLRDDNSFLINAADFAPPSNIRDTAAGRSQSPFGERRAYS PSVPAAQTLVSPFEELSALPSPHSLRKSSTFTGLDFAPPKRFKDSDNMSDAGSIRSNR SGMSQAQLGAIRNGAGRVSRLPGDQVFTADALQDTLKPSWGIRP FPSE_00786 MSTPGQPAQPTAAQPSAVDPSSAADDNNSNSDAANQPSSAADNS SPAAPATSDPASAQPSNNSPSATQNQPSAAPTDDQQPTSNPAEASDDASPTNSPPPAA ETSAADASPSSDNPSPSTKPSSAAQADPTTEAANPASTDDSKDDPSSTEEAAVSEITT SEVRSTVVTVTGSSGPETSIVLVTAIRTQKVTATEASASATGTDDADAIGSGGSSGGS EGLSQKSKVAIGVAVPIAAIIIFALLGLFWWKKRKTRRQAEEERRKEVEDYAYNPNAD PTIPAVGMADSGYEMREDGSSGYRGWGNTTIGSTGRKASTTLSGPITGAYSDITSPTR GNMSDARSGEPLMDGSHSPEGEILGAMGPSAANNRGADVHRGPSNASSSYSAAGRSDA SDPVGVPYDAGSAYYDQYSQNPYSDNGPQQAIIRDNPARRNTRIENPSHYPQQSAGIS QNF FPSE_00785 MSPCEASDHGTLNEGENDHRNDETQVYGCTLLHRGPRYDDFGTR DKTDNYHFLATPLAAEEYLALGFEPSTIPCSTANMALAPPPAYQDDQAVPLKVHEVPE VVEEAVSPSLTLISDRSSSYGGSSRHGSFSVPRIEDSFEELDKLEDELEAIDAVTQAR RIALPENATPSSKHLEPPSPARRPAISKRASVIGMSSTVRIKPTEKAHPPLRRSTSLV FRDKKPDDSDKTPKTKPPFARGKLANSPPVSKMPIKSTKPPTVPKFELPGEAVARRLK EQREARKAQQAEAQKAYVPPPRPKSSKPLTKPTFELPGEAISRRKREEREARLKAQEE EEQRKREFKARPARNSIMSASIPRETIASLARQGKLPQEDTTKQPTSVKAKRMSSLGP RPTPEIQISQSRSRLSTATSHENLNKGTSASTGGSIGKRATLSAEEAHQLKMRGKEIF HRDNNGYVQDRERERREREAATRLAREQAAERSRIASREWAEKKRLKELALLQSIRGQ FPSE_00784 MSYPTRSLSSHMRAPSASASSSGQSPALIARIEEKKAELENLKE LRELSAAVATQMEALEQKLSTLSDGTEAIAAVVGNWHNVLRAINMASSKLAKTAADQT QAPEQSAGPLPQTLVRIPTEHAPALQAQAEAAEAAAEEQQS FPSE_00783 MARLNEPPVSTDSLETLRKKLLRQNRDLAKSNNIRALRIRELEN DCACMLSENLELRGRILELEKELEDNDSRRIADHALAIKAKLESQLTEWGALLAGLGL EPPMKRHSPRPRKSTKPRLSFSSTRPSPSQRRLRDIAREIEELGHISETKSYPRQSMN PEQILALRSEAHADDMADASQSPELGPPPVSQFIETEDEDPVKIDSPSPSRSAPSPVY VQESPRTKLAPPETFTSPQTAKVLPRPTSPEKKRTEEITKLQQPKLMEAKPLAARPID IKTVETSMEPPAAEYQQIKIGSKRKLAARDDMVTIRSQRNNDENENPRIPHEKRPIRE KAGDRTLKDISGMKKDSREKASATGTRKPLSAKSTNDDMTSPKKISKTVSTDEIAAAK ADLVRPKTTQDRPKSRSRSLAPITIEPVQNLEPTAPEVVDVQCELATPYTEPSLLSLH SPDTTASKDTGRGGTPPPGDVNASREPARPSRRNRTAVSYAEPNLRDKMRRPTKEMLD AVAGEGKYARRSSAAEQAPETGKAKRESGTEGSWKELPSVNTASAENEPGSIPASPLA GKCLSPEIAKNMAIRSGRCSSMTIQDLVASSETSHEDGKDETASDTTGLSEVDIYEFT PSSPQSEEQAPVRNKRKTASRSTSRRVSSTVHDEESFEARERASSRRRSMML FPSE_00782 MAFLILVIGDLHIPDRALDIPAKFKKLLSPGKISQTLCLGNLTD KHTYEYLRSVSPDLKIVKGRYDVEATSLPLTQVVTHGSLRIGFLEGFTLVSNEPDLLL AEANKLDVDVLCWGGTHRFDAFEYMDKFFVNPGSATGAFMEGFSQEADEPTPSFCLMD VQGISLTLYVYQLRKDDKGNENVAVEKVTYTKPVEPSGGASS FPSE_00781 MSTPSLAEKLDKIKSPGLQSQKKTVVVLQAVESTLKEQNTDPTP TGYFAALLALLQQANSNDNVNLELATPVVYLLDVVTPYAPQPLLRSKFTQILTLLAPL LLLQDAEAMLLRSSIGCLESLLLAQDATSWELSVSQIGPRRAVAGLLNMSLDHRPKVR RRSQEALKKVLRNPPPSPSLDHPAADMCAQTATKNLENLATQIAQARKGKKADGAHDP AMIHALQLVKTVAAASGGWPSKKIESLCELLLGIAKSGNEYMTMASFEIFEMIFEGMT DELSSAKLPRLMEIISELRPAANDTQLVPPWLAILSRGYDVSAQVEPDETFQNLPQLF DMVAQFLEAPSENIRISASECLVSFMANCIPQQVILEPSIYDEKILEKLAKSAESLLT VQFQAAWLQTFNVLGAIFDTLRWRSYPIMMNVTKTIGEIRENGSFRNKKDADEVIGKA IRAMGPEAVLSILPLNLAKPVKGQPGRAWMFPILRDYTSNTNLAHFKSEMIPLSELMF QRVIDHGEAEKTMEIKIYETVVQQIWSILPGYCDLPLDLTESFDQGFAEILANLLYKQ VELRLDVCRALKTLIESNQAIADIEEQEENLVLQSRISRADAKKNLEYLSQFAGNMLA VLFNVYTQTLPQSRGPILQTINTFLSITPNAELMETFDRVSKMLATELQQEKPADKKK ENQQKSKDHMPSTAQTLMDLVITMSVYLPRESFAALFEIAAVIINKEEEPQLQKKAYK LIPRLADSEIGKVALQERTAELQNLIVTSTEKVSAPARRERLAAIIALLPFISDASLH FIPSVLSEVVISCKENNERARETAYDLLVRMGQRMVEASGAAIDNSKVPHMPDDAPAG TANIEEFITMVSAGLAGSTPHMISASITAISRLLYEFRSALSDATLSDLVQTMDLFLT SNNREIVKSCLGFVKVCVIGLPVELMLPRLSTLVPNLIVWSHEHKGHFKAKVKHILER MVRRFGYDNIYKNCPDDDKKLMVNIRKTKERAKKKKDAAKGENDGEESDDDEDGPSKR QFENEYDQALYSSDSDDGDDSDDEDRPTKKAQKGGRTYIVEDDDEPLDLLDKKALANI SSTKPVKMRKPTRTKAKVDLDGKLILGKDGDDEMEVDDANPEASGVGAYVAALKGKDV AKRGRGGKLKFSNRRSKDDEDDEMEMDDNDVAVVKSRISPGRDRGSRGGFRGRGGRGG KSGRGGIAAGRKGLGVEKRHGASGVGKPRRGRN FPSE_00780 MGREKRNLPAAVAGGPKERHRRAQRSQFDHAAPVPPGFVAKPAL PKTTKHHSYFEFVENKDKKKKLEFQITTKKTPPPGFEFVPAGNPELTSACKELSREKD AMIFIVSNAKDNSASNVLSHQVHRIGHHVRETIVDEARASLGQSIEDMLPAARGAPEP IPASQAEYDAQVDAALRDLFPRIPNTDRQMIIEHAFRRDPTNKNEKEKVGFSDDITLA RRVQLAVLAHIRHTHTRYDTLLRETSWQNARKVVENLCLDTLVKWRGDEESGRDQLDE ILREVVVISDSEGEESGNESDSSIEEVFYQPTNLVPNRPVQHISGQAGLVHRQSPRLN LGAKGPVTPKPKAKVKKAKRKTSAEKKGQRGFKRYQAWQDAIDRNRNVPDSAPESPQV PIPQRTQGPPPPALVPMDDPLPPASQLRLVSGAGPAPYENGYVGKPQYPANSHFMSSG PQVARKVKSPFYEPPSITRPLVSTAAARLQDMLVQSIEPVSPNTMKPSFVRAVPPRGQ GFRNELPQSSSIQRPAILTSPSQRMEMRDEPMQVPRPGPSERLAYGERPFEFPVRAPS PQPGVGIPSASSHRYDTRSRGVQNPARILVSRRPYDEVQRFGDRDTPIVMEDRGGFYE RVPVRSTGDIVVPARDERVPEYRRGIPEVPMVPDDRRVMWQDEPRLLRAGRNNADVGI IPIGHAPLESQQRPVVTEHRAIPYPVSATRAQSDIRSYFEPGPRLVRYDPPGPEPRVI ISDPMAPRYETIREIRHGGQVPESFQQWEPVHRYYRDGPPITEDRRQPPPLQPSRPED VVVLE FPSE_00779 MSAPGQNNVDFDALLDLTEYDGFQSPASLSPAGTSKATFTSPAT TAVAAPITTAQSLSGPSHNYDMYRQQTGFVPGAIASTMAVNQTNNTGYQDFRSLDYST FSPEADLFDFNTSPSQATMGASEMDMDFESHTETQQFFTVDPSSIEQEIDGLPSPPVL PTQTNNVGRLWPGAHSQAALAKAQAQQRQQQQIIQQQQQAQRQGSQPKSRGKAPPPSD PLVEQKITQLLNSMRAKPSMPDSQATSPMTNLPRSKKDEEEMDEDERLLASEEGKKLS SKERRQLRNKVSARAFRSRRKEYITQLETEIANKVSENGDLRTQNRALLDENKRLTDL TRMLLSSPSFSNFLDNLSSNPAAAQQTPQLKVEPQPEQRQVPKDINPYNAQQSSQQQI GMAMIPEQNMDFSMLTLDGFNFQPQVFVVDTPEVPEIIDAAVLSGKSSNFVEPIFDSE EEKLEVPAIERPVAAPEVSESVNAAPIDAEFESDPEFALFHTEAATTATESPKEFDSE GLSSVDIFGGVESEKVLARLELVDAGEQECTAALAMARVQRLSASCDAVTSRLELLTM DL FPSE_00778 MANAISKDLSAPERYKYTPFEDCYSVRILTLEPGVGDEPLVGHL GSEGLDLNPQYEAISYCWGTGGRSSEIICDGKPLSLTKSIEGALRRVRHATSQRRLWA DQVCINQYDIAERSQQVGLMNAIYKGAERVLVWLGEDTGGVAHDAMTMIHYLDGVFNN EEMHNEFKRVHSEELLFQDRTPWVPFSNLTRLPWFSRMWIVQEIGTGAPATLYWGDAE VDWEVLSSVAGVLNTNYHYLRSRFSIFTPNIRYLYQRFVEPEEQYDFNHNRAAFIYEL HRARHLLAKDPRDHVYAFLGHFSLNTGSQSLTELVADYSRSVEDIFYDVAARELSGRE SLLVLSACHAVPATYRRRIMERGNIPTWAPDWRVVPLHLMGTPGSPHRASGNYSQPQL YINDKRRALHIRGVRLDRINRPSWIFWHNAFHFRRGGANNPRRLPIEAVWRDICRSNQ PFSLDKRYRNGESAFFALVQSLTNGCIGVDRSRPYETVPKEEWLANGAAYLVRALDNN DAVSEEIQELAQTGDGFKWSHEATLITRYRGFAVTSRGWFVVGPDVMQAGDVVVVLYG GKLPFLIRRKGPGTWILIGECYVHGMMNGEVFELEGVQDEEFIIL FPSE_00777 MVLFKKLITALSLTHAVAAQSNKVWAAVAFINHGETTPATLRTV LTPEGAQQLWRQGTAFRARYIPDGVNNSDYENIQTAYFQDLKPDVIDNDDLEIMSQPD EWVSGSALAFMQGFYPPAPNAFDNSTGGKEIAVNLASSDNKTEYPLDGYQYPKIQVPG ISDPEYASLLGSSRCPAWYTEIRENLTDHDSLNNIYQSSLAFYQDLFSTPPLKGTIDI QSANLKNAYELWQFVDYQYRHNETVHEELGNANGTLTLLNYYAIKRERAANSYTDGSK DNSPSDDNSRLGVLYSIAGRTLAYKIASQFKNNIRWGSSYNKLTFMFGSIEPIVSFIS LSGLLTQDNEEEQPWSSLPEPGAALVFELFGEDLDSPGRMPSMDSLRVRMSYRASADA DEPFRNQPIFKSGPDGIAYTRFVQVMGQLGTSPSEWCNICGAPYAPWCIISRSDDDIW DGASSSSLGPVIAGIIGAVIALTVMAVLVACLFVCAGFRIQRKQTPDTPPSTAAAVGG AVGGFKGPEKKDGDADVVVTKQGVHHERVGSWELRSPNELPPQPQMSGVITKDFDAPR HRTMEDSDDDISVIGAAPVKARESI FPSE_00776 MSESTSKSIDAGAVAVNANVSAPDSRDPVVATAPADSNPNNTAT AKTDPAFLHSPPDSNNTAKSDGSDSELSDLEDEPILSDPLQPTDPGDKTTSDEDKSKP SDDDIGEVLPDHWSGAVPIFKPTMHQFRDFKRFMEAVDSYGMKSGIIKIIPPQEWKDD LPKLDDLVKQVRVREPIKQEIMGSNGTYRQVNILHGRSYNLPQWRQLCDQSEHQPPAR RGERRANAEKPKPRTRAAAPAPKPVDPSVPKKRGRGRPAKRGGRGRRANPEPVEDAED RPMTPVSPKPDTAEVEDKPVESVEQDPGEEVEDDYEPRVGRMGISRPAKTKTQTVSAR RKYSRREGSAMIDEAAFKDWDYKMDTSEYTPERCEELERAYWKTLTYAPPLYGADLMG TLFDETTEEWNLNKLPNLLDVLGTKVPGVNTAYLYLGMWKATFAWHLEDVDLYSINFL HFGAPKQWYSISQADARRFEAAMKNIWPTEAKSCDQFLRHKGFLISPQHLKSHYNITV NKVVSYPGEFVVTYPYGYHSGYNLGYNCAEAVNFALDSWLEIGKIAKKCECAQAQDSV WVNVYEIERKLRGEETEYEETEEEEEDEEDDDDEQSGMPTPPSASGVKFKESRRKRKR GPGDKSGKVKVKKIRLHLRAKAEPPCCLCPNDTPSAELLPTDDGRKAHRLCAHYLPET YIETIDNQETVVNVSEIHRDRLDLKCLYCRSKRGACFQCSQKKCARAYHATCAAAAGV FVEEEEVPVFGEDGTEYKEQAFEFSCRFHRTKRDKKLDGELLETDARIQTAASKVRSG ETCQFQYHKGDIFAGVVIENRADEQILLVDILPNGDRLEVQWKWLLVPDAADYRLPKA SANAIPMPASQKAKEKLKTKRLHDGKPQKDDPFVEGYTWAEFQLHSASNKEQVNIDFS KPNQVWYYLPKTSTDARAQFTEDPAKQRHNPQGNFLSTVPKPVKPVRPPRQVPAYAQQ QPYQHATPYNAGRMERPYVYKPRIPADSNMPAMGSFTTQRFVPAASLPAPHQHHPAQY PSYAQPAPPGHQPPHPPYSAQRFEVRSSPAYTPPGSTPRMHSPMNAPAHPQHTQWPGV ASVSHPPPVLAPAAGAIHPYHGAHQAPYQAPAPYQQAHPAQQQNHQMKASWQVHQSIY QKYPFFQVNYNRDSSKYRTPYATWRGFTNGYEGNMRAHIMANQDAFLRGQPNSFNYST HGSPSQQPSAVPPQAPSSSQMPPSGHEFRQQTFVKGVPAPSPMLTHQTSGQFSRPALK PQYMPPIQAQAQEHPQQRQQPQPNAQQGQKPQEATQTPNQNSKVKTATVFKPYKIPPK QSPVPLPANYLAAMTPTSTSNTAAATAGQSARKVQGTQQSPSVTHNPGRQPLGAKISK TPIPVPRLPGFMPASTSREQAASLQKHVPDQNQSSQRLPVHQGGPVTPVATQEQMASS VRDGKLALTSTNPGNMPQTLSEEQAIPIQPHDFPDVPGSESMKFLDNILKNVRILARH DE FPSE_00775 MANSPAWTDEDKRLSAVRDPEFPDCHYTRGCYLGQGGYARVYKV LDRQTGNVHAGKTSPGAVKHLRKEARILRSLNHPNIVKYIEYFEEEDNPSANILVIEL CSGGSLQDINNNHSDGLTRKHALQVMLQVSQAVEYLHGLNRFHGDLKPRNILIRTWDP VQVVVADCAEIMHHGHAGKSDDIWALGISLLGMMSQSPHFYKKEERMYPRICATHARN LDRLNPGHEIVRILLRLLEWDHKNRITAPELVKLTAEIMEARSTQDAPKEQMDLEVPE GTRTVEFW FPSE_00774 MSNQTQKLQPAARVQGQKKDVWSMINEAAASSPIQPIVNLGQGF FGYNPPDFILNAAKEALDRVECNQYAPAKGRPRLRQALADAYSPSWGRQLDPETEIII TTGANEGMLSAFMGFIEAGDEVIVFEPFFDQYISNIQMPGGKVVYVPLHPPKTGATKN SSAADWTIDFEELEKAFTPRTKMIVINTPHNPVGKVFSKEELQGIADLAVKHQVIILS DEVYDKLYYVPFTRIANLSPEVEKLTLTVGSAGKNFYATGWRVGWLIGPQELIQYVTA AHTRICFSTPAPFQEASAIGFEQAGKNGFWDETIKEMKGKIDRLKEVFEELELPVTYP EGGYFLLVNMAKVKLPEDYPFPPHVASRPRDFKLAWFLIQEIGVAAIPPTEFYTPVNA HLAEDYIRFAVCKNDDVLEQAKERLRGLKKYIPK FPSE_00773 MAPSAVSPTRESNPEPLATLTSKFDDTLRFYLNGTKVVLDEIDP EVTVLEYLRGIGLTGTKLGCGEGGCGACTIVVSQYNPTTKQIYHASVNACLAPLVSLD GKHVVTVEGIGSSQKPHPTQERIAKSNGSQCGFCTPGIVMSLYALLRNNDSPSKDDIE EAFDGNLCRCTGYRSILDAAQTFSVDRPGSKFKKAGGSGCCMENGNGPPSGGCCMDKA NLEDAPIKRFTPPGFIEYQPDTELIFPPALKRHELRPLAFGNKRRRWYRPVTTEQLLQ IKSAHPQAKIIGGSTETQIETKFKALEYPVSVYVGDIAELRQYSFKDDHLEVGGNVVL TDLESICEHAIPHYGRERAQVFEAMLKQLKFFAGRQIRNVGTPAGNLVTASPISDLNP VLWAANAVLVAKSSTKEIEIPVSQFFTGYRKTALAQDAIIASIRIPVTQGKGEFFRAY KQAKRKDDDIAIVTGALRVRLDDDGIVQEANLIYGGMAAMTVAAKTAGEYLVGRRFAD LETLEGTMSALGRDFDLQFSVPGGMASYRKSLAFGFFYRFYHDVLTITDGSSEQVDKE AIDEIERDISNGEVDHHAAAAYEKEVTGKSNPHLAALKQTTGEAQYTDDMPALKNELH ACYVLSKRAHAKLLSVDYSAALDIPGVVDVVDKDDMPSPEANKFGAPHFDEVFFAEGE VLTVGQPIALVLATSPQRAQEAALAVKVEYEDLPSVLSIEDAIAADSYHNFYREIKKG NVEKAFQECDHVFTGTVRMGGQEHFYLETNACLVVPKPEDGEIEIFASTQNANETQVF ASRVCDVQSNKVVVRVKRLGGGFGGKESRSVVLSSALALAAKKTKRPVRYMLTREEDM VISGQRHPFLGRYKVGVNKDGKLQALDCDVFNNAGWTFDLSAAVCERAMTHIDGCYDI PNVHIRGRLCKTNTMSNTAFRGFGGPQGMFIAESYMEEVADRLGMPVETLRQINLYES DGVTHIGQGLGDWHVPLMYKQVQDEAMYTARRHFITEFNETNKWRKRGLALIPTKFGI SFTALFLNQAGALVHIYHDGSVLVAHGGTEMGQGLYTKLTQIAAQALGVPLDNVFISE TSTNTVANASATAASASSDLNGYAIFNACEMLNERLAPYRKKLGPDATMKDLAHAAYF DRVNLSAQGFYKTPEIGYDWTTGKGKMFFYFTQGVAAAEVEVDLLTGTWTCIRADIKM DVGQSINPAIDYGQIQGAFIQGLGLFTMEESLWLRNGPMAGHLFTRGPGAYKIPGFRD IPQTFNVSLLKDVEWKELRTIQRSRGVGEPPFFMGSSVFFAIRDALKAARAQSGVKAK VGENDSEGLLRLESPATPERIRLACEDEIMRKARVVPKEGEKSFFVAI FPSE_00772 MTDRNPATAAKSAKQELAKTDDGKAVNRDKSSNTATSSNQKTTP AKKRRKERPCTRCIKRNIGHLCHDEPRDADSKKAKSVQSSQSIQAPSVVDESDAQSDM ARSSISSTMGPPPPTFDTTRQRGSKSFGGVLSQGSPLSIVQPGQVSGLQGNELNNGGS SNANQFVGFQDAWVTAQNHFHDMHSYHPNYLIAPEVTHEFNLLNDFLHTSLLDDGGVS SEDQQSSAFKRSSQSQSEMLPRFGNNNNPMTAGGSNSMTNMSGSMLPPPPNKEGKNIP RPGSVVPVDKAREYYLQAADPSGNDTPEERMGRVLRAKYDAGLLKPFNYINGYTRLGT YLDSHIAASSKSKIIKTINSFRPKFREKAQALTDMELVYVEMWFEKQLMDYDRVFASM AVPACCWRRTGEIFRGNKEMAELIGVSVAQLRDGKIALHEILTEESMVRYWEEFGTIA FDPAHETLLTACSLKNPSPESTHPIVKCCFSFMIRRDDHKLPALIVGNFLPHDPPAQ FPSE_00771 MAAANVFTTGQIRKLEAIIEFATDLLSKLPTSLDSSSSDVLLSD LNHHISLASALTEKRAIPPTEIVEGLRDIGRRLWNECIKERRKKDDILSSSSRVQLQA RARVLAFLIHALARETRNCKVQGFVEEVTSMMDLSLTLARVCVESSDLDGALLSMTKA ADYIERLKMMENTTTEDHEQMQRIEAEYFAMRCALSWKQGCLDVAEHMYAKADNLLQH VDSSSAERLADTFHCIGSELSSKEDHDMALKWLRRALSLINKKGLERLSTEGLELRMS IHHELIQTLLATGSEEHFEEADNLASQVESEIGDKPIVLHWKLEIIHRLPGELFDADS CASILRRMIRSFSLSDPGLGFLLHGIGELRTRGPRLAGKPSFDVFGWAQWKQIRPREW ETWSDFWINSFKRPKLIWKRLDMNYSKKQYRECQLWCQVALHPIFSNSGETTQRKFSR RLVRCAISCEDAESAYATFNAMPKDAQDEPLTRYLMFKVALMSWNHELGRQCIEYLGK MPDKSQCQDIIYACVRDAQNAGDRMMTLETLKAATETFDIEGASTTNLPSIFRCAIRL INLIEDPQDEHMDGAPELAEDTCCIFERARCHFVVATALISQARTEDEVDEQLQRYLE ARYHISEFDTFFTDHVRNDPKSGIYPDLLAKMSTLFVFDFESAVCLKQWDDSSEIVRK ATICKDEIMYKAMADCLLRSEAPGNVVYGTMRLIINEIYLLEGFDNKRLAKYIRCLFK AILPLDDRLALQVIGQTVKVAREGSQVQTPFPADDLDYIVAATFNHAIDISRRGDEGL CQQWALKALELAEYMDDGGDMRDSLRKRAAEIGLSIKIYGPLPNAEFA FPSE_00770 MSTPQNVYTRSPNPSNRSYDSSSVSSATSPKSLSQFAPGAMNTN PRLNVPATPQPIGIPPLPPVSQGFQSYGPMNTSSSLGHESLASNESVNSTPGPSNAQL AGLPGSQGQKRAYRQRRKDPSCDACRERKVKCDATETASCSECSSRNVKCQFTKETNR RMSSIKQVQDLEKQMERVKRENSGLRRMLQDREGPMELDTEGGNENTFNLPAIGSEPK RRKRAAPGQGPDLSRARSNVRDLSRGIWKVPAQYRERAPIFFDPQRPELPARHLVDQL LHAYYTSSHSMFPTIHFPTFRASVNDLYNNNGVTRASPAWLSLFFAVLATGSLFSQTS NSQPNSFYEPAEFLETANKMLDPWADDFTLDHARSLTLVSLCLNEMNLKSAAWTWLGR AIRVSQDLGLHIESGPWPVIEGEMRRRTWWMIYILDRTLATELCRPVSISDSDCDVSL PAGVDDQFIHDGGMMVPTGAEPLTHSLLAVIHVVRSYSSLMDALSPGTLTTTHLSSLD THFKKCLNTFPPACDPSSNVPLAPHFLAPLAYLFHARLLLHRHHLHPEYPLEARMASL ESCTHVSLETSSLLHRSNPALLADGATSLLTTHIFRCALFLLLTGYLDHALAAVRALA SIDRRRDVTIACGRYLTFFVATLTAKRVEYTNYLARTAPPTYSSSRPSIDQTALLQML SRDEDLLAYASADLQASPDASWLWNGVEREIPLPQATSPFHYSTAASNSGLFSPEART GLKEAECKEWGGWGRLETAVRGLEAIPATTLTPSSATWTLPPPIKTETPGPGVELPRL GDVPRFSSEMSKLGESSRVMSPATGDSRTPSGSAPNPTTSKERLSIANII FPSE_00769 MASVATLRPLRCCCPVQQKMLQLQFRLRLLLAVVQYGGGYGTYL LFRLTLTVRPSVSKIVAPLPTPKDNLTTHSKQPPSTVVNIRNLNPSARISAHTAPRTP APSILTRATHPPPRSKWKLGRHPSVSNPLIHNATTTTHQALDGMPKLPYNYKKGLA FPSE_00768 MCKSRVHSFLQALPKVEQHLHIEGTLEPELLFALAEKNGIELPN DPVYESADKLRERYGRFTCLDDFLHYYYLGMSVLITENDFETLAYQYFQRAASENVRH AEIFFDPQAHVARGVSYDSVVAGLVAAKHRAQKELGITVELIVCILRHLPVPESHALV DTLLDRGHFNDGTLTGFGMVSSEKAFPPELFTDVYARVAKTGTHLTTHAGEEAPPSFI TASLEHLKVTRIDHGLAAAQDPELLKKLAANRTLLTFCPWSNVALCNLPELADAPVRE FLDAGVLFSVNSDDPAYFGAYVQEVYCRVQDTFNLSIKDWAWIVRGAVEESWCSEERK KEILKELEQVLEEYKDLDA FPSE_00767 MLSYGFQIRARRLAKLGTSTPVSTKSDENKIPDSESSNPSRTST PKPQPDADSRPKINITSSAPAASSSQNPFNKLGVKAEPPKPTGSPRVNRKRLASEIDD AAPADRASPKPVNTQPESDVDYADRVLSQIFRVTVDPHNMINSHGQRLTFLPNLNQEL NESGEPLKLSLNTLDQALMEAASSYPQDKPLMNYFLPCWKRVVKASVQHKATEGTKFE VLEEAKRLCMSGCLFALTMPDLYGRQPNPKHDTLMPFLLKGVQDEGGLCFAFIQEAIK RFDDDDTIPALFNDAMVQISSKLGEITMDQDYKPYIQAMMTYTRFPPLIVNLAKHSCF KMAQSAPGIEKHTILGPFFRISPLQGEVIRSYFPGARTLDKSRVATAQDALRMVLRTH QDDLFAITNAFIRAGQDTRSRTLDWFAYIMNTNHKRRAIQVDPREVASNGFMINVTTI LDRFCEPFMDMDFSKVNKIDDNYFRKQPRIDITDETKLNADQSASEAFYEDKMPGETN FISEAFFLTLAAHHYGSEACNSQLKNLDRDIKYLEKRVQAMEAERVKFLGAPVQLEQY DKAVKRHVDALEKSIGVKLSIEGVLLDERMQSTSLRFMRIVAVWLLRLVTRSEYKPGQ ESKEIQLPLPAEKSDVFSCLPEYTLQNIVDNFKFIFRWLPKILPSAVGDEMIALCVTF LRSTEYIKNPYLKSSLVSLLFSATWPLMHLKRGVLGDQLVGSQFANDHLLKGLMKFYI ECESTGADSAFYDKFNIRYEIFQVIKCVWVNDHYKRQLTRESRVNKQFFVQFVNMLLN DATYVLDEALSKFPKIRAIERDLEDPALNTEDRQKKDEELQQLANQATSFMQLANETL EMMKLFTDAMGEAFTMPEIVSRLASMLNYNLETLAGKKAAAELSVSNRDKYHFRPIQI ISDIVDIYLNLGTSSVFIDAVAADGRSYKPEVLERVSRILTSKNQKDPAVIARWDKLR VKFEEAKIILDQAELDFGDIPAEFEDPIMGDLMKDPVLLPSKHIVDRSTIVQHLLSDP KDPFTRQAMTIDDAIPQTELKEKIEQWREERVQAAKDKLKSEAMDTTEG FPSE_00766 MATTPPAAAITSTAALRDSQQPTPTPSSSAVPPALLTSASESSD DGIHLSPAAARRFARRNLRRQSPTPDLVTSLVRPPSPDTFYIGAQIPQQGRQDVRGRL DPTYSPGLLIPNVNSDRRTGPMASPHISSLGIPKRYGGNCIFDMYSLTYVAEPDPNLF CPICHDPLVDPVTTPCDHTFCYRCLRQSIDSSPSGTACPIDREPLAWPNCFSAPRLIR TQLNNLKVKCPYHARGCKSEVRREVVEVHATTQCRFKDFTCPGVDCDKRLRSKPTDDT CPHKEDKCQHCKASFDAPDRELHLLSCPMSKTRCETCWKLVYRSQTKAHDELECEGAI VNCTYSEFGCPARVMRGHMDSHTMGCAFHPETPSGMIIRSQREIIQSYTDLGQQVQQL QTRQDETNQRITEFNSTISRRGVGESTVGDNRTMQDLDAGFEEVHQNLTHLEARQSMW TINQIMPIREEVTELRNNINMIRMHVNWLLNRSREEGRIRAAANSGSTSTLQRDRSVE GPRLSERRRSASVEGTDLPRL FPSE_00765 MKPAQPPSSSQPAINPPAKPKRKNLNPQALVSKFWKKYHSKTPG KVTSIFPQSLYESLLTDADSSEPETRNAAQSYEAAAKNCRARVRAVVKECERTNAKFS DPDFDIESDFASYQDNCLFGLVRNTCPICGSDSDDDEDIEYGSTSDARRTKKPRDRKP SDALKNNKRESNTDSLRGDGPYHGGLFCRQRRPGSVHRIPWIFENPQFTIDGFSSSDV KQGATGNCWWLAALATIAHRKDLMKKICVARDEECGVYGFVIYRDGEWISTVVDDNLY LKNRDFGEDSQVYDATLKKARQYKKQKQTGSEALYFAKCEDPNETWLPLLEKAFAKVH GDYQSMEGGWAGTAVEDLTGGVATVVAGNRVLRKERLWREMLGSDGEDGEFVFGLSAG GPGDEHKNGIVLQHAYSVLRVAEVQNEDGVKFRLVKIRNPWGQRSEAGQGEWHGPWSD GSKEWTPYMIKKLKHEFGDDGVFWMSFGDMLDNFKWMYRTRLFDERWTVAQQWLSISI SWLTGYLKKKFVIEVEEEGMVVIVLSQLDDRYFTDLKGQYVFTLSFLIKSSDGKNTIC AVRPVHQWDRRSINCEVELEPGTYEVIPKIMAERLVWRPRVEKMVKRAADDNPKKLRQ LGLQYDLAHAKGGVLDEDDALRKKREAEKSKKLKVKKQEVKKKQMAEAMARMEEAMVA MRNEYNQYLNEKENEKDKDKKDETAEDDEEKKKDDEPEPKDPEPKDPVVEPKDKGDHQ APPGFWPEDSPSKSDLDVSKHSETLVEPRKEPPSSRGTNEAMEETKRMSFRTPSPRGT SRRGLPTPPVQYQYQYQDHGPLRNSRRLTMTDDSMDPYPVFTPPTEPASDSDLDSSSS GSGSGSDTASDDNNSSSSDSDSMSLYPRRRFRQRKRQPWNAVCVIGLRVYAQHAGIKV RLADQKRDEATELVAPEASSAAGPSE FPSE_00764 MLYTSILVALVPFAVAGPIAPRTGLGGGLPGLGGGSGSGLPSLP GLGGGSGSGLPSLPSLGGGSGGLPKLPGLGGDSGSGSGLPGLPGLGGGSGSGLPSLPG LGGGSGSGGLPSLPGLGGGSGSGGLPSIPGLGGDDSSDDTPSATAPSVAAPTASPQTS PTAPSSSTGGSADADGSAARRGVFDGASSGGASDSPFGNFLGGAGGSSSGGSSGGSSG GAAASPFGSFLGGAGGSTMNDLSGECKDVTVIFARGTTEAGNVGTAAGPPFFKALAEK IGQDKLAVQGVDYSASIAGIMQMGDKAGSEKMASLVTEAAKKCPKTKIVMSGYSQGAM LVHNAARALPAETTAKVAAVLNFGDPFQRQAIQGVSADRVKIICHAGDGVCAGTAAIT PDHLTYSKDAGAAADFVASKVQ FPSE_00763 MIDHVLGRPSVKSRRLQVLAVLTFWSAYLVKGHKHGPPGAKFFS RLFSKRLTPWQTVALTMIYLYAARNFSTLVGLASPEPLANMYDATYYRATWVLTALDA GFWTAMKIKTKWLRDMASIVFSLFYLVAAEKADEKVRKVRGMITVEHMRVSWNKGTSP YLSFFQGLMRPRFMRWPPRQIRIPRPADSDYKEPVLAWLYYDGPLSDLERHDRIILDI PGGGFVAMDPRCNDDKLFSWAAKSGLPILSLDYKKAPEFPYPYALNECFDVYRTIINT KGRCVGLPGTEVPRVIVTGDSAGGNLVVAATLMIMESRHPAFRRPGQTELPAPDGLIC FYPALDMNIGNWMTDEQMSLIKDRKARKTNRRIMRRKSMQYNELVGTPHHSDDEEEGS PPPDTKSTGKLNGLTAKEALIAASQGPKSPHPEFSHVGPRSLSFPKEASEANGKNSSH HVEPMKTRLATSSMISYFNDRVLTPEMMRAMIILYIGAHNRPDFSQDYLLSPVLAPEA LLVDFPKTYFMTGERDPLVDDTVIFAGRLRCAKEAAFMQDQAGINGAEFNDRDAAEVM LIPGTSHGFMQFPTVYPPAWRHFERCVEWFDQLFEHAHVMRVRKDRQSRATMSQTNGF GVNSFGRHHQRTESSGEEDKPLEISMTKMNQRRSSNQPSPPIQKEESVSSEVNDGYGS GDTTPRPYTNGSSLANGDKKNASRRKSIGLNKSKNRNKSLVKLKSSDDLLGRRMQGLA SGLTGMFWKTNGFHLASMLTKVPKQSSHYSPEHDAKITLQVTAYGQRPRGIEASQGIK GGGRTVFASEFLGEQKHATHDGKISGNWADWIFVNP FPSE_00762 MSLAQHSESASPVPIIETDDHGNPIKSSNGYFPSKGKSSTLVGD MAHLSVVGGAHRGDDSDAASVRSNSSRASRKPQMKLASYQDEFTTSVYGSRFAGMDLP RHHMPENEMPRDIAYRMIKDDLSLDNNPMLNLASFVTTYMEDEAEKLMAESFSKNFID YEEYPQSADIQNRCVNMIGDLFHAPPGSSVGTSTVGSSEAIMLGVLAMKRRWKNRRIA EGKSTEHPNIVMSSAVQVCWEKATRYFEIDEKLVYCTPDRFVMDPEQAVDLCDENTIG MCAILGTTYTGEYEDIKAINDLLVERNLDIPIHVDAASGGFVAPFVVPDLEWDFRCEK VVSINVSGHKYGLVYPGVGWVIWRSPEFLPQELVFNINYLGADQSSFTLNFSKGASQV IGQYYQLIRLGKRGYRAIMSNLTRTADYLTETLENLGFVIMSERSGAGLPLVAFRFKT VDEGGDPERHYDEFALAHHLRSRGWVVPAYTMAPNSGVKMLRVVVREDFTKSRCDQLI CDVKLCHGLLKETDQESIKKREEYIKNHIVTMGRGKHAHPVYKDEQHSLQGKHGKTHA IC FPSE_00761 MAMRLPLRARLASSCAVARPRIVRRGLVSLPNADVASDSKSAAM VNEHAPYMVATYARPPPVFIKGKGSWLWDAEDRKFLDFTAGIAVNGLGHCDPEFTRIM TEQAQTLVHASNLYYNPWTGALSKLLVEKTLESGAMHNAASVFVCNSGSEANEAAIKF ARKAGKIVDPSGEKVEIVSFNNGFHGRTMGSLSATPNPKYQKPFSPMVPGFKTGDYND ISGIDALVTEKTCGVIVEPIQGEGGVTPAKEEFLVALAKRCREVGAVLIYDEIQCGLG RTGTFWAHGNLPKEAHPDILTTAKALGNGFPIGAVLVTQDVADKMKVGDHGTTFGGNP LACRLAHYIVGRLADKQLQADVKAKSEIFKKRFAKLQSQYPGLVKEVRGRGLILGLQL SQDPSPIIKAARERGLLIITAGTNTLRFVPSLTVTDEEINQGLDIVEAAIAATQ FPSE_00760 MYRPDLLAFLLPLLAAPVFAAETLDCGKIRADGHTFDLSKLGGP HSVVTTRYKPNPAGHYNTTYTLDVCKPLKKSGGSKSECPNGTRVCAITHLLKSDGDKK EEDEVTDIVAIAGNLENAGGSRFEWTPTRLSTAESNSDKKKEGLRLVLTGGKDPLSGP SKEKTDQKAIIEFLCDPNKEGTEGEWVSEEKYEKRADEKKDDGKKDDGGDKDEGESTL EHQLKHENASLIWDGFEVEKDIGILRLTWHTKYACEKREESGDGGSDDGGDNSSSHWG FFTWFVLIAFLLIAGYLIFSSWINFTRYGARGWDLLPHSDTIRDIPYLLKDFIRRILN TVQGTGSRGGYSAV FPSE_00759 MSPDHGSSRSRRGVWSHWVPLAVTLTVATVGVAAWVWSQRKDTE EEDDNGLDYSNHARGGDHQAYGSRDVGSRDIQGPEKPPRPDGQSHGIAHANEDATGGG WGSRVTGALRRTPSPQQFFDSTGKTVAAGVAAASAAMGKALASIREEDKSPFDDNNPW QEEEEAKNERAPADTSSQHIGGTRRRKTVAIVVSAETGAVPEEEDPFHEHASILSHIP RHIDHSKVKLFVLIYAPGLKEPAVDTANSNLPPPSLSSSFSNIGHDQVQTPAEESKNQ FESGSPAFNSIYSQALGLVEKETMVLPFTTSNGHVHILRHLQPEVIYLQKALSGQDGS IITTLQTWLRHDVILIVGADGGSGGLADSESEAERSTKTKEWWQRSERVGRGRGVVVV DSMRLNDDWARRVQGRPAAQRDFVTMAPVGPIVQQNVAHKVKRPIPPGIQTNGAMISS KSSPSPSMSAKKPPPSAKQPPHSASDRAITASSVRPINRARRETASQMQGRHSRNSAG LRSASMVADQIAHDAEPRPYVVTDSYILNKFAGQPPSLIIHLHPTHFRFDNQDGMFSY KSPMKIFLEHVKARTIPHDLLPYFNEGGVSFYDGCLIVQIHDHKSLAEAKDVAKPKSA KGSAVLSSIHNYNQWLTPSPSVPFPQENSSTVDGKAKVGNTVEKEYSPMPPPEDQKEK SKKPLVFTVVLHQTPESLQMDLFIKASTPQGTNDMSGQPPSTPMSLIPPTPTTANMPP PAKRQKREKTELDGSNIYEAEGQILLATNAPLVLSPTRTIEENIILLEAMSHPKHSQP PPQPKTRKRTRAEMAADEAQAAGTERFMLIADPRAASSANGAQNGGTADVDASTGAST FEPRFERFKVIDEIIAKHNERKEQEKAKQQEADRKLQQQRAQVQQEAAQSAQKMAQED RNRNEAAAAREKSMRQAEQQRQAQAQAQAQAQQARVQAAAQANQANQNAQRLNVNQQH AHPQNNAITNGANSASAGTPQLANNMPPQAQPRFQAQISQPPASSPVARQGTPQHMSS PMVGSVPMQQTNSGMANSPPRPSSVVQNPAAMSVPMAHAMSSRGSQQSHPSGTPRMPH STPSMNQGTPINRPTMIGTPRMTQVSPPPAMIAQNSQPGQGIMMNNQGMNQQNPHFAQ QLAQQRALQQQQQQQQQQQLAAMQNSINNGNMSQMSPQQQQQMMQMMQRQLIAQQQAQ QQGNMMSPQQQQQQQQMAAQYAQQMQNMSGNQMRQFPPQMQAQLQQMMRLNQMNGQMG NSPMQRQTSEQMMNGNNINMQTYQAMQQAQQQQAQQQQQQQQQQQQQQQSQHQMGQMG QGSVQAQVQQHARIVYQKMVAHAITKHGSVDKIPPDLMLKIKTASMNQATSAVQQNFQ RRQQAQQQQQQQMIMHQQQQHQQQMQQMQQQQMQQQQMQQQIQQQQQQMQQQHLQQQQ QMQGMNGMMGGQGM FPSE_00758 MPHAVSMPSTGLQALILCGPGSSFPTFTSNPDESPKALLPIANR PMVWYALDFCYRTGITDINLICPPTASAAISTALNTNPHLTALPLPRPDILSPADLDQ NTGTAEILRLPEIRSIITGDFVVLPCDLVCELGGEKLLQSWMVKSASLTDMLGTSRLS NGHRSVHSGALGVWYDTKAVAPVKKEETDFIATTPLPSTPVTPPRGSLFSNLSKLVYS MPTDSLRDLTGEKNSLPVRHGLLRNHTRVRMFTTHRDAHIYIFPRWVLDFVKDNERME SIGEDVIGWWAKAGWQSGLAEKLNLESSCSQGRVEESEEDEGSSSPRDPSPDNTPSLG NPVSSDESSDAKTPKITVDNKSKSFEVPPIVAYVHPGGGSAPLIRRVDTAQILLAISL QLAKLPSLEETGGEASSPYVHARKIAYPEGVKPRTTITQKDSLIAENVTVEEKTSIKE TVIGAGSQINEGAKLSQCLLMEGVVVGRACKLTRCIIGKRAVIGDGSVLTDCEVQENL LVEARTEDKDNKLMSSEGLEATEAEMNEVLQDMEDDAELAVMD FPSE_00757 MQYEADFRFDMDDSFNLCSQPMSCPSTTTSFSSASSAYDPFTPT SRRSTPHEFGNMDFDGPYNSVSHRAELTPPSSAMSKYMPGPIKPESEHMPFSDTLPNT PMKREGLGFEYDHMMDMNMAHHGSMGSVTPSNSFGMYYSPDASIGAASFMMTPTQSIS GSEAAETGSSWSCANDSPISFFTSRQLFNDDSFDLDRHSQSPLGYPLHEINSPNCMKS QRSMMVHEIQQKTNELQRAQIRSSRKRSIKPDPSQVDVVRRAMCKCDYPGCHKAFRRN EHLKRHKQTFHGEGPNRFSCEFCGKDQFNRQDNLNNHRKLHARPNSRNRGVEFIPAAV PVIEQEERSRKRRAPSKSKTGEKRGSDY FPSE_11006 MASLPSYQDAVSADWVRLVTPYISPNDFAALCRVNRHFWEIFAP RIWGRLLGTSSDRLAGRDSEHDLDWLVGTVLGQLGQTRSETASLVRVLDTRFIRGTCS LTMANQLNASLKNAIRYLPNLNCVLIDGHEDLDPSEFLSEAGRQVQLLSMADCPLSLS TKSISSLQGLVYLDLSRISGSIRPLLQSGTLPELRILKLQGKEVDDAAVENLANCFGT RLWSLDLEDNKLTDAALYHLGTRCMCPADLRSDARLDVEGKLEFGSTSPIFGTSIRII ESDWSGSFSHPNRHLADAPSYDVHDTLPQEHLLKRLDGRDRIKCDSANAVCRGLQGED PYLPPSSFQGSQGLTHLNLSGNQISSLGAVQLLTHCRGHLQSFSCDSMLLIPSSKAVA AAWPKMVKLHGFCAAWTLRPLFSSNLRVVRLHHSIVTRIPTLEIDELSTLARLYVCEN SILPRVKDAFPEAFIPDMNPRIESLTLTCIPRRSSGPLIDCLIHLLQLLAAQERTIFD ISSRRGPVVLAGLRHFRLEFEPDPHEQDSYLKEDIDAQQLLNSGEAGFSFFEDEAKQE RPSIVLPANSIYNLLPKDSSSSLNDLDQPEGSHLDIDIWMDGKSTSIRVWIGPRDEGR AEYLELQKRFGSRTVPPGPPHGFWLGKLEVSTQQVASRRKKQSYWR FPSE_11005 MSIFEQDDKTCRSLVKVAEDILLQLCCEVWTFDDEYNQSYPASQ DTAKNTGKQKISRTLRETPRSDSRVTAPNMNNSLLRLPAEIQIMILQHLTFGQVEALR RTCRSLRYNLSKPVIRFIFPSIKYELLSTCYRCLAYDPERDTLIKADESDARYPLANE CIDCVASRGGFSIGRTYTLASRSTVCVCRYCGFPVTSDAAWKEYEFHRKCYRRYRMIL LYYFLSGFAQGTITIAASALCWRYYKGRAMIIAPTIRRCSLLAQTFDVSSYAQQSGYF CDILDVPAEYRTEVSGEMV FPSE_11004 MKQVFGAGQQGSLAVPITAGVAALGAGVFYMGRSKPDTTPEQDR RNRAEAKKAEGLSGAGIGGNAITGGHELSHTENSRSKEKTTAPLEKLPSGGVGGGVGA GGSNVRTSIEMTAKESSPSKSGTPS FPSE_11003 MAATTEHSEQLSEKHDGLNGNFDPEAQRGEALSQFRTAQSVQMS PELFEKLYLSPMNKVKGDLRQKFANPTPIALVGFLLAFTPLTCDLMGWRGAGGSGAAS NAVYMFMGGLLMIIGGVLEWVLGNSFPACVFCSFGGFWFSYGGTLIPAFGTYAAYAPA DAKSSAEGLATQGFNASLGFWLLFMGVLSFIFFLCALRTNVVFVMIFFSLTFCFLLIT AAFWALAEDFTGNALLAQKLLKAGGAFGFVTCMSGWYILIAVLFAIVDFPIQIPVGDL STVIKGHSEKARRA FPSE_11002 MSPPGSLYVTMQPKPGLSIDQFHEWYNNEHGPTRLRIPQIFTNG LRYKATDGQEPEYLAAYDVTDMPLLETETYLTLRANRSPREAETIGQVDVKRYFWDLV HTKESDQFTPLEKLSDDEAEGHQLTAVTIELKDAEGAEEEYQKWLVEEHIPMLAKIPG WLRSRTFKTSYLEGQSKTTFFALHEYAKENGQGGEAHKASMDTPWRTQVFDKYVASKG RRTYSLFYVFGPAPRELHSLSKLPSTAAFTSPDSKQSTVPGPNAAINSFVTAPDSLNI PFRLEGNPDPKAPTVAFCNSLLTSLHMWDPFVEILKRERPDLRILRYDTRGRHAVPQP PVAATIDQVADDLKTVLDAFRITKLHTLIGVSMGGVTTLNFAIKYPERLEQFIACDFN PTSSDANTQAWKDRTLMAEEDGGNGIKKLAEQTVQRWFHPSTNAETVKWMTEMVAAND VEGFRYSNTALWDYDLKPKMGGCKVRGLFVAGEEDAKGALPKAMAASKGLLGDKGAEL KLVPQTGHLPMSENPQAFWEAIREFL FPSE_11001 MEVICRNVPLDLSEDNFKRELLPFMKALNISDFYCEKPRRKSQA WIKFLSAQDGSAFLARHGKQRRYENHGKENIDPSNPLNNFTGSHIFRSVPRDMARLHI LKTAVFVEQSTKHVDKYAIAHLKHEREKKKQSTTASNNKGRHAPDKAFQIAAISCGGN TFHGPNEVITFVDHHKLLFRPEGVTGKFTPQWLTIQVERAHRMDFHNETIQDLIATRS DMSVTLVLTEPPKMYTYRPPTFERSMQEWSRVHGLHAWGLPTECTLSCLVYRIYFYDT PSFDEVLVSLKNKDILAITNHDLPSVRWSEIGVESNHTIGRQTLVEKSKNLLTSGRVH FALLFQVQALVWNNFINPASGIKLLDLLECVIDDHKKRKVAVPVTTDAMKKLFQTIPY PCPGTEPKELDVVSIMTNIMDAEYEARKDDPERDRIYGGKLPPNQIWVFKALVTPTRL LLDGPEAESKNRVLRKYADYNDYFLRVLFCEEDGQDLRFHPKVDNEPIYSQFRRMMDH GIQIAGRKFDFLGFSHSSLRSHSAWFSAPFVDKDHQLQSPEAILQALGDFRDIRVPAK CAARIGQAFSETPYAVPISENNIVPRYIPDVKSEDGSRVFSDGVGTISQEALESIWPY LSMNSAAPTCLQIRWGGCKGMLSLDTRLEGKVFCIRQESMMKFKSEDTGELGICDTAS KPLRLVLNRQMIKILEDMGTRDEWFFELQNNALDILRNVTADATNTSSFLEHHAIGIN MGLPRLVKQLEKMDIDYRRDKFLKAAVEHVVLRELRLLKHKARIPVDNGVTLFGIMDE TGFLKEGEVYVTYDKTYGRNNGRGIKSTLTDGEIIVTRSPALHPGDIQLVKQVTPPQG HSLRSLQNCIIFSQKGKRDLPSMLSGGDLDGDIYNIIWDPEAMPSRVFRPADYPRVTP QPLDRQVEPKDIADFFINFMRTDILGVIATRHVILADYNDLGSIDRDCIALAELHSTA VDFSKTGIPVNVKALPRAPRFRPDFLATAPPLKLYDKGQLAHIGEDDEMDNADDMART KTNYYQSEKILGQLYRNVDEKKIWDEDIHRSINTAGPSVWDQLLTIVENEAIKYKLDI DWTRQSQEAWKIRGLYESTIADKMWHFSENPRAPLTEVEVFCGFILNKRGAQTRRQGD ASIKLKEEIDRTMTWIVKQIRDRGVGDGAETLSTVTEADGTTSRWREDVVELCWACVA VSCIKKENAPELYRGNGELQSFRIVAASCLVKELNNLARKMETAAGGGFVGVGRGGRS GRGGRGGKSMTLPIR FPSE_11000 MRKAHKRKQRDIAGYAVMIGYVVYFQSQFGPKDYYNSVDQLSDL LLCLTMFDHTRTLNHISSFSLNLIPTLVFFLLASYVLPILTRRLLRYVASPTSFNEKS SGHGTPSISNLPRLSGVVPWLGHLMGLTIDSTRYINSLIASTTAPIFTINIPFARITV CHPSMDRVLSRHVNDTGLAQVLVYVGPRLFGLKQDTIKAVFGYNPQPLHKQKFGHAEN IVSLNQRSSTNIRDRVAKMPEVNELLIGRWVFELAVSATASAVWGVANPWSMDQEFSN EFMKLSETFDTLGRPFSWLTANSAWNSRKFLLKRLREFHLQHREARVQTTGHSINVVA SSDPDWENNPDYYHIEMVSALGLLATTSTLAVWLTRHLLTDPELVKVVLKEVEQVKYV EGEDEDKPRLDFADVRSECPWLMASWYETLRLHMTGVARIARHDFMLNIPGSEPIAVP QGEIFMLPMCASNLDVDIWGSDAAVFKASRFIDKEGQVSGSAVRKVRAFGVAGNMCPG RVFGTDIVFSVIGTMLRTFDIEAAPGEEFRVPTLRGGFNVGFERYGDDVKVLLKRKHT A FPSE_10999 MSTSTPSLEMSDQQKIGKGDEETQSTQGQKPTFNKDYRFWMIMV TLILATILASLESTVVITSLPTIVNELKLGSSYIWVANVFFLTTACVQPLFAQLCNIW GRKKVMMFIFALYTLGSGIAGGANGGAMLIAGRTIQGIGSGGITMANDVIISDLVPLR YRGNYIAILLLVMTVGFAIGPFLGGIIVENTTWRWVFYLNLPLGGVAIVVTYFFLNLQ YDRNQSTMEKLKRIDYIGNAILIGSSVSILIALTWAGPVHPWSDARILAPLILGILGL VGFVIYEGSGIPSEPVMPIRLFPSRTSYIVYANTFLNQLLIMWLYYFLPLYFQAVKMS TPSRSGVQMLPVALIAIPGAALSAFMLSRWGKYKGLHVSGFLIMTAGVGLFGLLDEAS PPVAWVLLQFLPAIGSGFLLNTLLPAFQASTEEIDQAAATGTWSFIRSLGTVWGVAIA GTVFNSYTKQYAHMIGNELARETLRSGDSYQSATRAFILQFDEATQGQLRHVFMLSLR KVYVISVAFGGLAFVLSLLEKEIPLREELDTQYGLEEKGTNKKVES FPSE_10998 MPSLQVTSRESSTCNLSPTRNNAQGLNTFNAKDTKHISHSTKVL EHAVSKAIFYGHDHDVRLRPYQGQSPQWARSSRVSSWQYVSLSISSTISSEMTPIYLT RRSTRMQRQSLTDNSGEICQFAAALAEDTKLVQDAEDSDDVDDADDSDVADDRIVI FPSE_10997 MHAAPSTHPNQIRSACERCRRQKLRCSRPVGPSAICARCSRLNL HCQAGLQRRVGRPPKKDDVVEAVEIVLHSGRNSPQPADDGMQFIQGLLDDSTWNLDPF CTYTPESLPFPMETWPSVQTLDAPDVEQPVIRPTEQLFEKLSRLNADIHRGREFAAQF VSNSDLHGFICKIHNTMNGYENVQMALRTAQDFLVVLKTLHRQLGTRTVSCYGRQPYT NKTILALAADTSTSSASPSPPLSSSSTTPTSGSTAPQPPPVFDSPTMFLIISCYVQLV KHLEFVLKLIFNSISDPQTDLIDSAPMAFAEVPLVEPSTQFVLFSELLRHVMSQINLL VGFPSPWSSKSAWTGLMTCQRYKDMLNSELGAVEGGWTTRPSKLMELNRITKGMLDEL SMVGVY FPSE_10996 MTAPAATLDSEAQPRIPIPDRYLSASSPDSPIQTIETPLKFEIP EDCRTFSPFARLPPEIRTQIWQCTLDTPGMHFLKIDTDWHPSTGIGRWWIKESTLLHM SSDDDDEDGDPMAIEVRRERRPTHKVNGTLKPLYPTSQADISYYTNLNKQLAKLSVTC NEAAAVAKSLVKRPTAFRLDNGRLVSLNSASDVIYLDYIPPDFYEDSIRFTRGLNCSG LDQIRKVAMRYCHKWHDRKSPLRCPNCGQIHELPVRLRYPKHLYRFLAQYLPNLEEFY FVDYLILRKSPGSDTGTHTDTPGSSNGPSAPACKTSRFEGGNRSFFEVDEPNWKITSH VLDVKAWLQEQFVKYAKLSKLSQHKNPEQVKFGVLACEWRVEPPATPKKASVTPVKKG RNKRAHSEEHSFSRARQISPHQRPVSPIEYLPYEATIHYPFVFDACGSNKYDFTFSMS L FPSE_10995 MSPHSASTTVNELVNEYADIIKGKTILTTGVTLNSLGGLFIQET ASKSPELLILAGRNASKLQELAEAIAKDYPSVKTRNLVVDLSSLASVRKAAEEVNSWE DVPIIDILVNNAGIMAVPYAKSVDGFESQFAICHLSHFLLTNLIMDKILASKSPRIVN VSSNGHSLGPVHFADPHFSNGETYNEWSAYGQAKTSNALFSISLAQKLGGRGLQSYSV HPGLIMTTGLGTHLDFASMEGDLGAFIKAHRERGNSEGWKFPDPVPVEVGAATHAFAA FGPNLGANNGAYLLETRVSDPFVDTYKSWARDPVDAEKLWRLSEELVGQKFGY FPSE_10994 MNSNGATSPFGPGLQVPISSPSGSNTTATGRPPLWNSSSRRKIS RLYLYTTLPLEKIVAVVHAKSPDTVPGQDSAHKTLNAMLNKQPRWLHPRNEGDMVRRL EELSLSPTRTNSTHNSVSSPMQDTPGSIPALNAVPTPHLVRNPTRASLEVPPFNQYSQ SQQRRANRSTVQEREQEESSHFRTFLQRPTFMTTSTDCTTGTFSSLLKDYGHPYVKTV KRLIKRYTAPLSRRGASLSPISDEEPTMTSWLEDRDAPVCLPNGPYYMPGDFLCIDQV AQDDYTCFEGTEDHMKKSCFCRPMNELREPAWITAQGVSQTGSNALTLAINNSLFEER DQFGHTVFHLMAARGMGDELFEAIRLVQDADILNEQNTAGQTLLHVLHISWFHSELHL QHLLNILARKGFNFSASDHYGRSFSHILFQRDESFGFRNHLLQLCGSASHQKRDAFNM TPTNAVAPEPIVGINRTYTQAMDIDPPVQTSRVDSSDPYRTTDIHQEARTLEYVRAAV NDPLLEDPEGRNGLHCLAAATLSSSSVTQKYNLDKEGMPNYQKNKKEKVDKYSDSSTR GLEFRRTLLGSLLAAGVDPNHYDTHGNTPLMAFAAQLPEDDDYTNGPGIIEDLIRAGA RVNARNRAGETALHVAVRCGHKLAVKQLVKSGANVHVRDAAGRSVLEVADAKMKSLRG QDDAEYSHLEACRAWLSGTSSGAVQEPTVIQEWAICL FPSE_10993 MKTAAYMAMAFGLASAFENAPRHLDEPCECELEDPCYVAVQANR DALCGAGVFSQCDIGLIPLACTGKDDTERREAVNEACTCELPTVATTTAGAASTETAS TEAGTATTDAATTDAATTDAATTDGTAAGTATTGTEDVSLSTEIGTETTDVATDVTTD AATGVTTETSAPTTTEEDCDDETTTEEGTAPATAPVDTATESAPTGTESAPVDTATGT APVDTATESAPVDTATGTAPVDTATESAPTGTAPVDTATESAPTGTESAPVDTKTDSI PTGTETAPVDTKTDSVPTGTETAPVDTKTDSIPTGTETAPVDTTQTGANGVSTTGATV PYTTKTVYTTAVNTYTKCPDYVKDCPAGGNGPYTVTETVAVSTTVCPVTEEHPSKPTG YSPENYTTKTIYSTEVYTVTKCPPSVKDCPYGSVTTKTYPVSTTVCAVTEEHPSKPTG YAPVTEGHPSKPTGYAPPNTSTLYTTKTTYSTKVYTVTECGPEVNDCPYGSVKTETVP CTTVYATGTKEIPSYPTVVIPGKPEHPTPEHPEKPEYPTPEHPAKPEHPETPAQPEKP EYPTPEHPAQPEHPETPAQPEKPEYPAPEHPAQPEHPAKPEHPETPAQPEKPEYPAPE HPAQPEHPETPSKPEEPAKPHYPAPPAAETDSGYKAPAPSQPSATVPYHQPTGTAPAV EVTAGASRFGVEMAVAAAGIFAILL FPSE_10992 MPSSPSTNQPYSKYGYSTSNSSGGSTYTGGQGTSSGAMLSQWVT TPDRTENFYVTGQRTGRSTMEGIDRALEFDERFNKR FPSE_10991 MSDKQPYRPASPEGSDTVVIGTEDTSNYNQGHVLPESDDTIASI REWLCPTEYDHEGSEYRKHLAFHLEGTGEWLHRSENYQQWHKTPENGLLWIKGVPGSG KSVVAASLIHKLSQEQVPVLYFFFRQIIDASHRPVNLLRDWLDQIILFSPPLQSTLKN YVDNSRSLDSVSINELWKHLKVALSSIPRVYCVADALDEMDDENNDFIAQLAELGHMK PSSIKVVLTSRPTASVEAAMRVIKSLNIRMEEKLVDVDIAAYVLYCLANSSIDEGDQQ LVKEAVPGRANGLFLYAKLAMNAFLELGANVRETIHNLPLDLDTMYIGLLQEHARRSG ISEKTQVMILQWVTYATRPLRLLELADMLGTAIADRVSRTLKEDKGLVRAACGPLLET LPDETVSVVHHSLTEFLIGTHRTERPGGYPILDSSATHCDLAIVCLDYICSGWLRDQD IRMYSLRSDHRRITLNFPFAAYAISNWNIHARRSKWTVSYADILSQRIDRFMDDKKTR DNWAKICLQNGFYRRGGDSHVKSLSDLHIAALCGLAPTIKSHIDCRGLRDQALDIPDA QKRTPLWWAASGGHTDVVEILLQHGASHTIADISSGFRPVHAAVMKNKHQVVRLLMEK EAVITLQKPPVGASGEVPKLGLTILEFASAQGHLQSLEAVLPFYNAIDKKRALFEAID AERLHVTKLLACEPDVDVNEIFEGKTALFLAASRCSFEVMETLIEAGADASIKCRRKT GADPDDKKVLSTALIEFFRNRRLLTRRSAGRLLGLQLEPSVFKHMLNLLVNAGADLNE KDSMGRSAIHSTESCDALECLVDAGADPTARSRDGRTVLHCLPVDTNEGYLKFLIESQ NGSVNEREHHNGQTPLLSALQSHSALAMLILQHGSDCAMADFEGNGPLHYALFFCKGR GHPKPSYGPEDDGNLLKLMKALLEAGADPRLANSNGETPLHVLAAHYLDGHIFDTQDL EYIKETIRLLLHHGADIDARDTKGRTPLFRLAGRNFSHTNEVKPLFESFVDESADLNV RDNEGRSLLHEAVYNITLGGNMNGTLALPYQYLINAGVSPLVADFKGNTLCHELILAP NCPTLKGSEEFFFPIFQEAGVGTDKPNFSGTTPLHLGCQMRTDGAYSINIKTQDCFKW LLKHSSDPNISDKQGLTAIHFAASTDTYAVDRLLRAGADPFAATDQGMNVLHIASRCR RSNNLGLLIQRMKALSSDALNIVLNQKDICQYTPLHYACRSGVMESVRFLLEAGADVN PTFDASQGTYLDEPWFPPILQCVFLKSENSLWKRGPLDGGASSDEMDRSKGHNLIAGG YTVEDTTRHFDKFSSEPQIKDYGTEFEVLGYDDILNALLAAGADLSQIGHDSTPALYR AMMFAADQQDDYVIYLLWDISEKAKMDELPATIDFAITLARARHRAETTVLSDAFLPR AKETTWETVARLISGHQFSLITDLYKAGAKFTTTSVEGVSILHKFVQYGLHQLIDHCY YPQEVAMPENGQQAPERNQDGPESLLMVACRRSTSNMEVIRILVEKKGVNIKAQGPNG ITALHILAVGKYWWQHALAIPYLVSKGADLEARNTDGCTPLLYSSTQWGAFRAAAMKT LIDYGADVNVLCNRGLGCLNHATQNEGLVRLLVRHGAEVLPMTIMNAIQQGNVDTLRI LLSGNGQPGLAALWKLKLDDAKHMDLDANPLQAQLIRQGHPLFTASTEGAAFMPSVSD TLSKVRHSVKGEMMKALMAVGFSPYDTFAFRPVYKRRAPYRPSLPMAIANQSWQEKDE EFGIISGLGLGSPRLTNRVVMHEIFSSDSGYYEPILELPDLDLEFRDKAGRTLLLASC RRRDYSENIPLKLLLGRGADPFAVDNQGRNVVHLILGSSVLDDDMLEAVKDLGDVLPH LINQSDAKGYRPLHYGLAAVAAGGSLHAEASWVDYIITQGADMLAVDAHGNNALHYLA SIIFGHSGYSGDRAEILFKKFINMGLDINARNRAGQTPIFFVVGDLRDQPVLREKINW LDGLGVDWQARDEQRRTILHGIAGEPAELFKVVMERGVDPLAEDIDGRTSLDLAAGLE NYEVLKLFDRAGE FPSE_10990 MPRTGALCTSLLGIRLEGNQPSYSPGDTIIGTVYRRNHVVSANA SIFISVSGRSKTKMVVSRGNSSSTYRGRFNLIPPRNRQKIFGGPIHIEVGGDEQAWPF AITLPKYVDPDHLQNGDQSESFLPLRTADHVLPSTYAYPTVGHTEAFVEYFLTATMQL GGKGDTLEATLPITVMSLDPNPPIADFELKKARSIHQIVTYRLVPGMDEVKLSFSQKF KQVLQTTSVPVFAFNLFIGVPTAIQLDNPNPLPLLLHIVPNKKDTSQVLHDVPQKVKL GFLAIHVVTTTEIMCEGSFTPHTKDKNAEINLCLMNALSIAKDGIYIPCENNCTPINI GAMLDLRLGCFGTGFPHRHGGSRSFNPSFTTYNIRQTHKLKWEIRGTIAGEFFKEHGA IPVKLLAPSDERGSGRFEPDVKVPVPNAEAAGESETIAGPSQVQRNESWIQPPAEDEA PPSFTQVVNEDAGSRPPEKAST FPSE_10989 MAASTGSTKVDAVVQNIKAETPEKKSGLALYSRFALAGAVCCSV THGGLTPVDVGAGLVRRACETQLPRNDHSTAQQTMKQQPTKHTRTALTEKQATNNIYS VKTRIQLDPATYNRGLIGGFRQVVKNEGAGALLTGVGPTFAGYFLQGALKFGGYEFFK QQWIDALGYETASKNRTAVYLASSATAEFFADIALCPLEATRIRLVSEPTYASGLVSG FGKIVKNEGFGALYAGFGPILFKQIPYTMAKFVVFEKVSESVFRTFPKKDLSDGMQTV ANLGSGLIAGFAAAIVSQPADTMLSKINKTQGLPGEGTVSRLVKIGKELGIRGSYSGI GARLFMVGTLTAGQFAIYGDLKKAMGATGGVEIAA FPSE_10988 MPSKKPNIGNLLALLEVFLALQPDILPGGTATVAELDFAEKNSP IKGEDVKPGPWPGLTSSSSVEASPASVAGAPSFPPIHCRRLLGRLGHEYDFPWSPLWF LGFLS FPSE_10987 MVKRKVAALEKIDADFAALQQKIRRDPRSYKEEFLKQLEQYEAQ REIFLVSPSTASADSVESFHNIIDLIAHVADCYKEETATFPDDLKEILTQHHVVLHPD LREKIVGSLVLLRRKEVIDSTSVLTTLFPILVSSPSKTLRETLFQKILADLRNSNNKT INHPLNRTVQTVLYNLITADRDSPRAIWAIKLTREMWKRQFWTDAKPVDVMKEACLSN NEKVVVGAVRFFLGGDKEREDLEDESSDEEVDLSQVKHQMGINKKTKKSKKAYDKAVD KVKRSERKKNKPHPLNFSALHLLHDPQSFAEELFSKHLQNTKAKLSLDTKILVTQLVT RLVGLHKLTIVALYSWFIKFLTPKQHSVTSFLASLAQAVHNLVPPDVLEPLITKIANE FVSEASAAEVAAAGLNSIREICARQPLAMTDTLLQDLVQYRKSKDKGVMMAAKGLLSL YREVGAELLKKRDRGKKATIDMKAGIQAQRKFGEEEAGGIEGLDLLEKWKEDEKKKKR LAMGLPEDAATDEEEEENKDDEWEVDSDDSSDSGEWINVYHSSDEEDDDDEPAPKKQK TEADIAADERAKKALEEKEAEIDRISKLATTTILTPADLAKLQELRMSSQVDKALGSR RKRQKELEDRHRDDGLTAEQIEAPARLRKLTKEERAELAKEGKPDRDEHKSTQAIRKS KMQAQGKSTSNREKARNKNIFMTMGKAKSKHKRSLVETRKVLTRHVTRSTRGGRRQNG T FPSE_10986 MGVKQFFKDRPLKVKDSKVTKAAELTLRESIWPIALVTVLFFLW GFSYGLLDTLNKHFQKVLDIDRARSAGLQAAYFGAYPLASLGHAAWILRHYSYKAVFI WGLSLYAIGALIAIPCIKAKSFAGFCMSIFIIGNGLGSLETAANPFITVCGPPRYSEI RINISQAFNGVGTVIAPVLGSYVFFNNLDDEEALANVQWVYLSIAVFVLILACLFYVS KIPEITDADMAFQAQETHSKADEKPFIKQYKLFHASFAQFCYTGAQVAIASFFINYAV ELRPNTSESVGAKLFAGAQAAFTVGRFFGTFLMKFIKPRKVFLVFLAMCIVFIGPPIV HHGNAGVACLYVVLFFESICFPTIVALGMRGLGRHTKRGSGFIIAGVVGGACVPPLTG AVADMHSMGISMVVPMVFFVAALSYPLAVNFVPAYKNVVDVFYEADIGIGDRTLDEEK IQGGQIEEKGELPAAR FPSE_10985 MAVFAQYDYLFAVGTIFAFLDAWNIGANDVANSWASSVSSRSIS YFQAMIGASIMEFTGALGVGGRVADTIRTQVVDVSAFDDSPALLMLGMVCAVIASASY LTMATRLGFPVSTTHSILGGVLGMGIGALGGKGVTWVGYNEQGSVDIQKGVVQVFLAW IIAPMLSGIFGAAIFLFTKYAVLLRKSPAIKGLILVPFYFWLTASLIVMLLLWKGGSY EVNLTEEQIPGVIVAAGAGWGLLMAIFLVPWLYRIVIKEDWQLKSYHILQGPFLLRRG PVPPTPDNFQGVVRNFYEGHLTREELDERSAARAAALGEDLETGNGKKVAAESASEEP EPENPHAHKSMVGPKPDKPWYTGAFMWWAFKFAILHGVDKDIVGSQGEKSVVAGDVEE IHARAEHFDNRTEFLYTFLQIMTAASASFVHGANDIANAIGPYATIYQIWQEGVIPNK SEVPVWILAFGGAGIVLGLWTYGYNIMRNLGNRVTLMSPARGFSIELGSVITVVLATR LKLPVSTTQCITGAIVGVGLCNGDWRAINWRMVAWIYLGWFITVPTAGLISGILMAFI TNAPNWS FPSE_10984 MSCLFCDDRDSAQTMVPLEMRNTTNSHTVVQSYTAYLHSSSIHN TKARPFNLMSPFYFILKIAILYAIGVMALSPQDAIHADDLERYQEFMNTVSDSQFLDM YMESADVKIDIYEYPSNDHVQSAYFKPSPKADQYFQQEKEQADALQATEDEGLTERAA ICRRDEHTMVFERLSTLEKRRSRCYQFCGSIHHCTRGTGCPHCYAVRMGCLWQKWCR FPSE_10983 MRSVLLFRVALGLLAVDFVAASPCKPISVTSYAPTETSLVSSIE SATTIETATSVASITSTATTDSSVEASESSLVPETSSTIAAASFPDLQTEC FPSE_10982 MVSFGELEDLLLQDADRQQINPRGTDIDVQYLSSQLYQALEFGK ISPFRYNTSVVAIMLWNSLFYFFLGTASAWTPQSRVAARANGEQLTERWLPSDKKIRG VNLGSQFIIERWMAEESWKTMGCSAYNDEWQCVKGIGQAKANAAFKKHWETWITEDDI KQIASLGLNAVRIPVGYWMHEDIIQDGEYWPRGGIWHLDRIVGWCKDHGIYVLIGLHS GPGISSPNEQFTGHSVPDPGFYTPENYERAYKFLEWMTKRIHTNGNYTTVGMLEVLNE PVHVPKWKDEAADMIKNYYPNAYKRIQAMEGYLKVPAADRLHIQFMASTLHIPETGKS WGSGDPRTSLPDEDTVFFDAHRYLSFDNRIAGNKKAYIQTACKDDMGRHVFVGEWSLS VNSTLKNTDEFKVDGQETWYKAYWAAQAESFEKSDGWFFWSWKCDGKLGKQDWRWCYQ SAVAAGVIPKDAGSAASLSPCARYT FPSE_04876 MSPNPDYVYCTICGMALDDGTIVLAGPYWPTYDAIPPETQISDL GVIRYAAEVDHYPGKLLLLPGREEVYPQHPYHIDSQSDKHWDTAKAKMYMGIHAACDD LAQRALESVSNTKIGSTSELWFTLERRCASYLEQRLIERPSPMDMNYVPPIPNNSPGQ PLSLGFERYYVPVYCMEQWGDEWEGWWDEDPIQVTNLTSKLLSNLERLDDSSIQVSTE ASPEQSSGHVDNLFRDTQLFLKGDNNISQSIWKEIFLQIPLLWDLDVEVVNIKTGSDV GDGEKWNWEKLTRQVMSSPHPPPPDAASYRDEGVWSYNDVGLDVPDGFTNRRRIWQIL EDMSPNDVQAGRLAADACN FPSE_04877 MRYSLILALWTVGALGGPCNPAEASGSALTSGGVPDECHAEVAE TSSAPVAVSLSNEDVAVETSIPSDSHDDGNGEVSVSIPATETSQAFNGAEDSGLPSPK TSLVDAGNTDVQDQPTDGQSNNNGDVPVATSSGSFDKNQSVTMPVPGDSNDGEAQSTT HGDDATSKPVENTGSSQVNDQTSNHDTATTASGTTASDGGDHDPVTKTQSDDIPPVTP KPETTSANNALPAPTITDFPEGLAPSTVTGHPDWVSNTWITTSDDSGPTVVPVLVGCP ICGGKGSDIVLFGFPKVAGSWFKLPGLPKFKFPCIPPVCTSAPDTSDNDNDDDDEKKS SSATCTEMATVTDCFVACTTYTGPAGSTITPECQTTCTKTHTGCDVVGTTTTSSAAAC GPSGSNSCKSCQLELVAEAETEESEEGGLERRAVQKLKEIGGCNGFTMPSFPDYPGGN LVLDNDADIIPKNSPLKDIKRWWFTTPGKNCVPELKGHLTAAEAKSRVASKNGVSIDH VYEKSMLLDFFREIIDKNGPDIRGLSDGTAQKKINCEDMKAYGGVDSNNNLLQKVFNA YPQSKDSNNKQNNPTAVRAAKYLDDMIAMDQWTNGVAKGHTCNFDVVRKQADSLVSAA NDVTATTSEGDANTRIENKINELEKLAIGIEMFSVPEALEAMVRQNQRLYSRFVDMDN NAKGCMNNDAVKNGIWSFADAYKNFMASRFDGTEAWSINAAVKYAKTKIIEKVTSDLN EAPNVAAANQDEWDAKVDTWKARLSHKAGLDNEKWGVPVPDWKWDIVAKRDGDGLSCQ RPVPSETSSEEPTTFFTSVRTSSDDSNTEKSSSEEMPSSTEMATTTTKSGPTIGQRPG WWTDAPTLSDRIPLTISTPDGSSCTKTVTESMCNQGVGVGHGQACVTHSRCEAWVNTK TTSKPSPSPTANSPKFSDNYTRCNGRDGQVSSPNAITNAAQSFCRDVVVKNKNNGYYW SNDKLEGKKLPSTGYHFKVEFSVAKGCLWKADYNECMRYFQVPIDSCNRNNKGEKVGG WVKNNCITAIIDPKRGI FPSE_04878 MRTSLFYALNGALGAVAHESLAYFKIDSGKEEHRSLDGCSKHGK HKWVPDPSTFVFPQNDYKPGNSWDLCVGSAHCAPHEALSKVTFDFKDNGIVFNFKHIE HYKYEDVVVYVERGKPPTEHSQKYSKDSDHCKIISDYKKAKCHIPYFSLTDGGSYDEL CPIKDNGGWRLYVKIKAKISHGYKKYGLFSRAGDIHEKYFTLSYTCAECKEYKHKEGK YEVIEEVEYYPEGEKKYDEYKHKKEEKKYNDYEEEGKEKYVSDIKLGFANANSYPRYK RGVDEEKEKEYNEKEKDKEFRHEHHHKHHHHHGHQHDHKHGHKHGHLHGHLHKEHHYN HHDKHHGYGKDHEHKHYGYEHHDPNYLHEHKDYKHGDHGHHYDEHKHYDHYGHGHHHH DDYKHHEHYDPSRHHDHDSFLNDFFKKYGHYDYHHGKHHYEHHDGYNHHHARDLADDE IKKSEKDEYKHKHGHKHGHHHNHNHDHHHKHRHSHHDYHHYEHYDPGYHYDHKHHDYK NYDHKDYKHYDHKHHDYKHGHHNYDHNDYNKDYDHKHHDNKHYDHHNGDKHYGHHHEH HKHYEHHDPSYHYEHHKHYEHHDPGYHHEHHKHYDHHHGDKYKHHPKRSDEYHDKHHD KHHGKHYEEPVTVYYKEKAEDVNAKANHVIYEKVQKHEIPAHIYKKLAEAKKHQYDHE HEKKHGHEHKYGHEHKHGHEHKHAEEQYHQKKLAEAKLSKAIEEYYALHYGKQHNEHK KHGHYEHHHKEPEYKHEHYKNKFYEPKEHHGHHEHKEHKPEVKHHFNHYFQKLEPKEK HHYGHHEHKDEYKPEKYHHDHYEHKSHEPEKKYYEQKEFEHKKEHEYKKYNHVVSEHK KHDPKAYYEHNKYGHHDEHKHHDEHKEYEPERYNHGHYKHKEQKPKYDSDPYHDKKEF LKDYKYDGVNIHSAWEKLYKAKIEHEHRQKQKAYAVHFDKHPPFYKYSNFKRSALPKY YHGDEAVEIDTAKVEKYIEKAEYRINEGISKAIYKAINSAGDDPSTGTIIKFVNKVET AIKYIVAKNLKKAVAHIAEIHGIEEPSADEVEKYIHKAVKHILKAADKIFTKTIDALG KDPTEKEVEYAVAKVEKLLARVVDKYVREAIVGIFDLEYVTENSYGEYEKYDKRQVAD AQNVTASANNTTAANVTAQATNATSTKAVNRLMRLFMRQDSQKATQAANATAQAANAT ETQTLMRFMRRQASKAANATEAAINATSQAANVTAEAANATETQTLMRFMRRQESKAE NATAAAVNATAQAVADVDQALNTTAQALNITQAQNSRRTEVYSDSKIEKVVEKAAEKA ADKLINKLDKEESKSQSKRDAKFYNGYLPKCKGKIVKAYGIDGLHSGPLKDFSVKSHP NTCRDHQGDYVVYSHDELKKTVYGKLYESHGGDNKFGNYFIELVKGHDSYSKDIVVSI DISDKYQYEATEAKVFIGCDPGIDHHSSDNICSEKTYPYLAVAEKGLNEFVFAIPDKY MCHEYYIAIVVDFCDTKYSS FPSE_04879 MKVAIIGGGPAGLATLRFLAHAHEYFPIPPIEVRLFESEAQVGG TFAYRVYEDAELVSSKYLTAFSDFRLPKDAPDFITPAAYVKYLKDYVAHFNLGSMIEC NTKVAKVRRGKHNTGHVLNLTQESGPFEWKCDAVAVCTGINVNPVMPYIEGIERVETV LHSSRLKTRDQFGKNTHVYIMGAGETGMDLAYLAVTSAAKTVTLCHRDGFFCAPKIIP IPRVRGQSDTSTIPNKPVDTSVASLFDTAYVHPKLQNSQLLWTYYDKWIKNMHIFISG TEEGPDQWVGQMSPSRKHADSILLCKSDKALPYMNVGKRSTSWFNRVRSAYINVEIKD TKGKKIDVVSWPENIDRDGLMNFGKTLPSDSIIPTEQRKPDVVIFATGYTREFAFLDE EYPNVAQTNVRGIYKEGDVTIGYIGFVRPAIGAIPPLAELQAQLWVYRLLQDHYPKEV PSTRDPDALEPYELDYQLHSRGNYSFWDTKRAVDHESYAYQLALDMGSAPRALTVMKK GFKVFYTWAMGSNFNTKFRFIGPWKWNQGAENIMRNELFNVVKRSGGFVYLATYTLVP FFIFGTMSMALYAFYTIYDLFAFCFGRRSKVGTSKTCE FPSE_04880 MATPTKVVHEMWESLNEEVEARDVQPSPEIRKQMTHVKNFFLTK LIDWPDHDLRTKHVFSQGSCIYVRAPDNGRIPIAEAKKDYFVTRDAHRNSTPDADHAV QWVIFIFESPLSLLKFAKSMNARLFSPTTTLTLQLHLFHLNRLPRVACSLGAVREALR DQLEEWKVALTALRPKLNLKLRLVLAQENDTIFDLEDETEEFRKARMGYLKELKVLWL D FPSE_04881 MDKETVQPNSWVAVRLPNEMYKVFQVVPNTTISLGKYGSFPTNL IINRPFHFTYEVQDRVEGETFSRLRVVPAKELNADDLADANKEATEPAEGDDVIAAAD GEELTLVDESGKVLIRSNREIIDDSARQTLKPEEIEELKRKGASAGKELIAKLLLSHT AIDQKTAYSLAKYKLLKTKKYIRRFTVLPLDVPMLAQWLLEDRDASKILEMRQEMMAL VGCWADVHFSGAVPEDASTSRTGRWLVVDDTGGLLVASLAERMDILYPKPEPETTDDA AATDKMEQNQPQTSEAANPTEQKPERRRHPKRSDFNVPYVNKNTLTMIHGQTQPNLAL LRYFNYDAANANPSPPYHPLDTNLMDISWLQLLAPEEDDAYNNKPPEATPEEIASWKT NRRGNYHRKRRRWARIRHVVDTTRAGGFSGLAVASSMDPISIVRSTVPLLSGGAPIAI YSPSIEPLTELADCFSVARRAAWVSSPPAEVESKTAEELERWEGSEEFPINPTLLLGV TIQSSRARRWQVLPGRTHPFMTGRGGADGFLLTAWRAVPVEGKIEARGRFKRRKVETS FPSE_04882 MPAYNSVFNSDPNVPRIIGNFPLLPLRTKTRGPAYTLPAPSPPL PANESPDPDSDSYDILDEVLALFRANTFFRNFEIQGPADRLLVYGIWFVSDCLTKIRP HASLRDAQKDVMNLALDLNFAIPGDPGWPLNQMYEAPKDRQEAEQLKQYMSQVRQELA ARLLARVYDEDETKPSKWWLSFTKRKFMGKSL FPSE_04883 MATLQHSASMTPSRNSNKGLASPDTTPCTSQAPVVTPRKRRPQR IYRPDKNSLYDIFQQHSGETLFVRPICWTDQHAHLLGARWEEQPPCDTPQPAAAPGTP PSRGHLSPSNTIMTLSNALTQILLPDSMHPIIASNAVKTVLTTMWPNAFGKTHFLPEL HLYFGGRVYRDSVRAQILWNYPTDEAKSSYSSFKSVSTRPAESFNISTQSSPNQSFPN MPMICYIGKTQLASVRTNLFRIASGPKRTWNEPVFRLQQLRARMLVPSNSDHDAHFVG VFLGMAQKYFYTSPPPSGRRDSRMAPGQGIPPCPNFHNLKLKILTHDTETAEFIVHTG YITKEFLEKFHDPFKAPPNDDDAVVSGIKIEYTRVPIWPILGLRERLGKALGQEIVGP FNPDEIETWEKDPERPGGEKRKREVYVNSSFDDEETTEEASPKKQCLKGGKPMGLVI FPSE_04884 MRFSGFISGLSLGLLTAVYASPAAFPAPASIPDPIPAPVAPASP AIEERAAKVTVAVPSGTVVGSSSGKVDSFRGIPFADPPTGSLRLRPPKRLSKALGTFD ASGLSAAACPQMFISSGGQSVITEFLSDFLAIPFLTPITGQEDCLTITVQRPAGTKAG DKLPVLFWIFGGGFELGSSAMYDGTSLLSTAIDQSQPFIYVAVNYRVAGFGFMPGAEI KKDGSSNLGLLDQRMGLEWVADNIASFGGDPEKVTIWGESAGSISVLDQMVLYGGDAS YKGKSLFRGAIMNSGTIVPAEPVDSDKAQSIYDTVVKTGGCSGASDTLECLRGLSYDK FLNAANSVPGLLSYNSLALSYLPRPDGKVLPKSPDVLIATGQYHAVPMITGCQEDEGT LFALFQPNVTTTSRLVEYLQNLYFTQATKQQVTALVNTYPTTLSTGSPYRTALLNEIF PGFKRRAAILGDLVVSLTRRIFLQAAANSNPDVPSWSYLASYDYGTPILGTFHGSDLL QVFYGLLPNNAMRSVRTYYFNFVYNLDPNKGVTKYAKWPEWKESKKLMWFETANKNSI INDDFRQNSYEFIAANAGALVV FPSE_04885 MSSSMGLLRHLQAQQQRLWSCCSPLSVITRQTSAAAASASVPQG IFNGIGFGFARGLTSLVNGGQPLSNRRDNGRPMPIGIRSLVPKISDRACSAHARLARN ETMTDRDVLPDNVKPKHYQLSLKDLEFTNWTYKGTVTIDSEITKPTKEIIVNTLELKL SHAKVFVDSKLVESTKFDYDAKAQRSTISFDEELPVASKAVITIEFEGIINNEMAGFY RSKYKPTGTPSASVPDDGEWHYMFSTQFEACDARRAFPCFDEPNLKATFDFDIEIPSD QVALSNMPVKETRPSKDGWNIVSFETSPVMSTYLLAWAVGDFEYIEAFTDRKYNGKQI PVRVYTTRGLKEQGQWALEHAPKIIDFFSEIFDIDYPLPKSDLIAVHEFTHGAMENWG LVTYRTTQVLYDEKTSDPRFKNAVAYVVAHELAHQWFGNLVTMDWWDELWLNEGFATW VGWHAVDHLHPDWQVWAQFVNEGMEAAFRLDGIRASHPIHVPVRDALDVNQIFDSISY LKGCSAIRMLANHLGVEVFLKGVSNYLKSHAYGNAKTTALWDALGEASGKNVTELMHP WISKIGHPVLTVAEEPGQISVKQSRFLSTGDVQPEDDTTTWWVPLGLEGKKDHAGVAS LSLTSKEDTIRDVDEDFYKLNSGATGFYRVNYPPERLAKLSTQLDKLSTEDKISIIGS TADLAFAGNGTTPALLTFLEGFGKETHTLVWRQVLDSIGGVKSVFGEDESIKKALDNF TLKLINEKVKEVGWEFPEGEDYLTGILRKEIIGVAVASGHSAVTEEALKRFNAWVEDP EANPIPAPLRVAVWRAAMIKEPARTVEILKKEWLNTKSIDGKLLSLSVLGTVKDAEIL KKDVIPFNFNQSPPSNAVPAGDMHVLGGSVANNVVGRPVQWQFMKDNWDAVITKLGNP VVVDRYMNLSLSRFTDVSAVEDIEKFMADKDTSSFNRTLGTVKDKIRGRAAYRERDSE KLKEWLSAHGYA FPSE_04886 MRRHSFDLAESPRNIEESPTGPLSTQEMGDGLNLSMPPPEVEYE DDDLEDDSKIYTPPPHIAARFYRPSQARRRDSAASSRRNSVSSAHSRCSSIQPSSHRG GEQSKYIAQHLRRASFLEDRRARLADRAAHAEKVRLRAALAKATHRDPSLSEERAVAA QQARERNLAEIVANCADEVKKAKQVAEFMKEKREQDMARIKAQIEERMAEAERRREEL RTKHTSKRSRGHSVMSRKTTDSLPDNEQERRQLTPEEAAASIQWWWRGYLRKRAIAEF YDLGLTVDGIRDTHFDTVVELLAQERVLVITARLLRLCGLEEGSAGSVEEMAAVRTFL SAFLILGHPNQVLSNKNDDGNEEVVDALAPHRLPSADLANPQLQGLVGKARDVLISFE NILSRLTSANKYTMPPALKNTLPEAYATFHNAFIAWKSRDSNALIEVMLMQFVELDAI YQTVKDTTDDSATALYKKSIQDNQLMLIVRIKKLAGPEKGKKLIFNVVSEARRARNAK KKTGDTKPRVAENAPGEASETAKSLVSTDSQTLTPPATPASKPQEKAPAPKTGLNGLL PNNRIVVHELAINKEYQLSPDEYKEQQSTRSQPMYTQMRATMDGDDSAINFKFFALVA GNIKDKLQRLLKPGNSMYNLIGEILDPEMAQRQFALGNFSYEKFFTAMASLLPKLCAP FRDEEVKDLIQNKLADGNIIDRVEALNGFIDLMLCDYINYLMRIAAPQLIESAAPYEA KRFAEDVEKKQLGLSAAEAVWKASRSKVLAEAYKKDPERINHPRSRPTAGRFYAQMLV DIFTQISPSPIEEIPEMLRLDYNRISQISTTIQRIITAGAVLLQCKNILKRDVRSSWK MEASRIMAVLEAGHPLQTTVDGVMAALESGRSMPTATKAHLRALVTKVLTASQDMAQN GNEPREPVLRLLLTRLRGNILARLAAGSASEKVKAANNAGEKLASLGLSEFVDRVREI SNLLEKIGNVDRAAHGPWWDAVATKVEQDEMSA FPSE_04887 MTSTTPITAFAMHDTRTTGDRTPLHYTMKTPAQYSPAGQSHSNS ISHSRSNSYNLNHSHSHSHSHSHSHSQSLRPNKQPKTSTPLASPRMPYSVNQNHPPPK SSPRVVSDDRSPSPNYFGLIVESANEQGGGSSSGVPNDNWSPSSSVKSFQAAIPKQVP LDANPEFEAFRRQADFNRGKSFALSTSHYAQPSVTSSGVAPVRPRPPRWHTHGSDTGG PSPFGRGLSSANVRPASRMDVDQDSMQDSAYVSSDSNRNSESSFHHHVPALHLPRFES PLPMDPPQNRTSLTRSEDRDPRLSVMEHRPEPPDARDGQRSATLPATLEPGQPHMITG QQLKDMLRNVNKERLLLLDLRSSQNYAQSRIEGALNLCIPTTLLKRATFNIQKLKQTF QSSDDSDKFDTWNDTDYIIVYDAHASDKRDAITAQNMIKKFTNEGYTGGTCILKGGFN SFQQHFSDFVDNQSANGAPGKPGPGHGGIAPVIGGVMLPNASNDVNPFFSNIRQNMDL ADGVGQLDVSRPTALDSPSLPRWLREAAAQPDHGKKVSDKFLHIEVDEQSRMKAAYAA FNPHNQDKRSQVQLCGVEKGVKNRYKDILPFEHARVKLQEKPDGSCDYINASHIKASR SNKQYIATQGPLPATFEDFWSVIWQEDVRVVVMLTAETEGGQLKCHPYWKGRDFGAIR LKLLSEKKVSLDIDKHRSDSNHPSSTSASEAGRKRANTTTTLESSNQAPRGAQGAPAE APYVILRKFALSHASHPFAPIREITHLHFPSWPDFGTPAQPSHLLALVELANVMQRSA LPVETSQISKISALEPPAITWYDEPEVDSRARPMLVHCSAGCGRTGTFCTVDSVIDML KRQRQAKMDSVKARDYDGDVSMGENTDASPRSAKHSNFYTPGQRSNMERKAARGGAHI DTDWVHDDSVDLIQKTVEDFREQRLSMVQSLRQYVLCYETVLEWVTRMNERGSHAPNG RLRSGSLRN FPSE_09998 MALDEYYHNKIEAMKLEILKGQAALRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMSTKKILVKVHPEGKYVVDVSDSVDVAKLTPGKRVTLLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTACKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRKMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFELATAKILNKHDDKEVSLGKLWK FPSE_09997 MDPPRRENSLPGRHLGESSSGTASPADTRQQPAQTESAVSPITY GDNLPENFQSAETVRPRTEGYGSISGPAGSSAQQSKETSQERGLVRPAQSSRPSRGPE RMRSATRLRKPPMPRRPSSNTPYRGGVFSADDEVHEVEADAAVRQQSYRRRPGLPTQL SRVQSSPDDEDDNGRSDQPTETPTDAPQEDEEETPLEEHDDSDSDVSEAESFTLKDRQ QAINQTHPFGIRVWKPALYKKDRSIQKFAQADIHSAPGGRVNNWLLTFNLLWTLLFGW WLATLAALGAIVCLLFAAAPSGREYGRVLWGLAGYMFYPFGKFVRLEKDETYMHEDQD EGRSISEYEQWQSGDIEHGRLFFGPDSEHNRSIVGRSRRSIDSEPSETESLLGRGRRG EHVDTDMSHQHKRRLFGRGEWNVGRVIFFVFFYCLISPSLLFVSAICWFLVFWIPMGK TTFLLFDHLRRHPLALSFESDIRYIREDGGPSSSVLLCTYRAVGSRYWKYTVDGTNIF LINLMVVVIFVIFDWLVVEGVFHVEGFITSPAFLFCAGLLSIIPLAYFIGQAVASISA QSSMGVGAAINAFFATVVEVFLYCVALRQGKGQLVEGSIVGSIFAGILFLPGISMCFG AIKRKTQRFNARSAGVTSTMLLFAIVGAFGPTLFYQIYGTHELNCMDCEDYNTGENGR DCRRCYFSQAPSLSDRFYLEAVRPYCYMAATTLFFSYMIGLLFTLRTHAAVIWNAEVD EKRHEDHMHSSSMRTSQVHGHAHPHTHNPATAETSGADVRDSQLYKRILGQSLKQSGF GEELSRQNSTTGQSVGANGSASTLHVVPPKSSGGEPSHSALHVPGLSEADNKMLVREV AEIAATAATIASRERMTRKLSAVPANHGAGARPTPSRQHTHNDLAETEGPATEAHQAH GGHDAPNWSRAKSSIILLGATVLYAIIAEILVDTVDVVLESFAIDQKFLGITLFALVP NTTEFLNAISFAMNGNIALSMEIGSAYALQVCLLQIPALVFYSAFWPGVPEGGDPALY TFSLLFPQWDLVMTIICVFLLSYMYGEGKSNYFKGSILMLTYLVVITGYYFSGFTSDA MGMQRFDVMGADGNYQSYKTIGRSTRGVAFPA FPSE_09996 MPSLFKTLFAVAALLPSAFAALPTKAEGFASSTTGGGSAAAVYP KNAQELVSYLGDSQPRRIMLDRTISFLGTEGTASETGCAPWGTGSRCQLAINQNGWCN NYQPNAPKVNVKYDKAGILGIKVGSNKSLIGVGNKGVIRGKGIRIVGAKNVIIQNVHI TELNPQLVWGGDAITLDDTDNVWIDHVTTSLIGRQHIVLGNKACNRVTISNSKIDGTT SWSASCNTYHYWGLYFAGSNDLVTLKNNYIYRVSGRGPKVAGNTLLHAVNNFFHDVPD HAFEIDSGSALVEGNVFQNVKYPVNSQGIQGQLFGVPNGGSACAAALGRNCQVNGFGS SGTLGGTSTGFLNNFKGKTIASASDYNSAKSVMTSAGFGMA FPSE_09995 MASTQNQPRRTNNPASRGIVKKSMTKSKTLTLRRQKIPDRETKS QKCYLPFLPTELLEMIIEQDCLDHQDHWNLRRVSSRLFHMTDNAIFLGGDFRMFRYAL RHADTDMMNRCRELNPPPPDITWAYEDYRIEVLRGNSLNLRDPPPQSEDSLPQGPGHF LLEGFRAKHFSADKYIKAAEWLMNNGFKVFNPAEESIWNAGLNGYFISYRLLDVLTAA TSKKEQDDVCRIIEFLHANGYEFLLRSRVEDTGHYRTEAETLVHDKSFGAFRRCYDRP SLMLVMMKSGCPASILELYLEQLEADDMCLKGLASHHHEKKQNGNLHRFSRTEVAQLI DVYLDELFGLWTWRWETPEQMGDTLRDKIELLILYDGIDEEEEMLLRDILSALRRIET KNLKQGGLDYERDASWCWAQLFMSVTDIDRKRNRVLDQYDEYMTYSKPWKCLHEFFHT IHW FPSE_09994 MSLQQYTNWSRPAQPSPLANLPAEVLMEISELIGNPDGQQAAET LHNLSQLSGRLYAIVRPYFYRANNYRQFYHAVRLADIPMMNHCENYLAAPIDIMWRCA PGQHATALSNLLTGLEDDWGQSDDAPQASIHDKKLDNRFDALKWLLERGANGGDTVCH DRRMCQEHACDSVKRLGHTNAILLNHLQRGSSKHTMEVIVNMIKMLSSHGCLNPTRPD TFAGRRLPYIGHWQISSNMRAYHVESPLQLALKSHVVPSVLELMLSEYADQGIKLRDW YSACPRSLAKLARRERYRGSSTKWCKFTYINDFIWILHSDLHGEYTQWEEGYFGEVAD IFQAKLKLMIKYEMVNAQEEALLKSIESALYSITADCIQASCKDEEQSKRSWEKLCDA IKPFANDGNLSVRRSIWTPNPTGPGRIHKFVIDSMWNP FPSE_09993 MTSQQDTPTALTPTASTSRTSSTIITPAQSDSASVPASAAAAPS SPTPQAELIPAEDPGDNLDHDTFDVDSALGSDAASYLYPESIAASILEYRTIQGRTYH SDRHAADYFTPNDEQQLQSVDITHHYLTILLDNKLFLAPISPYVQTVLDVGTGDFADA YPSAQVVGSDLSPCQPEWVPPNVRFEIADATLEWPWKDDYFDFVHIRYLFGAIQDWSA LFREAYRCCAPGGWVQSCEADIHFYSDDGTTDNEPALKTWNDLYESGGIETGRPFFLQ REAMQERSITEAGFTDVRIFDYKLPVGGWPNNRKLAETGEYVRLTMENDLEGYTFYLW HHVLNWCREEYTQFLASMLKALANRRVHGYMMVRYVYARKP FPSE_07016 MPSIDPKTLVSTFSRALTSFQSSPDAFRILCTLPHTSNVPAPRR PGRPVQHLVVLDSSFNPPTLAHANMARIALRSEGHQRLMLLLSVNNADKAPKPASFPI RLSMMEAMGRELLDEGVEIDVAVTTMPFFHDKAKAITESGFYVAETGEQPTQTFLAGF DTIVRIFNPKYYNEGIQNALQPFFGRCKVRVTTRPDETWGGVEEQRAWLTRERVKDVG GDEAWVDRVEMVEGREGDEDVLVHVEGS FPSE_07015 MAISWKSFDFFDVAQITLAEDETRQLFEGNEISSVCAGSDSLFL GSFDGYVSIINKSWKVVKRFQAYEAGSITHMRQVERTSLLLTVAEDMSSEPVLKVWAL DKLVKKTNTPTCLSTVTINNNRRQFPISAFAATDDLTQIAVGFTNGAVTVIRGELVHD LGTKQRIVFESEEPVTGVELAWDETQKLTTLFVSTTSRILKLGLSKKGHGMPPKTVED AGCAVGCMTRDQNTDGVIIARDDAIYTYTQEGRGPPKAYESPKSKIDVYHEYVAVACP PASSTAKDSEAMRRRFGSTTANSLFNASSFVLLDMDLRVIGHTETLMSPVGHFVDIWG DFYTILQDGKVYRYHEKSLQQRLEMLYQRNMFPLAIELAQKSGLDNEQQSLIYRRFGD HLYQKADYDGAMVQYIRAIDTTEPSQVIRKYLDTQRIHNLIQYLEQLHDHRKATADHT TLLLNCYAKLKDINKLEKFIKSPGDLKFDLDTAIAMCRQGGYYEQAAYLAKKHGETDL VVDILIEDSKNYAEALDYVWRQDPDIAYPCLQKYARVLIENCPQDATKLFVDYYTGNY RPRRTLTVHHDIDTPASGGFAAGAASAVQNLSNLLPLPYMNTSSIASPGTVGNTKAVV SDSTIVVDNDDIPAPKYNPPPPRTAFSSFIDHPDEFIIFLEACLEEKYIKSSDRTDLY TTLFEMYLHKANERKGQHHKEEWEAKAKKLIEGEHVPMESSNVLLLSHLANFQDGTVL VKEQAKLLFDIFRSYTSAKDTRGAMKALRKYGPEEPQLYPAALAYLTSDPKVLEEAGP DELANVLNKIDRDGLMAPLQVIQTLVGQSSGGGVATMGMIKPYLHETITRERKEIASN RSRINTLRGDTEKRRDELADLGSKPAVFQATWCSDCSQRLDLPAVHFLCKHSFHQRCV RNGGNEGEAECPKCAAENDIIRKMREGQRERAGKHDLFKSDLENSDDRFSTLAEWFSR GVMDGQSAE FPSE_07014 MATTDAISPEQDRQGQRRRPFSTWVKKLTNFKSEAERQKRQKKR SHKKNNPYPESGQIGNGVTNGTSACTFTTTTGTSNTSANQSTRTSREDQGPPTIGSRS CAGTVLTDHEASRSLMAPSHRASSVAGTSRTIGGGVDSRRGGDSTFSSPAPSVRSLTT TLTTIQSMAPNGPGQPHHHTSQNQGITQSIQFSQPFPTTGAPASAIPAHLAPSGNPTT YTSATANNLLTDNASILTLASSSKRRRRRSLDTDASVRALAPSSLWGGSRESLPLSVL SANIDPMGMPPTPGIHGNPRLGTERTSIYSAAGVGPAISGDRNSYYAKQGDAASIRSG LLGHGRAESVSGSIGGLSSPLATPREVYNENVEYEKEEREITPMASRTKEG FPSE_07013 MTIRQLPQDVVDKIKSSVNITSLNGVVCGLIANSLDAGASKVNI SVDYARGNCTVEDNGSGITPEEFKDGGGLGKLHHTSKWPTRSSLHGKHGDFLASLATF SLLTITSHHERHISHNSITVHNSRVLARQLPTPPESQLVNFDHGTRTTIRDLFGTMPV RVKQRSIFSERSALDKEWTKLTQAVVGMLLAWPASITVSLRHAATQRELRFRPPEKLD ITSRTSRLLTQAGLADSSDVDAWVPISASCGPISVKGCICTNPVATRRSQFISLGITP ITNEFGTDVLYEEINRIFGNSSFGVIDGQEGDRGASPKLDEFTGRELRSRKGIERWPI FYLRITTSSSEGIANPDQLGSHGQTLSAILDLLKATCYGFLKKHQFRPRKVQLRPEES LFSTARTLGRSKKPKSSNSSRASSVSSTFRSRSVAARVDNPFEGWHRVKVGRATPLSS TSKATDVCTKTRERHSPDRLVGEGGKLLRKPFDISSPEPEDLPSGLSTAISTFGSDIR TVDSETSDSNTSSGTAGRVAQRRDKQPSKWLQGVINSWENPVFQSVQALIPCIDGSTT DQPYACGMNKHVKIGAESMDLKGKISRHALSSATVIAQVDRKFILVKLSLESVKPENS ILERQSSALVMLDQHAVDERCQLEELMLEYFTTDPLTNQVLPQIEPLDRPIIFEVPQE EWSLLEQHREYFAAWGIAYQTPPSAHRHKVVVNGLPPSIIERCRLEPRLLIELLRTEA WRSVDSSIPLVRPATAAPDKPLISRFNGCPRGAIMFNDILTIQQCEELIARLSRCAFP FQCAHGRPSMAPLVDLGNEGKFGGWKETEKQKKVSWKSWPVLYAMQNDVDSKNGRIPH PTNSNKVSSNANSTPQVPRKPPWPNGFMLKYALQRH FPSE_07012 MRSVKSLLLWSLASFSAPAAALAFPPLFHEARQAQDTSSLTKRA AAADKPKTPKYFVEAGRNIELGHYDGRYFQSKVSYEEHRFVLRDLIRSYLFTMNSFGV ETWIAHGTLLGWWWNGQIMPWDYDLDVQVSNGTLQWIGDNLNRTEHSWNYTDSASGEF ISKRYLLDINPHHVDIDRSDGRNIIDGRWIDMQNGMYVDITGLREREVDRPGIWSCKN KHRYKSQDLWPMRITEFEGVKARVPFNFNKILVDEYDTKSLVTESWAGHRWDHDNKIW IKENEEEAKQRKLGAVDRHLAEAAANPPPEETPAGPAA FPSE_07011 MDEAFLERLWAKLYAINTSPSRRGPLALDATTRALYERAAADPS SLCDQDRRNILERPSREEEESVCHDVCGLTASELIAKAVQDPDSLSYREVDLIMRGFK KHKGIEYMPWLDRISRYSPDDRDLWRKAEKAAKTKDELAAEEACWPKRLAWSDARNAA REAYGTGGYSIRRSLMSVPWQDHIINSSASTKTLAPSGFVVFYTKDQEIDRTAFKAQL KKSVSLGLHLFLLLPHEPIIRGFKLHDTPHDSSESLQSHFVTMRDAGGIPTGLRHDAF LYVDDEASQSLNSGRPFIWLWEPQEQSESQEQLGPVKVDIMYVAPLLLIRLTQRDMAL EGRQLEMWRCKPDLEGFHKAAAESTSLHSAERDGIWPPRTLAM FPSE_07010 MKETTKPELRNLMASENVSDVHSDSLGESLQQSSPSWLRRVGVS IPLLVLPIVYATLLAAMGYLHGKTQSSFGDAVLEILSVASTLWPILFAAVLGPVLKTV ALFQAERGLTLGSLEFLLTSQTTASALKNFVTMGWIGSWAIVVLTAWSLSPLGGQAAL RSLHRHQNPVSMQKPAAYYLGNNRSDIYQHYFDGTGGVNSASSPSLSLIYDMTTVLSA SFSTQDTLVSHANSSSPNYHDAIVGLGGKLEASRSGRRDLWRNIRIPFIELLPEYRSD DPHAWIPVPDDEIVPYASLIGLPIRNGSSEGPGNSTMKVHSRYMTLSCGKAFNGTEMV SNSSRALWLHDTSSKYALTGQFIDQASSNGLRNIWLDFPNNSVTAEHLDGPLPFAPRS KLQLIMGGSCWYQITAQESQRTPMIQACDISTSYIDMEVTCNRPAVDAGLVCQADRVR HAPSYPIKGNLTAFSNGESPKQILIELTYMGASQDPEESTVLETYLRDPPGLFRRSPG VYTNSDYRTTTDLGCFTAVPTEILERRLATALNTIIMSSYPLDVLVGGKGLVFEHWQN TTALWKEFERDIYVLSKPWFAMTMLSTVILMICAIANSIIRQRIKAPDFLDSITGLTR DSQFIDLPQIGSGRSGSDQLATIKNVQVRIGDIYPEREVGRIALTTELNSPELRWNRS YS FPSE_07009 MATSSDRDQEKGFDERSEMKDISGVGNTVHDAAGHGHTATDAYG NALVQFDPAAERRLRWKLDLYTVPTVAVLYLFCFIDRANIGNARIAGMGKDLDLQGYD YNKILSIFYISYIIFEIPATVTCKWMGPGWFLPLTSLLFGIVSVATAFCKTQGALCGV RFLLGIFEAGMLPGIAYYLSRWYKRSELTFRLSLYMVMAPLAGAFGGLLASAILKLNH FGGLHTWRMIFAIEGVITIGLSLLAFITLTDRPETARWLTQEEKELCIARVKSERLAQ TEVVDGIDRIKLWRGVSNPITLQIAFIFLCNNITVQGLAFFLPTIVGTIYPDYSVVQK QLHSVPPYAVGAVFALVFPAISWWVDRRQIFIIMSAPTVIIGYAMFLGSEAAGVRYGA CFLIASTCMVLGTMTNAHISANVVSDTARSSAIGLNVMFGNIGGLIATWSYLDKDKPN YPIGNSLNCAAGCLIFLISISGYLWMKWDNNRRDKKNVEQELAGLSPEEIANLDWKHP GHRWHN FPSE_07008 MAIIEGWLPPTRENYNLIFTVWQIAYPVIGSMQWLTKWYGMGKT SVSSCLNLPGRIGWMTMEAPGALTLMYLMKVLPGQHGIDDLPWQNKVLAGLFVIHYSY RAVMFPLLQPSMSPLHIAVWLLAFSFQMFNATCLGSWLAAYGPVTEEEWSSQSSILQF SAGILIFYLGLSGNFFHDEELRDIRRREMQRQERVKLEQNGNSNGKQSNGNDKGAEKH YQIPQAGLFRYVLFPHYLCEWVEWAGFWMAAGWGCGPARAFLVNELFSMFPRAVRGKR WYVERFGEDKVGKKWAVIPGVW FPSE_07007 MSNNPWAEGGNDNGWEDVGPRRNGQPASTTNPQTIPTILRPGGA FDNQDEQQAWGETRTQPGDQRNPQEAPGALKPDRTGTNPFLRKQIPQRQEEPPTDTFA RLGLDEPNTNPWQPAIDAQRTLLQPQAPAQPIPSLLDDNIPRDRWTPTPEPLPAGSPG MVSLLSDRGSSAWDEDPLGKNEKPPVPPMKLSEEITGDTSIWDDASGEKGKANATSEA RSGSPDDWNLIESEPATSPINEPSTEKPPLPPRQPTLPTDGSSWRPSRDPVDGKTETY QIKNIHWHDSSSSKNPRISPILIQNANGPCPLVALVNALTLTTPADIEDTALVQTLRS REQVSLNLLLDAVFDELMSPRRTSSEDALPDVGDLYAFLQSLHTGMNVNPRFIPTESI QEAYKRTSLTHLHPAERGDLIPGTFENTAEMGLYATFSIPLIHGWLPPKNEHAHDALE RQAASYEDVQNLLFREEELEQKLSDPDGGLSESEQQLYQDIIIIKEFLENSATQLTPW GIEVIGKAIRPGTFAILFRNDHFSTLYCHPQSMQLITLVTDAGFKSHDEIVWETLSDI NGGNTEYLSGDFRVVGSGGAGPSTSAGNYSSNDGGEWTTVQNRRGKAPDAGEAPMSPS AEQEDRDLALALQLQEEEEERHRAEEARRRRESMLSEQFIEQQALQPPNATRGNRGGR GGRGGGQPGRGAPRGGGVTRGAGGLVPSRNSSVSAPTTNTINAQPRIPTQRVRSLIPN QPPRPAVSRPADEAADDAPPSYEQSAHDRTYQPPMGHPSHPGSSPTISRQTTAASVNP SMPMGQRPFVPVGRRPGVGVNGAPQKERDCVVM FPSE_07006 MPSATASGADAGGSARSRDHKQGNQGRTFTPDQEAAVIRIRKCE PTAFYDVLNLETVKTTCTESEIKKAYRKQSLLTHPDKNGHPHADEAFKMVSRAFGILG DKEKREKFDKFGGDPDSRFASAQANNPFFNQRAGGGMGRGGPMFHDDLTPEEMFARFF GGGGGGFGGGGGPFGAFDTGPQFVFNFGGGPGIRVHQFGGGRPRTRPREAAGGARQEE GNAFQTLLGLLPIILFFILPIITSFFSGDAGTSAASNPRMVYDNPLHPYTQERKMPNL NTPYYVNPDEVAKYSQNKLNKLDRTAEHQLLRHLKGECENELMYQRRLEDAATGWFYQ DPDKMALAQSYSKPSCERLRNLGVKF FPSE_07005 MRFTDLLLASAGATLALAAPSTEKRAAGKFLFTGSNEAGGEFGE TQLPGKLGKDYIWPTTKSIDTLASTGMNTFRVGFRMERMTPSGITGALDETYFKGLES VVNHITSKGNFAVIDPHNYGRYNNQIIQSTADFGAWWSKVAKRFANNKNVIFDTNNEY HDMENSLVAGLNQAAIDAIRKAGATSQYIFVEGNSYTGAHSWVSSGNGEALKNLKDPQ NKIIYQMHQYLDSDNSGTHADCVSSTIGVERVKEATKWLKDNKKRGIIGETAAGPNTQ CIEALKGELQYLHDNSDVWTGWLYWAAGPWWGDYMYSMEPETGASYVKVLPEIKKFIG A FPSE_07004 MHATRFLLKRSVWKGPHLVPLPIVWPKSTSDKVPPVRTQARSAT ILPNFVGLKFEVHNGKDYHEVTITEDMVGHKLGEFAP FPSE_07003 MASDAPEKTAEQTPPAPEVPSEEAPPAPTVPESRLPTRKDVSLR EFLNKMDDYAPIIPDAVTNYYMTKAGLPPPPQTDPRLARLLALATQKFIADIAADAYQ YSRIRASSNTNNPMGSLGAAAGFPIPGQPTGQPGSKDQTKGAPLGIQRPGYGGGGQGG SQNRTVLTMEDLGMAVGEYGVNVKRSEFYR FPSE_07002 MPLNLFRVSADFSHLGSIFILLHKMVQLNSCSGISFKSQTLYML VYITRYLDLFSTDSIYNFIFKILFLGSQGYIIYLMTNAYKPTNDPNVDTFRVQYLLAG AAVLAVVFPYHYTFSEILWAFSIWLESVAILPQLFMLQRTGEAETITTHYLFALGSYR ALYIPNWIYRYFSETHHKVDTIAIVAGIIQTVLYSDFFYVYYTKVMKGKKFKLPV FPSE_07001 MLSYSCNPPASPPSGKPASWTWRCRHCNSTWRLAVTRRCLRCLK TKTVGGINMIGHSRTSLERRRHSIKKHRGTRPAENHDYDYWTVHNDWRRFRSAYNANP EEWKQQNKKDLAGLRGEKRRVMKAQIETKRRTEITQQRLERMMSNTHNCEIDCDYPSQ CHSERFEAFMNRPDDVIAGTMAMGIPVYGEDGEDVGKLPLCGLVPGFDQETTDPEDLD NEDEILAAYEDDEKDDWFATSQISPDSSDEDEGGQGEERDDEGEEGKDEDDNEDTADW FPSE_07000 MPGGKGKSSGGKSSGGKTSGTEGANKKQQSHSARAGLQFPCGRV KRFLKQNTQQKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAI RGDEELDTLIRATIAYGGVLPHINRALLLKVEQKKKAKALEG FPSE_06999 MRQAVAGMTANRDEMSSSEYQHSSFTTTSGNLEEVFLKSAPSVL SHLSPRKTLRPSTPCFSGCDISSLFSPTAFGGPASRPDVLRILQLSAAGKKPKLPSPH HRIHHTH FPSE_06998 MSQQPHDMSYLDYGASNNRSPNSRQNYATAGGFSAGLSLPRQAQ RPFDAPLGSSALYPADRIASGYNHRGMDNMAGGMQGYMLDNGQAWNYNTNGVATVNGA VNGPGRQRNVNRRAALPQTWTDHSGLGIHGSHGGMQGYQGGMNNSMSNGNLRVEHPGS HGSPTDLRSNTSDADQLIPTAIVIKNIPFAVRKETLASIMLEMHLPQPYAFNYHFDNG VFRGLAFANFQSAEDTRMVIEAMNGMDVHGRKLRVEYKKMLPEAERERIEREKRERRG QLEEQHRAPMLHQQSSIQSLGSLSQSQARGPSHLGMLDASRVQSPSISMPGDVDLNDP QTLEFYTELVMFRRDDSREVLVFPPGIAPEHRRSIHILAHNMGLEHQSMGEAESRQLT VLKRQQPSPTTNIHSQPATSLDMHKRGLSRAATFDFAADRETRAASSNYSHAMGRQGP TLELPGSPDGNSIPNNLRAAKSFADLRSFTPSPSQASSSYLAPAGMNNMGGGANARFN DYIGGTMSPSGHGSSGTPGPKNDQALLNSLNNMNLGYDSSAMQSQARSTPGAIGSQRP GNSSKGAPERQPRGPELEFNSGFAGRRANGHATRGSDCWGREWPMSVADLMTATDERV WDRISGYTMFSTHLGNQTWKVTNRLATRTAQTGIPSPVPRQDLRPLPDDYDAFTTLRL DSYTGFSSSGCVHRQRWEELPRRLQPTTIPTK FPSE_06997 MTSFISSYLPFGKPETAANMATPETNKQWTTGQDGLDKLQFGEG KVPQPKDGEVLVKIHAVGLNYRDTEVINGDYNHHASVQDTEALVPCSDMCGTVIQSSS PKLKTGTRVMSIFNQTHLTGQVVERDMASGLGLPLPGVLTEYRCFSADSLVTVPDYLS DEEAACLPIAAVTAWMCINGMRPLGQPADGGKKKESVLLQGTGGVAIAGLQIAKAAGL NVIITSSSDDKLERAKKDLGADTGINYRTHWEWQDPVMEATGGRGADIIFETGGARTL RKSFDSVAFGGLINCVGYLSGKEEEQDTGGKVGVNVLALRRNVTLKGILNGPKDRFEE MVSFYEKHAIHPVICKTFGFEEANKALEYLTSGQHFGKVVVKISKSG FPSE_06996 MVTASKNVVEPVFIVLAFTAGCLINRRKNTQVSYNESPEDVETG QRYIDSPTLKPALLQNERPARKAPNLFFVLLSRFFNTFPFLIEIWYWNLTYWIYQGLR AFSARTITGNKDIFDRARDHALQILRLESFFGLDIEHNFQTYMMSEQPWLMSILAKIY YSHISVGILFLIYIYTYLPPSTFQRIRRTIAVDNAIAFVIVTLWRCSPPRLLPPEYGF VDVLHSKASNFNVWNNNSFQLTIAAMPSLHFGTSLFFAVCICRFSPHRFMRILAPLWP AAMIVTIVATANHFLTDAFIGALVPLLGWRINQAILVLKPIQDFVFAPLVNRLDLIDS NVRTVPKTL FPSE_06995 MDNLDMPPAPTPPANNNASNTDRTANLLNLLKFSGSGGSQLQSQ AAQARAHGHNPQQQQQQQPVQQPQELQQQEHSPQQRHQQMSPDMHQFNQFQQHAQQGR GIIQSPLPAQIHQPSPSSADPTGLLAALMRGANEPEEQKHQQQQAHPQQPFGNGSPPA DTRSYLLNLLNRPKPSQGDQQHSILSEGSRSTNLTPQSPGNAPPETARYSGVFQQQYG QHQHSHSGQFSNHQHRQTDSYAGSHAPSNASDSYYPSQESQADASALFQQLLGNLAQS SPQSQSHGHAAQSPSGLPFQILKKDSPAASHHSHHSHHSHRHDHSVGAGSERAVYQTS PHQIRRKATRTASIQSHQSVKQGTPPVSEFEHHNSASKSTVAEAVEDIAEQADHDATE ALERAEYERAQAEIAQGLNEMRNAKSEKEFEDSVQHAAQAIQAELDRSDNEGVLEAAL SAEVAETVREIVDEAAQGVAESWESADQDEIVVIEEKETPPVKVFNFPMKPWISITLQ EEGTEPRPQFRDETIMDIARLKKDFDQIDRNLYTATENYMAYGMSKQGGLRVIRQEDG KDAKIFTDTKDRIFNVAMSVTPTDHEGAHREAIIGTGISGTVYWVQIRDGEKDHIEDT HMEQYGFALPPMSSQEGDAPGGVLKTRARTSTVHPEYFAVGRGKSINLIWPSYILQNN LFKPGHDRVVDTETLAKQCSLKINTGKAGKDFTFSQDDSVIVSLDKSGRVKFWDVRDL TAVKEGSDPRAPIPAQTSLEVKEPLMTLATTPEGEKAWPTSVLLLDKQRPYQKRCALR YMIVGMKQNHTLQLWDLALGKPVQEFNLPHSKESDAVCSVMYHSATGMIVIGHPTRNS IYFAHLSAPKYNLKSVSQAEYIQRLVAQDSSIPQPDSTAVISGVREYSFANRGILRSL DILGTPAMVQDLDEPTLFELYAMHSKGVACLLVKQTELGWSKDNKVLDAVDAVAQNVV TVSKLKAPQPAEAPTPASNGEPAVRVVNRGSNKENAAPTPGSQTESVQRGPEPAVQAK AKSEPKEAETPIQSAKESQSEKPERKSRKKKNAANQGDVATNGATPRAGNKDAKGANN TATPAVSSEALDAAISGLEGRLNLSVGETLKSSFKNLHGKIDDDIRVRDENFNQHQLK LLDMVSEVLNENTQKVLEALIHHQFTELVIPAIGDKAGAAVTDLLDNKLQPHLASCVQ KEIQSSLPHALNRSLRSGDFVKTMSERVGNNVATSVQQEVVATLTQRLTPAFTNIATQ ASQRIAGELHQQYHDQFEYMKSQHAADSVKIDQLTSHITHLSGIVETMAASQAALHAE FLKFKQQPVHELSGIPQNAGPGQPIPHHGYATSSHHQPQGSLTGSYHPSQAASQAQQF IGSPQYTRGSQHVNSPQASQQASDYVAPTASVGALAGAYASQRNQTEPEADHDLMQRI RIVEQAIQEGRYQDAMIQWIQSGREEEIFRRCLSRFPPKKFENMPLLILLVVIATISK NLKPNARLKEEVEWIEMALNAFAEALPTFEWDQSGARDIIKSTTSAMQLLIGRIQPLI GGIQDGFPTDPFLANLERAKLDWIVRTSERVLDICGAPRRYE FPSE_06994 MFCLRSWLPLLFIPTNASPAFIFLFFICTYFLNRPCVYCSILLL ILFLTSCNWSDRCFFDFSSNWFLPRPTTQILPSDEIAEATFNSTAFEMVNTTVAAIVK SASEDLEARRAEWSGLGIEWLRNLLGKREWRIDCMDIYIRL FPSE_06993 MPRRNTIRADDLDFEIHVDPSCLSDPMDDNENKAPEEPKVQDET TTNQETESLNTHIDKEDHDHSTAEAKVAPTTEATGEDKSTIIEQESDQSAIPEAEAKV EPASESDYESSDSDSEVESDHEAEPQVTLEKDTEEPIQEPLVEADEPTSKAEIDTLAP EPSLDDIEDKDDEEPTPVEDVTVAEDTTTEAPIEDTSSADISTGDISVQDATADDLDD DDEPVEEDKDKSAAEFEESIADIEERQFSETESEISGSRRVSSGSSGSSYSDRQSTRS EAIQEVARDIASQIDNHEKQESLDDYSEVDDDSYISHAKTISARQSNANMARSVKSGV DEGGENSSHHEHEDDVFSDRSPRSSVGSVSEGDHRKAQDAMHRMTRSPRVSGISGISG FSEYDREDEDFIPTIRGNPRPIFRSPSSVKAMQMSSPPGSTVGGSPRSGRRAPLPSSS RMSSPRFSEQYSPKRTPPRFKRSTPPLVLLHVTLLPLRWPWGEVLENADPEELSKECK TIKDAWRTLQNRMADTTVERGILLPHPQNDYEVLEERLLEALELPLRRRARILECGHY LGPSNMMTIEDSDSESDEDEEEDEEEAERRRESRRQSQPTHWCKTCKSDIHYESLGQG KIFRVKVYASNGLIRGGAWEACWKEMERVDVELEPLVDAVSHHELVQLEADQERDLAM REAEEEERYVRLEEERREFESRERGRVSTRRGEPHGPIEDLSMDESHAAEQSKSFDDL EKDIDEHLQEERERSLAASTGARSPDTSRMDEPSVSPKAEYQKRDNAPPASENHNGDA QRSFKSQHGSAKATKDSLPELLAESARVLMQDKKNILIGLLSILIVLLAIRGGPGSRQ SPDAFEFIRNEEVPTITVTQAMGLETINPIEEVTASVAAAVEEESGVESDLPEESVVV EDTPATEEEDVAEEDGAEEEQVKSIDDSSAPSAIGDAIPSLEAPSCSERIVRVVETVT SVETATVYAERPSIETQAAQVVNDEEAEEDQAENDEKVVEEQAQESVVAEAEVVSEDS EDSKDSEVEEASAEQESVEEAPPSEETILVSEEEEANTVEEVEEAEEADEAKETKEEQ DAQVTAPPAIDSFSDLQDETPSKSAAPKAWWFGKAAPEQTAGALEEEEKHEEL FPSE_06992 MDQLQRRICQTPANFRSLLPAITPRKKQRPGCFLLNLPVEVLEF INEYLPPISRAYIRLTCLAMYNSLKSPALSREEKLECLALIHRDRPDCWVAEEWPLVR AVVYSDLPTPPKGRPIFQDLPYFADEIYLFERNAVMVEHKHVQLAIKYSELDRRNLRQ AIYLRLLLEPFKTVFRPRFRPGPLWRAKETCSFQWYPKVVSGRYLTFTQRRFTNNWED KPIEMHRLTPFGSCMHQFTDPERFGHFLQRQRMYGSQMKRQSKQRQRFRLHPECSDPF FECLQDAYSMHGVWFDGACPWCLTEFSMSVQSGDLVVNTWRDLGGCDSPLNPDWRSHT YYVDTGTKDVIERCPWEIRSRYSVDERIGIWAKTMRMMTYVTSKVYIAMPSC FPSE_06991 MRNWGCRLCAASLLGAFWALGIEAADFKRYDGVHRIEKESQITQ AAGLGRRADEQCATSMKLCPASLNGGCCPENYDCAKESCQATTKGPSTCGTKIGWHVC DAVYGGGCCPDGYLCETADNCVPPSGSPYTYGCPSSQYLCPSSLSYGCCPNGLACGVN QCYPSDPVTVTSTMMITTTIQGERTTYRTTAIEVETPTVPTALPTVDAGDNNDQAVLK YFPSAIAKESPTSSSDKDNDDKGGLSTGALAVTAFIIIRHLNKVVAAVSTSKRSDRSH GSNAQPRPTREFKTADSEVDELSTNPLIHPSLIPPRPRNPGPDSAATSPFGPASADQS SNEPTPGANGYHAISTSANTSRHPSFDAMGNRDDYFAGVAAGDQQRVSQISRFTHSTR NRSSTDSHGTYTHVRNYSNASEGSDGTPGVMAGTQPTAELEATPYIPELPSSPSSVVF PRDERRRSSGSIPSAQSRPPVAQRNSSGPRIRSDSLGQSNLTSVTEEMHGFYGPSEHL VGQTDTHRPGTRGSNTQLQNPPKEHPGPVAEEP FPSE_09753 MADLEVVCCRTGCSYCKEKKKKCDEIRPACARCQERGQECVYEP VRPRQRRKRDSIAPCSPFDTNSSSGSDRATQTLDAQISSEWNDDANDRSADEDSDEAP ASTEAPTLNTSLVNRTASKHRVNSFDWSPTDLPLISPLDSVEFQLPPFDEPKFLVEHI ENEEEDVEEIVRRESISINIPTTTYAGTYAPAFANASPSLAMIAPVPTPSPRLEFCSP MFSEFSERTNRRALVDHFCNVLSHLIVFREEGGNPFQQLVLPLCHESQPVSNAVYALA SAHLEYRGVENAEKSVFFHNKAIQGLARLIQKGPSANRNELLAAIMLLVYYEVLVQKG RSNIVDGHLKGAMTIMSNNGTATDPTGVFLERAFRFYDVIAALSFGTAPLSSAPGTNY LAPFPPLDSGGATSPLNSVDTLLGMATSLWPIIHRLSNLLALKDQLDVAVANAEVSKV AVLRTEFETSATAIETALEDWHPVLPENSVLNQNPEELSPEQSTERSRLQSILSNALS YRHSAFVYLYRTIYSYPRRHPLVQRHAHISLSHCVGTVSNTGPMSALLWPLFVAACEA TTLSDRDLARQTFIAINRRQGMTNIDRAWTIVQEVWRRADKTDMMQQQEEAMMMGVRS GGDLWRRVSADMGVTIVFG FPSE_09752 MSTQTNTSDSVPPPPPPRPIRFVHNQGQPPSKRRRINAACLTCR KRKTRCAGERPVCSTCTKNGHRCLGYPEEIKREEIERLPLDRTGHDHDEHEHHNYHDE EIKVEKGPVQVQRTPDAPTPHVALEATMSLANMMDSKHDTTDTPSAPSHNSHHHSSVP AQNTPSPTAVRKSERHRVPYFRYFGPTAIVPGFKQMVVSVRDRRRSTAGSMAGTSPLS IHSGPQGSSSVVSEAIAEELPTYDPNDPAPVHPLIISLINTFFTQMGSSYPFLRQTKF LRMVKEKRVEPILVDSICALSARFSDSPSLTNGNDKMPRMERGLVFAQRARQATVDTF PCPTVGAVQACLLMAYEGFGAGQDSALWMYLGLAIRMAVDLGLQKEVGVQYHGEKDAL YSQNWGRQPVDEDSEAKAGESSHLNPQEQKELVQERMDTFWAVFVLDRVISSGTGRPV TFRDDDLELSIPEPFIDPATNWPAPYPIFLQIIHLYGRVCDVLNKVRNAQDLTKDTWD KLGEMEHELTRLYKNWDWRLQFNVSNFKAYLGTGQGTTFILLHFWFHALFIILHQPTL LTPFAELRTELQLLPDSRELSMSSAKTICDILSFADLIDPKSFIGNPFTCQPIYIAAC AFVMESSANASSSPSRASSPPGAQHTAPTGNKSRDAKSSRHSLLASAANQNYQKCFNS LQQIEMYWGGATYIITALDQRAKGIWDCETYTAEEYESTKVPRRGSNGALNNPFPKFE NKASPRLSGPPIAWTLAGTANSPNSSLTLMYQNRDSPAQTGSHTSQPQRAPSTPPGNM IFDPIRQSLPDSTNMLAPAYPQPNISAVRQSRPTVPRRTSNLSATSTQTRPHVQFDGV PEGSESHDFYTGPRFPATSQPTTGFDAYSVSPPTAIAENGVTPATTLSGANHMYFGHG QNNFPYTMPWVNSMGSMDGITFDSQDIDIAALGLQQSDMMGPWLDYFPSDVLGLLEQQ HPHMGQGGH FPSE_09751 MSTLFTLPIAQCGAHPGGTLTCTEPSPRVYLLTLVSPPDNRLTT PVLNAFLNALDIIEFGYPHGVVATTSGIQKFYSNGLDLEHAVATEGFWHLLYNVWNRF LTYPMPTVALMNGHAFAGGLMLATAQDYRLAPSPRGFLCLNELIFGAPLKPAMSALFR VKYSHATYRSLVLEAKRFSGEDAVAAGIADDIAPKGVEDLLRFIKEKELIDKSKSGVY GVLKMEMYAGLVEFMKGPSMEAHEQRFDDAQAMEGERKEFGKVWYEQWLKDVKAKL FPSE_09750 MAPDAKDKGSIVFFHPDLGIGGAERLVVDAAVGLQERGHRVVIF TNHCDPKHCFDECRDGTLDVRVRGHWLIPMSILSRLTILCAILRHVHLLIHIALTGEL HDLNPRAFIVDQLSAGLPLMRYIAPDSPILFYCHFPDLLLAQGRESALKRLYRRPFDW LEEWTMGFASAVAVNSGFTKGVVNQTWPNLKKRTDTKVVYPCVDTGVKEKEDAGNDGD IPFKGEKIILSINRFERKKDIGLAIKAFAAIPEAERKGCRLILAGGYDPRVSENVQYH AELEALASSHGLEHLTTKTLITALSAPTSVPVLFLLSIPNSLKATLLRSARVLLYTPK NEHFGIVPLEAMLARTPVLAANSGGPVETIVDGETGWLRSPDAVDEWAKVVRLALELG DDQIKSMGDRSEARVKDMFGRAQMASRFDEILDDIVEKKASSSIRTVVNIVAVFGLGL LGFVASALIARMGKQAEPSEFSV FPSE_09749 MDSSQYYHNNHHHNSHRHHHKSLSQQQSSASLSSTNRGTPPLHT YLPSSASMPMPLRTANSTPMSSPGLFSPSASRQNLVTSVSENNTPPNYAQSPLLHPLQ MHKVRETHKALIDSDTATGRKLINQYEVIEEIGRGMHGKVKLARNLETGENVAIKIIP RFSKKRRLGKVTAKSPQDKTKKEIAILKKIRHPNVVALLEVIDDPELKKIYMVLEHVE LGEVVWRKKGLPHICLYERRRIEREMRGELPTPEEDRYEQLLEHRQAVKTLKRAKMAQ KYPGQMNWSFENGGADEPGSSLGSRMSSMQDFAMSGSPPSRPTSRQTSREESRRHSRS RSIVSSTRAVEDPDEFINWEDDVETPLGLRSNPTSSTALDGTMYGPYVDEGFRGRSPS MADSIISHMSSLDFNPQQLDPFTDDFSYVPCFTFDQARSTFRDTVLGLEYLHYQGVVH RDIKPANLLWSKEHRVKISDFGVSYFGRPIRDGEFDDTVSESEAKDFDDDLELAKTVG TPAFFAPELCYTDLDTEQPRVSEQIDVWSLGVTLYCLIYARIPFLAEDEFQMFRKIAT EEVYIPKRRLMPVHPSTSPAATSLYKRQNTHPYRDDNDLVYEEVDNLLIDLLRQMLTK NPEKRIRLRDIKRHPWVVHDMANPIGWLDDTDPARPSSGRKIQVDEREMSSAVVPLTF LERARSVVKKAVGKVMHPLVERSDSKTRHRANSSAASSAGDSVSMCNNGPPTPGQQYR DHRRKSLRPDDYFANAMRDSAPEHPLSVSTTVTPQPESVIYDPLATVLPEAGVSRGHV HAHSESESYREPEKSISAASLWPFHRHAHSQGYAKTTYHFLHFGPTAPISQTTPTTPS FASHADSQEDSGAETIRKTFDMDPMDSMDESSRSKSVDRGLFSTSDKRAEARVGVNTT VVPGNVQIPSRHGRQPVKSVDMGKMSHQRRLEASLLSSSLAGAAAGHRHSHSDTTYAT DAHTVTKTRPRSLQRMDSAPITRTSPTRYSEELCMRRCDRPHSEHISFSCPPSPIEEE WDRDEPLPRAETMPTTKSSSVDSMEALATTPSTSPSEVTSPVSALPSTASTSERMLAF QSDPSLPALLSGASSVSADMEAELLCRPGIVSAHPQLLETTDSLTPPAFDKEPNGFPI NQIYGNHSAMDSGSLAVHLEGGARDSITSTPVARPVEDEYEYDDGSDDEDILVMAPKK KPTQSTQRPAAGYPPKRRDTNISIASTETAKKVPTSTYGDEGTSPYEP FPSE_07455 MMLLKRPLQNSTPRSISRLIPSLSTIPRRQFTDAASHSSNKIQV YTSKSRDPFLNLSVEHYLLQKTPPESTILFLYTNDPCIVFGRNQNPWMEVNLPRLDKF RNDPASVGWTGGPVQLVRRRSGGGAVFHDEGNVNFSVICPPAVFDRNKHAEMVVRALS SLGKPNTRVNERHDIVMDIPNDPIGTYKISGSAYKLTRLRSLHHGTCLLRSPNLKNIS GMLRSPAEPFIKTRGVDSVRSPVRNVGIENAAFEGAVLEEFARMYGDAQVREEISDKV RELDVISKGYDELQSRDWIYGQTPRFTFSSYPYTEDPRERPQLEFDTKLRFDARHGVV EKFTAQGASSATEQGDLSALASSSIYDVSSWTAQLSQAGISDREAVKVGSWMDNVLGV DFTKPTQ FPSE_07454 MSSFGQFFRVTTAGESHGKSVSCIIDNCPPNLGLTEADIQPQLN RRRPGQSAITTPRNEKDRVTIHSGTEDGVTLGTPILLTVPNEDQRPKDYGNKTIDLYP RPSHADWTYLEKYGTKASSGGGRSSARETIARVAAGAVAEKWLKEAYGIEIVAFVTSV GNIKLFGDSDSMSADPAFLSLAERITRQEVDDNLPVRCPDDAYGRAMEARIAELRDAH DSTGGTVTCVIRNAPSGLGEPCFDKLQATLAHAMMSIPAVKAFELGSGFQGAEMTGSK HNDPFVPAPALDAASEKTGIPRSKLQTKTNHSGGIQGGISNGMPIFFKVGFKPPATIS QDQTTARYDGQGEGILAAKGRHDPCVVPRAVPIVEGMAALVIADAIMAQHARQMATDI IKR FPSE_07453 MATAVEAVDPMSSLQRSVSQHSSASYASHASHVSRTSNRSNSRS TSLRCPRGRKRPSQPVSSASSVAASDKSLTSFPSFSPDERPDNDGFDFHDSPADDTPV PQPAVPETGRSSIVDLTGGSDVRSALFEEDHPVRKVPGSLHNADDEHLQRLISRHGAV GLIRQIAEDLAQRDAQISNMRRRADERERALRKIIRECGLSNLDLETRLRTVEAELRA AGKGLRREDTGLSDLMSDAMQDTVGVTAYGETIAKSSTIRATSQSANTSSENGKGTLK GWKDYLWGSGTAKRASRTGSVNSEVNPATVIRSHSSMDRRPTLQDDLFNPPDSQPVRS PSRASSIQSGATSERKASSSIASMALRLVAGGAISTREAESRGRAASNTSVKGGSLRG VSTTSSRAGQTRATSVAGGPKALMAMRRATPGPSAQGSGRSQEQDSWGSMRGSPPDNL SSRQESYGPVEMDAIRSPESQPPTLTHIYNNFPNSEYLTDRFGFIYDQRRKKRQREAA QVARHIRKGSRTTEMLANGRAGISPNVLDDVPSPKGSISSEGRPESLNSMEDRNAEEG KTKRWTDYLKIATFPTELLSHTPSIAIPSIEVMEGAQTPEPELHPTEPVKPAIVSTNS GLIPSASTTTAVDSETSQPSQSESSIALTKDDTEPVKLLLQQLSDVHDALQRDKTVRW NDFLRKVRAERKREGDAAIAAAKSAADARYEQPAMILPETRVADGEMIGVSGLGVKGK VGRAKWNEFKTLVLGGIPVAYRAKVWSECSGATALRVPGYYEDLVAHSGEDDDAVVVS QIQMDINRTLTDNIFFRKGPGVKKLNEVLLAYSRRNRDVGYCQGMNLITANLLLIMPS AEDAFWILTSIIENILPHGYYDHSLMASRADQQVLRQYVAQVLPKLSAHLDSLFIELE ALTFQWFLSVFTDCLSAEALFRVWDVVLCTNDGSTFLFQVALALLKLNEGNLLQCSTP AGIYTYISHQMTEHAISIDGLLQASEGLRKVVRREDVEQRREKAIQAEKDMMMARDGD SARSKPTAETESETGEE FPSE_07452 MEHAWLDSLSEDWVSQPGSDSSAIQLPPLSNTPDPKAKLRGTPS RIPRRTIGTRPQFSTAHDSSFNILGERTPNGINIASHRNKQPSEDFKLARGTVASRSA SGSTSASVVHNTIQHKSSPGRQDDTPEWKRRLVYGDVEYGEQRDLFCSAATGLQDMFK PPTPGGDNDGEQRQESSVMPSSPPSYPQRIVSDDLEPDLDPLDELDEFDDQEELYPND VTPSPSPRRSQREIQYRLNVEDSMFSAHSPQDEDTPSRPRDQIFRDPSCLSAPSNAND SARKVSGQSVVRNEDFSPILIGKQSDKDGNMDFAPIELPADKLKNKLEALRINQMLLD PNVDPRTGFDGVSPGPSDIAENTEEYGTRGGFLNTQRGGRSGDGSFRFRDLSPGFNVD TSGMLAEESLQASTPKQFPSIRTNTTNPYQSDYFNTSPTVPRAPFPSPDKQHVSSGRS APSAGSPLKLFGPYDTFTNQTLLRRISQFEEGLSGERSGHSLGPHDQFNSTIHENYEP MSSPSLPALAPNPVGRNFFSRFGLGDLEGYEFSEEISYATQGDVTAYSDKENDAPQSS APQSPASQQPEQPSSPDERSELLVSRSRNKSTSSATSKHHKTASGSSQVRSKALGPAK GALGNGNISKRDSGSEGKRPRTSPSKDPTPKRRRTLHRADIAFGRELLEGVEAASRDM QSAMGKKRKDARPGEYQLAHPSVLAMRTILQPQSPTHSRRSSLRGDPNRTFDSEGDDI NDMSTHTPGASQQGMLDATTADQSEVDRKPSIMTQDFVNQAAQIMAMIRNQVRQPGLS SVEESEAENVTPEAEDADDSYQESTNEPLSRPPSREGKPMSRMPPFQEDPELMKRLKK YQEISDMGDLITLSMRSMGLVKEAILADKEIERQLEGSQRSQPDSAKGEVISDPPNIR LTAGPSHDGSYGSPTRSHSSGGDTHRSYPTTSSHASETRRTIMPESVSHLIPDRVGSM YLDKQNNIWIKRKEAPARQPMNILPSDSDDDPFASIPDLSVDLTREMQNLVINSEKKH SAARGTTPPTSPTKSSKYRSARGYMTLSPNGHLSPDMASLAREEFEKLDARVLEDSEL YLDQSMEDEGSFNDDRASSTPSMSAKRRNLTITFSSPVASIIQDVVLAEDLDNLEDDP ELPTMHQNSSPPKKSPGSASVAKSALKNNGQSRHHHTSSRSGPAFVPRPVSRIDEQDE DSTIEMPFDDQRQVSIIGDTSVVSHKTPDARRTSLSFVVNRTPGNNFFQPTPDDSVLI GQNVGKLSLSPLSEFTFNNADSSFGFEVSYVMGRRHAATGKGSKKVMSMTIRDLVDKL SEVEPYEPYWEDITELDLHEKRLASLHMLDQFCGKLVTLDASKNALGHLDGVPSSVRQ LKVSQNMLTELTSWDHLMNLQYVDISGNEVKSLSALRGLVHLRSIRADNNKLTSLDGL DTHDGLLNLRARDNLIEEVDFAMVKMERLTELDLAGNQISSIRNLEHAPVLGRLKLSK NKLTRFTVASCIKAIRQLDLSDNQLARLDISNMPNLHTLHVDRNRITELTGISRARKL DSLSLREQRADQALDLGFLSSACEIRKLFLSGNYLGTFEPAVDFLNLQLLELANCGVQ ALPGNLGQLMPNLRTLNLNFNAIRDLEPLRFIPRLKKLLVAGNRLADSTAVTELLIEF PHITQLDLRDNPVTLGFYAPMQLLVPTDRNGYVDPFMLPDADVERDALFSSRLDEMTK LRRRLHQIVFAASCKRLRMLDGLGLDRETVLAKDAVFQTLVAEGLLPDETLVGEGSSP CKEATEGNETIRSSRWNAEDSFA FPSE_07451 MAPQRDDPLADELALGWGEDTIYCEATCDPDDVLYEGSEDENYD SPASRKLRYEAAGQRFLDGKTPFILTAGLKGPFESANWLNPWRSKQRTGAPVIIRTSP GKLARSAKVKRNLSMPETLPPHDSLECHLPSPESLNQTSETETHPYLEDDELVKVQQW RDTVKSEEGLKDEFWSSNTQTSASERKRKANGSSWLKLLANKRQRKDIMESGSVDTPI RNRPQIPTPTTPTMNKSTTSAPDHLPSSTVATGRCFSQLRDDPDISEDELSRDDTASD HTSADSSSPLSQLPYTPVLDPEVSFLSQRTLQQQTPSKAPVSQQRPISEQPAAAPLSA ILNKNVTGPAFETQEDESFCFKMRPRLDPVPKTTSDEDEVMAEDNEDSWSGLSSHDQY MDSIASISDLQDGRPVEDRIHPQILDAPSCDATNPLLSTSSEKLNEVEHPQESTDSTT DSSSSANSSVISDSGLSEESHCNSTAAVALELVNEPEQDNIAQDVDVDMQEAPENEKD LTIAEHLEASDTESGDESEDEDESDRASEKNTLDIKSPSALSNNKTPQISTVDQELKT TSSATGLLKPGSCNHPSATATPPEESQPSGGIAAPSGQATSPVIVQEKETEVISTPKA INTRLDFNSSQPWNHDTSPTIVITPVDEHTKQQLDYSASKEIAPVPFSQQSPWATNKL SPGLLHTTDSGSGQSQTNIAVVPASPEEQSPWTGKVADLPVDLFQQTPVVGKKDREVL HESTTPAIGAQANAHMQKEYIPATPVMALTPEPQFSVKSFASFGSNERSSKTKRAIWR ESGGLPSTQAILASAIKNPWETKSSERRVSFAPLPNWRTSESSMPAMPCPSLTKRQGS PPPDTPISELPTSDGDRFQKHFNAVSQGTIIRRNQRLLPSESQCTVGSPLPDAMANAF IASDQLRQPVTSTDPAKSDLETEESQDPFDMVADIMLEQFDPVGSTSLTIRSPILSQR HQSPW FPSE_11513 MSSPHRLSENAAHAVPVSEPESESVPCALSDTSVAGTGALTDSR VLAGPNHEYDQVDDTDTHQGHRCGQPPAVAVAVAVADPIADSMTATTHTSPFLPPPSA DKVPNNVPDSDPHPDPEHVPVPDFDPPSDSHYLPHGDANARDTLDQTDTVPIASASAP SNKKARGPGPIPRQSGPSLLTQALASARGITNLKQSKSFSPSTISPSTSSSTTTLTTT SIPGVRQSNPNTTATPSATISTSTSPTAQSSLYSLTGPPTAPATLASQHDRDSASYPF ESVQHNVPAQRTAPRPLTRATSESINMGTSTTTTTMTSLAPREAASVPSSFNHSTLTT LRGALDHREMNGSRGKTSTSLDLERQSADSYHLPITTYTAALPDRQAQFETCSPTKTP APTRTEDARNIQARPVPWGKLPPHWTSNGNEKTEKIWSIGSAEGHEEDGLVEKSVTEA MAGLEHNARSRKSSYSLRFFKEGLPPEDKLRRKDNKTPKEKLPPLEEGLQNSSREAPQ SATSDKDSTPTRPPPEDREPSFLLSDPLDEHPIISTSPSDGYFSLPVSNSLESDEVTP LQVQPSSQVKDAQEQTRLVEPACSVGPTPAADAEVTREPELVDERRDSADSSHTEVGS REDGEADESGEEKISSAVFLPHQEVQKPGVSEPQDRIAARSIRVRSLSQSNQRPWLVK ADEPEPEAIDETDEPPYGISRHPSREALTLTRGDLVPARGEEGAVIEEEITVTSDSLK QPQIVSQYEDHVHDYQHDPQEPLEAIELIPYKHQVGGHTTLWRFSRRAVCKQLNNREN EFYETIERYHRDLLPFLPRYIGVLNVTFQKQARRKSTSKKDDAAAAERKKAQEKLEAC RHELEQSEEQAKPERPTGRVISQSLANSNIPVPTVTFDDNRHILPRNLLQPMPLPREY RRQSISTSTLSNRCVPSSGTSGRPTLDERPNSWGATMVNKRLRNEVFNDAFLKEPVKI HRHRRPHQRSIPRPTLQRLLRSTNSDPALIKSDSPFMDEHDANAHCHSERKISHHYSH SDLGPGMDGMDEFVQSEPEQAPEEVKDVTGTSAPEPETLKDNPLAAKKKRRYSAGGLR RKPEDVREPRGDLKYFEEADDAGYKGDNEDKPRRHSKAHHEGHHASEANGTHHHSQYI EQLKPEHEFAIESTEPAPALDDASEFTKIPRPVNPKEAKTQKDRVEYFLLLEDLTSGM KRPCMMDLKMGTRQYGVEASPKKQKSQTEKCRNTTSAELGVRICGLQVWNAEKQTYDF QDKYYGRKLKVGNEFQGALQKFLYDGQDLHSILRHIPVVLKKLGQLEQIVSKLGGYRF YAASLLMFYDGDTSEDEDYETMYESTTDCATDTEEMPRKKAKSKREIDFKIADFANSV TPFDNIDDKPCPPQHPGQPDGGFLKGLRSLRRYFLQIQRDVRQELGLDPYGRFSNHMD YADFDAEHGVASL FPSE_11512 MGAVISSIKSTTSTISQGLKTILTLQQQFSAALVRASSEPGLPV PNPTSSYWLDDPPFPALCDIQDDQLPQEADVVIVGSGITGAAVAKSLLELSDSELRVV VCEARQICSGATGRNGGHVKSSPYSEFAMFRSRLGPEVASRVVRFKRSHLDMMKQVGE QIKHGNVREVETVDLFFGEEDFASAKKQVEEAKEWMPEEVHKIWEAEEARKQFGVNEL VVGALSYSAGALWPYRLVTGIWNNLLERFPSLSINTHTPVETVTRPSDGLYTVKTSRG TIKARHVVHTTNAHAGQLVPKLRGSLCGAIAHMSAQRPGDSFPSSHGNRSWSPIYSPG FDYVTQQPDKPDGTAGDLMVGGGFFRSRHEGIDQIGLWDDSKTHALPSIHVRGVMPTI FEPQWGDGSKLVKSWTGIIGFTGDLMPFVGRAPKSPVKKDSGEWLAAGFCGEGMVWAW LCGTALAVMVLGKEGENLDKGVGRPGGKLEEWFPKELLSVDDARLRRADIANLADAVD EL FPSE_11511 MSAPNMLSEERIDSWRQRVPSRLDRDDPFKGDGFDERPSTRLTF REVSPPPRRPHTRLGFLRAATPLFGRSSTPSSRPASGMTERRAESNRTRLLTLLSRKR KRKEDEVEIPREPSDAFARPLYETYVSDRVYHKQPLTYIEWDAIFLCFDISDKMSMYT IVQWWNNASNHGFAKSATFEPLLYLVGLKKDIRDQCFLEDHRTDSAANLSSDLIVYPT CCVSPSEASWQAMRIGAQKYIECSAATGEGMKDVIDGTGRDAMRKIVGEEWLEDEVVA SKKKRRFL FPSE_11510 MPLEIVTSPLRSWENSIAILSGRSATRPLAWPEPELSTGRCIGK VGKELCWVAKGPARDAFAALAPKIKAYLERSIEPVSSWVTWSIYMFGKSEKSASPAIL FCCEVVAHRKQVRSAIKDSGILDEFPGIKTAHMPRPPDFNQLVQLADDSMTLPFDHRP VLAALTSNPCGMPLFVEQSIDGGTCYNKATVGGIIQLSDKFYYTTAGHVLSPDMPMSY MEGEASDDEFEIDEDDDEVDEVASTAYEKTWLAQDNDPAMSPQYVNPTESKMLQPLIL SNIQGMQAAREESKGNDRRVLEEGPSLDIVPPPQRLGHVYLSSLDEPPSGLDYALIEV TKPIHCTANKIASSSFSTNGEAKIQQVVTDGPKDVKILCSTSRGTLTGVMSGTPLYAR LPNSSVYQDVYNVLLDSRLEAGDCGSWIIDAESGNLYGHIVAGSPDSGAAIVIPFARI FEDIEARVKHHPYLPLIGAASLYNDDEKLDLATHLQHTKSGTASSSKSPSKYSQEWMR DLGSQFKSQWRTKLLDELKRSRTGRRATIQQVVSSTEDESTPPYSPPMEEESVPPYTG TDTGKGLLLRSQNRKLLLTPLFPQLPNANDRESQEFRRLLVSLSLIPTKYENPGLLDE ALASIPLEKLYSDAEEERMLYQAQAESYGDGRRPRWGYSDCIIRAMLRWFKDSFFTFV TNPACPICWSPTINIGFTAPTPEESACGAHRVELYRCLENDCLAYERFPRYADVWKLL QTRRGRVGEWANCFTMFCRAMGARARYVWNSEDHAWTEVYSEHKKRWVHIDPCEGLFD RPTLYTQGWKKKMAYAIAFSVEGTTDVTRRYIRKAEHWAERDRCPEAVLLYVMDEIKS LRRQDISKEEKIRLEEEDRREQRELNAYVVSSVTDDFIAKGLTHDEDSSRSLKSSGET SRHSQKQRSGGVEEGPLVIP FPSE_11509 MIETEIMVDKTELDSSLLDLPLPQLTKTTITGEYWASQHQAQRL NSVDIDLQSFWTYYNKECVRALHNGGRYVALRSHRDVVDCVHKLKSGMLRHGIKEELR EKLTAPHDNEDEMLDNSIDLAASLLLMMSFCSFAYGFSGRSHLRWSHGSLESFVAAYF DPGAAHLAKENVKMEKIFTARNLCRIAGLDIVWTDNLVDHLRLTDDDRRVHIFHHASF LHVQQQRFTILLEYLMSEYADLFWKCGVPTTQRSRG FPSE_11508 MEPFHILAALTGVAFAFGTIFCLSSNHANVWLTAFLFCNLILVF VTVRHIQLQENRRNFKRSKQASHNQRINLHEYYLFVLGSGGHTKEMLMMMDDGYCSFE TFHRRYLISSGDTMSQNHVVDYEADLKELCTKNGTQTGSYDTVTVTRARKVHQSLLTT PYTALLSILDIFPALMNPPANVVGARMRYPTCIFTNGPATGFFVGLAAHLLKLLYVVP ESSMHIIYIESWARITTLSLTGKLFYYTGIADVLVQHKEVAGKYSVEYCGELVFNARR ET FPSE_11507 MGGRQIRPARVFQTVTEELNHNILGKKSVPTPPWYNIMQKVPPA ETLVRNVTPLITPSRTNTTKIKSIFRPLPIKYLEDELRDIFYRDHPWELARPRVILEL DGKDYQHCNWSKGLKQPNTPLTGERQYWMWLLENGKETVGKGRRKKEIEWTRVRAYDH VRRQFYRLRQEEQIEKRVAEEEARYVGAYFGQTRIDVSHGLEDREFENWKLWAGKETE RQEQNRNGEIDDFGLEDEAEEVIEDAEAAPEVKAEAAEGKKSP FPSE_11506 MPPINQRFHTQYAHDPRHLKALVPQEDSTTVEEHLEYYQDPYQR GYAQPDGQKLQVSENRRDVEYPTTEETFRADDETKKLIAKLCNAVSYKLRHPYRTTLE PIYNMYLELPQPRMLHLTWQWRNRLLKVMGMPPKRNSESMLRYFALVADVKSAGLTLR RAQWNYALAFATKYSARATSNELDAALRLWKEMEKSANVKGNEVTFNILFDVAAKAGN HVLADMIYKEMENRGFQYNRYHHVSLIHYFGLKLDSSAIRAAYKDMVEAGEMIDTVVL NCVISGLLRCGEESAAELTYQRMKAGHEMAPNIPDRDYGMGRVVTKVLMMFTRIGRKH PELQKPLQTQMQLSPNLHTYKLFVEHYSVKVGDLAKVAQYLDEMKFLNIPIHATIFLA LLKGFYSHGGYSGSQWSEQRLEGVLKAMYQARDESIRGFTIDTWLVIWALRAAKKCGS DEMVVQAYDEMAQRWDIPSNRHPFIQSMLQSILDGVDMKSPTGRWDGPSYRRYKKDGT RL FPSE_11505 MLSRAATRTTTSLVTKRGFQTTRARLSSPYHYPEGAYSNIPFNP RSKWFGVGYWTFMATGFFAPFGIAVWQTYKPQ FPSE_11504 MDELFDVFEGNVEAPSASEDESRQNRKGDKTKKRKANAINGKHG DAEKSEDVDMDNNSTEESKDEASSDDESSEESASQQDTKRRKKEDGVGPVMTDTFQTA ESREVAGAATFTEQDSSLVLSHNIQHQVALPPDLDYEYVPLSEHKAPEQPARTWNFKL DPFQSLSVASIEREESILVSAHTSAGKTVVAEYAIAQCLKRNQRVIYTSPIKALSNQK YRDFEAIFGDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEIMREVAWVVFDEIHY MRDKTRGVVWEETIIMLPDKVRYVFLSATIPNAFQFAEWIAKIHHQACHVVYTDFRPT PLQNYFFPAGGSGARLIVDEKSNFNEQNFNKVMQEVEEKKGADPNDPNARQKGKGKNK KTNKGGADNGSDIAKIIRMTIKKKFNPVIVFNFSKRECENMAMNISSLSFNDDSEKAM VRKVFHNAIESLSEQDRELPQIINLLPLLERGIGVHHSGLLPILKETIEILFQESLIK VLFATETFSIGLNMPAKTVVFTQVTKWDGVKRRPLTSSEYIQMAGRAGRRGLDARGIV IMMIDDKLEPDTAKEIVTGHQDRLNSAFYLGYNMILNLLRIEAISPEFMLERCFHQFQ NAASVPSLEKELMSLQQERDSTTIADESTVKDYYQIRQQLSAYTRDMRTVIQHPNYSI SYLQPGRLVQIYNPKDENESIAGNGTDFGWGVIVNQTPRRAPKLNEPEYIPQEAHVID VLLPISRSSADFHPGHPAEEMPPGIKPCNDDDDFKFAVVPCLLTCIKAISQIRLFLPK EGLKSDSDKDTLTKSLMEVKRRFPDGLPVLDPIENMEITDDSFKKLLRKIEVLESRLL ANPLHLSPLLPSLWDQYHAKVKLTDKVKETKKSIAKAYSIAQMDELKSRKRVLRRLGF INDAEVVQLKARVACEVSSTEGHELLLSELLFDRFFNELTPEMCAAVMSCFIFDEKVE APALKEELQKPYREIQAKARIIAKVSQECKLDVNEEEYAQKLKWQLMETVYTWAQGRP FVEICKMTNVYEGSLIRLFRRLEELLRQMAQAAKVMGNEDLTKKFEESLSKIRRDIVA AQSLYL FPSE_11503 MTSQASSSHYPLHLTIRFSTSQPDLELDISSPQTTTVLALKHLL RTRLSSRSRLRLIYQGRLLPDASALSSVLKTPPPPPRNLDDPKGKGKAVEGANVTRVY VNCSIGDELTSEELEKEEEEALKPPQDVNGDDSKPTKSTRPRPRGFDRLLQSGITPSE IATLRTQFNSIHTAGFTPDAMPSPDSLRNMEDAWIDNNADGLPSGSNPLEDENAGMAS VMDVLIKAMIVGFFFPLGSLTWLLRQDGIWSKRWQIFVGFGVVLSLCIGFVMELSGDR P FPSE_11502 MPRLRGTKYLTCFYCGKRSNTKYDGITRQFLCLSCDATNYLDHN GEITDPPVATDREAPATLYANPRPSSPSLPQDSIFCKTCLKNQRLFTSSLAQYLPDDP NHPEYAELERNYYRYRKGLEERYPQVCDECAVRVDGQIKRAGYTAKTDHLRRMMEKSR GRKSTSDRSSALNWLDSLGRALWWGGLTMQMFWHLRAVTFALQHQDVGMYDPDDQSWS VMAVTGLSRAVDFLPPADLLINTAVLASILSAWWNPQFVQVVRGFSRHLLGFTQWYSF QGLIVFFRFLFRRVLEMDGGHAQSRNAQLSAHLVMSAVMVMIYSSAKRSIKVDTTPLF GSSADTAFSPKQPKLTRVKREEPKTFSDLLNEALDSPTQAPQTDRSQRSYASSFSPQR YVPSSPLRPAESPFNRFNSLNVTPQRTQEVGASDEMDWTPTESQIKPQSQFRAFQDSP ITNGPRRPFGESPVNNNPSPFWYKVPVAPTTPAQRLRNPPNAPIIRSKPVEQESIFFR GAARKKDENEEDEREVAFKPPSFFAPQESHDEANGLADLLSQSFSLGQEYSESDQGSA TRPSSSHAPGTPQFERLGVEFITIVVLLVLWGLTAAFPLPFGREVQLALLSAAGIIAL RVTGEAGQEAGDRRAPSAATYVSSALSVFELTAVCWLGWETWQGNTEGGKYGVGVLTA MLGHQVWSSSI FPSE_11501 MSTYETAQNQFITVDGIKFAYRRFGQSNGVPLTLLMHFRGTMDH WDPALINPIAAKRPIIMIDNAGVGRSEGQVPKQYFLWAQYYINVLRALGVDKTDVMGF SMGGCVAQLVALNGQGLVRRLILCGTMPSSGEGTVSAPDLTPFNLLAKAQTEEEHKNA FMVSMFGTSAKSKAAGEAAWKRITGARKDRTGHVDPDNARLQGIAFAKFMDPKQAKDA SYNRLEELSIPVLIANGSDDLLLPTENSIVIWKKLKNAQAQLHLYPDSGHGFLYQYAD EFSKLINVFLGDEPKVSSRL FPSE_11500 MKASLALSVLACAISTAATSIHHRRADNYLPAAGIPKAGYNAYG GNYDHPDTNKYQPVGQKQTSSSSGSTTSKNTDKKATTQAAKCISKGKLESIVNKYVST FSGITDGGTLAKTIFNDDVKFYSQSIWWTASSSKINKYAKNDNYPPVFKNLKELIAGN IEKTNDPSAFIKGPVAYGCNTFTIYWKGDFEVPKGRNHGRGNGIDLVFLSPATGKIKK AYSEYNTLNQVYNWGAHITWAKDDVCCDCPVVFDDKCKCTK FPSE_11499 MAPSAVETTTNPVSETPKVKLYSGHVEGAYKELSPTSYIRESEE KGIDGHASAKYPNYLPTWNTDQVFPPLEPFEHYEHGKDADPSFSNLLTSDSKVSHLTP TIGTEIEGVQLSSLSDAGKDELARYVAERKVVAFRNQDFADLPISEALKFGGYFGRHH IHPTSGSPEGHPEIHLVHRSAGDKSYEDFFKTRVSSVAWHSDITYEQQPPGTTFLYVL DNPDTGGDTLFANTVEAYNRLSPTFQKLLHGLKATHSGIEQVNASVKKGSIKRREPVV NEHPIVRTHPVTGEKSLYVNPQFTRSIVGLKKEESDAILNFLFEHIAWGADFHARVKW AKGTVVVWDNRSVQHTAILDWHSGQRRHLARITPQAERPYETPFES FPSE_11498 MAASDDGHKTTKVVDVKGHDQSPLDSGDESGSSSIEHPFSDPEI ADRWRKVYEKAEYENRHRFDPSFTWTDGEEKKLVRKIDFRICLWAWVMFLSLDFHRRN INRAISDNMLPEIGMNTNDFNYGQTIFLVCFLSAELPSGLISKKLGADRWIPFLICCW SLVSGSQAALQSRSAYFAIKALLGLLMGGFIPDIVLWLTYFYKSNELPLRLAFFWTAL STSNILGSLLAAGILQMRGILGWGGWRWLFLIEAIATFIIGLFSWVLMPPGPCQTKNW FRGKNGWFNEREEYIMVNRLLRDDPSKGDMNNREAVNLSKLWKAVKDWEQWPLYIIGL MVYIPPSPFATYLSFILRQIGFTVFQANLLAIPSQFLFAVNLLIITWLSGRVKERSIL SSISNIWIFPWVLALVVLPADISPWVRYALITGLLSYPYCHAILVGWNAKNSNSVRTR AISAALYNMTVQSGNIAASNIFREDDQPLYRRGNRVILGITCVNIFLFYLVKWFYIWR NQVRDRRWNALTKEQQQDYLLNTKDEGQQRMDFRFVH FPSE_11497 MTSAVKPPEGWIPLPATASEEHKKYWNLFLEQWELHKSQFPQDE DAWKKVSLKLQKGRSCKLFNHYIAKKEAPKNLTWGHLVAAIILFGKTTVVGSTFGQRI QEKYPQSDITTMKYNTSGPTLDATGKTILTRRKTLRHGESGVKEEDEIEEEEEPQEQE DEEDQDYRASEEAESSISVSQQPTRHSTNPPVTLPRSTIWRTGNIFTAAPLDNSTNRH SQSPHPRQGTPRSRGSATQARRESTILFRPGSSSEQPRAETQSNIPRGSGPRNNIESS PQEESTINVFTRPDLDTATNLAQEEPDSNPEQANDHHVINTSPDNSTGDGCDGIYLFE DAAADAAAAAAANTTTRNTPPENNFAGNIFTHAEFTVSTTTDNEPQNSRFRGPVFSPS TWNVITGKKRKIDQIGDNITPRPSPRPQATWGSSISRQSSPHVSTPVETVDANLEELT THTEALGRILKRYHQLSGYAIPLDGPEQELTKLRDKSAKILRDIDAWGLELTISKKRA MVEIESLRESNQKLEKKNRDLEWASTMTQAKADKAHDKLVEQFAQHLSDSRTEFDGFR QDVQKSLEESEGKAGRSLQEKLESLEARMNTSAATLMEDRAREEQMTRRKLERLEAML ERSNENNRQLEKKLLGLTGIVADIGAASDVVKSEEQWNSALEKYGLQE FPSE_11496 MNAYDQSREASSLLKCLPGEILNQIISNLSNIDIKNLRQTCIYF KDITHLRINRLFLSTNLQDIQVFRAVADHEIYRHEVTEIIYDDVRFYHTDDMESESDY DTADDDICDITEIPVWFRNAYCQSRRHIERYDSRHVGVKEASSKPLGPVESFKAFHIL SQQQQTTIATDRDVDALKYGLSRFTNLRRVTITPAAHGVPGRSLYYTPAIRSLPPGTL YPIERGWPVTESLGDDELEEVAWDEEEKAQWRGYFLVSRTLAQHLRDNPRSKFAELVI DTNQLRTGISSRMLEKAESSEKTDLITILSHPGFTHLDLSLFCGNRHKYNWCSFGSGR LRNLLAKATNIQHISLFTDMGLMSEGEVDEIYFPLRSMFPFSDWHQLRHFGLSRFYIQ KDDVIELLQSLTQTLMSVELSFLFVFLDQGNYQTLLEDVRDKLGWRERRKEDQPKIVV RIDVCWEPMLGADLQDLSHEVDSFVYRDSENPFKACEQLNWETNVAGGAGVVVDAFGP DYEGSISQGRVSD FPSE_11495 MGPNAGNGVGGMEMGPMMQGNGSNLPQATEYTLQGVMRFLQTEW HRHERDRNTWEIEKQEMKGRIANLEGQARRADATQRVLRKYVTMLERKVKEQTAQLQG QEAVDAETAAKNDRAAKIQEKLRSTLEDMPVPGVDGVNYEKLDRGDEEAQRQDLKTFL DQCQSEFMYLMITPANPQPPRESPPLPIMEDLREVEAFGMPAPQPMERQFQLPTRSAQ NHVQEMNSRMPQQNHVPHQQNQQQHFTQKQQELQPQPMVRSSAEHPPVMYQNNNDWPA PVSVTSRPVEEQVTPANHAAEVLGGMEDSAELREKHSVPPETDGWDFPEGTFPEPGNS QSNQSQPNRPDTDAFPAADNLPKSPTRRDSSHRRKGSMSRRRSADHELALAAQKAESG NFKLRFGLRGHLDTVRTIIFSGGGSPGEPEICTGGDDGMIKRFHIPRLDGHAGSLAHT ASDLDVTANFTHRGHSGGILCLTSWSPSPNFSTGGRATGDGWIFSGGQDATIRVWERG RVDPKATLEGHTDAVWAICVLPATLGQIFGSSSPYGNTDRILVVSGAADGSVRLWSVS APPQLSSPQPGTNRAMTGRGGRVRGNSMSSGSAFPNTPQATIASNSPFNHTLVHNIAR SDGSTASPTCITPLGTTGESFVVSYSDAAIIVYDTRTGEQIGTMDSSETYDQTIKTSV NAVVATTLGLDQTNQNHSGEEDAASGATGGGRSMAGSGVEGTIISGHEDRFIRFFDAN SGQCTYNMIAHPDAIASLSLSPDGRELVSAGHDASLRFWSLEKRTCTQEVTSHRVMRG EGICACVWSQDGKWVVSAGGDGVVKVFAR FPSE_11494 MERPSTPPRATKPAPVISPPTPEVTKRIEENRLRAKAIRDQREA EQRATGTVPEIPKSSGGFVPTDDVYISKTANGKRPYSSISTTESKGTNRDGRNKGDEE TLRPARKFTKFVDYNMSAMTDTKGGFLSTEDDPHNYALGGKKQDDAQQRPKHMSVQEW ERLQLIRNLKRLKAGPYEPGLSVLADDETRKKCRDCKSLEIDFVWEEVFHLCVCNKCK EKYPEKYSLLTKTECKEDYLLTDPELRDPELLPHLSKPNPHKSHWHDMMLFLRCQVEE YAIKTKWGSAEALDAEYEKRETQKKARKEAKFKEKLLDLKKKTRTDAFRRQAGNLSKS GASKFGDAIGGGKHVHEWGRTVENEDGMTVKTCVDCGMEVEEMEF FPSE_11493 MALSPQITNLVIILGMMQVSKRVPFDDPFVLNVVRAVYLASNVI IAGLYFYTQLKINKKKDLTTLKYVEPAPMGSSEEGKLVTTTIHAYDNEQIRTAFRGQA MGMAMMAFMHLYMNYTNPLLIQSIIPLKSAFENNMVKIHIFGKPAAGDLKRPFKQPAG FMSAMQGGPAQSDKKAIETAERAGRGGAKEE FPSE_11492 MPYSSQRYNLDAAAARRRSQCSSRPRGDRYSDQSYKSRAQDDYY RAPSVSRTASYTKNDDRSYMKREERPQHPRKTRTKEEDRSYTDRRSRGERSSSYREAS SGRESRCDARPSERSQSTRDNHAKRQSRSGPERRENAHSDQVHSDTACSGRRSSSRRG SQDGHETRPHSKREDTSHQEGEYSRSTPEEINTFLNSGRASRPSWGSSTYGTYVLPLE STGQYRNNDPTKCTCKCCPHPISTAHSHDHHQNQGPGMSSKRTAEGSRRSKRTAEGRP LIQVVDKSRSALNLEYGPSYPISLNPNTSCDRIASFLAPDKRYAKVLVHWDDGRVQRL DSDIAMEDLIRYAELIEVREIKSVHWAA FPSE_11491 MFGTWKYDPETDEVQSVRNGFDPVTARSSSHQACDRCHEKKLRC SGDKNGCERCRSNSLRCEYTRSGSKKKSHRKSTDDESVSGSSSRRGGSSSKGSSKHRG HTSRIATSREEAGGALSQFDFSQLSPEDGFDLNLLSGADQNGGYATAGPSGDQYNMQA YGANYQQQWDAAAYSQYGGQYGGGQGYSSESWGGYDQSAYSQDSRYHHGGR FPSE_11490 MADGNAVAPAATKSIFGYLNDWGTASLPPSLLATLITALHARPP SLPLFLFTPPLLFSSYLNLSGYPTGSAGLTAAWSGLYALLALRRRQPFRGRFSIRGAV RGTAIGLGAANCVAGGWVYANGDFDKDAKARADRNRWGGKD FPSE_11489 MINSMASKRNSRAFVDDHAHPTEGQQTPNNTQFRSRQGSRSASK EDMKQEVEEVISSMNRSQSATAIAMEPSLSTQSSDSDSRSSSISRGGRVQSSEHVASL DGRPFNFGIVIPGVYRSSFPKSHDFGYIKGLGLKTIVSLVKKDDLDHDLETFITSEGI RQVVFNMKGTKKEAIPLDTMKGILNVVLDQSNYPLLIHCNHGKHRTGCVVGVVRKITG WDVARVVAEYNTYAEPKAREVDVTYLQGFEVSSLVLSNTLQREICSRRNRLQSRTFFR AIVFSTCVMLVWFMSGVRMTNVTPSGPL FPSE_11488 MSTDKITFLTNWHATPYHAPLYLAQAKGYFKEEGIKVALLEPND PSDVTEIIGTGKVDLGFKAMIHTLAAKARNFPVQSIGSLLDEPFTGVVYLKDSNITTD FRTLKGKRIGYVGEFGKIQIDELTSHYGMTPEDYTAVRCGMNVSKAIIKGDIDAGIGL ENVQMVELEEWLAAQGRPKDDVQMLRIDELAELGCCCFCTILYIGNETFLSENPEKVR SFLRAVKKATDFVLAEPDKAWAEYVDFKPVMGTDLNRKIFERSFAYFSKDLKNVRRDW DKVTKYGKRLGVLDESFEPNYTNSYLEWDLSGESSDPTGDQKRIAQLQKDVAASGGFH RLEAEAPQAKA FPSE_11487 MPPKQEQESALLNPQVECHEDTDEDAESFHYVPPPRRRRCSACR CFGWTMTFLMAVLISALTGAWISMAYLEIDQTCAMHTNKWSPVLKDIAIKYKEQHFDG NFMEENKFRKNGSAEVDKAWESLGVDYRPGVISYKDGIASGLTDAHVQVNAEHGGGFI VNVEGMHHLHCLNLVRKSLYFNYDHYKKMGGHAFKNDGEVFRLHVTHCLDTIRQVLMC NVDTGVLGQVWYDKKEPNAFPDFNTKHTCKNYDDIRKWAKSLQAPPPDKLPENFLASP HDVLEFTP FPSE_11486 MKFSAVSLVALAAGAIAAPAPVAAPEAAPEPGYKNYGSYPKQYA NYGSYNYKKYSNYGHYKRDEDVEKREAAPEAAPEAEPEPAKYSNYGSYNYKKYSNYGH YKRDEDVEKRADYSDYGSYPTKYSDYGSYPSKYTDYGSYDYKTYGSYGTYKRASDWVK SFF FPSE_11485 MASHDVEKKDLGYDVASPPTKDVGLGEDQHIRGLETSAETSLHR GLKARHITMIAIGGAIGTGLIIGTGKALVQAGPGSVFICYTIVGFVVFLVMAALGEMA AWLPMSAGFTGYASRFCDPSLGFALGWTYWFKYIIVTPNQLTAAALVIQYWVDRDTVN PGVFIAIFLVVICVINYFGIRFFGELEFWLSSFKVITIIGIILFSLVLALGGGPDHDR KGFRYWSNPGAFRPYIMEGDAGRFLGFWSCMVNATFAYLGTELVGVTVAEAQNPRKTI PRAIKLTFYRILFFYCLSVLLVGMIVPYNSEELAFATTAKAGASASPFVVAGTIAGVR VLPHIINACICIFVFSASNSDLYIASRTLYGLASDGSAPAIFKKTNKDGVPIYALGMS ASFCLLAFMNVSDDSTKVFGYFVNLTTIFGLLSWISILTTHIFWCRAKKAQGLANEAL PYVAPFGMWGSVGALAMCILIALTKNYDVFVRDKETGKIMGGEKYKTFITGYLGIPVY LILIFGHKFITKSRGIKAHEVDFYTGKDVIDREEEEFLAAQAAKREAEGPNRGGWFYK NFVSWLF FPSE_11484 MGDSTSYTEADTLIAAGGAPTETIFKGSVYGIKNLPSRSIGAVD TLKLEGAAELSSFPFGIPTSNWDTSHTTLSALGLGRNSTYLNALRKAEKITSRVWSIF WGIFGDENPIDGSLVLGGYDEMKVMGDNYTAPLVYDHFDGSQGCWTGMRVTVTDIKVN FAGGTNTSIFPSGTALHCCIDPAHHLLMEAPMDHIENFKSIADIDGTHSTTDLHWMAL QPSIRKQFTGDLTFYLDSGLEIRVPNNQFVFPETEIDKDGSRVQNLTRQNILINSVED EAPILGRYFLTAAYLMVNQDAGTFTLWKANATEESKPVRVFDQETGEKCGDKASGVIQ PSASPTGSTTGSDDQETAKNNRPSGRVIGGAVAGSVVGAALIALGVYYVLRRHKKEPT DQRTEDTYYYYPAHEDMQRSDVHEMDSSPRVVKEDRKTDAF FPSE_11483 MSASISSDINQNSARQLAVALWSWDICQNCKKQKGAQCNDQGCP GSRIDQIQRYLQYYKAVVSTFTDALSTPTRNNITHSDILRIISILKSNPNATLSDIRR LVSPYTNTNAQHTHDNSEADSVALAVKAMLMIDPSIIDSSSDRLERGTFRLHWKEDVP LSKYIQDSFPTHNHNVLSYNNSELFADAKRQLKAINLKKRLKITIRATSDIRNHLLFD RRNRILEVYHYASFLKEQLRVTRDVGDCSSPSSSLKKGVLPRQLVLEILDSLQGTLFP LSDAKSKTLVRSLIAKCNFDPDILNFEFSSVGRVGEENVPIRSLAVGFSD FPSE_11482 MVKYKGSLYDYIADKKKDPGTISIKLRYLGLDAQKIEPRIVIQC EKRVKKTIKKFFAQKHVKEDLSSDFRVLVLDEPPIEVANDDTIDALSDSLPRKTMCGM PITLSRGGRSVSCTLGGVIIIGTEQKRLYGLIAGHPLKRIRGDLSGKQPTYKADRSTS SKAQEKGKNYNSTATSKETSAMPSNPVHSGFMRTSQDDHLRRKLKIGTVVSDNFNISS KNNYDWALIELNQEYALPNAVVRIKQPKESEFEDNHTEIYTHYNEFSPGTTIKQVLVL KYGNPSEAELSLNTSLLVMSSGSEFVDAHDVTMKDGSSLCPGDSGLWVVDAKNGNLYG HVVSVDAFGEAQVMPIQPTLQSIKKQLKAAQVYLATSSAVKQLKVAPEEPSTSTQLWP TATEARPALGPTVSSEEDSLQDRPPWNTTYPYDQGKFFSPLSLSQSDHEVKDFKRHTS STTVEPLSTLTAKSTKNTNEGYQHPIPDQDSSDPDLLQQSSVECPVINIPPDFDWVPA PEVPEKEDRNPRREDDKPGVQQWLQEQGEESDIRPSMLQQFKSQLRQNYGSWRLNILV TLASFFSFVKHVAIVAGIAVFVAIAGLVSLVFFAVRRFWGWEEQRNNLGDSLSVIVAN SPNSVRDPEGGDYDHESNSVRDLEGINYSRASNSDNTERIGTIRRPDARGVDKILTFM RNKTGRNIKGPD FPSE_11481 MSDSSRPDSIHDFDMETASGILPTRAQHHDEKPSSGAIVLSQQS VSPTEMIVMPFAKQEDHLLRSWAIALHLYLVSDQVAFLASGLKAEPHDQVLIYSHWPL SVPSVSFDSNAQPELTVRPFEAKKHSHLINSSVRFTEQDRHVIDNIDGTVQYHVQVTT HPTNRNISLYTGKTRVPSQFASVLWKTFQEIHLDLLSPSPRDWRRGLASNIDKSLLQS FLPRTPAKIQSSVIDLWRESVRTAPRAPAVDAWDGTLTYNELDDAAEKLTLQLLSKGV RTGDFVPFSFEKSVWMVVAVLAILKAGAAFVAVDPSQPEPRAKEIISQINARLIVTSP SQSKRFTQMGLNVLQVSQSTTEEDDNTHQFRQLPRVLPNDPAVCIFTSGSTGKPKGIV VQHQALTTRMLAEGGALGYRGARALQFAASTWDIFITDIFATLLHQGCVCIPSEQDRL FNLAEFCTSHNVTLAIVTPSLANTLSPASFPTLKTLIFSGEALRKDVVARWSSQSGLA LYQGYGPAETGGCIIGPLANRAEVIGHAVKEYICVLVDPKNQNRLVPVGAVGELLVAG PGLLQEYIKDPARTDAVVVQNPPWLSELGVGETRFYKTGDLLRYNIDTLDGSLEFVGR TDGQVKYHGQRIELGEVEYNLGLFPDVSHSMANLVKEGPWSGQLVAVVQVGTSTHHGT SIDSIEHRDSVDIQEKITEFLTSRLPRYMIPSKILVVKGLPLNASMKLDRAAIQKWII SHSTKQTTVPNLLPATSGPKQLLPTERTARKVSEMYIEIVAGKDQRLRKQLEGVDFNI QSGGIDSVQIMSFSEAIKQRFAVQIPMDQMLSSRSTIRTLAATVDAVQDLKGAKNHDI SASTNDDIDSLLESVLHPRTKQLRNSAIRHVFVTGASGYVGTEIVRQLLTQSDCQIHA LVRASSDQAGQDYLLQKFIDAAWWKGEYESRIHAWLGDLSQPQLGLDTNAWGRLEGKV PDSIDCIIHNGAKVHYHMDYETLKATNVTSTVQILQAINNRADPLQSFVYVSGGQPLS FDSEDDVSILQKALQGSGYARSKAMSEMLVRRFAEQAEEKVKYIQIIKPGYIMGDAKR GMANKGDFIWRYIAASLELGAYDQDTANGWLFLSDVGRVSEVVSKAAFEPSETISVTV QDGIQFQDIWQLLQEEYGFALRPLRRDEWLQQLRQSVAAKQEQHVMFPLMYMLETTDH QPFGVSNGPDQPSKGVKEALRANINNLMDQGFFVAPGSDSCPTTAQDALDVQSVRRQF PALHGGLVAFNNAAGTAVYQGAIDNTHKYMSAFPVELGLDDPQSQAKTKRMMDKVAEL AAFMNADADEIAFGQSTTMILRTLGQALKPSLNSDCEMIVSSLCHEGSAGAWRALAAD LGIAIKWWMPPAGDDPCLSLETLKPLLSPKTRIVACNHVSNVVGTIHPIKQIADAVHA IPGAIVIVDGVAWAPHRPIDVKALDVDFYCFSWYKVFGPHMAQLYGRRSVQQRMVTGI AHFFLSGMPGLDWRLRLGSNAFELEEALVPITRYLTDIGWDKIIAQETVLQEVLLSYL NRHPTVFRVFGEKTSDPEKRVAVITFQVIGQSSRDVMNKVNRQGKFRIVAGHCWAPRP THDVLKLEDDGLIRISFVHYNTVEEVREFCDVLERIVNP FPSE_11480 MATLPLIQVWSDKDLISESTWQVSHISYWEEYRWQSVDLTITNL RQHKTPTANEGLRLILVEEGTSTPLKPGSLGSNEAHDFLLQTLLVPVTQGQVRLIKLI VPRSTGYIARSDIIPLRLHDCPHVESVRSFAQPLQAYNESATPAIVFDKKEISLLGIF RESAAGLLVLSYPNSDLQVISSELEQELGNRLSFHWLIHETPRERSLVLVEANSSHPE DGLGLYTAAKALGIKLVVLDEADHWLASHEGDDFREAFMPITLTNPPKSELTDHILTA LKSYGKPIDGIMTCADTYWPFVAEAAIALGLPAASPEAFEIATNKYKTSVFAGHRAHH ASSPEEAALIAQRDDLSYPLIAKPCGGWSSEAVYRVDSRDALETAISAIFSSRHGHEL VIEPYCDGPEVDVNLVLQDGKLLFFEVCDDLPKSADVNGPKVGSLSTFHELCSVYPSK LPKPEIELLRDTFVDILLSLGLRSGVFHLEGRVENSTVEYKEQANGNMELTASEVQNG QAKEPKAWLIEINPRPLGMTGSQIIEHTYGIDYWGLALLLTVNDTTRSRALALPFKTG PQYTSAMVFIPADFPSSCQGVFDSDDICKELFSRRPDLEAFVSRCGCLIKRGQKVPHP SEGRNTFVAYFNVFSRRGRQEAMDIARQVREEVRYQFK FPSE_11479 MATGPRPKDATAAEPLLAPAWVNPDLGRLMVVHKDVITPATDGN SSDSDSSASTSTSTSTSTKSYYKSWAESLVDLPAGAIFARINGVTPTSKRDYDTVQSG ISSHFIWNSDLYYCNHSCSPTLECDTSTWEVRVSRDRPLRKGDVLSVFYPSTEWIMAR LECHFEVSMVGRCSRGRRRPARNSDRASISGASNTTVEGGSRGPNVTTDRSYDMEPSI SNVTGRHPRSHALSMGNTVDTTDVGASPADADLLPFIDWGLFSENDHLGMAAEDGNSI DMLSDLGHFNTDDTLWSNVDNLDMPSPFETSAPGLSMASAFPTAALPTPPASAAGRTK RPTNPYSSFGGVPASVSSNLMSQLRQPQSKGSGDTTTAASRPGIGVFVKVISTLEAEL GNLPPSIDRTMHVVNTSTKSVHRVSQCPPTQLGIAGLMLALVSIDMILILIESRISRW RECRTTELDQRNFQDSFPGTPRLSDSGHGQRDQLLLGHYKAESEETDVIWRHIIIAEL RRVRKLIQGLNGHLEDPRHSVKVPVERLRTSCAHMDHRAALLMTTLQRYDQNTGTSMA SAF FPSE_11478 MAIPHRIVIVGSGVFGLSTAYYMSLDQKLSNSQIILLDAWNFEP DSRSTSVQNPGAANSDTSRIVRRAYPKGPYAALAYESVERWRTDWGADGRYVEQRLLF SGEGGPLEAPKKKGETVNYVKDAYATGCEMTLGGAGALEIWNSLDEIRSELQASKHEN ASSDKTEESSLRGFVSKDCGYANSGATIEWLRQKIIRMGRVDLRVGQVEKLVYSSGGA RIDGVVLVDGTEIHADLTVIAAGCHSSRLLQLPSMCTVESAFVAYIQLTESEAQELRK RQWPLIVNTHRGVFCVGPDQDNCLKLGQCSTGSRVEILKSAHLMDRDEAARLRSEADS SVQPDWANPGTGWGGKVTLTKTGEVVDDDPESAAVNKALAAFRGFLLELLGPQDDFAS LDVSGRHDPLLNSIAVRPFARVRRCWYNDTPSYDFIVDYHPSFGISLFVASGGCDHAF KFMPVLGEKVVSIILRRLGDVPKASSREEASLLEELCRLWKFPDHLVSEQERRGAKL FPSE_11477 MAIGSLTSKSYAFPLKPKDYAAKPLPSLQEWKDLWAAWHLVSTK MIPADALMEQPIPLRNPLLFYLGHIPAFEDIHLTRAMNGKPVEPAVYHDYFGRGIDPD VNDPTQCHDHSELPKTWPSLQNILDYRQRVNQRIIGLYDDPNTFGNRTIERALWIGFE HEALHLETFLYMTLQSPNIKPPPGPAPNFAAMAEKARLERVENQWFQIPAQEFTIGFD DPESDDGPDRFFAWDNEREPYSVAMPEFEAQARPVCNEEYATYLVSTGKTETIPVCWT KLPKSGANGAAGNGHAVSGANGVNGHSTNGNGVKNDALQQFINAHAIKTVYGPIPLTL ALDWPLMSSYQEAEDYAKWVGARIPTMHEVRSIHEHAEKQKKATFEHNDIEVENKRLD PEEIFVDLTGCNTGLQNFHPTPVTQNGGRLSGLGDMGGAWEWSSSWFAPQPNFKPMDI YPGYSADFMHGKHKAITGGSWAVHPRISGRKSFQNWWQVDYPYPWVTPRLVRDINKN FPSE_11476 MASAEASADDHQRAVLERQLHGLPNDADQPNSKPNIIIYATRLD KVILSLSSICAIIAGALNPLVPVIYGLLVSVFNGFSNGSVEASELRSEISTFSLYYVY LSIALFVFTYLGTLGFYFSGDRIARALRIAYLEAVIRQNMAFFDVLRPGEISTRIMSD MGILQEAITSKTSIMLSAVATFCAAFIISFIMYWKTALILSPFFVTMLLMFSVGGSYS VKHQKVSRQKYGHAAGIPEEAFGAIRQVAAFGMQTFVKEKYSQGLKEAAVAERKAQII VACLIASMCAMPCLIYSLSFWTGSIFLVRGETSVSAITSTTLAVTIGMFAIIRIAPSM QALVSGIAISGSLFETISRRSPQDPLGDKGEIPGSLSGNIQLNNVDLVYPSRDQAKVL DNVTLHFSANKTTAIVGPSGGGKSSILGLVERFYEPTSGSVSVDGNDIQSLNLRWLRQ QIGLVDQDPVLLDTSISENIWYGCADANDTTPESKRLDLVIEAAKKAYAHDFIMASPN GYQTRVGEKGMQLSGGQRQRIAIARALIRDPKILLLDEATSALDSASEKAIQAAIDIA SKHRTTIIIAHRLSTIRNADLIVVLSRGQIADQGTHDELMARNGLYADLIEKQQIKEE SQKQAGASIGQDDDVDVMLPGTQGATQESENEKAGTTETTRLEGTTNEDTDLSVPNRK GAFSFLLAMSKPDWKVLTIGLICSLLAGLEIPAESIFFAKLLTIIGLPENKYPQLRRD VNLWSGLYVALAAAGFVLWLGVGTTLAYATQKLSKRVRETCCDKITVQSMEFFDEAKN SPSALSNALSKGTDDLAGMGGSVMGGILTFTATIIGGIAVSLAVGWKLALVCTATIPV VVACGWLRLQVLAAFDARIRQSGVDSAAYAGQIVRSMRTVASLGLEKRVLGMYGGFLS NHAAKSLRSILVTSALYAASQSVVYLCAALGFWYGGTLIANGEYSAFQVYVCFVCLIS GSQIAGSIFTFAPDAGKAMHAAQELQKIAELPDGEKETQQSHVTEHTHEKKAVANHLL DGPDPWQVTFQDVSFAYPSRPHKPALNHFTVSVEPGKTLALVGQSGSGKSTCLALLER FYALQHGQILVDGQDIRSLDLNSYRLAISLISQEAVIFSSSMRENIAVGVVGQDVNDD EILAACRQANILDFVNSLPDGLASPVGTGGSMLSGGQKQRIAIARAFLRKSKLLLLDE ATSALDSESEAVVQTAIEAVKKDRTTIMVAHRLSTVMNADVICVMREGSVAEIGSKPS VNGNGASHELQMEYWTRQLERSNPAEFLFDKPRPRVLSTSNISRQELKITGSLYDHLQ RFCKDHQVPLISILLAAFRVTHYRLSGTEDATIGTNKSGASLGPEDVDTKQSPNTRLS SRDLQCIRIKVQDELTFEQVVRLVDETLKNAHLNQQISFEDLVSQIFPQGSDLSRHPL IQTAFSLDSVRPIEAPVTANGDTAEKRSSNLPVLDLEFRLSQASGGLYGHAFFCQDLF HEETIRAIIEVFYEVLTRGMEEPQTPIASLRISGNNTPEINTTSSDSRYSRDSSIIEV FQRQVTAMPTAMAVKDSLQQMTYDELDKASGIIEVWLKNKGLAPETLVGVLAPRSCQA VAAILGILKANLAYMPLDVKAPASRINAILSAAPGHRLILVGPDVVFPELPQADVTLV SILEIFEARQSETIAEAKKPSATSLAYVMFTSGSTGQPKGVMIEHRGVVRAAAVLSPI WGTCPVAHIANIAFDTSTSEIYTPLFNGGTIICVDDVVAVDAPRLGELFHKEKVEVAV LAPALLKQCLSASPSTLEALRILFTAGDRLDAQDARRVLDLVREGVFNSYGPTENSVL STLYQLRKEDNCVNGVPIGRPVAYSGAYVVDRELRSVAPGVVGELLVTGDGVARGYTD PARDEGRFVNIILVEGHEPVRAYRTGDRARCRPVDGLLEFFGRLDYQVKIRGHRVELP EVEQALMMADETIIDAVTVVREVGEESDRDVELVSFVTISEMDVSESSHTNGETSGAF AAEDKTEQGQVDAWKEHFDKSSYADIESHIDTDKLGRDFVGWTSMYDGTSINLNEMNE WLDDTMTTLLGGGEPRNVLEIGTGSGMILFNLPRSIQSYVGLELSEQAAVFANKAANT IPALRQKVDVRVGTVTDLTSIDRPRFNNPDLVVINSTVQYFPGPDYLLKAIENLLQLG SVERLFIGDVRSYALYREFQVSKALHGIKSHSRVTSFDSLRQSMAAIERAEEELLVDP AFFTALKERLPNLVEHVEILPKRMVATNELSCYRYAVVIHGKPVSTSANKLPVRELGD GQWIDFEKNQLTRQSLLDMLDGRVETNSDPELGIIAISNIPFSKIVFERGVLGHHDND NGCKSTDSVDWLEVCRVSATTSIDTGNSLSAVDLHEIASSTGYLIQISCARQASQNGG IDAVFYRDPSPERVSRVRFNFPTDHEGRDWKSFTNNPLQQRHWRQVEEKLRSHLKARL PSYMVPSVVRVLEAMPLNDNGKVDRRELTKKAQTLVISKPFSGSSSASKSRDPPRTRL ESAVCDEFASVLGLSQDDVGISDDFYHLGGHSLQAARLVSRLNQRLGCRLYVSDLVRS PTPMVLGSLIANLPTNIPNGNGVNGDKSDGTKVGINGQTNNNLEPPRGFSELYSRPQS KFTIVLIHGLWGQGSVFAPMVPFLDPLFDVLVLDDPFFGQAQGPESIQQWAEIYLDHV QERLGDRSGSTLIFGGYSLGGLIAYEMASLWHSRHDEYPALLLLLDAAMYVSYAGNDN ELEYGLTLFGEEQKQMVHDHYSKISPLTKREPSTIKPYLGSCFCLLTPESDDKGAAAW WSKRCPNLQVDSIDCSHHDLIGKSLFSLVTMNFKLLILTFTLGVFAAEPSISPFFASR FGKPDSNITFTDTNGTSHTLYNNDWEPNYAAIQARSNLETRFKTGGFLDKCKNIRYYL SKADDNNPRKNGYTNGYKSSPWLVAECPDKKGNYLCTWLELGKCLVNMDGELYQGKNG NFHQSCTQCNIRENGRWFNCGCWTKLPKGTVQWDDIKNRLKRTTIDLNVAIGAQDGYL YCHGNWGIKDFCSGRPSNDPFSIKCDDKGMEQYCWQ FPSE_11475 MAEPVPPRPHHIYPDLLTQQPPILKRHQQCRNTSDPTDPDDDWM GITDARDRRRRQNRINQRAYRKRKARDTVHDDLTTTSEGILILPTPRDRAIAYAFMQL VEVQHSLNSHRPAMLPSLIRLNAVNAVSKNASHIGIPLEGLCCDDVTSPWSIKTLGPL ESTTTSLSCPESLHPTKLQTEIEHHPWVDLLPFPRLRDNMLRAYTGGIIDEDELCFDI LGVTCSQGLDDAYLIVWGESHNPTSWEVSVGFLKKWGWLLKGCSDLVQSTNRWRQQRG ESTLDILI FPSE_11474 MAEYEFKGWMGKDAESANGKMEWGSFEPKKWEENDVDIKITHCG VCGSDLHTLRSGWGETPFPCCVGHEIVGKAVKVGKNVKNIKVGDRVGVGAQARSCLRE DCIECSAGRVNYCDNMVSTYGSVYPDGIGKSYGGYADYNRTDSRFVVKIPEGLPSELA APMLCGGVTVYAPLRNNGCGPGKTVGIVGVGGLGHFGVLFAKALGADKVVGISRKASK RDEVLALGADSYIATDDDEDWANKYAKTIDIIVCTVSSSKMPFSDYFKLLRHGGNFIQ VGAPDSGLLPPVNAFTLIKGGFKLSGSLIGSPADIEEMLELAVKKNVKPWIEKRPMED ANQAVIDIEEGKARYRYVLVNQKNIEQ FPSE_11473 MSATYYRTRYWTAGILHAKVLNVGNDEPNKLNPVESYSANNSIG LDKDFTTAVAHRPTYKTEILRSPAFRRNNSTWVVTDGSHIGIRSSRLYWIACLGFQSV RNLGIDFNGEIHRGIDEMGWEADIRCCI FPSE_11472 MTFSQAVLQLLSASLALGQMVYNEVEGPTERPQCKATETKEPTY THTPFSYTLTETVRYATSVPAPTTTTTYADPPESLISLVPSLSFTTWGKWDPNATTKA SDTDDPYGQAAWTALWEHANPPNFTEKAVYSTTVSPTPIPSSELILPPRDYFGPEDCY NFPKNFSFGVASSASQIEGATAEEGKAPSLMDILIQDDGGKDYVTNEHYYYYKQDIER VAAMGAKHFSFSIAWTRILPFALPGTPVNQEGIDHYNDVINFILEKGMTPEVTLLHFD TPLQFFGSNLTTAALRPKIGYTNGGYQNETFQDAFVHYAKVAMSHYADRVPVWFTYNE PLLYSYNALSVYNVVKSHARAYHWYKEELGGKGKIALKFNNNFGVPRDPKSEADVYAA DHFNSIQLGPFCNPIYLGQDYPESFKMTFTDFVPLTEEDLKYIGGTADFLGIDPYTAT VIAPPVPDDKDSILECASNLTSTFRPYCVNQTTTTVNGWNIGYRSYSYVYITPTYLRS YLNYLHNTWRIPIAITEFGFPVFGEAQKELSDQLFDTPRSIYYLSFLSETLKAIWEDG VEVIGAYAWSFSDNWEFSDYDAHFGIQTVNRTTQERRYKKSFFDMVDFMKARGVE FPSE_11471 MPRSDEAEAFFYAVYSAVQEIPHGKVTTYGHIAALVGTPQRPRQ VGVCLKHLPADPSQHFNHDNVPWQRVINSKGQISPRSQPGGSRSQAQALEAEGVEVET NALGEHSVDFSRYGWFPEVLPSEEDEND FPSE_11470 MASSPPASPGGIQRPMSAIAARAQPRSTSRLSMSSKAGGGSRAS DEDSRTAVKVVVRVRPPLKPEDPGYDLIPQRFQKAMVHTTSDTSLAIDSPQGRKLFVF DRVFNPDVTQEGIWDYVSDCINSFVQGYNVSLMAYGQSGAGKSYTMGTSGPGEQYDQE LMGVIPRAATALFEKLEAAPKSTAKANRSSLSHLRSPRGYSQQNALGDREWSLKATYV EIYNETLRDLLVPDTTPLNERVNVAIREDTKGNIILTGLRQVEINSADDLMNALNFGS SIRQTDATAINAKSSRSHAVFSLNLVQRKSKAGPGQASDKRHSMPAEGLSSQDVSVTT DSKLHFVDLAGSERLKNTGAQGDRAKEGISINAGLAALGKVISQLSARNAGAHVSYRD SRLTRLLQDSLGGNAITYMIACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQTEEG DSKAIIDRLKAEVAFLREQIRSAENGASPRRNAPLTNERSDRQNEREAELQNQLLDTQ ESYTALSQRHARLIAELARARENESGANQLDELSGDTADDRINRSNSFAQAVEQVVME YEKTIQSLEQSLSSTRGTLSNVETNLLEKETKCAYVETINNQLQARLQKLVDRENNTE NYLHDLEAKLDGHTSGEEKNATIVMELRKEISRVRENEASCEDYISTLEERLAEADQD AELMQREIDRLEQVVERQRSLGKLDSLLYELDQIQDGKEPGPENGIEKHAESANGVAA RRAMAEHSRNLSHVSRHSQMEDPIPEGDEEQDHARTRIGTVKEVDEDSLRLEKPAEHE PPPSPAQSKFVADKLENMTQELFDLRVEHESTLNDYDSLHDKYESAMRRLAEMQDAVD EARHSHAQRQSVISVATPTRSTRPESFLSDTRTNDLKTGPRSSFTRSLSSELSSAMDS PATAASSNGDILSDDETATTKPAAASTDNLPNDESVELAAELERLKFMAQEREAAEQE LAERYAQLESKHNETLDIVEELKTDLSRARVMEATSPRSSTPVIRRKSSQNLLVVDRA QRSFSSLRNIAAENFGTHPEAMQSFEINLNAAIHELHVRSERIQELEADVTAAKKEME TKMTIISGLTRERSSLKASPVEMTMVATLRDQLEQNERQLTETRNAHMAREQALTTEL EALRLALGTKSSTLEPNNFDARYEQRVAELQTEVATWEKKHKEALDSMENTEYQMRGT IGQLEAQVASNHAQLSSSRSQSNDDNDEPTKEAEQRQQNLIGFLRHEIDEYKAIINSN AAKVAELEQAHAAARAELDELHKAHEAVKEDNGRQLQLLANLQKQIAAHDETSQTNQS SLDELKVQHSKALADLKTTEQKGYEEQVEVLLSEHAESALRLETELAEARDELHSVST HVASALGLDANVDKLAERIDELAASKKALDVEQAKRSEIESSVAELTSINEQIMKDFE DAKTVLADMLDGGAASGPLVEQIRLAKKRMTDLDDRSKKNSRLVEELEEQLQNNFDEV QITNNRLSTLQTERNNRLDEANAATARLTAELETLKHDYAALQNKMDEVAAGIQRSNS NSTIRKSASHVSLPSPPPAIPLPPLPNGAQSPVNGAPGSPTAGRPMSKDNINISQITE DQEARIRTIEKHLTAERQLTQTLEEALTDLERQTKQVKADCDAWKKRAGELEAEVKEL KDRPPPEPVQDNRWSLQAVEEERKKRQAAEAARRQLEERMNAINKGKKKKGSLNCF FPSE_11469 MANDVIDLISSSPPSHCLPPPSAQLPQLDDASQEKGQRQSIDAT KPDAVARVPLSSQFFLSDDFDTTIDLDEPILVNENPNKRRRLSPPSVQPPLKRISPAP ESRSILPPVRTNQNSRLQAVDDSIQLTSSPGLISPGQMRPSNATSAKPIEQTIAEDSD PFASSPVAVRRSPPKPTSKAISKTVTRPIESSDPFASSPQPAPTSKEPDIIITSPPAP VNKLLPKKNAAWDPISSSAPEAISFDSSPPGNKRDVINIDDDSNGENGSEDDELPDIT AFDVTKMRRRSQLQRSKSDVVSAGSRARPTAVNKPSAVDRAREKEAKAAAKEVEKEAK RREKEEAKQAKIREKQRNAALAEVNKLRTDKKVSTPEMMVDIPSSLNSTVTTALQAML EPFDVQYTTWDSPVENVIKWRRKVRSRYNDDTGLWEPIPLRIQDEKHALVVMHCDEFV KLALDDGISSHVEKVRKHFSEHHVIYLIEGLAAWIRKNRNLRNRQFASNVRAQEAATS ANRRNKPAQEYVPEENIEDALLQLQVMHEVLIHHTMVPMETAEQILTFTQHISTIPYR KQRDQATLGAGFCMESGQVKTGEDTKDTYVRMLQEIVRVTAPIAHGVAKEFDTVSDLV RGFEATGPMRLEGVRKMANRDGAFSDRTVGQAVSRRMYKVFTGVDETSTDV FPSE_11468 MRLFLIRHGETVDNVANLYAGSRDSALTVHGVMQAQKLASHLAE HVTIDRIFSSNLGRAVHTAQAILDAQKCAKDLKLVQVPELREKDFGTGEGAKFGSATK HEGSETPQAMRKRIDVFLDEHLPRLHEDSTVCIVAHGIILGTFYKALRDRIPSTSTAP DAAPEGRAESAFARPTWSNTGYVEALVTTDASTTDKNVQMRVVKVNSVEHLKGLTKTR GGIGSAKFDAKQKTMDSFFKPVSRKRKLEDEDIVSR FPSE_11467 MSETKDLSSQVQDTTKDTASNEQQSSGAFGSPQRSLPLIVPIAV NYDQSVPRSGVVNDAGDILDDAGKTVGKVADTDNLKNLVGNTVNSAGDVVDSSGDVLG KTLPIGQGKPEEEEDSHDEEKSEYTTQSKDKKSGGLGDAVGSVTSAVGDTAKSATDTV GETTKGATDAVGDTAKGATDTASDVTDDVAAKSEATSHATDTKTPAETPKAPGVKEET PDVKDEASDVKDNAPDVKENAPEVKDETSDVKDETTDQTDRINLEDREAPADADDKLK EVAPEPEEAKETTKDVSDEAKDIPEKAKDASEKAKDVPEEAKEDVTSKVDEQEQDVPK PEEVEEKLQEKTEGAKDDATKSEAPEADVAKTEAPVDEVPSGEVADDDKSKTAGDDLK SVAPGEDAAGVTDEVQSKAAQGEDAAQDKVSEADKAAEDVPEDAKSKTAEAEKAAEDL PEDAQSKAADGEKAVEDLPEDAKDKVEDGEKAAEELPEDAQSKAPEDEQVAGDVADEA KDKAAETEEAVDEKTTEAEEAAKEPLDFSVLKGTTVDKEGNLVNDKGDKIGKIVEGEL KQLVGLSCDDQGQIWDKTGKQLGKAEPIPEWDREQKDSSILKGTTVDKEGNLVNDKGH LIGRVIEGEIKQLVGLSSDDQGVIWDRTGKQVGKAEPLPEWERGEQKDYSLLKGKTVD KNGNLVDEKGHLFGKVVEGEIKQLIGLSSDDQGTIWDKTGKAAGKAEPLPEWERGEQK DFSILKGAIVDKEGGLTSDKGDTIGKVTEGEIKQLVGLKSDENGKIWRDGKVVGQAEP LPEWERVQKKDRSILKGAVVDKEGGLTNDKGDTIGKVVEGEVRQLVGLKSDENGKIWR DGNVVGQADPLEEWDRVQKKDRSVLKGSKVNKVGKLVDSNGNIVGKVVEGDLKELLGK RSDENGDIWNDSGEVIGKGEPVSASEREQKSSAPFENFPDAIVESDGRVMYNGEQVGE VIEGNPKELKGSKVDEDGDILDRRGNSVGKAKRWEAPEAEEEKPVDNSALAGKRVNKA GNLVSESGEIYGRVIEGNVQKLVGRMSDRDGNIRSESGDIIGKAELVSEGERGGKKDG PFAELKNCTVAKDGKVVNQAGETVGRLVIGDAKALVGRSVDDDGEIVDSNGNVIGKAE RWEEPEKEKSHNPLAGRKVNREGNVVDADGNIIGKLTSGEILDCQGKEVDEDGDVFNQ KGSVVGHVSLLEDIPKEEPEEEPTPEPEGETEEERIKREQAEADIKAEQDEAEKNKKL AQQLAYQIEQTLERLRPICKSISDKISAAEAQKPEDRDEEELVRQVKPLIEDGGKLLT ETNGIIRGLDPDGRIARNAKQKSSSGEATPEEAHLANLLKELSTEIQTCIDEGKRKLE GMPHAKKEINPLWGLLAEPLFQIVAAVGLLLSGVLGLVGKLLGPILGPLLNGLGLGGL LDGLLGGLGLKKILGSLGLGDVVGTVTGKK FPSE_11466 MSNHSPSSSTPDGDPTHSTYEVNVPVRNGRTSEPKVENPEIRIP RITPVSDTSSSGTNTDQHQHQQMPQSQAQSQPQKQEEMDLQKLATGLEGKYVDEFGNI LDWDGTVLGRVQGDLPSMVGRPVTADGQVLDEDGEVAGQVCDNYIEPEPPKLKPLASG GLKVDDEGNIYDDQGNKIGRLDKPIKDGADKVSERPKGDQKQGQGQQGQGSGAADTNG AAPKPPRPDELFLDVKSTYDGIQLTIKIPTVFNSRMMRETKSSGSQTTETDVGSDNR FPSE_11465 MSSMEKDRVDTDDQTMSSEEAPRRERRSKKKSSKSRRQGTQQQQ QQGGGGSGPLDQLPLAGDLGNTVGGVTDGVSNTLGGVTGGLGGLTGGQQQGGGGGDAG KDTLRLRLDLNLDIEIQLKARIHGDLELSLLYVYSVPSRPYSLSSPSNLWPLYLTWLA NLQNGIKIAAMKFLDAEANNCFALCRN FPSE_11464 MVIGLLAIAAIPTVTGVGNAVSAQKRQNESMSKEQEKFHLTFML RQNGKIEEVGQGVLVDKKMYLNLSDAPVQGYRFLGWFFKYPSDEGHLGLVSMVSDDPP ALNWIFVDKDTHAVTFGGKKDTIDHVIGPWGWTADEKFLTLKGDHDSFVAVRGDDGKW SVYWDPEGDIEDEIDDEERCQPVRLRRRPQLGMESSYVKK FPSE_11463 MSYQQDEQQKDTPQSPGQNQQDNNDNNPFGQQQGDDNNQQQGQD QNQDDNQNQDQQQQGQNESESKEDSNKDESKPGEENAKAETPGDAEQKPEQAGSEESK PEESKPEESKPEESKSEDKPEEKPEEKPEQAKSDDKKPEEVKKEEGDATDKKPEQAKA EASNKGDEAKPQQKKKKQPPVKQEEESESESEDDDDDFDSEDDSEEDSEEEDSEDDES ESESEEEEEPATPPEPARRGGRRESKKKVDELRRRRPAWLDEESDEEGRKQLSPKDEK AQQTQQQQRQQQQMQQQQQQQQMQQQQQQQQGGGGGKSDALSLHLELNLEIEIELKAR IHGDLTLALL FPSE_11462 MADCDDSPMSDSEQQTWLTLSITHRKITYELSFPEDATITDLFA EIEASLDVPVANQKIIAPKTPLLKAPFKNPDMPLTDLKGKALTLMGSPASEIQQVQDM AERVAQRNAARMAQRKKARNATPRRKPEESQYTFLQVRPLQGLPNPERSQKLLMRLKE DPGIRATMTKHKFTVGILTEMEPLSNTQTTHEGTSRILGLNRNQGEAIELRLRTDAHD GYRDYKTIRKTLCHELAHNVHSPHDRNFWDLCHQIEREVDASDWKSGGHTIGESSRYH ISGNDEDDEEDYPEDFGGWTGGEFVLGGTKTSGGAAAGLSRREVLAQAALERQRKQAE TERKVLEEQRGRQTPPDESK FPSE_11461 MAAAGHIRLGTASPGTAPSTPETIALIDTLAQRAASSHVDILLL PEAFIGGYPRGSYFGCKIGDRSAAGRDEFARYFDQAIDLGDTVGPAGGGAGIKWVKRQ IGEEDEVRGDGSREELERIARDTGVFVVVGCIEKAGGSLYCSVVYVCPKEGMLGKRRK VLPTGTERLIWAQGSPSTLRAVTTVIRGIRINLAAAICWESYMPLLRQSLYSQNINLY LAPTADFRDAWLSLARTIGVEGRCFVVCSNMCVPKDASAETNGNGGGPAVRERRGSCL TEEGFEIALPKSPTRSRRRRKSVFDEDGNEIVLGCEDDGEAPPPPPARPQVAALKTSE TTFDKADYISPGGSSIVSPFGDVIAGPQWGDPDGIVFADVDFRDCIRGRLDLDTAGSY SRNDSFQFSVEGLNLDPLPY FPSE_11460 MPEFSEADTIRILVATDNHVGYEERDPIRKDDSWRTFDEVLNLA RTEDVDMVLLAGDLFHDNKPSRKSLYQVMRTLRQNCLGMKPCPLEFLSDAASVFEGAF PHVNYEDPDINISIPVFSIHGNHDDPSGEGNFCSLDLLQASGLLNYYGRVPEADNIEA KPILLQKGLTKLALFGISNVRDERMFRTFRDHKVKWFRPGAQMGDWFNLLAVHQNHHA HTATSYLPENVLPDWLDLVVWGHEHECVIDPTQNPETGFHVMQPGSSVATSLVPGEAV QKHVAIVSVTGKDFKVDKIPLKSVRPFVTRELNLAQDKRFKGLDKKKDNRQEVTRRLM EVVEEMIEEANADWEAIQTDEEALEERPLPLIRLKVEYTAQDGGQFDIENPQRFSNRF VGKVANTNDVVYFYRKKTASRKTNAANPTGALEALEGGDDMVKVENLVQDFLSAQSLK VLPQGPFGDAVNQFVTKDDKHAMELFVSEHLTGQVRSMLGLESDDEDLNSAMDIYRTR IEQQQARGLPTAPTERKRVLKPKPSTWDSDFDGAWEEEADAWTYEDDQQTETTRAPAK KATRGKSKAAQEDEDMMDEDEPPAKKPPAKRAARTTKAKAAPAKKAPAKGRGRKVFED SEEEEEEEDVVMESEDEPAPPPPPPKTRRAAATKSAAKTTAAKPAAKSTRQTKLNFSQ SQKSGTQSKAVEISDDEISDDDAFEPAPAPAPRTRRR FPSE_11459 MHLVARMDRDVLQGGSFWSPQPIFQAEVPLSWCKRRCLSIDDLP VEIFTAICRNLCVHCQHAHVVDLPSDEIDHAIEAQQALSRLSRTCRRLRSIAQPILYH CYYSGRQSNLSGNSKSQGDTDVRRPEVRNLRVFLRTLLQRPDLAKQVRALALFALREG SAGKTPQDMQDLFRQAGERAGFRALPSYEQVDSKWLQEASIMAAPFVEQLLIYRSSSE GLQYIRDSPFYLPNLKYLVLPGQGKNPDECCHIQQMQDVLVKAQNLEILAASDADCGT DIPLRERFRAEPWETALSSLRRLSLHGLDPDNLAKILRRSPVLEDLEYFCDMDKYTVL QHNHLAPVSRSLRRLCYTSTTWEHTSGGAQDIIEHVSMFLRWDSSLRGDASFVDFPRL EILEIEQLLLYGPVFDNEEERKERFEAMKDTGPEIFMASLPSSLRILHLGMVLAWPEM HRDLLGMAGKIYRFQNLSVVAVDPYEEPPKEQVKELEDAFATVGVVFRIGQTTQVPFG RGMLGVRPGHPRRSSERDLRFPLNRRNQPYLDFL FPSE_11458 MTQTESHNHADTLHLPRILCLHGGGVNAEVFELQCRVLIRHLSS SLRLVFMQAPFISAPHPDIVSVYGEYGPFRRWLRWQPDHEEIEPEAAADLIRNETRRA METDPGTGEWVGILGFSQGAKIAASLLWTQQQITEKFGPEEALTQFKFGVLIAGRAPL ITLDHRLKHPPPIVHAALLSSEFTDWPESNKGEHVLSIPTLHVHGLRDAGMEQHRILL NNYCETGTTTLIEWDGGHRIPIKSHDVGAVVHEMLRLAKDAGVQLPN FPSE_11457 MSNPEKAPMYSAGDQHGGGLLPTAQPGQDNPSYDNSAPPVYEQG TAELPSDEKQQQPPQYAPPPGVGSSQSATDEKQRLAQQYIPPPPPGPPPAEDQHQQSG IHPNPLQGNPVAAPQQQQATHQPIDTQQEQKNYAIPQYDPAHPTFAPPPTNPTNPTNE PSQPTTAAPVHSEPFGHGNDQPHGYGAGSGYDNKNDTGNVSTFVPPEEHKKPGWSERF SQLGLKAAAPINNLAHKFGSQSFLPETIEKECDKAASILKSFCKNGVYADPDSSQVPT STDPKAQATNDEIIDPTKEKPKQRVLVNIPPKVIAKAQGLAIFTTLRAGYAFSGATGS GILISRLPDGSWGPPSGIQVHSVGAGFMIGLDIYDCVCVINSREALNAFAKTRVALGS DLAVVAGPYGAGGAVEIGAGVDGKKPKDKEAKDEEAPPKPPRPTEDNQNLKPDEKKKK GRSLSASGFKPVFSYVKSRGFYAGIQVDGTVVVERKDANATFYGERVSVEQIIRGEVP RQANSTWPAGARNLLETLKGAEVGSLKVKHSKDGVSPTNAGFGGPSTDPSAPVASGGV GTDAAHPPGYVNDGVHRPDVGDVKYR FPSE_11456 MASNILYLPFRKSTQLSLASTIRQYINTKYDQHPDMFKHDLEVI DALRRDAVNVREPHPSGIKKLQAYAGQLVWVGGKFPIDIGAEFSWYPALGYNTERPMV RNNLKYELMNVLYNLASLYSQLAINTPRGNTEGLKSAANYFSLAAGVLTHMQKEILPE LRMSDPPEDMDHDTLESLTQLLLAQSQECFWQKAVMDGYKDASIAKLAARVSDLYNFA GEAAMKSEAISSAWIHHMSAKHHHFAAAAQYRAACDCLEKRKYGEEVARLRDAVSCAA EGLKEARNGYLGKVVVDDLNGLKRKVEEDLKRAEKDNDVIYLIAVPPKSELKILDRAN MAVARVPPQIGNPYDYFGDRAEFGPALFSRLVPFSVHVATSIYEERRDRMVSQNIIQE LESLTEKIHELLASLGLPGSLQALEKPLGLPPSLVQHAEEIRQADAINRVQKSFADID KLRLGDMDIFEEGKRALASEEEEDQRLRMRYGTDRWVRPESREDPQGQKLWQHASEIE TYFQSSVSSDGVVREKFIAIQDLLAILSGPDRDLMDSVPSSRRVDIPETLKPAIGRLR SAYNDVLRLESRRRKKVEALRDNARRDDIKPDILKEAARLERTYPNTALVPAHFEEFF DQRLDKLYEPEVEAIDKEAQDQDRLLQDVQRVNREFEAQKRQMGERGNREREVALQRL DNAYFKYKEIINNLEVGRKFYNDLNKIVGQGFRDVIRNWVAQRRMEARALEEEINMPM LSNLNISNQQAQAQAQAQAQAHAHAQAQSPMPSYQEPAHSYAPPQQPPQPVQSPAQAS IQSWGETVQQPKPVQPQQAAPMWNPGMGIKFGQPSGGSGQPPAPGTWNPNAGIKFG FPSE_11455 MSYNVLVTGSSGHLGTALMLSLPSLGFTPLGIDILPSENTHHVG SINNRSFVTDIFANNTIRHVLHAATLHKPHVESHSKQDFIDTNITGTLVLLEEAAKLG AQIESFIFFSTTSTFGAALSPTPGQPAAWIDETVIPIPKNIYGATKVAAEDICFLVHK QTGMPVLVLRTSRFFPEQDDDEDRRMALADDNLKVLELAYRRCDMEDIVRAAVCAMAK AREIKFRKYIISAPPPFPKDAHTLAALDKNPKEVFETVAPDCTRVFGERGWAHLKRID RVYDSSRAVTELGWEPVYTFKHVIELIEQGKEWKSELTGKVGKKGYHAVSHGVYTVR FPSE_11454 MSQKDTFDDGELPPPYTESGPSSAPTPTYEPQQSVTTIFSSHLQ NLPLRIHSVQAARTSARDQRDSEILTLLVPHVEEMLSSITAKDPPPRLTETIFVPGDA VGEEWFFSDEDESRTVVRVREDTKLVGDQKRPPKPEVEPRKEKAFDEWGRWQDDNTQD SSSDNVLWWLDHDMAIRLAKYISPERVDRQVVKAHVEQAKKSSGWGFLKKSKPQAPEP PNPKVQEEDPVTMVVKAEEVTFRKENAMGIWEGKTGWGLVIKVKIRQ FPSE_11453 MSYRISAPDEYLAITGMGIKTVKITKATWVWPLQRCTRFSIRPH DYAMDLQAMTKEKLQFSLPVVFTVGPDINQRGANQRGGPDDEADDLVREDRGDALMKY AMLLTSSEDKEHSSQSQHVANIVKGIIEGETRVLVSSMTMEEIFTEREVFKKRIFRNI QNELSQFGLLIFNSNVKELKDAPDSVYFASLSRKAHEGATNQARIDVAEAQLRGNVGE AQRKGEQEREIAKINAETAVQKTERDIEKAQAESKLDTKRTGLTRDVDLARIQAQRSL ESQDEDLKRDVEKKRAAAEMERLRATDVVRATIERESKQQAADASAYEVEADARARQE ASQRKADAAAYQTKISAEADATASYAKVTKNTDAATYQTRNDAEAYNYAAQQRAEAQL FAKLREAEGISAMAEAYGKLSNAFGGPAGLLQYMMIEKGTYVELAKANASAIRGLEPK ISVWNTGSAQGGQGADATETMRNVYQMLPPLMSTINDQTGITLPEWQFGKMSAGVNAV GQEGAKVNGHKGQ FPSE_11452 MSQCAQDMPFLPATVLGGSMDEESICPWTAMAYNSEYDYAVTCS SHNSHRSDLSGGSSASDTRFKEVKESKPASDACSGFPQSAVRRWFCPAGGRAGTSGRS APVPPGQHKLSALFESKTTATSGAPANKRRIANKRRASESPTAEPPSVPRASTESPSG PTIDSDSLPRFDDCFQTYSVCITVKLGNWYQVTI FPSE_11451 MADQTVNELRLEYLPPEILSEIGRCMSLEDLKNVSMTSKKMRRS FSRWLFHSVAVSGNGDKVIYQIAHLLPSMNTEMRQMMMANVKHIRLHVSGPPESYYYN IRKGQRVSRLPVLLATILQQVENVASIDLKTYDYLSKTDHEKLDELFSRLPVYTRLYS LTLGTKKDMNRLINKSARNSIKYLTLTDDSGNSLLKIAKLKCPDLRRLVLRKDFRGIY NPARESLEAVGKDVSKAFPELEWLVIDYDWVTIRDKYTDGDLKGLLGPLAHAVNGMPE LERLCVRLSSGLRCKMNIAFLTSCLTSFLAPDEILEEVDYVERELESGMAHIAEIAPN LKQICFTAFDSSAIRRDNDHWRGPVFRDYRMPTPPFMYRGVRNTESAKMSLERLPVPG RHEFPRGLIY FPSE_11450 MPSDVSNAEWVRFERDRAREKLMPIKVDMAIVRNALEALDPTNR KEPQNDKSRNAKKKK FPSE_11449 MDSAYKRELSVAIGALQKAAQVSQSIVANKDKGAIEKDDLSPVT VADFAVQALLTATIKNAFPKDKVVGEEDASDLRQNSVLMERVWDLLMRVAGDEDTPSL CQLPSTREQMCDLIDECGASSPDSFGRTWIFDPIDGTKTYLKGQLYAINMALLVDGEQ VAGIVGAPNLSIDAKAPLKNEDIDPKGEGCIFFAVKGHGAYVRPLRSDQPRRLSPHTI NEQTTFVTSASVVDSNLEGVHEAVASRLNAEYPGSDLVPWVLRWAVLAMGLGNTTVWV YKRRDRYAKAWDHAGAMLLFEEAGGKITDVHGKKIDLTAGRKMSANFGFVAAPTDIHG RILQTVHDVLKEQGKEAFLS FPSE_11448 MPDESLKLDPAAITYFGEPFTLTPVVSDILRTRYCVPGSIFLVE GIDRVSVSRSGRWQAIRLILGDGELCIQALLGSDMHRFVQMGDVALGAYVRCDMFHLQ EVGKENMVTLIVDNLITIGWNESYRMLQKEDGLAVRERPKRIPRSRAESKPVENPPEP QNEFEEEIPEELLEQLSEELSAEPSKEPPRDSSKWPPKPFVEDEFDDDEAAAEEAFQA FQTLAFAPQPKTPQKATVQVQQTPPSAQPTEPVALPRDWHHPQTPLKLTTLRSIPNLP YKQNWSCNVLAIIASLSDVGPANLPPYRQRTARLVDPSTSKQVHLTVFLDPEKFNPRV GSAVLLVGVKNHRFDGGSLKKYESDRNNGRWWFENPVEMTWCDVEGIKAWWSKGVEAQ P FPSE_11447 MNTHHSRKEEIDRLFADLSPWDIIYLRKKIEATTITLAGFQDLP PELICTVLLYLDFDDYRCCTRVCRKWRELWAQGIVFRQALRQFFPGLQLAYPDISPQN LYARQVQKHVKWRQPYCSFTWIPWNLGASDNFIDLPEYAQPARQSTHVPWRSHYNNGK LAWQSSQRTFIIDDLQTRQRLRFIPPGSAMTGAEFQAVGMSDKLLVLLEMEPRMRKIH IVHLETREWKQLTLPTALQQAYLESTVVYLVTQTALMYFAWGGILKQLDKAKLERPIG TTHMFGGQPKVLPHPTMDNVAFVVRAFSNNYGDERLCSFVVTKFEDGKATWHSTESIA NPLQNPQPDCHDYSWATVSFICKRSDNHGTFCIGLYRIQRSETSRLELCPCCEPRTRR GDWGAVTFNVLTQNFRQHEYLSTRLDVLWDADNRNQLVDRNLLKLENVHLWNDDLVLA AGKMSDDQKTDIYLQTLHPVGSHQAPSPQWAPVRITCILQAGGIEVFQDDDFLILPTL GGLTIYQPSTTPSDGIIIDDSWELSQVAHVQLLYSLVSMSEMLELKHNEMGCGLTTRN ERSRGRPDSPQIVHSVDQWSPYPIDAVDFEYYYD FPSE_11446 MSSSAPDDTQVSRLDADQISTRSSNYASDNDTDTDSTETRIQRN KEKQAIRLLAFISANIIALACGSIVVFSLYAPLLQSRLHYSQFQVNAVAISGSVALYL PISGVGYICDRVGLKPLALTGGILFGSGYGLAAGVYRKLDLEYRSHPEYRVDNDWSLP FLMLSFVFVGVATCCLYMAAVSSCAKNFGKGRYRGLALATPITCFGLSPMWLSQAGTR LFTETRPDGSKGDLDVFRFFLFLAALTFSMGILGTFTLRVVDEDELIDEAIEELEQSG LLDGSSLLGRSERSYGATGEETESSALLDPSKDNAKWKKNWVLNAETRSFLADRTMWP FALAFLLIVGPGEAFINNLGTIIGTLTPPEMEGWSHRTSAATHVSIFGITNTASRIFI GTLTDLLAPYPHTQHVQGPSTRSAVSSRFSISRVAFMAFFASMLSIGLLILASGLVQN HAERFWLVSGLVGAGYGAIFSLTPLMVTIIWGVENFATNYGLIGMLPAAGSTFWGLVY SATYQNGANKSKAGPEGSDRDDLFCYGEQCYAPTYWAETITVWIAVGLLLWAWKAALP VLLAATFTAYPDVFGKSAPEPAPSVTIKQGTIIGKFVDDGTFPKPLEGFMGIPYAVPP VGERRFKHAEPVGASNETIEAYYLGPRCPGIQLVPFLKDPILGPDYESEDCLTINVWR KKGHTPEKGKLPVAVLIPGGAFNRGAARMHNSHTMLAFSEEPYIAVSMQYRIGVFGGL NTELTAKDGLLNLGLKDMYVTLEWVQENIGAFGGDADDVTIMGLSAAAHGIGHLIMDI NQPKKLFHKAIMDSGAHTARAVHPPDASLNSQHFRELLDLTPCAHFKNLKDPKILTCL RGLPSETVDKAGKEVFTRSDPSIRWAWQPVIDNDIISRRPIEAWKSGKFNRVPILTGS AANEGAYYVPLKADNPDEFTGFFKSLLPHLTSSEVAELEKLYPDPLTHPDSPHVDTRG IPGVGSQYKRLETAYGHYAYTCPVRQTVIWATYHESAQPAYLYHWALNKTALFGANHG DQMRYQTFNREVREISPAQREVSGQFHAYCTSFITKGGDPNAIKGKFAHRPEWTSFEN GKGKTMILGRGNDERAGGVGVGKAAELVDFTWGDEQCEFWWRVSSKWED FPSE_11445 MATFSAQDALDVKKMQPFDTHDVEADIKQGTVEDLDEAELFLQQ HGIPHSRLNELMADEEALKKLRRKVDWSLMPLLCGTYLLQYVDKQALGYSAVFDLFTT TGMTPDEYSWMASIFYFAYLVAEWPASYLTQRLPTGTVVSSFVIIWGAILCLTAACHN FTGLAICRFLLGTFEAVITPAFMLIVSQWFRRETQPSRAGLFYCFNGFGAMFGGILFY GVGQAKGWAVWRTIYVLCGGMTICWGVVLFFFLPNNILTAKRYTIEERAMLIAQSARN KTGVFNRKIKWNQIREVFSDMQIWLLFFYVLLNEIINGGIANFSKLIVKGFTHDALLT TAYGIPYGACNAIFMFTGPYVASKFKNVRTIVMCVWLIPTLIAVNLFWQLPRSNKGGL LAGYYMCASFVGALIVALQMPASNVGGYTKRTTATAFVFLAYCIGNIIGPHGWVGSEA PIYQTGCKLIIGCVAGQVVIAIILRFVLIRRNRLRDEQGPVIEDENAALQDLTDFENP NFRYSY FPSE_11444 MSLFINGKILSRAVASLADEPTFAESMYVKDGIIQAIGTKEHVA EQVKTEDVVDTQDLNGKTVLPGFVDGHMHLLLLGQSLRKIALEHCKNLDDILHELRTY AKANPDVPRIMAKGWMHSMTPDGVNYKILDEIDERPIYVDTKDMHSTWCNSAGLAEMK VADMADPQGGIIERDENGRPSGVLSEACILTIVWPTLAQLASNEERIECMLAAFQAYH AAGYTGIIEMAMDEYSWESLVELKRRHPDAAMRVTAYWIVKPSDKPEEREKQVSRAIE LAKQYSKEISPDLRIAGIKIICDGIIDACTAYLSEPYSAAPSPPPFWSREDLEPVVKQ AAEAGIQIALHAIGDAAITMAVDLLEKHGKPGARHRIEHLEVSKPEDAKRLGKLGLTA SIQPVHADPAILRAWPRLISSRRDRAFAYREFADSGALLALGSDSPTAPWNPLHNIYV AATRRSAREPECEEVVNEHFKLGVCEAVVAGSQGAAKSVFQDDRVGSLEVGKIADLVV ADMEWDAKTLLKAEIKETWFAGRRIWSL FPSE_11443 MRVLEFDCGFSVYPPLDPNDPNTIDLYKAFLTTVSAKFEGSVEP SALSADKRILITPETPRPDHASISPANAAAFYCFMLHGLPKIPADAAHCDKFLGFSLS FRHNDDWSKETVEEYLREVYVIAVNHFGDRVRYWHGLYGRRSNKQWGYYTRDDIDAAE DLVRKALVRKPDGKERKDGHIIA FPSE_11442 MSSLSATYKTSNVSETTLVDTSKLSTSTKPGLETTYKPSLKEKI QRKLSGSVDPTKKPADPYKSWEARSVLSLVAEGLIDVVQCQPLVQPVDHTDCLELGSV ALSDIALVSITWPRF FPSE_11441 MADAPLLRRLNGRPQACDPCRARKVACDHGQPTCSRCEKRKESC VYTISEPRVKKQRLRSPSQVIAASTSTVTSTNTVASTHTISPSNTTAAAESTSTPSPS LAPGYLGFTSHNAVFEETRNSLSLIHGPALEIEPSPRRPPKTRACLIEMPSHLREMCL YVLRNLPGPRDDVMPNKLHCRVDDWMFMVIEDILHTLQQDWSEYLATREDAQLEEMAL CISNNTSRPIRDEHSSAKAWTDQFTGSNIRWESIGLIWTYWNGAPGMEAAAVNKCLGS CVELVRHFTTANDILLYLCYRRTTIESLVTGDAGLTCWSYAAETVALLTFLGLHVGVE DPNYVPSLCSEHKRRITARVYALDKVLVSFTGRPPLLSHRYFSGPLAIDIDDQDLMDG DAAIKRAMSRLDDNGFRPDGELLGAALIRARVQIALIKGELLEMALASSVKATFERLA EIKTRCERTYERFPRNLIHRPDELDDPNCNVENVYVRILVRLEHLQNLFFAERLSLRL EHVDENRLLVISFEMVCLTLLFWTHQDRFAGVRRDFEWLLMAFAAPGGGILCLELLRP TFRGTHPDCPKLSRSAIIQKLSLLIGFLDWVRPPAPNADLCADCKAVLQGVLDHNLNA PIAGGGALDTLDWDIPTQLDFNFDLLDTFDWLRPEVLNMPA FPSE_11440 MTPNLPPRNTFTAHSGNTYSFISIQPTSRTTTLLFLHGFPSTLN DWLHQIRHFSSQGYGVVALDMLGYGETSKPDHVAQYRLKPMSDEVIELLDHLKLKTAV GVGHDFGATLLSRIAAYHPSRWESLVFIAVGPPPLATPFNVDMINQMTKQMLGYEMLG YIPWLADLDSQTILEKNAEAAMSLMFCRDREAWEEWFHPLGKMHDFVRENRRLTIASW YTQDLQEAHLKAFGSPDGYKGVCRWYRMWKDNLFAPDEKGFENFKIQQSVLFIVPSLP RESMTQQKEMLSSWAPNLQTVSLDTSHWLHLEQPDQTNTSIQAFLTSSGHV FPSE_11439 MSRDNVLTAAAVERLIADGQTIVVFEESILKLDGWMERHPGGRL AVLHMVGRDATDEMKAYHSESTLRTMKAYRIGRKQGPWTNRTPPIRGGIFRKDAPEEV ELSDSACSDTEESLANSSILDDAASSASSATDDVVVSGGDDILKVEGLRHRSATIKSE PNSKRSAILRVANAGVAREIQTDLENYPPPEDTKVQTDIRRKYQLLHDRIRDEGLYQC PYVEYGKELARYTTLFALFGTLFYNQWYITSAIFLGLFWHQIMFSAHDAGHLAITSNF VADTLIGMFVADFCCGLSIGWWKSSHNVHHLVTNAPEHDPDIQNVPLFATCPSFFKSL HSTYYDFTFIWDAAADFLVPFQKYTYYPVMGIARFNLYLLSWLHVLSKKSSQLGKSRA WWIRPTEIAFMCCYWFLFGYCLLWRSLPDWTTRVLFVLVSHIITMPLHVQITLSHWGM STVDLGETESFAQRQLRTTMDVDCPAWMDFVHGGLQFQAVHHLFPRLPRHNLRKAQQM IREFCADTGVPYSILNFTDGNRKVLGRLQDVSDQLDIMIKCQQHMARTGESGLH FPSE_11438 MASSQVMTTRLLTELPADVLVKIFPLLPLRDAVRFLRTCKGLYK FFIQELYERMKNRFWIPLRFGCATGNIATIHRCLNQLGAPVDCYLPRDNGTHRWGDET YYVVGGWRPLREAMQRLHIEAIKLLLINGANPNTTAAEAASGQSTPPLAYAYRRGAES RRNVVKARAVCVLLVLAGADLRVLDPVKQLEVQIMTRVNHYIPASWR FPSE_11437 MESFTQSTTAPSKLLTMPPEILFAILDLTDTFQSKFSLARTCIP LYNTLITEIYKQASKFPSLAGAHIYEACRDGNILTLERIFQAEAHSIGHRVQELFNRS LYTAIQFYQVNVVQWLLARGADPNYVGDHDVEMPMVFDSPLQLAVQSVLMPGLPEWRI PKKWHELGFVMPGREEWWWAGRMIIQLLRDAGADEKSLYLGLDKEHLDWIQYGLQSCL LHPVC FPSE_11436 MDSITQTIQKARQAADAIPKHSPDRPSRLARLAKQLNRKYSATG ATDYLDEGIELLRIAVRASPDDDPNRGRIVHSLSSQLHDRYIRQKSVPDLLKAVLVAR DSIDACGDDDPALPLFWGNLGSLLIDRYYAKGFKSDLHEAVDCTRKALNMMDKRNPLR ATLLDKLSVALGHLHWRAGSKSDPKVQRYIEDAISASREAVALTPKHHPRLAGRLKNL GTHLGHKYHLAGTNSGLQECIKCHVAALRAEQSPTLRRIQAADDALPYCADLKDWNLA FNVATNAVALFPKLIFRSHQHSDKLHMLGKVSGLASDAAAMALNAGKGLDVVLAILER GRGLLSQSMEEMRTDRASLKAQHPQYAEELDRIRAALDFPLKYNDSLEDPEETWEAES ILRKKADKMLDDLLAKIRKQPGFEDYLLPPSETAMRAAAQKGPIVVINVSQFRCDAII VKPDRLHLLPLPKLTLSAVEDAYMAEPGLPSVQPSGPLPQGPVSNSNYNIWEAFVSNM GWDGPLTNPASSGLSRKPSNALGSPKVLRWLWETTAKPVLDYLGYKAIPKDGKWPHLW WIPTGSLSRFAIHAAGDYYPGTSETVLDRTVSSYSSSIKSIIQSRRRRIAVHKSPYAL LVAMETTKGCSSMLPFASKEVSLVGDICRSMSLRPLQPGKQKRDIVPHLRDCTIFHFA GHGQSDDKDPLNSRLLLNDSRSDPFTVTSLFDTKLHDNPPFLAYLSACGTGRMDNDRF SDEGIHLISACQLAGFRHVIGTLWEVNDESCVEMARVLYENIKHQGITDDAVSRGLHA AARRLRDAWSRKFTDGGSRGGVARRKKQRSPEIRRNGGRDIIACDDDDDGTEPLYWIP FVHFGV FPSE_11435 MTNQPTHHAILVGINAYPDRPLNGCVRDVQQIKSVLERHTIPIN IKCFTATTSSQPDKPRPEEDPVLWPTHSNVTAAIREVTATAQPGHCVYIHFSGHGTRI APMSEFSDHGTGDLALALLNKDATMVQPLGGHRLAIALNAMVNKGLVVTLVLDCCFAA SIYRLERKNVRFLPFDAETFVAGHDEPSEAAETTEQTPSGYRDVSMQPSWLIDPNGYA ILAACGPHEEATEVIQAGVEHGALSFFLARSLQNFGVNKRHRDVFYRLLPSFKANELE QTPALYGNKNQEFFGPHTLTTTRTVIPAYKSKQETFTLEAGQAHGFREGDDFILYPSG VVDNNIALHNNVIAARISNLGPLTSVLHLTDGRKITETKFVAEPQSRQCFHDFPITLS DEVPKPEEWIAAFRSYSLAAYRASEGRPAWLTLQVIGDEYKIYNKHGQQLDNIPVLQR GVVGPEDVAALFEHIVRYEFVKTLKNDSVSAKFRSLFEVSIKTRTADIFTPDNVVDVE EDTHNKYMFELIVQNKCPEELYLHIFNLGPFWQVEDVFYGTAVLPPQTFGDQFTGKFS KKMRTMVPKEMRAMGSRQCEDILKVIVTSHPTSFDMLELPKIRGSPKKPVVDEHRTTI KEAQGWMAFNFPVRTSIPVL FPSE_11434 MSNNEENHDSSEIIPGHKRPHVSAYMQMPEFTVGDSVYYLRSDG SRDGPFLVATPPVGRKCALCYSDGRPFQNNAMISVDELEAN FPSE_11433 MAAPPILPVAAPMKPHGKKIEDFRPGYPRFTSLISSHDSFFLFR RFSRLRARLLLIKQNKLAVLEKKLDDIDDAETFPLFLGKSSIDKNQDRLSVLADIESN LAEYDELLERTERTLSFARAEPRDIQSLKRWVDGNGCVAKDETSYLLNEKDLLSLSPK LDNAIARFEDWIEDRVVQFLRHSKMASPRIISSHVSSDPNVHIYSGPYIKRAAKTLLI GLATALLLLPIVICNLVTDLSMRLMIVILSTVVYLIVISGLTRIKTIELIVAGTTYAT VLTVFVSGTDGK FPSE_11432 MEVPDRSQYQIALLVPLRQDYETAAILLQDKYCEQSLKTSRALC TLGKIGPHHLVLAGGHGDNSDTALFAHNAASDLLAEFPSIRIAFLIGVDAIAPADGIA KSGDIVVGTPQGLEPGLVQFDAHQTSILGRLSVTHQMSRPPSAVQSVIDSLRSKPGRQ EFNEELFNKAMTVRSTATEQENLSWSKSVKILHGKIASSQDNLPKDDLIKTGLDSRVL CFERAAAKLKPQLPFLTICEVTKTTNTFENTSREQRSGIAAVIYAMLIASKIYPNQLE KQRHFTNLFSYEPFGLERPGFRLIQLMRGVQSPLQCNLFQAYLDEEESIIPYEALSYV WGSQSTPSDITVDGKTMSITASLYDALYQLRQPDEDRILWVDALCIDQSNIKERSHQV NQMGEIYRKADNVIIWLGYLCGDAALLKMVIDQFSKQLPFEAFRRWPREDQRWKETWH QVESSFGLTDNTRLVNGLCAFMENPWFMRVWVLQEVANAKRAVIESNLGKIHAKVFAL LPYVIGSPVSEQCQAVLDMMPGPSKDTSWWTQDRNICTLLCKFKGSEATDPRDRVYAL MGMASDIDSSPFEADYTKEERMIVQDLCLYIYGDRSPVRGFSITSIQELQSQLSAIST RLLTNMLEQKSTAQSLQLFLGRQGMVRDFGDITLQKLMDHGRHLVNIYLSKCEVPFQI NLQAAERSLVECPDLFDYFFQRQQISPALQRSVASWMIAVDYNGLEPFLKSVRLEMDP GPELIMNVMTFGLTDRVKLLNLVFEAFRKPIELDEIVFMQAIDEREAVLQTLLQHCQH PIKISNKVLVKAIKAGIERLRTILETPRQAICIEEDAFHAAVARDPTTLQFLFDQCDG GVFISDQLLHSAIQDGRDSLEKILQLSSGIPVGIDGGVFTAAASIGPGTAQLLFDQCH DPVYITKKAVYTAASHSPGTLKTMIEVCPSKVELDKNLFDLAISKDPATLRLLFHYCI RPINLTDKMLQLAIRAGIPVLEVIFENWASDIEITELVTKQAAIAGVQALTYLINNSK GKIQITDNILELSRTFDPSYQMLLGLRISERDVTEDEAIRAIESGSEAFLELFNRPGI NFRLTENICKVAQKHDYAFCVLQNKRPSELFIFKRELFFQGSASLESDADRLIKQRGF LGWEHISVLSEMQES FPSE_11431 MSTHHQDNTTTEISSLEITPARPRQEGKLALLPSEILLKILNDT EITQKPVEQNPRLLHPLKNPGTIDVCTNVLSAPDVKNLALASSSLFYRLSPSFYLAGN CYAFRSAVKHADVDAMKRCAEFGAAPDMHWELEGECECRGEQVHLSHRPIDVLLEQVK SCSIPISKSIEALHWLLDNGYDVYEQPVSLSYERWPSIDVSQEQLEDARVKLKTVSMP EILMSALSVSAGDRDRTAGICQMIDMLLGHGCLIPYNFNQRAHSYHSNPSHVFTPMEV AMMSHCPPHFLEVLLELYKRHDAHGQRTADTCPESMSRWVGWNPFEQDVGLRMRQEWM HGSDLGKLARNLFLDLLDPARDWKEEYYGETADIFTEKINLMEKYDFIDSSEIVALRC ILRSLLRITSMAVFAEGLDMFAGGLDKARHGKHCFEIMISESLILIAPTLLGPYLSRW FDEGPHRVHRFEFDRRWNPWADYYLMQLQDKDISDEHSHAPWIRKGDLTREADGTVRD RKFGPLVSLIPRPRPRTELRWTRYDYDEFIEMVSEAFDMYEKERHKWEARKLLQLERR QMQAFSQLRTLLVEPGEDEEEEEEEEEVVVVEEGDEEGYGEEGRFPYKPAIYERFIYA HIEFYH FPSE_11430 MAVATTLSSRPALRIGTCMAVGLFFLVTLCTFFSDNVTSPVQFT HQKAQQYYDSSQPSVASFVPTPIPQSTTTPEPTPEAARNCEDPYRRPGYLHIPTDKKA YRDTQWIPFTESFLNSEPPEYAAYPPTHELVFNDTAVEPEFLNADGNPQQWMRMAVVE SRRRYKEVNIPPLNATAEDFVDMKETNGLGWLWGRRVVMFGDSVDRYMTQFFCEEFGG KINLPIQDVTARQAKGLCEVPAFNLTLIYYHSAGSFTYRPDWWWIENMKDVAWEERWD KFWKPHETPINGPAGRPDLILWQNGLWDQKAFQLSGKAMHNEGEKPMILNHRKMVWEE VRFVTARIKKIAQRLNDEFGKDVPIMFRALTVHKESGMQDAIMMEMDRLGRAVAEQAG HEMFEWSKLIHLLGDLYQDGLHPGKGPASWLWGNMMLESLARSAGSKVGGEARAPYFD GWDACHKELSGWGGR FPSE_11429 MSSTILFAAGLLVGAAQAYTQVNAASPFMLKNIDPIVFPGQHGK SHLHSFFGSDAVTVDTKTSAELQKGCTNAENPNDLSVYWIPTPLYTADGTKYEPIPVM RFSAYYNLGETPAEIAIPQNLKMVAGDAMAKTQADMPPEAKAEWTCESQPVPIGANGF PTGTCNTHLQQLLYFPQCVNEQTLETAYKSRDYGTANWCPEGSKSMPQLRFSIRYDLR KVLPNGWQGEAPFKLACGDAWCSHGDFINGWTEEAATNMIATTAEKQHFAAVTGALGA PDAGPLCQAKDADPANGTSDYDESVAAMSKRDVTAWGWKSKSRFVRSA FPSE_11428 MRSKRVISIVTCHAEGEVGDVIIGGVIDVPAKTMHDKLVHYMAE KDDLRKLLLQEPRGRLEMSVNLVVPPCRPDADAGFLIMAPGDWVPMSGSNCVCTTTVL LETGIVPMVEPVTTVRLDTAAGLVVATADCQNGKCKSVSFDNVPAFVYALDKEIEVPG IGTISVDIAYGGQWYVLVKSEALGIRVEAINADRIVDFGKRIKEAVLANCMPTHPENP AICGINNTIITEPLEDGPNGKTVKHTVVVTPGRLDRSPCGTGSSSRLAILHARGLIKE GEEVTFRSIIDTEFVGKIRGTTKVGELDAVLPTIKGRAWITGEKNVHLDPEDPFPTGF LL FPSE_11427 MSNTVVVIGAGVIGLTSALLLAKEGNNVTVVGKHMPGDSDAEYA SPWAGANVIPLAPKEASRWERRTWIALKKLVEETPEAGIHYQTTHILRRDSDMKSSKS GFSSNFYADNPWFKEIFNNFRDNTPTELAAGYDSGFQYQGVCINVAIYLPWLLGQCLK HGVVVKRGILTHINEAKYLSHTGKKANIIVNATGLGSLKLGGVEDTTVAPARGQVVVV RNETPKNMPLFMCSSTPDESGEEIYAMQRAAGGGTVIGGTYQINNWDSQPCPNTANRI MQRVVDLMPEITGGKGITGLSIIRHGVGFRPYRKGGMRLEEEKLDDETWVVHNYGHSG WGYMASYGVAEGVVELVEKVTGTRAKL FPSE_11426 MEPRYDLTINLDEKWRKQWSAVPNMMLFLAMDVSNGGKDSYHNL IAYVSDIAASTQISWTDNYAMTGVTNHDTGSLKYTTTTPIVGVKFGDKINVDEISFII PSPNKDAPTDGFLFKNKIECSAVLYREINGELEQIYTSHGGDMSPDSSEVIIPQKKVY VWFSDDITSTTVDGRMAQAEVLDFDFDDYGEMTFTFNDAGVWIKS FPSE_11425 MPIGNIYVIAATSVVGGALFGFDISSISAQLGENSYKCYFNQGP KGPPFNDDLDCSGPTSLNQGGITASMAAGSWLGALCSGILSDRLGRKYSIMVGCIIWT IGSIISCASQNIGMLVVGRVINGLAVGIESAQVPVYIAEISPPSKRGRFIGMQQWAIT WGILIMYYISYGSANIGGRTSADYSTAAFRVPWGLQMVPGIFLFFMMMLLPESPRWLA RKDRWDECHAVLTLVHGHGDPDHPFVALELQDIKEMCAFEASIADVTYLDLFKPNMLN RTIIGVFTQIWSQLTGMNVMMYYISYVFSMAGYSGNANLLASSIQYIINVLMTIPALL WMDKWGRRPTFLIGAALMCTWMFANAGILAAHGTIIPKDERDSPQVSMSVGGGAAKGL IACTYLFVASYAPTWGPASWTYPPELFPLRLRGKGVAFATSSNWAFNTALGLFTPVAF ENITWKSYIIFGVFNVAMFIHVFFMFPETAGKTLEETAHIFEDPKGIKYIGTPAWKTR VATHSTTKAEKGDVEAKLSHTTHEQATGRASPPVEK FPSE_11424 MPSLYRIGVDVGGTNTDAAILDIRATNNPGRGVLASHKASTTKD ITSGIEAAIRAVIRDSAVDQSCVLSVTIGTTHFINALVEADARRLDRVAVLRLCGPFT RQLPPFADFPLGLRTILDGGVYYLDGGLEIDGREILPLDEEQIRRTARDVVAKGISVI ALVGVFSPLDHDGLHEERCRRIIYEECPGLQVVCSQDIGPTGFLERENATILNASILR TGHRVKKGFKHAMHRLQLTCPLFLSQNDGTLIDADTAAEFPIKTFASGPTNSMTGAAF LAGLDKRAETQSEEEEPQVLVVDIGGTTTDVCALLPSGFPRQAPGFVEVGGVRTAFSM PEVVSIGLGGGSKVNVNDETGAVTIGPGSVGHCIQQEARVFGGKTLTATDIVVALGKV NLGDASLVNDVPPSVVENGRKELNRMLESLVEQMKVSSAPVHVLLVGGGALLVTEALA GVDKCIVPIHQGAANAVGAAIAKVSGEIDIVEIPEGRSEKVIVDAACAKAIDLAIAKG AAKNDVKIVEINKMPLQYLSNGAIRIQVRAVGQLAIPEELTPPPSPPVLSMRETQEDE GEKVSVPGALIPTYKPSLHVDLDAYRPDVQDGVWYLSEVDLEMIATGCGVLGTGGGGP THHEYLKSLDALRKNPEKKMRVISPKALDDDAMVCFGSWYGSPSVINERIAGGNEIVT GINAVNKVVGNKTFDGMYIDEIGGGNGMSAFPSAVHFDVPVVDGDAMGRAYPTMYHAT FSVYGHSLTPCVLSDARGNVSVVMSTDTPMRLESLLRTTTIELGLGCAVCANPLPGSV IKSHGVPNTVSQAWYLGRAVHNARRKKTSYVDAIFDVCAGKLLFTGKIVDVRRYIGGG YTMGSVVIAPLSADERETNGLESTTSGDKHMVIPFQNEYLYAALSDADGSEESQEVVC TVPDLISILGQDGEGIGSQDLRYGLRVNVVALPAHPLWKTDKGMKVGGPEGFGLKMGY VGVDNDFTEARSVIEEFGA FPSE_11423 MALTNLVQRLELPRGSRFINEDVRPVASERRTWTFLTFHNFWLL INCNIATYLTGSALIPLGLTWWQAIIAIVLGNIFATAALILASLAGAYYHIGFPVFSR AVWGIWGSQFVIWNRIFLSLVWYGFQSWVGGQCVYLMLLSWDPSLEKHIPNTIPASTG MTSAQFLSYFIFCVATLPLLWIRPHKIQKFFNIASSITLVFFLVLLIWALATMGSDGF GDTISGSTPLPTTGGPNSVTWLLISGIMSTVGGIAAGILNQNDYARLAREPSAAIWGQ AFAFPLYSIGASVIGILVTAATQKRMGEAIWNPPTLFAAMLAKDPTSGTRAAVFFAGL ALSISQMGSNLPGNALSGGIDLASVFPRYINIRRGAYLMALLSPIVNPWRLVNTATVF LTVLSGYSVFLAPMTGLMVAHYNLVAKGKINVDHLYVGHSESIYWYKAGVNWRAFAAW IVGVVPQMPGFIAAVNTSVHVSDGAIELYRLNYLFGFVVSAAVYYALHLVAPDEKLDN FVKDGVSAKDTQQMYHDRWNMPYTEGEPGSQEHIIPHSKGPNSLATVATSC FPSE_11422 MPNISDPNRKLIKVEELVSSPPNNTHTPSTGPEIITADDAAAAP GKSQSEEPQSSLVKDNSGHQHYIGPSGTLNFWNQLRNLVDSNDSQTTPGRLGVTKFTQ DNTSRLLEADGQDEDDQPQRSTVWPQDGPSPGSMTSAIARDFTRLPTADMDEILGQFP PNEVLEKLIHSYFKNVHDDFPLFHRATFEEEYELFIVQARRDPRIPNSRPLPLPDWGW IGCLHMIVVFGSISDKLIPNIDHSALRRRSVTVARTLLPQFIAKCSLSNVRVLLLLAL FLHNNNERNAAWNIAGTATRIAFAMGLHRLDMSSSFRPLEREVRKWVFCTLYAFEQFL ASSLGRPSGLQELDVEVVPPREDFVEGGIGTDARLVSWSVKLQAILARTRLLHGVNRS PGPKLDEIMNALDGWKRDIGKAPGLDVAWIKLEGRALPSLDGDGAVDMEELKVSLAWK TGPQLRAVLLMHIQFHYIAIVATRPLLLRDVASTRKADADSAKAPVPTHAALCVKHAC QLSYLMILLDHFDVLNGLSGLDVFYAYCSAMILILRLLRLRPGELVEGVKPDEVALQT KIRRIVETLRNVINHTEKCGSMARLAQVVDTFSECANTPNDPPATSNLPPQGINTNTM PYPPGWTAQQMQPQDPQAQGIGSMDGLLNFLPFPGVGTTIEGSMAQFIPGSEVEVAGW PEMEFLMEGYGETNRTGYYG FPSE_11421 MPLRSQELFHYFCGNASAFSALPKDHRNNFLAYTISNPEALRSA VLMAGIHFAFDIGHLDKFEPTFLYHKIETVQQVRKLISRGDLKLLAGITKQISTLAYA ENDQCKTLDQELSDRYFLLTSTFVNGLESLIKGVACKQGLGGSVTTMELSETMNFLHN FHLTSGQFSHKNTVKAVRLIPAFFDAPHDGAQLLDIDYRPILECLQGLDENPGPNEQY DFWLYGRASTFWSNIINAHLNSIYYEGDSSESNATTPEDSRYMTPWCALLAAVKFYVE QVVIIWRPLRREIFLHALRILQRDIAVAMQKPVSLQLPEMMLWESFLGLVSIRGHEKF GDMDQEPGLRPFFEEIVRSQSKVMRLYTWEDVRGALMLSNLAEGTCRIEDHCESLRNI EPVTWPTLQRPPRILTVAAAASPIRTSCLTIKLPLL FPSE_11420 MSSVPPAKTSRLLWVSFDGTLAGGLGSCRDTVVSVLPDLISQSE NLNHVFVPGVGSGFTPFTRVFGVLCGWGTQHNVVTAYRSIATAYVPGDKIILCGYSRG AWAARYLAQIISLLGLPRRCDNNFIHLLDKQCDKDPMFQLPVDPKLLEYDRWNNVEIE ALCCFDTVGSLGLPLYGIAKPLSILRRGPRKADIVSTVASNVKNSFHCLALHEQREPF SPTYMRGKNVHQVFFVGNHGDMGWIDRRKESFVHAPLAWIVQQLQWHSGMRFDEVKLN EYFPSYGRDPGDNLPCIDGPIARTSRITRLFMGIKKRQPWNAANYLRTADNGKNNGPE PTCDACDTILSDVQIHVSARYYEQPELAVPGYTQNAPIEGKFHWIQQGNALQSQGWRF LGNSRQNSSSSSSSLKQTPSTVASYKRIACLPGSEPTNNGGQTRHRIYPALVGPLEAR LLALPAAAVSRRACCAPPSEIPQDEVTVPDSVPAEGGKTRQAISKWTKRFGFSHR FPSE_11419 MTVDIKPYTINVSDSEIELLKTKLEHARFPIEGEVSDDWTYGAS LSDVKRLAAYWKDGFDWRAQEAKLNQYPQFTTGISVDGFGDLDIHFLHQKSSKLDSIP LLFVHGWPGSFVEVLKILPLLTEPEDGPSFHIVAPSLPNHVFSDGVSKSGFGIPRYAE TLHKLMLKLGYNKYVTQGGDWGYIITRLIGSQYPEHCLASHMSMIPAVSPPNPLKTPW QFLRFWLSPFTPLEKQGIEQMKHFYNEGLAYNLIMSSKPSTIGLGLADSPVALLSWTY EKLHDWTDDYKWTDDEILTWVSLYQFSKAGPAASCRIYYESRHADQDLTKKINDWVPN VPLGLSYFPKDIVFVPRTWGRTLGPIAFERIHTSGGHFASIERPEELAEDLREMFSES GLGKQVVEKLR FPSE_11418 MDFTYRYSFEPTDYDTDGLCDGVPVRMHKGADLDEVAIFKAQYD WEKHVGPKLPFRGALGPRHNFICLTLPECLPERLEIVSYANEFAFLHDDITDVESAET VAAENDEFLDALQQGVREGDIQSRESGKRHLQAWIFKSMVAIDRDRAVAAMNAWATFI NTGAGCAHDTNFKSLDEYLHYRATDVGYMFWHALIIFGCAVTIPEHEIELCHQLALPA IMSVTLTNDIWSYGKEAEAAEKSGKPGDFVNALVVLMREHNCSIEEAERLCRARNKIE VAKCLQVTKETRERKDVSQDLKDYLYHMLFGVSGNAIWSTQCRRYDMTAPYNERQQAR LKQTKDELTSTYDPVNAAKEAMMESTRPEIHRLPTPDSPTKESFAVRPLVNGSGQYNG NNHVNGVSNEVDVRPSIERHVSTKRATSADDIDWTAHKKVDSGADHKKTLSDIMLQEL PPMEDDVVMEPYRYLCSLPSKGVRNKTIDALNFWLKVPIENANTIKAITESLHGSSLM LDDIEDHSQLRRGKPSAHAVFGEAQTINSATFQYIQSVSLISQLRSPKALNIFVDEIR QLFIGQAYELQWTSNMICPPLEEYLRMVDGKTGGLFRLLTRLMAAESTTEVDVDFSRL CQLFGRYFQIRDDYANLKLADYTEQKGFCEDLDEGKFSLPLIIAFNENNKAPKAVAQL RGLMMQRCVNGGLTFEQKVLALNLIEEAGGISGTEKVLHSLYGEMEAELERLASVFGA ENHQLELILEMLRID FPSE_11417 MERLKMDSLNTNINDWFEKDLPATADWKLFALASVVFVVLRFTC IVIYRIYFSPLSKFPGPKLAAATHLYESYYDFWKKGQYYKVIQRMHEVYGPLVRVTPD ELSINDPDYYDTVYVNGNVRRTESFGHSFGGGLGIEDTFFASQDHDLHRKRRKPIEPY FSRNGVLKLENLIGERVEKLFHKFHELSGTGVVARLDYAFEAFTGDVMQHICIEKPES LLNSDDFSSEWFEMLRNVSLSVPLMGMIPWLVHVLKFIPESVIMWLAPSAAHFQTFRV QAGRQIEQAKHEKVENDRKGIATVGGKPTLFRFLVHESGLAPEDLSTERLQKEAMVLL GGGTTTTARTATMTCFWMLSMPKKGQRLRDELKDIMAEYPKKKPSLTELEKLPYLGAV IQESLRMAYGSMRRLPRTSPDVALQFKDWVIPPGTPVGMNAYYLHTDPNAFPEPFEYK PERWLGHVTPAMKRSFVPFSRGSRRCPGSSLALADLHFVLAALFGPTGPKFELFESDR SDVDAIHDYLMPLPRLDSKGVRVTVK FPSE_11416 MELPSFSLKFDHEKLLPLAIISTGLLVTSLAAIAIYRIWFHPLS IFPGPKWLAISNVPERYMSNISGTWIWRVSGLHRKYGPIVRIGPNRLAVDGSIGWFQV YAMRGKEDEFPKYPEYIFPGDGLSILGANQVNHRRHRRQFWSAFNDQALVEQEIVIQP YTDMLLQRLSEQAKIGKPINIVDWINFLLFDIAGELVFSSPFDCLDKQEYHPWVANFF RAVKGNAVNRFVTHYPITKPIVNFLFTGKEQIQREADQRNMTFHHAMQRMKLGEQPTP GRRDFMSFLMRRNRDGGGLSDTEILVDCPVLIGASSETTTTALSGFFFYLGISPLAYK RLVEEVRSSFKSESEINMKTTKQLEYLNATVDEALRVYPPAAESPPRISPGAEIDGKY LPKGVVVSVYQWGTFHNPDNFADPDDFIPERWLQPSHPLHNPKYDNDNRSVYRPFGFG MRDCLGKNLAHAEIRVVVSRILYRFDYELAPNQENWHANQKCFMAWDKTPLILTLKPR DFAP FPSE_11415 MRVAVVGAGPGGLVTLKYLKEATKFFDIDPIDVRLFEREDEVGG TFTKRTYEDAELVSSKYLTCFSDWRADLEDPDFLSADRFIRYLKEYADHFNLWPEISL STPVTSIRRGQAGGHIVHYRGPDGIDKTWECDAVAVCSGLHVTPNIPDVPGIDKVKIV KHSSQFKKRDEFPQGSQVVVLGTGETGMDIAHLAVTSPTKRVVLCHRQGFLGAPKKIP NPILFPIFGNKPNPNAQELPIDVSWQAPLLDSYLPPFLRDRLFTWRFQDINIKLANWL CSGTTAGVDQWIGGLDADRFHTSQSFFNKAVWRCLHYISEPYRPTNPGLVERIRRAIV TIPVKEVPGNKYIDLAPWPTHIDDKGIMHFRENGRPEAERMKTLGSVKPDMVVYATGY RQEFGFFDEANKNGEDYPTCSDADVRCIWRHNDPTVGFIGFIRPGYGAIPPLAELQVQ LWLMTLIKPEVGKSLSSREEYHFKLHGHKRIDYGVHHESYAYQLALDMDAVPSFWDGV RVGWNAGAKHPGLWWRLPVLWLTGAQFNTKFRVVGPYQWDGAVDVLGGELWETITRRE GLFGAFVMTIVPMTMVGTSSIIMWFVGLFTALLSAIGSFAKGTFWRVV FPSE_11414 MSQNGPQIIFGTASIGYGSLAEEDAVKQFLQVASDNGVKQLDTA ASYPTEEAMGQTEVLLGKVKSSEQDWVIDTRVAITRNLLGALAPEAIEKSLNTSLERL HQDSVNILSAHMPDPGTSLEDQARGFDEQFRKGKFKKLGLCNWPLSMIEKYIEICDAK GYVKPSVAQYMYNYIWRGTGTKILDFLRKHNIALHAYSPLAGGFLTGKATSGDTAGTR YGEPTHPLTIYKFIYDKEPFHKAVKDLYTLIKDGEVESTSDVAIRWLFYHSKLESQDA IILGGSKVEHFGKNVAAVKKGPLPETVLKALEGLDVTEAGMGDGNVFGSVFEQ FPSE_11413 MRQNLPTQPATSGNVQDLYYPDNMLARHPSKPHIGSLEEYQQMH QLSITEPDAFWGNLARELLTWERDFHTVKSGSLIEGNPSWFLGGKLNASFNCVDRHAL KDPNKVAIIHETDDGTNGQSITYGELLKQVSKVSWALKDLGVKKGDTVAIYMPMIPEA LVAILACTRIGAVHSVVFAGFSAGSLRDRVENAKSKIVITTDESQRGGRTIGIKKIVD EALSTLEGVQTLVFKRTGADVAWVEGRDHWWHEQVAKWPSYIAPEAMDAEDPLFLLYT SGSTGKPKGVLHTTGGYLVGAAATGKYVFDIHDQDRYFCAGDVGWITGHTYVVYAPLL LGVSTVVFEGTPTFPNASRFWDIIANHRITHFYVAPTALRLLKRAGSDPVDHDTTSLR VLGSVGEPIAPEIWKWYYDTIGKGNCHIVDTYWQTETGSHVVTPLAGVTPTKPGSACL PFFGIDTVLIDPVSGVELHGNGVEGVLAFKSSWPSMARTVYGDHQRFEETYLKVYPGY YFTGDGAARDDDGFYWIRGRVDDVINVSGHRLSTAEIEAAMVEHAAVAESAVVGVADE VTGQSVIAFVCLKEAFRSKETEVHAELRLQVRNSIGPFAAPKKIFVVPDLPKTRSGKI MRRVLRKIVMGEQDQLGDISTLSDPSIVEKIIDIVQRG FPSE_11412 MAQHSVEAGASSEVTAHTSTASGPPATSTGETPADAPTAPAGDF HNPAHWASLTGGDMLEVDDADSAISADIASSTESISSSILHYRTINGRTYHSERGNAQ YWHHLLCLSLDGKLHLAPLKDDIQRVLDIGTGTGTWAIDFADEYPNAEVIGTDISPIQ PEWIPPNLKFEIEDCTQEWTFQSDFFDFVHMRYLYGSISDWPALFKEAYRACRPGGWV ESHEASPRMESDDGSVTEGSAMSEWGKFFIEGGKKLNRTFEIIDKDLQIQGMEEAGFV DVKVWDYKAPIGGWAKDDRCREVGQFGQAALEQDYEGYVMYMANVALGWSREDVVLYC AQLRKEVRSGKFHPFYRQRIVYGRKPE FPSE_11411 MSVDLHHTTKAVARLQDDNTTKRQHLLLPLPRSNGAARSVLVKT EISNATTMLPVVLLSIPRQGLVIISVLPACRRAQAYDQHPPASPFIDSNTSGADT FPSE_11410 MHGGLIETLLSILAQHWVLALTTVIVAWLVRNRFHHGLNKYPGP FLASITDWWRFFDVYGQKSEATLRKLHAKHGDIVRLGPNNLSFSDPSVLKQIYGLSKG YVKSDFYIVQQSVVQGHRLASLFSTTDNDFHSQFRRSVNAAFSMSALVQYEPFVDNTT KLFLDQTEKLFAKKSEVCDFTQWLQFYAFDVIGEITYSKRHGFIEKNEDVEGIVAYLS KLFLYVAPIGQIPFLDLLFLKNPIYLKLSQWGLFDSTFPVAKFARARMAERLIPELHA SDGLPTSNIKKQPLGQDLLSKFIAAREARPDFMTDTLVQTMAVSMAFAGSETTAISLS SVFYYLLRNPACYEKLKKELDDAAKAGVFSDYETGLVTFTEAQKFPYLHACVQEAFRV HPAPGLPLERIVPPQGAEINGEFIKGGTVVGVSAWLIHHRQEIFGPDTDAYRPERWLP DPKLNPEDEEKRIKKMTGMMFQFGMGSRTCIGKNISLLEIYKVVPSLLRRFEIGFDDP TKEWKTINAWFIKQTDFNVRFTKRELVQPEFSEK FPSE_11409 MASATSFRELIGVQPSTASPTDSVLIIIDAQNEYAEGKLQVTNV AESRKVIASLLEKYRAANGSIVHVVHATPEGAPVFTPGSKLAQEFDELKPKDGEAIIQ KNYPGSFAKTDLQEVLDKTGKKKVVLTGYMAHVCVSTTARQASERGFDVLIPEDAVGD RNIPGVDADQLVKVALSEIGDAFGTIIKSSDIA FPSE_11408 MVKRFNGFDFWEQRIRAQQGHISDVESEIESASEPDIESEPCSP AESPDIHIETLSPTNSLQAWSQPTKSDGIVRSRPILIQSVGVHDVRNSMSFSPSLDHQ SHSASVLHPNSYEEKGEFLTVIKTNDSFTSSFDASPIEKVGPSNNTPKLRRSPLKLQT TNLSRVTRHQQRHSALPSLPVTTVTPSAHRHTFNASADCTPCVAIKTDKAFEALVDAL KPKQIPIIEPVTILRPSKDSPMPTLRAPTPLRPKIISQHLERAQLWAESQQEDFDSST ASSVSSPMSPIMSFDLPIDSKDGPVKTEIVPMSCNLDHDLGDFLKWEAKNVCAYGYGT NGWAFSP FPSE_11407 MYPNTLAAIAALSFVPYVASQAQGTATVNLAQGIGKPQALGSGF IYGFPDNGTHADNAIPDNLVTDIKFNANRGGGAQIPAPGWATGGLKGYQSRFQSTLSN YLTTRKYNADFILLPHDLWGADGGQSSESPFPGDNGNFTEMEMFWKQLISDLKANNML QGLVIDVWNEPDITSFWNRPWPQYLEYYNRATKLIRKELPGAVLSGPSMADSPNLKNS KWSTWVESVAGNGTIPDRFSWHQIGTWSREPDVTIPDFATLRARSGVPMKPVDVNEYA ALEEQNPANSVYYLAQLERYNIRGLRANWASGSELHNWMGNLVYSTTGTSTGTYYPNG EWQVYKYYAAMTGERVSTVAAADKKFDVFATKDGKTVKILAGTRTIKAAYDINVNGLS AVGFPKQGTVKVRTLRFDWAGPKGKMGNPVDLGTKGFTYSSDKLTIAVNPPTNSTAFA FELSM FPSE_11406 MAAPPRPTHDSRLASRLWMVVSGTVVVLLLLSSYYTGILRTPLD YAESKAGDFCRKATDRTENAKPRNCQDPYRRPGFLIIPPNETDYRQTQWMPFTNTFLD SSPESAAYPPKKEELIFNDTQVPEEFLKGPEIPKQWMQIAVAEHKRRLKALDNPHATV DDYAAMKDQGGLGWLWGRRVVEFGDSVDRYEARYTCREFDNEMYFPKVHPIEKVPKGI CEIPTFNLTFVVFHSAGGFTYRPDWFWYKEMRIIPFEERWKTVWKPHEAPIQGPNGRP DLVLWQNGLWDQRGFQVGGKKHHEPNTTVGLWSRKLVWEELNFFTSRLRTYVEMLIRE FPESPMMFRTLTYHQHTGMSDAMMPEMDRVGRAMAEKYGHEVFEWARIIQLLGKHWAD RTHPAEGAMSWLWGNMILEYLARSAGAGTNAGGEKRYPYFEGWDACHEECLSQGGF FPSE_11405 MADQVQDLLTRLSLELSVTEPAKLKEHMAYLQRRAARLGCYYER FPKYPAIPDQIEHTEAFIDLLSDLRTRGGNKKDIAELQRELRAQMRKDDYGGSEVLYR RSLARRIQRVIDATMSALRTDISEWEDMKKAMAKESRNPAKSIKTVSED FPSE_11404 MPQSLVPYTSSMRMGMGFNSYTHKLCVEGVGCRDDDQHTVEKSL NSVTYSSRVIERISDIATAMDISLSHTMIQGSLNRDGSGTFIDEEKIIAADVNVLVSV KVMSRSFTTSAPSDFQSIHDFDPRMDVFHDAFGDSFISGFVEGGYFVGIISIRCLDGS DRDSVIQAIGKIAQTKTDPSDLNETTRSRFFNSALRNTETTISVRYHGGGSMEGIGRP WDINAVYQAAAAFPALVAKDPQYTWAILTKFNASRSWNERMCLPREPGSRFKDYYPVM RYTATLFDNFMKYKGLVAKVQDILSHGDQYTSTSKPNSIELDVAKLVEVRAALQNEMD KIASVVNILVKTPEILSQVDSFAKTTENELVQRLLYATRLSSSRFKDLSGLYGDEPCR TPTGHSSDTSTADFQDILTPVTNDLDSVVNNEIYHEESTTPVPIHSFETKMKSLIAPE LWEVLLPTRKPSLATQNQAKDDLKIIAAVCGFHDVKSALQALVVNGEMAIAMDAIKAS VNGEIYKREMPLLGWNLSFIYQYGDGPMRICSSNYDEKSSGFINISKSSNHPIVTPRK SILGKYHIITAIYGGFLCSEDQIKSVEKELEHNHGCKYDIPHGYCIVFNDELIGANPW HGVTKTGVVFFTMSNDSRIYTEVGIENQCFHLQENYRTIIAQQAKDC FPSE_11403 MADLENIVHATKGRIYGPVDGFYEAFENKPWYPELKSLIESRSP DIQQFLPSAGTTINLEKLNTHLKTLEKDRIRQYYGLTKSLKIPNPSFWLAEECDGCSD APDWSKVMMVGVMDDKDDPQDYHQGLLCLISLAERVFLDQPTRRFLHGIYIRDRVAEP WLLDRMGLYSGRPLELPSEGARLATLLLGYSMMSHSELGMDAFIREEDGSKFIELSNN DPQRLFLEPTPFVCPNQLLGKGVTCYKAREESSGPWKAVVKFCWKSEKEEMEEKILHL VKERKVWGVIQLLDQGEIESMTSIHHGLALGPPRKIPASPNDEQTRDASSIDTQTILG NSELVLERNNTSDESRVLSYTITSPFGRHITQYSSIKEFLVVLRDAIKAHRSLYTDGR ILHRDISINNIIITEAKSPGESSGTLIDLDAAVEMTDKKPTRKTITGTKPFMAIGLLQ GEENAYRHDLESFFYVFLFSAAIDRKLGLSADTPLQKWLGGSWDDLAVRKAENLTDPQ FDKVLAAFQPDFEGLKGLARALRDLFIGSKVASEDDGSQLYDQMLAQLDGAILEQSDG KAQI FPSE_11402 MLRDTIVAPAVYNSLPHISDVSEAPKTHASDLIELRALLFKHNV PASVSVRLIHKHFDVVEGEVMAFKQVSAPPFGDVVVMRPERAAQDLPLHGLNYFVNSD GILQAYEYTTDETMNMAPYSSFLAEFCGLVVQKGLQLKFGLKLSAKEDSTTATEFEYP HSRSTIIIPQGLPEPESLGDEGVTTEWKGMPSGDPKKAFCLIHIQTCNQHCKGHRSGE EDRKSEWYLGGQTLVPGTEIFKLVGAVIEAW FPSE_11401 MPKIQSKYTQQQMNLAIHEVKFLGHSVKGAARRNNVPPSTLRNR FRGSRSAKEAHEACQRLSAVEERLIVSWFVLQDTFGMTPTKTQITELAVKFLQERGDP EPLGGLTVLSRDMSQSRESERGKGPRLPANPALVNNRPEPVSDSNKVNSNDVANGVNN NENSTSNGVANEVIVVDEDESESESSDEMDTNEVDNEKTPEQMNSLRSPLGSRSNSQQ RDSVDSAAPTPDDPITTRR FPSE_11400 MNNSQQHSIRFAKKQVSRKRATKACLKCRKRKVRCDVTRTSTPC TNCRLDGCECVVARRADAFPESNGPSSIASNDLLRDCEAVTTAESPASSNDNPPSPIE EASSTLLNLEESEYKSPHHRDRADIDTPINPTPDNVFEAERMEEFTPTSFQSASSVVT IEEPCEPVRSELKALYSSMPFLRTLNMRDCDFNHLNTQGCLRVPSKPILDQFMKHYFL YIHPLLPLINEADFWSAYDPTHSDNANPGTPVSMLLLQSMMFASCTFVSKESLQTLGF SSILQAKESFYTKAKLLYDFSTDPDPVSIAQAALLLTYWCPTFRSGPRKANSRWLRIA IQHAKSCGAHQYESPTFGLTVSQQDMKRRNVLKRVWWCCIIRDRIMPICVRRNIQISN AHFDFSSCSPLGYDDLVDELESSRVYNIATKHKLILALERLTELCVTLTDILSLVYPT ESIPILDADAHSSAYVQVQEHKRSLRFWATATRPPLALKDLTQNAGPHEDSAVLFTNL VWIYFHASQVALCNYELHLGLVLGLITQRPKETQFLKNNRKDLRNATKSIAECFARLH PHRLTRWLPSSAVACTALPLAMHMVDIKMSAASSAAEIWSRPDVASKQSRLNVLIQVF RELHPKYDGVHSISKTIRYFMECVGVNEPTQMMITNDQTDVLARSPAQYLRLALTIDV CLSQDRLVQDSDFPAALRRFVSRNQSLMPILLGQPSLPIPMQMDRPVVLPRPVSPRPL SPNTYAKSFSRWMEDDPTVSFALQMGIDVGTRPGLVREVTERAQKAYSDSTEETETSP VSSDSQADGSQAEDEQVDETVDRSSSAPMPGMTEDLLQRLQGAINWAQNDQSLAFAQD IGLFPESMWVNQGYL FPSE_11399 MLLQSLLVSSALLASVQAGPTVPVQALAKRDGLPVCDDTPRTYE GPYTDGEGTYVTSDRTTHPYKFPKVRKCWYDYFVLETSVEHTPWQKASGDIYCTGTQV CTATKLVGKEVCQERSESVSVNVGAEIEGISLGLSFSVTESESKCVQGQDLTACTWDD GACHTVWTQQQVLKQKGYRRQRCNWGNGDETQCMDNWEQTTPSDFVDYGCGSKCTDTN TCGNTDGTPCSK FPSE_11398 MTTKLGFIPIKTTLTKLPYPRLEDRKVIRTKRLILRPFYEDAAK DLFPMRAQQEVMTWTSQGAPDKDLEQTRIWASQRLPPHHEDDFSYVISEIETGQVIGN GGTYRRACELGWPAIGYMFRKEAWGKGYATEFLSAFMEVWWELPRVEFSIEVDRTTLS EEEIKARSDRAIMERCAAETIKENVGSNRVLVKAGFGKVKEWRNEEKNWSIYGWTIPA SKATGH FPSE_11397 MSHPILFNGSLGLGLLLSSLGLNASFRPNAHLKALEFPIPKDPE AKKFSRALMRIWGIRNISVGLLIALIWTTGDETLMAKALSLTIALPVTDGFVSRILIG GGETQHWVFPPLLAVISAGLFGWF FPSE_11396 MAKQQKDTEIIEIAKTLKDTPWCEEYEKMISGMLYNPLHPKLLE GRHKARGLAYKFNNLDPNTGSYLEVEKKRTEMLSEMLGKVGSGTFIEAPFMPDYGSNV SIGENCFMNFGLTILDTSLVIIGDRVQMGPNVNIYTAGHETSVLSRIKFVEFGHPIRI EDDCWIGGNVVILPGVTIGKGCTVGAGAVVTKSIPPYSIALGAPAKVVKKIQSVEEEM ADPNNPFRNMPDRE FPSE_11395 MKFVLVTFLALATGAFALPGGGPPPPPPPPPKPVKPAKPPTNNQ QISCGNDQSLYCCTNDGGNNVNCASFSNGGIGGICNGMQVCCNNNQGTQGCNVGNGGG TITFTQNFPGGHWRF FPSE_11394 MQFSTLFLAIAMLQGAIATPQPEAAAGVETRDLETRDIEPRAPT MGDIPWPRMPALRRAEAKKTGKDSDCPSTGGGNSQGNACSSGTQFCCTTDGAGVQTCS NSEVCNAKIICCNNNSGFQMCIGEIDFNAPVTININIYKGGKGGKGGKSGKGYKA FPSE_11393 MADSYAQIPSGVLVQPKPFRVSIEDEKVEELKLLVKLGKIAKPT YESTQKEHNYGITHQWLTDAKDAWMKFDWRAAEKRINSFNHWKMPVHDAEGDFDLHFT GLFSKKPGAIPLVMVHGWPGSFLEYLGVLSILKDRYTSETLPYHVIIPSLPGFAFSSK PPMERDFCIEDASRIINSLMVQLGFGSGYVIQGGDLGSIVARELAANYKECKALHLNM CMVPEPSTVTGEVTEAEKQALARGDDFRTRGSAYAFTHGTRPSTIGLVLSTSPLALLT WIGEKFRDWTDVEPPTDETLTSVSLYWLTDTYPTSIYAYRHLPGFGGPPRDPMPYITK PMSYSWLPKEVAPTPVAWVSSVGNLVHYKRHESGGHFAAFEKPEELLGAVEEFVKQIS ADGSLKI FPSE_11392 MSTMDKIFAGHAQRQATLEASDNVFANGIAWIQGKLVPLNEARI PLMDQGFMHGDLTYDVPAVWDGRFFRLDDHLDRLEASVKKMRMQFPIPRDEIRMTLFD MLAKSGIKDAFVELIVTRGLKPVREAKPGEVLNNHLYLIVQPYVWVMSPEAQYVGGNA VIARTVRRIPPGSMDPTIKNLQWSDFTRGMFEAYDRGAQYPFLTDGDTNITEGSGFNV VFVKNNVIYTPDRGVLQGITRKSVIDAAKWCGHEVRVEHVPVEMAYEADEIFMCTTAG GIMPITTMDGKPVKDGKVGPVTKAIWDRYWAMHWEDEFSFKIDYQKLKL FPSE_11391 MDLNSLSDYKTPGGAVVVLLAAVACWWLATALIAWNRLKHIPGP WVAGFTYAWAGWTEYSGKQHFSFLDLETKYGSLVRIGPELLATSDVEVVKRMSARKST YRKSSWVDGVRLNPYHETMFGVRDPIEHDRIKTRLAPTYSGRDTPQLESVVDQQVNNL LSLIRRKYVSDPASGDFRVMSLINVSSYFTLDVISKVALGTEFGCCASDSDPYGFYNA VAEHMPLMAMTSGVPWMRAVFCSPLFLKYLGPKETDTHGIGLLIKVTNDKVRARYSQE NNEKKDMLSSLKASGLPEGEAQAEALFMFIAGSDTTAAAIRVTMFYIISSPRVYQKLK KDIRKAIHEGRASSPITVAQARELPYLQAVIYEGLRIRPVTTGPQSKEVPPGGDTING HFVPEGTSIAINFPAIMGSKKLFGPDADVFRPERFTDLQEPDLAEMRRNVEMNFGNGR WMCAGKPVAFMELHKVFFELLRAFDFQLVEPLNPMTSESYALFRDHGLNVRVTLAEDM E FPSE_11390 MRSFFLLTAAAFGAANAGVLDFRRHQPRDYNLPQEHSTSIVYET ETFALPVEKRTNPYPPPADQGSYPPPSDQGSYPPPANQESDLYPPPDTPEPTEPAAQE SSQYTPPQPTSEAPVPAPTSEAPIPEQPTSEAPVKDTTQYAPPPAVPTVATTEAEAED TTNYAPPQSAATETVSKPVVDETTEASTPTGAYPPPANTPSDAYPPPENGPSTEAGQE STAEASAPTDAYPPPEGVPTTESAQGSTVAVTTDATTAGATTATDLYPPPANTPSDAY PPPEGASTTIATDESTADVTTAAATAGTTVATDAYPPPEGASTTESAEESTADVTTAA GTAGVTTGTDLYPPPAQTDSTKPAQEDSTEALTATSDSAPPQSTDLTKEGSTVVGSST GAYPPPEGTVTTESGSGATTTDVSNDTTAPALPETTEAESASTSGWTTSTVYTTHVYT VTSCPPDVPDCPENQHVTTETIAVSTTICPVTEKGETPVSTPEYQVPGSTESEHQNTE TGTASLPVETETKKVPEVTESTTPSGAYPPPEAGTETGSASVPVETETETQKVPEQTE SVTSTGVYPPPAQSTIETTESAETETATVPAETGTKTAPAETESVPAETETASVPVGT ETAPVEAGSTQPAVESSTGVYPPPKPHTGTAGTGTETGSETPSQTEPAIATTSQWTTS TVYTTAVRTITSCPPEIPDCPNNKHVTTETIAISTTVCPVTEAEHTKPATVTEDKPAT ETGDKPATEESTVPATESEEQPTKPVTGHEGSTKPATEEQPTETETQAITTNGNKYPV PTESGEQSTHVTGTEEQPAPTESASTTDWTTSTVYTTNVRTITSCAPDVTDCPVGPHV TTETIAISTTVCPVTEVTPSKPITKVIITENGSTKTIATTEEHVTKPATQVYPTEVIS TQPATEEQPTKPATEEHPTKPVTGGQSGAGSTQPAVPSTTEYTTSTVYTTNVRTVTSC APDVPDCPATPHVTTETIAISTTICPVTEQQTKPQATGPEPTEPKPTEPHATGPHPTE PAKTTGYTTSTVYTTSVRTVTTCAPGTPDCPEGPHVITETIAISTTVCPVTEEQSAPT KPSEPEQPQQPEQPGKPDQPEQPAPTKPAGGNEPPYPVPTQHVVTTGYSTSTIYTTAI RTVTACAPGTPDCSEGPHVVTETIALSTTICPVTKTQIIPGPGATYGPRPGNMTSTLY TTKYFTVTACPPQVTDCPIGQVTSSVYATATTQIQQWHPSNSGVYQPPAPTNNVPPTV PTTYIGNHGNQTTTFYRSTTKLYETPVHTPKPIETTQTAETLHTTTASVKPSAPYYAP PPY FPSE_11389 MSIKHNSTTFVDLGSYKLEVTVRGPPRRAHNPIVIIIPDIGSSI KEWTAVTKLLADSMAVVSYERAGYGQSENVSSEESRAPAALAMELHMLLRAAKIAPHA LTSCKDCTTLYHGLPRPRS FPSE_11388 MAPLKQALPSLQIFLSQEQAQELGMTPWFSYSVTLPERPIPGAS ERKSIETERLVVRPFTMKDLEAFHELRKIPELQVYSTARGRANKDKDESERQLHSLVQ DDQCHWWFGAFLKSTGELIGEGGLPDVLTMSSSISGWPEAEFLIKPQHCRQGYGSELW TAIMDSWWDLPREKRRHQLIPIVAPGKEPGDKVDECVVFQWEASNEVARNFFAKILAQ APVAAQGGCESMDTREGRVGNLITWSGTLICNPRPAIEEDSDSE FPSE_11387 MSDTKKPWVDGPFTLISASKSGDTPDKRAEGARRCAAEMTVVHN LLLRGVNAIYLQAVNVAARGTEKDKSDFTNFAWAWSQEVLEHHHSEETQIFPEINRLA GVPGLMDANIEEHHLFHTGLEDYTAYVDKVRKGEEELDGEKLKSIIDSFMPTLRTHLE NEIDTLVGLEKYADKCDWGAWFDKVAADLGAQGMKSASHRNEILPLAMVLHDKTFEGG LWSAFPPLPWIAMVAIRWLFVRTNQDWWRFSGCDSTSMPQELPFA FPSE_11386 MFKKLLLLSLASLALGQDEAPTNATVTDEEMGPAAFMWPPDRVW SGDMDNRAPCGSRAGAGNRTDFPLTGGAVSLVAQDDYYNTKISISYSSDPSSNNDFET LIQEKSISDLNPGHSCVKVPDAPSSVSAGDNATLQIIYRADWDAPHNQTFYACADITF VSKADFKFAIPCFNATEPGDDDKAAGATADPSATATHLHTSDDDSDEEKKESGSKKLS GGAIAGIVVGSVAGVVLIVGAVLFILRRKQQAKRNSRIARMEDNARKHQLATDGSQPS I FPSE_11385 MRSLVAYTLLSSATLAVAVDPRFEYPDTVPLVKRQQPGTPQYAC HEDCGLLITLARENKDFCDSQEWNEHYDKCIECAETYGIWKYYGSGVSKVAGQCDLSP TPSPSGAATEETTTASSDGPGTTVSVKTTTEAPTTVVSTTSAIESTIIATPSAMTTTD VSSVNTATSTPEPSSVAVNSAAKHFELTPAIVFVAFAIFNLY FPSE_11384 MASPYDEFCGRISSEFNREFDNQDKESRFAGLGMIKTVLPQPEL DKFYNILKDEQVERPDDAQAFKQIIERRMLYEFLAAMLCAQCSFEAAMAFVDKMVLGN LAKIQEKRAEKPYYLPQSERDLETLFGNFTDARKFLSVQKLFCVIVFGSVDVVTIKTD EMKSLPWTVNKKLNSGTYGIVEEVTISSDHLTTNGDFNNVNSMTVQLARKSFVEAPNP RKNFNQELQTIRRILRSSSKSDNILTSLAAIVVEETKDTNFYLLMPLALCDLKQYMET DRQMDVKFKARLIGSAMGLANGLSFLHKNIHSDEGDHICYHLDLRPANVLLFKDQHSS YADEMVWKLSDFGISKVKTVHEPGKKPKNSGTEESRLLKDLFRTQESDSGEGVASDTK NVRGRSTFSPPEATNSKPEMNENSDIWSLGCIISVLFTYMENGHKGVTKYASARGECA KDKHDAFWKSFAIYRYEINDAVLTEHSSLIDKCSVAQKAAMEDMLGFLETDVLKINKR MRCDAKKISGRLRNTKKAYLEETSRPGSLDRTTRKSVEQSTSRSKASCSVDRWQLGKK KSDNFKGCDSSPNGSFLAYWNHQTIMVFDVSANRRELVAKNGLSDRLRASFDSFRGAT TREPTFECYEKETDKPEECWCAVKLTNNYIVAISSSRKDSHCYIFKIGDGTNLSARYK KPLLRKEFHALSVCQSPDTLACLLSDATNGSILWRAKIHWENPQPDTMATGHTDGTSS SGNNEIRLELVEEKEFPVERPDQQLEHFILDTHETCYMVFPMRRDPLALSVVLNNFEL QKSVTHRLLLKNHGHTMSRMFTDMTPFYKGSSISEAFMVTHSDQIWHFKSGRTCNNLT VDGYQPTDQYRLLSITTCEETGRIFALGGYSGCTSIELLEIGLDGTDVDPKLTKRAKL NGLPENSEKLHLKVIHKSGTAAVLVMAVKPTKQYVIDL FPSE_11383 MVKQTVIARYYVEEKLQEVLEGLFEEQHKPFNIRMRNDNWKFNV PRLVTEEELRSFNPKGSMNAPQCFIDEVTQPVQLVTVTSHASENNVAVLSRQVSTVEQ LRSFVSLDSHPTYFISICQRHSFGRLHITEPMLSFLVENFDLGPALWDLTSCFYDKSD NFEASVCMPFTVSRDGTNIERILIPIANNGVSSFIEDLTEAEYEHLSDLAYLESRLLE IPVLISASDDILVGLCSLCQDRRNNVKTRARSMARASQSQFEQFRRNCAAYTRVSYCL QRRAEKITQLLANTLSFCEQFNAKAQNETMLGLNKSAIFITTLTLLYLPPSFVATFFG MNFFSVDEDTNQTAVTPMIWVFVLCSIVLTIGTFEHEPQIYGC FPSE_11382 MSSRPASPTCRNTSQTTDIPTSDGVEVPVNQTDIPRQETQNNNS AGTSADEEGGKKNTTVDKLMADMTVNRKLTPIQLFMITVNGTLGAGLYVRSAQILQLA GPVAVVCSFLGLGFLAWAVMQCIAELLCIWPVGGALPLFVMEFVDKELGYAVAIAYWF TYAVGFAALVAISASTMSYWIPHATDVVHITVYTALPFVLGAINILEIEWYGYVEVVA GVIKLFILLITIVILIAIDAKSGISSTEKDWSHPSEAYDKDAAPGFVSALIMTIPIAT FAYTGVEIIAASIIEAEWGTKPKNGQEGQSAGNAENAQNLQDDQPTQDIRNRTRRPNQ GNDVEEDPNRDRKESAIATIRATAVVVPIVIGIAYTITGLLIALGLSRNDPDLSRLNW IENTTSTVSSTSTATSTATSTATEASDGSSKSIPSTLVLMAKNSHIDNLEHAFNAFIL FTALTCANTNLIEEHKVALQRQRLYPLVTRQSQPQDHPYRNHLQPLLAYLALLMCICI LIIFNGAFLWKKFHLVPLLAGYLPIFVFILIWFGLKIWKMDLHLWKTLDENAVKESVE KLNGLRDKSLAPTEAATTAVNTNDSTNNRGLRGSRPRSAGNIHHRR FPSE_11381 MRRRERGRRSQAAFRKRQAKSTQALIDQNSRFRNGVQLLLEEAR GDDRPEMLRILRDLADAADLDIPVTLSGNASETATKLTNTTPEGFDAFEMSLVPRQII DIPLNLDTFPAATTTQYRLDCSVWLDPLHYLRVSIPPQDLLPYIGPGAETFAGLLFWS VMEHSQSGCSHHDAMSNVKACLGHSSVTRDIKPTFIQTMARARIEYKKTGSISQEHAV GGEDDLGLVLSRFVSETDLAGM FPSE_11380 MVKIAIAGASSELAREILDKLVTTEKHDIKALIRKNPSEFPQLK GVEWIQTDFSDKVELVNILEGVHTVLCFFAVHLDPGSENQKRLIDAAIEAGVKRFAPS EWGPGVKLAESLDALSWYSGKIEVAKYLEDINAKEKILEYCRFQPGGFMDYFCHPHQT SKYLTTTKVNIDYENRNAMVVEGTLDDQIVYTCVEDIANVVTSAVDYTGKWPVIGGIC GDRVTIRQLLEIGERVLGHQFTIEWLKMEDVTAGELKTDNYPRIDLPSIPKDQVETFS KMAIVGILNAYHRGVFNVSDEWNQLLPDLKFTKVDELLERVWGGKIGQD FPSE_11379 MAEERCSDYRLSAASLQSYLRKTFNDDTIAVESTSGHYVFNLSE GCTLTEIATVLYELDSPANMSIHNYPIFDMIKSGQRSLPKDIAEAEPSERAYMALRAS CDRQGNYPCNLMQRKQLKSTTERYRRQLNDEGDKLFNHDFEEIHFHELQDKGTGDHRR PFCHEYVVLTLLDYGTSVIRNTPSVQKHLHQDGRDPISRFVFIQAEHSRAPLNCSRDS FSHILSYHQVPPTFIDFVSAFGLTHYPTDYHMTGFDSDDTLAVKDPSKLLTIPTIGRS GREHRMQYLLRSAERDYASDGSRKWNIRQTAVYHTFDLIEGKALWINMKANSLLEDRI KEATADSAIMNSAAMGDLAESFSSTLTIHLIFLEWCDQDWRTCINDCETKIRSILAKA QTSRVDQPAEFNASAKRALVMNQAKTTGLSNYEKRSFSRPSIWQWTKRIFPKSPSGHG HQDFNEKERFRSAGEVGHTQGTQINNLNNLDTFSFDSIQQLHYLEEQLGSYHLVMELN RQAMHDIAERYRNLLSHRGCPEYLQIHCLADVEAFIQRIERIEKNLDIRMAQLDRILQ YRNVQIGRIFTESSFGQSEKMERIAYKTEKETISMHVITCVTLAFLPAMFVATFFQSG LVEINQKATGVDNAVIFHGSAFGIFSAICFPLMAFTFFLWIIVFNYMARRARRRITME SV FPSE_11378 MTTQFEISFRQWIPSVSKVGVNGFGEESKYVPATKLTAYWSEEQ LLHDIIDAINPVLSVPYGTIIKQYLGVFSTLVYLGRPEAIRHFLALGMSDDRLPLRLN ELPDEWNHELDRFIEEQWRFCPWRFTVLGSDQRQLPTQQILPIEYKKSLTTENLSSYQ AQVRVVKIDKEYSEFEQQEVVFKIYKEPGNIQLYNRETDVYTRLRAFPEIAITECYGS FGYPGTDTWIIILEYTEIGSLLKFFENCKTPVRPEEYTMLWERLTDLLNGLYLLHNQS LTGVGPLTGIHQDIQPANILVFRRPGNSTYDVVFKLADFGLTELAKKDEYGEGATVPT NTEGNRMYVLEASPEAYSNSKLKSQARPRLSSLTDLWSMGAVFSDFLVWSIGGNGFRE DYRIRRRDAIAELPYFKDRGWSACFHDTFKRLPAVDSFHEETLRHKRAGDLVSPRMSE FILKFMMVEPKVRLLAGQAEGSAKKIIEEAQQSPRPGTPEIINHANLLKKTQLRRIGR RSTGYTYSIGERKVPVREVYDEIRNKTNRSIWPKREKSSQAGMNLPGMQAARNKVNDR NGRDQIFVIDDSDSMATHKKDVAMTARVISYTVKVSDKNGMDLYFASDSCIPQNIQHS TDIEHRITNKRTVTACCDMKKCLEDVMKGVKAQGMNPTSIYIFTDGVWDSENDPEVDR VIHDSINYLVEKGKEPQYLMFQFIQFGSNQEGYKRLKHLDDGCKMMVDGVEYDIVDTK HWEEHVPRIIIGSLDRHNDDD FPSE_11377 MIDFKAFLLLPIFLGVVVAGPAVPVQALAVRQDNKLPNCDDKSQ TYNGRYADNSGTYVTSDKITHPYKFPLIRKCWWDYYVVEAAPELTPWQKATGNIYCTN SERCVATKMSGKSVCQERSESVSASVGVAIEGFSLGVDFTVTKSESRCVTADDSTACS WNDGQCHTIWTQQQILRQKGYRRQRCDWGKGDETQCMGDWEQTTPSDLINYGCGSKCT DTNDCGHTDGTPCP FPSE_11376 MSYYQQPNQQAYQQPHQQSLYQPGPPPHPNIPNYPQNFQAPPPG YHQQGYQQAPNIVPGPPGYYPRIGFTRLPARFHIWNVMTSGGSSVLELGPQLKGPVAY SAKMFSSSRLKIKEGPYESGKQPMCTIESRHTFSQHSTISFNGFESKFKETSMFMEGA SWPFDVDVNGQIQTWQWSKKQATSSSTIKKFFGSMSQSKLGSWELVPASGQGAPAASL EAAGGNTFEEDSALGEFMFYGPASVGQMGDIFVNVSIAVLLRIISQHYISRIAALAS FPSE_11375 MKPSTALSSTLFAALAKGAALTKRQSVATCYGDQGDSLIALLGW TGTSTDQIEPDPSGGISFFDDHHALNCEIGIGWNDPNNRLTITTSSLLPYINIIKDKC IIPSQAGGYSDSVDDNWTVRITNDPQYNPPSKQRRQAEGDSFNLEVLSHAIERPGFRQ KVGPSLPSGSSYTVTTGEANTVSVGASFEISGGFFEIFEAGASTSFETSHTVENSQST QINVDCGPGKNGQIYWVPKWDHYEGTFVPSNTAGDVWNPLDDSAGDYMIECQG FPSE_11374 MSSLAGSQHILNSNAAVTINHLPNEILVKIYHCLANLQDPAPLP VQMEADIPEDKLQYDIWQYSDLILDKNYTALTLCLVSRRFYYIFKQFTINNVVLVQSR LLLLQPKNQMLTEMQSTSQFKEAEKLVTSMERLHNMIDLNPGLVQNCKSLCLAYRQVK VPPRYIPDRAGVYQPYLFPQSLYEDMGIDESEYEDFEDDEFKLEEMIVPAPSWLNKMY SCFSHVTDLQLHSNYYRSLPDITPALSSFSNLTTLRATGYIDYPSFFRQLISLESTLA LQTLDLSGAPANTWSHGFTPLMHTLMASYELLYIVC FPSE_11373 MNWSLIAFPLIGISCFAALFLWSKVDENLCPKIIKCKDPCIVEA DPDIAGIGVRIATYTQTICNIILLGFASDGDRTSSSYHALVLTSLATIITLGIFSIQT KLSLHHSVVASSLFTITILPTHILESWRVRSPGIFVAQQVRLAIYCAYAWWLILEMPC MGAEPQCNLCTRTSLFGHAGRVATHENRSLRLGVVLFTSYLWLRQVVWSYGPRHYFES VPATLSETRKQAWNSYIDENRDSLTTWRVRRLARERKYGQFSRFITPGWLWYDDVTTA RSGIEQRRWKRFRPFDMQKVNWFVRIWEDAILAIRVPRCQRAIVALLLAVLLISDTEH TVKLNLSADRDQWGYGQILALVATIPSVADVTRLLLRLGKRPDIAINRFLFLYFKYEG MIGAITSENIGNCGNRGVSDDGLYP FPSE_11372 MFSSKLTLAIGLLYAHSILARPIKGIERAVIQDEYDFIIAGGGT AGLVVANRLTESGKFRVLVLEAGPDPNVVAAYKPLGGNSLITGSAIDWRFDTAPQQGL DGRILTYHRGKGLGGSSMINGFYYGRGTSTVYDLWEKRGNPGWSWDNVYPLFVKGTHF NPQNESKGFDSTYKTYNSTAYGNGPLEIAYQGYVPETGIAFMNACEAANIPIVEDYNT GNSTGIKQGTATLDKHLLRSSSYDGYLKQALGRKNLDVLYYAPVMQLLSKTDGDRPKV TGVRFMDHPTGRSHQVHASKEVIVSMGAFQSPQLLMVSGFGPSSELDKFAIEPVLINE NIGRNLNDHSVFSIMARVEDDLQFSSSQLSSDFTLLEAAQEEFYNNGTGPYTAPSGIT NGFQRLSEQELFDIGAGSVVEAGLGDQSHIEYLFESTWYPSGPTPYYKPLSNESYISL TASSMVALSRGNISLKGTSMMMAPEINPNYYTHDADRAIAVRSFHYLRKILAHPALSR YTYGANHGEVSPGSAVEDGDEDAIFDYIKANTIPNWHASGTNQMLPEADGGVVDSRLR VYGIDGLRIIDCSIIPVLPDVNIVGPVFMIGEKGAELIREDWNDTES FPSE_11371 MSALRAYQPLLRRAAQRTANTTTRRFLNTESAPILYSARAKAVG ARKGRIEGENLNVELTMAKVLGGPGDKGKTNPEELFAAGYGACFQSAMNACAAQMGIK MPSNVEDSVVDTTVHLVGDMKSLDMGLRVDMKIKVKGLEKEELEKVVKKAEEVCPYSR ATRGNVVTNFEYVQG FPSE_11370 MKPQILLIFLASILTALELITNYDDTIAFLHDSVLKAEETSPES EAQAEAGLRLLYERCISQLLQTDEVCAKRIAKTWKDAINTTTEDKDVDFKSIKDYLEF RMIDTGAQFVEALMLFGLGMTLSPQQDANLAHIIRPCFAALALTNDYFSFDREMEEVD TSTLINSVAIVMRIQNLDIPTAKTTINETIQKYEREFLRRLDQYKQHKGPVTDNIEQY MEAMTYQFSGNLVWSLNCPRYNPEYRYGLEACQH FPSE_11369 MATESETEPPAVLTPTVSQQGSFSVLLKFLEERGHLKRPQEFQE RDVPDGINDHPTFLRFLQGRGFDPQGALNQYEEAMAIRKDTEAITAYDAISVHEFEEA RKMYPTWSGRRDKRGLPICMFDVEQLTAESMAKYNASQTATEKSQHTMVFHDYMTRFV LPLCGSMPDCKAQSPSVVSSIYVINAGSFGLRQAWSMKGYAQDVSQLLAVCFPEMVDR CYVMNAPAYFGKIWGILSKFVDPRTAAKLIIVSSSEDSLSTLTPYLDVENIPTEYGGK FTYKPGMTPMLDEGLRKHMKWALPGDSLPEGPIKFIQDENKRRAVLATGSMDGAKRDD IIATASC FPSE_11368 MSRNINDDATSDDHEHATQHQNGYARNLNGDHVDIELGSEARKK AFPGHQAPPLRLKDRVVRVTWQWFPCTMSTGGLANLLGEQPYTFTGLQTIGKVVFILN LVLFVTFTCLMIARFCIKPRAFATSLHHPSESFFFGSFWVSIALILNGAQSYGGPATG EWFVTAMRVVFWIYYACEMIVAVTQYHIIFETERLDISEALPAWILPAYPFLVTGILA AKIAGSQPQWSAVQMIVAGLMGQGLGWMLALFIYAVYLSRLIQHPLPAPSKRPGMFIA VGPTAFTCAGLISLGTQAKSSLPDDFLGNLSVPLGELWYAMSVPVGLFIWLVAIWFSA LSVLSVLRGIRHMEFSLTWWALIFPNVGLALATINVGKNLDSRGIQIFASALTVVLVA LWFVCAAAHIKAIMRRDLLAVGKDMDVEHVNKQHDKKQANRRSRSQ FPSE_11367 MAGTTFAECQLVVDRWISETLDHPLGRYFMAGFGSSLVVLLVAI AAFCSRSKTPNVPILLQDEIGNARKRALEYCFNPREVMEKGYKKFKNEVFGLDTQDGL KLVIPPSYLDTLKSHPALSFKASIDNDMQIEYTHFGGPPEYVIHAIKANLTGSLPAFA PILHTMARKNMPKVMGTTDWTPVKIHDRVLRLIATNNARAFQGTAASEDEEWLSASTG YVLACFDCIRALKQWHPWLRPLVYRLIPERAAIKDQWAKGRKRVMASMKERQAKGGNI EDPPTMLDHLSNGKNEKMANDIEKQLVHQMNLIAVGTVTTYSSTTQAIYDLATHPEYV PILRQEVESVSRDANGNFTRDSTLAMEKLDSFIKESQRFHSPDLTTFQRAAIADMTLP DGTFVPKGTKMEINTCSIHKDKDLYENPGDFDALRFYKMRQGPGQEAKYQYFSVGRED LSWGFGRHACPGRYLSAINIKLIMAELLMNYDIKLCDGESRPPNIEFEVLCSPDPNYE ILLKSRDH FPSE_11366 MVYLPPSITGDPLTAYLVTDLTNDELKTIKSAFELGACSKFSPL LELKIVRAPEDYWGKSHQYIRAQENEAGREEAFAVIDEEAKERGAIWYIDQFADEDEV KEGQAESTDVVFRILIQTEALALAQVNYAIANSSIVEDLENCAVDLPLTNDFHQPDLH DCGGFDWVEQQKHQDTWVTAEPGEYEESTADERRDNYMPRPDKVARLKEDVAKSIGLV SSWAIPSQARTIKYHDGTKKEFPPGSVVLQQRYDPDFAWPEYQWPEGSL FPSE_11365 MESVTAEYRGVYKGIHQTQKLTHEPCLSRNDDGGIWNYLKAWYL FVIHIALAVTIMALVIHIDKQEFRIGSGPNVFAFNSRLYQAQVTGLLSLALMTLRLVA GTGSALLAWRIIFILLEKRGITLKELTRLLSTRVPIIPAGKSEISIVWSLWAATCILL MWPQGFAAPLASSSLSWIPQ FPSE_11364 MRPSTSLSLPATLLFTLLTLPFTASATELLQGYGRNDYALPCAQ ACTWAMPTALDCPEYASMSAEERAAAYPSAACMGNDTWYLTSIAWCIDSYCSKDTKPY KIEKFWYTKMIYQVQSIKFSYSEALAQVDTKTLPKPMSPDMTVLNRTISIDDATYNSY LNAVKGYIAIGKNESKYSLLVFLSCVVIPIGFSLFRLLPIPTSIRSKFYAYIIDPPAC GKRHSVPTLGLGIVPTRGQALFILYIIAINALATFDGYPRYTPNAYFPDRRYELMRHI GNRAGIIAFANIPIIILYAGRNSLLLRLTNWSYSTFILLHRWVAMVCVIQVILHSLMW LQIMVEAHSHATVVKYPYWQWGIVGTVAFSLLLPFSILPFRRALYEIFLIVHICLAVV VMVGSWYHIWYLFEDTSGFEIWLIIAIAVWGYERFMRILRISKHGIKKAYITRADEKY LQIDIPDMDAHGHCFIYFPTLSWRVWENHPFSIVNCSRGQLEGEASHSSSSSQVEGKS ASPTSASLFKEVGMIAVNAPSSVTAANATKPGITLFVSPEHGLTSRLPKKADTGISIP ILVECSYGHEDPTRFMPTTDYPNTLAIAGGVGVTAVLPALQSSLSMYARPLGTSKLYW GTKNRGLVNTVKRMIVDGDSYQDEEGNGSASNWGHFGAHVTIGSRMDIRQVLTSELQS TAGGTTVIVCGPQQMCDDARNVCAGLARHGVVVRYVEESFSW FPSE_11363 MINDTGPEAIHQNGYATTNGSHTNGAHSFETVPDATINGNSTNT LPAEPIAICGMSVRLPGGLHSPQELWEFLVTKGDARGPVPESRYNASSYWSEKMKPGT VKTHYGYFLDESIDIASVDTSFFTMRKDEVGKADPQQRQMLEVARECLEDAGEFDWKG RPIGCFMGSFGEDWVEMFAKEAQQYGLYRVMGYGDFMLSNRVSYELDLMGPSQVVRTG CSASLVALHEACLALSRGDCEGAIVGGANLIMAPGMTVAMTEQGVLSPDGSCKTFSAD ANGYARGEAISAIFVKRLSDAIRDGNPIRAVIRSTASNSDGRGTAGGIQVPNDIAQET LIRRAYKMAGINDYSQTAFVECHGTGTAIGDPIETKAVGRVFGPSGGVLIGSVKPNLG HSEGASGLTSLIKAVMALENRTIPPNIKVNQPNPDIPWASCGLSVPTEPTSWPASKHE RISVNSFGIGGTNAHVILDSARSFNIAHPVERSAISPQLLVFSANGADSLRQMITNYE NYAEKNPEKIDDLAFTLGHKREHLPHRAFAVASPLGPLTVSPVFKVGNKPNIVMVFTG QGAQWPQMGRELMQSSQFPTFSKTIAALDAHLQSLEDGPDWTIEEELQKSLKTSRLGS AELSQPLCTAMQVAMVDTLASIGVRPEAVVGHSSGEVAGAYAAGAITATEAITIAYYR GLVTKRQTKPGSMAAIGMGAESIEQYLKSGVVIACENSPSSVTIAGDTEAVESTIGAI KASKPDVMARLLQVDMAYHSHHMKEIGDDYFALMQDKISPKKPTKLFYSSVTGNTLTE GHQFGPRYWQTNMESRVRFSPAVSEIINQGTIKNVMFLEVGPHSALAGPLRQIQAQRS TSFPYVTVLTRHKNDVECFLACAGSLFNLNARVDLTKVIAKGAVLPDLPRYSWNHSER HWYESRLTNEWRHREYKYHDLLGIRVPESTDSNILFRNLFHLDNAPWIRDHMVGDDII FPFAGYAGMIGEAIRQVTRVDEGFKMRAVRVSTALVLNEGKPVEIMSTLRRKRLTDSL DSEWWEFTIASYNGTSWTKHCSGEATSQREELATAGTHDPFLRKVDIGRCYESLARSG LNFGPEFQRLSEIRSDTVDQKAQSKVLGKSGEEVDYHLHPTVIDASLQLLSVAVSRGF ADRFDKTMVPTHIDEMCVYRPTITDSFDIRANASYTSTGSVTGGGQIADSKGQLVLTI SDIKLTTVGDQNGGKPVEPTARYEWAPHIDFLDPKTLIKPAIDRSDHMPDLTRLSNLC MVHTKRSIYELDSTIEHMHKYKAWVDRQIKIDDIGSLESLSNDELESQIDVMMESLKT TSAKDGAIAIEKVFANVSDIFTGSKEPLDILLSDGTLNKLYTFMDQCDESELFRNLAH SKPNLRVLEIGAGTGGSTSQLLKYLAPVGKVLFSNYTYTDISSGFFENAKKRFNEFPN MEFATLDISRDPSEQGFDGREYDLILATNVIHATKSLNESLKNVRKLLSSDGRFLLHE LTPTSKWINYIFGTLPGWWYGETDGRPHEPYISVERWGVELQAAGFEAPDAAILDTAE PNHLNAMILSRPAIKRDLKKVVGLLTMSEIETKGEATLRESLEKRGHSVSRRTILDQS NPTGHSIIALLDEDEPFFENIDNERFDAFKLLTSNLKDISLLWITHVSQMESSDPRFG QIMGISRALRSEMLLDFGTCEVDDVAAASEKIVDVFERFQARKEDETLKPDYEYAIVN NTVHVGRIYPFSAQDNLLVSSQDDPVALRTSKPGRLDALHWASYKSLTLTGDNVEIKV QAAGLNFKDVLCAMGIVDGENGFGLEGAGVVNRIGPNVQGLQVGDRVFFIAHDSFATH VTMSENLCEKIPDDLSYEDAATMPCVFATSYHSIFNMGNLKKEQSILIHSACGGVGIA TIQLAQMVGAKIYATVSSEEKIKYLEDNFDLERSCIFNSRDDSFVEQLMHKTGGEGVD LALNSLSGELLHATWKCIAEFGRMVEIGKRDLIGSGKLDMLPFLDNRTYSCVDLDQLC YKRGRVAKELLQDVIRLYKNGHIQPIRPIQVYHQENILDSFRFMQQGVHLGKIVVSMD TTVGTKLEVESRKQLVTMDPSASYLLVGGLGGLGRSISRWMIQRGARHLIYLSRTAGT NEKHLDVQQELESLGCKVDFVQGSINKLDDVNDAVARADGRLKGVLQMSMVLADQSFS RMTMDEWNMAVDPKITGTWNLHNATMSVNADLDFFILFSSLSGVIGQPGQVNYAGANT FLDAFSKYRKGLGLPACSIDIGAVEEVGYLAENQSIMQKLKVTGFNGTVSEHEFLDAL GAAMAKTSDDFCLGFRPDVSLSDPSNRSLWKKDIRMAVFHNNGGTTEASTGATNDELK SFISKAKQDPSILKQAESAHLLAREIGKKVYGLLLKPVEDLQTASSLSELGMDSLVAI EVRQWWKTVFQFDISVLEMMAMGSLDMLGAHAAKGLQHVFGST FPSE_11362 MATKHLATWALALQFGNLTEPVVDMAVKSVYNWAGCAIGGYALP PAGVALEAMSPFTGSDGNSTVFGVGQHVDIRTAALINGIASHADDYDDTHIDTPVHPS GPVASALFAMAEWMGGVTGEEFLAAFVAGVETECKLGVAVFPDHYTIGWHITSTTGSV GAAVAVGKLLGLDQKQMQRAISIASVQVIGMHESFGTDTKPFHVGAAAQAGLTSALLA KNDFGGSLKGLEAEKGWSHVVSTHQNLTKAFSTFGTVWEVTKNTFKPFPCDRIIHPAI DGWIQIHNQAKKKGLDVTKIANVTARTHPHVFLLTDHPEPKTGLEGKFSVYHAAAVAL LYGEATPKQFTDEAVQNKSVIALREKVHVTKDDKVSDHEAYVAVEFENGEKMEVHVEN CIGSYKNPLDAKYLQKKFVDHVSTQIGEKRAKEALKAFVGIANSTDVGRIAQAFEE FPSE_11361 MTDMPKPIPEAPPSVTAIEDSELKGNNIDMSQNQQENAVGYSEY LEARDIEFSDAEAKRLRWKLDLVILPMFLVTQALQFMDKTSLNYANLFGYQEALGLKG NQFNYLSAMVYAGYFFGQYPCGWLIGRFPAQKVMAISVFFWGLMVIIMTQSRDYSSAL AVRFIMGVFEAAVTPGLTLMTGFWYTRREIPLRQCIWYSSLGWGGIVGSYISMGVSKL PVTLKPERWELIFFILGGATCLWAFVIWFLLPDSPSNAKFLNHRERLIAVKRVASNET GIKNKAFDKSQIQLGFMDPKTILLFISVFAAAIPNGVVNSFSTIIIRDMGFSTTRTTQ LKSVGDAVQIIGLLIGGSVILNVPNSRLLTATVANLICTIAAACMAYLPRENTWGRLV CFWLVNAQSVGFTVSLTTISSNMAGYTHRSLASAMVFTAYCWGNFAGPFVVKKSEAPH FTGATIGLLVGYAIKLCCHSGLLIYMFLINRHRNKTYGPPNKEQSNEAGMRDQTEFEN KDFRYVL FPSE_11360 MGQSSSTQAEFKNESFLAKPSGACCLKGTIHEGESRGTWETIAD VETYITRPPEGKANGNILLYFPDVWGMFPNGLLVMDAFADAGYLVLGLDYFRGDPVWK HRKDRHDNRNPDFDYEAWKRKHTTFADIAVPKWVNAVKKSYGTSTSKFACVGYCFGAP YVCNELKGDTVTVGAFAHPAFLKEHHFQDLKKPLFLSCSEIDHTFDVPSRRRALDILQ TNKKIFHYQVFSGVEHGFALRGDQNDPYQRWVKEQSLVGIVSWFDYWLSQ FPSE_11359 MAPTRGFDFTGDVAIVTGAGSRMDGEIGNGRAAAILLARHGAKV ALVDFNVDWAKETKRMIDEEGGISEVIQADVTKEESCKNAVDKTVELWGALHILVNIV GVGGAIGDATNINLDAWERDFRINVTSMVMMSRHAIPEMRKQGRGSIINMSSVSGLLG GNPSLLYPTTKGAIIQMTRAMAAHHGQENIRVNCVAPGMVFTPMTRGRGMTDEMRQAR INQNLMKKEGTGWDVGYAILFLASKEAGWITGLIMPVDGGTTAGKADRPALKADNLAA ENTGITN FPSE_11358 MTTGGRYYSELLLLVLCAHASKYYDSSHAQLFFNRVRLLLGEEI QKPSSIPTIQALLQLSARELAQGAISQAWLYSGMAFRMSADLGLQHNGPDIADLKGLD PVDLEIRKRLFWSCYFWDKAISLYTGRLPAVTELPQSPIDFMDDSAESDTWSPYHEDT SPLTRLAPNQYPAMQSHAVSCFANSCRLSVIINDIIVQLYAKRSREMTETSLNDIKAR LDSWRAESPSHLRYDPENLPTVCPPPHIISQNLLYFTTVILAHRPFWSAPAYYQVCIS AALSMEKLLLLLESTFGFENITYLMGYCIYTGASAVLEDAKNNGGASHPTMQTFLRAL NGGMARCPLLERSLHIIIKGLKRSPVYRAPAENCVSDNTTTTAAVNSYIPAFPYLEPV SPNDFDMDAYLHSMMNMDTMASLDCYPELQIDLDEMMRHAPP FPSE_11357 MRVPYVSNPPPTNSPEEESIVAAIEARRHPRPLQPLDLALLHSP PIASGWNTFVGAIRTQTSLSDDLRELAISRIAVVNKAWYEWMHHAPLAVKGGVSSNAM KELMTEERLLLSNIPEGFTEKQWAICVVADEMTKNVHVADETFQQLKSFFSSQEVVEI VATVACYNCVSRFLVALDVGERNGTTPVLPETS FPSE_11356 MPALEFASSVGNTLHHLLTGSYTNTTLFLLAFDTVARTLTLNST VPGFGLHQFVTSNAAKDRVYATAMSEPPQLFSWSVDENYKFTYLDTVNITSSSCYISD DGNLAFSSGGSTAHIHALTENGGLGEMVDELYMVPKEEIKNVNKTRAAVLYGAHAFDV NVNRKGFVPHLGMNSIFMYDIAENGTATPLSINLSPSEGDGPRNSLSSKDGKLLYVMT EHNQWLDVYKVHDTQLEHIQRGSAIPDDVRGSYTFRSNTVQMSRDGKYLFTSTRSWNN TEVNGYVAAFALDKHGKLKKEKAVTFYEAPVTLGSSGGLRVLPWGDETTQDPKGISDY MYLSDTSEGWMFILGWTPSNHSLDVVASLHYPDNQTPYEATWLD FPSE_11355 MSHQQGYGQPPQQGMVSQNDSGAFQGGRFSIAHRDTNAVLNIDL QQGTTVRSKSGAMIHMSSTVEITGKSKFSFGKLFTGGNMYESLYAGPGRVALGPTLFG DIISLHVDGNQSWTIGKDSFLASTSEVTKKRETQGLGKALFSGEDLFVFRVEGQGIMW LTSFGAVDKLDLQAGEQHIVDNGHLVAWSCNYAIEKAGGGAMSGMKTGEGLVCRFTGP GSVYVQTRNMDEFQSFIKSTVGAS FPSE_11354 MSTVLSLHISVALLLLSVTTVLAADDEKDNTDFLLNVFSDIGPI LALFGEQFARQFLSETFTWEDHVIFACIPLGIMTAISGAIRVQGGGLFKAVIGRAREN HAAAEIEYMSSTSTEVCELYNGEGIVRTMGKPYIGQIIVFPNQFANRSQSGQKGDFCG IHTLETATKEGIMKYQEYRVDSRPRLFKCFESSTTPTTVVQKSSKRETQQQDDPETGE PRAEHTDTWDSHDSSHRSSKNGSNIEKKNPWLTLKSPNLQLNIPCEDVSEQKHRFHLV LAAIVALVLQIALLAIAISTVYLISGFEPEPWGLPCYLGGSVLLFLGMLACSVAIEKR TRELIWFIADQDPASEKEHKEFHLLWVQRSQRVSEQDFGSYIIDGGTRHHIMTSSRYE DLQGLEKPRAPTDEPTNEKKLTANTSSDQQDGSVQGSKFSFLPLLPLIAVLFGGIGFT VQFIGLRGLPWPCAVSQLGAMIIMAIIRALIRRRLTNPLRNYPLLQKHELDYLAIQLV ENNGNIFDDQSEHNLHSGKRWDPRAMIESIRNRISGDKNDQKYQSWTEVLAWKVDTAS DVTFGPKQPSDAQPPDTDVELIFKPRQTTAGGEIHPDPTNTHGSKPAHTGKGQRAILV RKRLGDLCKWPNSTSKSALALTLSIERFMKEFFPGRLPNNDSDQMTWKIPFSRSHQLS QKTSPNCSGQKPITWVKLDITRDPKSKGWGVDNGQVEAILSLWMAHWTAHRADHLEAK KHEGNKKLQSTDWRRAGDGSHVEYCRRIGENRNGVLKRDISWWVNNAVEGLQREQTNE INGSNDNTSSGSNEDRFIFGLSGYFQTSGSSAVSISSRMSGHSRISGTSRCMPGPSEK PEFLVQHSTADLATVMAQHLFTCFIWNIGSMLPKNFLNRADININEFVKVESSRLFDL PSSIKAKSGRKLSHRQLTKFVNYAEKQGLGTPDDILLCIIPTFSFFDCLPNNVVLDYD QLIPKPFGSFKEDEQKKTCNDYIGLLDCIQKNNGVDQDEYLSSAVVVNIMEFVYLTAL HIDKAKLCGTTKKTHPEEFIKLLQILSEHYSSVLRKLSPFYEWQRRRETFKALFSDFQ VGTNVDDVWPTGWSEREQDKAAFMYKIGFTNRHQRVIELKPPHRRLNLQTLLVGVTNG SERDIFGWTPLHYAAARPELEFKPERTIPRMGPEDKRLEIAVNDNLRSNRWVDKFRRS PVHVAAAAGNINLLNILLPYLDDEAKLAICKGGVDEMSPLHLAAQGNHMNCNINADTE NPRQGDSEGNCNKCIVTLLEHTPQAQYDTDAWKQSPIQTTVTKQCYQCTLSLLQSRNF HFSPETPDEFKNPLFFYLKKDNKQHTLIGRQLLLKHYQKFDIRKNGGDNILHYAIKFL DDIDLHALLESLKHSLPSDQLPLKVDVFNKQGQTPLHLAVSSNKYLLVQRLIEAGASP SVQDANHISPMILACQRGYELSAYYLLSGSKYHGIESDKDGKTALHHAASSSNWSSDW FLLNIIQQLSNAMTSIDVFDHQRQTPLQSAVVANRKAVCLTLLRKKASIKLVDIKRLS ALNRIVQSGPESESKFRARWESQIREITTKNATEQDEDGDTALHKAVYHGDYDTLDWL MQNKADLRIENVSGRTAFIEACRQDNCHKFIRRIVDDLNSGIPDSKDSQTPQLETDTG TGQLLPINDWSRLADGTRLDINQGDSMFDQSPLAWACEGGHEKVVEILLTAKTIAIIQ KATKCDNYTPLHFALFNKNPAIVQLLLDHPEGHVIWTERDENGFTVIQFAIMNADSDC LHRLLMHPTVQPSELSYDDPGAILRVCSGFNIQPSNWDWILSRIGFDETVGTPFLDED KWTLADIAGKYGHPNLESHLRNKIDNNIKKPPPLRPSVFVSDYSGLSFDHTSIPDQGW TINMDVTFSGTFSNHFYFRTKEAIPPEDPRFYFEVKILRLPKNRIFMMGFCGTEILEG RAPGWDPGSWAYHTEDGGLYEGHGKPVVTDTSYIFKKGDVIGCGVDFNTGKGYRTRNR TLLKSGHAFGNDWLKTGKLYPCVGFRDDGDGDKLEVQINLLGSGRQTFQSDLPPSG FPSE_11353 MADPLSIAASIAGLISVTVETAKFLAPYVSAAKETPQIAAHVFS EIQSIEVILQGLQSLTANFASVKAQHAALIGVSQVVTILTDGVLLFSELQNELDSLPA KDEADEGVSLWSRLQWARKESSLNTLIVRLQSFKSSTTLILMILKSDSDRLAAVHQDE LSNNIKALLDTNHSLSQRLMGLEDALEAQTIRTKSNSILTLPGRHDQSDLPYTPLSST NTDDSNLFKFDFEDDLESSRVYRRTKRDTVDFSFCSSILRSNSWSVFSGLSLSDISCL SVIALPVYSDDIINAHHYNFGESASVAPSLGGFSTEHRYVWRDVPKLWENEPLLSECH DLKLKMLQLPRMAIFFENIPSPLDPFHHLWEVFRQAFPLIVLLQALNPPITVPDIQLS LIEGQVVPIDFICKISTRDKNKIAMWFILCCHHELNIPVDNLFTISDLMFGDCYGFFK VLPVISLITEKLKVKGIVKDYYPLLSMRHMEYPQMIIGFVSGQRKAVQDIDKFAKAMH KIASCSKPEGDRRFIKTLNLAAKIAAKHINLLTKMEKNLFLPISEHRWRPVFELYLKD IDLEILLMTKEVTKLRFFLSPGLGTSDDRSETLSECLTLLQLIANRDSAYARFLNEIQ PTSPDQEWDMINARLVIESATRRIEAGFIRDLNQRVLDWKGLSPFGLGQVLPLKHGHS LKRIYLRVPFSSVQKSTGTRRKHMVS FPSE_11352 MKSPIPDYLNQVLENARPIEGGKPASYIETLAKADTSKVAVALA MVDGEIYSAGDDDVEFSIQSISKAFVYALAIEDAGLDKVLEKIGVEPSGDAFNSLSLE RGSNRPMNPMINAGAITAHSLIGKPDWTMEQRTERILNALSLLAGRQLHVCEEVYEAE LRGADRNMGIGYMLKAAGIITGDAQQIVTGYIRQCAINVNVRDLATMAATLCNAGIHP ISGDRIIPQDSVRQILSVMSTCGMYDAAGDWLSRIGIPAKSGVAGGIIGALPGQMGIA VFSPKLDHRGNSVRGVAICEQLSSDMGLHMMDVSQIAQATVRTSVATIVAGDNEPHHS NCNKEILIFSLRGVVRFAGSERLTREITRVLGAPNPDDPGCGSSRHACAIVFSFRDVF SFNAVAQRIIQADITRLLLDGRTIVVIDPSGVLTIDTARAGAKLKVVESETDARDFIG GIGCHTVSKSDEW FPSE_11351 MASNILPGNGHGHGHGHNSSGHRDESTPLLPQISSHIAHEGESG RSGFHPRHFLAVLWRSSCTASMWVNVLWPIVPVAIILQFFPGMHLWKFATAYVAVIPT ANLLGFAGQEFARKMPKVAGILIETTFGSIIEIILFIVLLAKHENQGPNDNGDEGNLI PIIQAAILGSILTNLLLCLGLCFFVGGLKQASQKFHAIVSEVGTGLLLVAAFGLLIPS AFYSALKAEVVPDFPGFRVLHERFTEDKLQEDVVRISQSTSVALIVAFFMYIWYQASS QHSIFDEVIEMDEHRDADREADMEKPKFTMTETIVALLIALVFVTALLIFLVDKIEHV VEAGVPDQFLGLILLPFVEKAAEHLTAIDEAYDGVINVALYHCLGPSIQTALFNGPLV VLVGWAIGKPMDLNFEIFMIVLLVLSILVVGNFLRDGESNWLEGALLVVIYAIIAIAC WYYPNPDVATSNGLEGSEMVNVTMSVDTLRQLQQLLNAHLPL FPSE_11350 MVLLTFKRISTVAIVLGQSLAAFAESSSPIHVDGTSFALNGDNV SYRFHVDNTTGDLINDHYGGPVAGDTITAEVGPVQGWVNLIGRVRREFPDHGRGDFRL PAFQIQQASGTTVTDFRYKSHDLVQGKPGLPGLPATFGDSEDVSSLVVHMYDNYSSIA VDLTYSIFPKHDAIVRSVNITNKGNTTINLKKVASWSVDFGQEDMDLIELRGDWSREG MRVRRKVDYGTQGFQSSTGYSSHLHNPFLALVSPDTTESQGEAWGFSLVYTGSFAVDV EKSSQGLTRALLGINPIDFSWPLKPGKTFTTPEVVSVFSNKGVGGMSRQFHRLYRKHL MKSKFAEETRPALLNSWEGLAFDINETSIYKIAKQSADLGIKLFVMDDGWFGNKYART IDKLGLGDWQPDKSRFPEGLTPLVEDVTQLKVANSSEKLKFGIWFEPEMVNPESDLYN AHPDWALHCGSYPRTETRWQLVLNLALPEVQEFIIDSVSKILNESPISYVKWDNNRGI HETPDQTLNYKYMVGLYHVFEVLTSRFPDVLWEGCASGGGRFDPGVLQWFPQIWTSDD TDAVERIAIQFGTSLAYPPSAMGAHLSHVPNGLTGRTTSVKFRAHVAMMGGSFGVELD PSDLEAEERDQIPGLIQLSEKINPIVITGDFYRLALPEDTKYPAAQFISEDGKKVVLF AFQTRATINFSWPWFRMQGLDADAKYVLDGNQTVSGSTLMNLGVQLRFEGDYDSQVLI FEKQ FPSE_11349 MSLKSVILPLQDPKSQWDIEIEDSIVVSMKPSSNLSPNPGLLLP SLCHPHIHLDKPYLLNCNNSCSKNYPDYSDLLPKSGSFDEALSNTAEAKKRYTADDLY LRGSQLLATSYAQGVTCVRGFVEIDHVTQLEPLKTAIQLKREFKGFLELQICAFAQDP IFSTEHGDENRAILTNALEEFGEYIDVLGTTPYVEDDFDWSKMNVEWAILMAQRCNLS LDFHTEFNLDRGDIMELFDYTVDQLVDKGWPTHSGAHTVVLGHATRITQASHEQLRGF SDRLRDTRLPVHFVGLPTSDLFMMSRPVTDDVSEQNKPLRRQCGTLNVPKMIQEYGFK ACLSVNNVGNSFTPFGTGDPLGIASWGVGLFHAGKFDDAKLLYEAVSTRAMDAIKPAV VTHESKNHMDEEKRMMPMLLFKNRESMEIQSPQGRVIQLPARHRLSIKDIVWDPPEIR LRRVIR FPSE_11348 MHIGVLFPLLALVPAGTWAKDIVNSSKRDSQCKCIPGQSCWPSS SDWRAFDEQVDGGLIKTQPIAQSCYPGPAEDLKHCAYVNEMWSDQDFQSSDPIGRPYP HNITCAPVDYNAGQKPTTCTLGASPVYAVNATTRSQIRNTLAYAQERNLRLVVTGTGH DLLGRSDGYGGLELWLHQYKNKIDFRKTFKPKSSCDKSGWTGSTITIDGNYQWRDVYK VAKANNVIVVGGGSITPGAIGGWASGGGHGPATRNYGLGADQILEAEVMLADGKVITV NHCRNSDLFRAMRGGGPGYAITLSSTIKAHPNVDTVTAHHFEVAPLEKTEKNKDLLDA VSVLLQKLPDLNDAGFSGYGYWFRNFPTVFVGNATSGYSHGFWAIGKTREEAEAGWAP VRKALSKFKDQLYMKETWATYPDYWSFYEAESGLYNPTGDTSILTSRLIDRESVKSFA NVRDAVEVISGKPDEFGTNVILFVSGGQVFKDAADESSGLNPAWRKSYYVIVSSQGIS KTATPAERKAATDEVTFVKGVAAKKLAPKTGAYMNEGDRNDPDYRQSFYGSLYETHLD TKNKWDPSHLFYCPTCVGSEYWVETSDGALCKA FPSE_11347 MSAPAPVPDAPLFGAARTIDRHGLVVIIWVCFTVATLFVSLRLF VRWHQNRLFLADDCWIFFAWLCTLTMAILQTEQMEALWYMTHLQAGRIAYEPEEMLAQ RHQLAKWQFPIIKMFWIILWSVKASFLAIFWRLVQPFVIIRRTWYFVCFFTASALLVC VICSIFTCNTPSDYFRGSCDSPREQWRQNFNVIFSTTVDVTSDLMIMALPIAVLPSLQ LDRKKKIGLGIVFSLGVIIISVAVVRMSQVIVKRQVDLVGLAIWGAVETATALVVGSL PALKGLLTRSIKKYTNSRSGRSDPTDYSSQPSHARRGVGSSMAPKDITGSDCIPLDDV HRSEHYDGGICVHKTFDLATEIDDNSSRGEDEELAIIRGPPVKR FPSE_11346 MAQDGVINLVGTLAALPPVPASHKQLRTQTKSTIQSPESKAPVL VILDDDPTGTQTCHDVTILTVWDVPTVVSAFKQLGTGNGFFILTNSRALHPPQARDLM IEICTNLKAASQEVGLPYEIVLRGDSILRGHFPLEPEAVEHVNGAFDAWILCPFFLQG GRYTINDIHYVHEGDHLIPAADTPFAKDATFGYKSSNLRDYVVEKSKGQIPLTNIDSI DLETIRVGGPDAVFQKIMGLPKRDKAPVVLVINAAADEDMDVVITALLKASQQGKKYL YRTGAAFVSSRLGISSIPPLSASQLGLSKGIGGLIIAGSYVPKTTAQLDSLVTKSGDN LTTITLNVKALLKSDESAKQDVSSAIELASQKIKNGQDVLVMTSRELIVGKDEVESLD IGSTIAKALVSFLQNLEQKPRYIIAKGGITSSDMATKGLRMKRALIVGQAAPGVPMWR CDEPESKWPGINYVVFPGNVGHNDSLYEVVRQWREFS FPSE_11345 MSKRNGSIGAQEFDRNEHPKRVAIASNADQPVAHISRHIRACTT CRKHKIKCYMDDAGPPCRRCREKKLSNCAVSKNLQTIIDEKTELSEAMLSDLDQMYSA VKEMRSLMGLPELPPLQVAPLIKRKEATRIQAAENNTSQLEDSYGPSCDNSPKLTPED DRLPHAPIHSLYTLTRMRALRSPGSGNDSSGQVINDFISQGQITLSDAERLFSIYKDR LDGFIYSIGCSYKTLDQLRRKSTILCAATLTIAALHDPAADKVYGVCNSELRRLTEKS MLQRQGDRDYFRALAIASYWLSDLSWTLSGHAIRRAAECNIHNSYSHAIKDQSEEAMD SARIWSILYICDQHLAILYDRPAIIQDDWLTQDWEGILNCPLATDQDERLMSQVELMG ILRNIRRLFGPDKGEEVPRLYLSQIGHYHRQLDQWIAKWTARLPEGHPTIGAFPRKGA LFHFHLAQLYLYSHVFRGQSNTIPAHFLDTASMAVTSASAIIDILLSDPDLSTSIVGI PSYLLSMTAFAAMFLAKVSHKYGHDLFRREQALDQITRLIRHFRSLSMGKWHLANLMI RGLETVTSLLTPSGIDKNHIQNTVPVLPSGTENGNGLGDMYANGNDMFSGIDGSTIPT WDTHFGLSPIFGFDPSLLDVDGYMQSMAIFPNAE FPSE_11344 MDKMEYVKLGNTGLKISKVILGCMSFGSSKWQGSPWVLDEEDGL KLLKAAYDNGINTWDTADTYSNGESEVIIGKALKKFNIPRQKVVILSKIYNPVMDDGT RPPSVNDGPLVNQMGLSRKHVFHAVDKCLERLGTDYIDVLQIHRLDRETPPEEIMRAL HEVVQSGKVRYIGASSMYTWEFARMQYIAESKGWTKFISMQPFYNLLYREEEREMLPF CEATGVGVIPWSPIARGLLAKPLSSKDDNQSIRSQNDKKTDTWFADANLDIVNRVEKV AKDKGVSMALVSTAWVLQKGCYPILGLNSEKRVIEAVDALKVTFTAEELEYLESAYKP RGIQGGV FPSE_11343 MTPNFQTLSIPSATVQSHASNLLQLPDKTVLCTWFGGSQEGLPD ICIWLSRLESGSSSWSTPQKVSSDENRSCQNPVLFRAPHNGELWLLHTSQDAGNQDGA YILKRISSDEGKTWSEASRLLPDATGIFIRQPIVVNSEGAWILPVFYCRTEPGHRWIG SDDISGVFYSQDHGTTWKEKQAPDSVGAVHMNIVPPVSGQSTWVAVYRSRWADNVYRS TSKNGIDWEEPKATSLPNPNSGICAARLSSGHIALVFNRSSASADTLKRKGLYDDITP EDDKRPNQVTKTGKDAIWGTPRKTLTLGVSQDEGLTWSERVLEDGDGFCGTNSSSGQE NRELSYPSIYIEKKGEMDVAHVAYTWHRQHIKYVQIEDVEGWVQSK FPSE_11342 MTQTKNNILITGGGGFIGQELIVSLLKEMPNANFTLTDIINPSI PKGAESQVTSLKADLTDPSSVKSLLSSSFSHIYILHGLMSGGAEANLDLGLKINVDSV RTILDVLRSEHPGTKVIFASSCAIYGKTDLVTEFGTLPQPKSSYGIQKLMVELLINDY SRRGLIDGRIVRLPTVIVRPGAPSAAASSFASGIVRESLAGVKNILPVSRDLELWVCS PATVIKNLIKVKDVPAEQFGSSRIVNFPGITVTVQEILDALKDVGGEESLAQIEEKND PAIEAIVASWPARFDVSRAYGLGLDADGTVLDAVKAFKAKITNV FPSE_11341 METSIETRIVSLAEIKQHNTADDCWIAVHSKVWDITHFINQHPG GPDVLLNSAGSDATDIYNDVHAPDIIEELPSDKLIGFLEASSPSSPTESKIVEADPVP PPTSPAQSNESAITQTTKTVPSLDSILSARDFEEAARDHLSAKTWAFYSSAATDLITH GKNKELVRRLMIRPRILRNVSNVNFKTNILGLDSSAPFFISPAAMARLAHPDGELALS RAAANEGIIQCISSNASFSLKSIVKAVPSSQPFFFQLYVNSDHSKTVELLRTVADLGV KAIFVTVDAPVSGKREADERAAQEQTVKSAISGGESSKDKKGSGFGRLMAQYIDKTLS WDDLGWIREASGGLPIVLKGVQTAEDVIQAAEYGVEGVLLSNHGGRALDGAQASILVL LELRKNCPEVFDKLEIYIDGGFERGSDILKAICLGATAVGIGRPFLYSLVHGQDGAEH LCHILKDELETSMRLCGITSLSQAKPSLINSLDVDHLVFGNGSIDSSGQLRSKL FPSE_11340 MERSTIQAKSKHLPPGVYTPVVTLYKPDDPSQQVDHEAMHTQCQ ALIKAGMHGLVYLGTNGELVLLSREERKAIIKTAAQAATAVGGPNYPIVAGISAQSTQ ESIQNAKDAAEAGANFGLLLPPSYWAKALPSSAIIAYFKEVAAASPIPIVIYNFPAVT SGIDLDTDQLATLAAEPNIVAVKLTCGNVGKVTRLTALFSHEQFGVYGGSSDYLVPTL YGGGSGCVTGMGNIFPASTAGVYDLWKAGKNDEANKLQGLVAHAEWACKKGIANTKYG AWYFLGRGIGVDNETSWEMRRPYQPLDDKAKEWTVKTLSVLADTEQQRRK FPSE_11339 MAPRSNSLSDQKDIEAVHLDNVNTANTYNGISAEDLEFVANFPE EKKKKVLAKIDWRLMPMLAILYLVTYIDKANIGNAKIEGMLPDLKMNGEQYNIALSIY FIPYILAEVPSNMILNKFAKPSQYMATIMFIWGIVVVCTGLIHNFGQLCAIRILLGLF EAGFFPGAILIISKWYLPNETQTRVALLYTSAATGGAFSGLFAYAIAKMDGVGGQSGW RWIFFIEGIFTIVMSFVTYFFLIDSPTLSYWLTDEEKRYLTLRQASRRVTNSGEYREK TFDKGALFSVIKDWKIYLLTIMSWSNAAPNYGLKFSMPSITKGMGFSSSNAQLMTIPP YMCGAISSYLIARTADRCKWRMPFIVGPQLCIIVAFSILMTKAEFITENLGVCYFAVC LACSGMYPILPGVSAWNIDNTLNPTKRAISIAYLACAGTIGGIYGSYIYIETEKPKYT TGYGASLGFAVAGILAAVTLESALTFINRKRAKMSETEIRSKYTDEQLEDMGENSPLY QFKL FPSE_11338 MFGSKILFLIAATSNVVFAAYGCGEVNVVYTGLPGRHRYVKEQG GDPDVTEKNIEDYTREMREAGYNVRGIWRGPEIEGSEFAENVKGVDWHAAGVGFGVRG SNMTELTGLLEENLAVYREEAPDAKFVFNYNPRSFLWSVKRYFPISTDCKDHPGKDLG FITLCDEACN FPSE_11337 MSELEPITVYVHSAGPNPFKVTILLEELAVPYTTIVVEDPKEDW FVAINPNGRVPAIKDPNSDIVLWESGAIMEYLVETYDVNQRLCGTSTSSKWEIKQYLH FQVSGQGPYYGQAMWFHKCPQDIPLAKERYVNEIARVISVLDKVLAGRKYLVDGKLTY ADLAFVPWNRVVSQHPFFKTSLWEKHTILKQYPSFSAWQERLNDLPSVKAAYK FPSE_11336 MPSLSSPTVAKRESLPTAGSVFFVSSNGRVLRLPIPSRSYRDPL TWSSTKRLLGFCALQCLSISASFALNLPGLLVRAITEEFKDKETGPFSVESLSSAMTL FTGLGFLIGIPLSTAVGRRPVVVVAAVITFLSTMWAGHIEVFIELLLCVCLQGLAAGS VSGMSILILIDATFIHERPTALSLFWCLGSASIRLSILVLPYTTDLSTSWRCVYQVWL APCTLALLLVIIFVPETFFLRPPVALDGRVLVQSGSEKVEIYGGWDEVESLRNEKPLP DIPSSSSFWSHFKVTRAPGTNWESAAATYGQMLLCILNPLTFWVSLLAGAILSGVIFL NLTQFSAIMEEGGVKDAKTINAFLSLSGIIGSLLAFPAMGPLATWFTRYHSFRAGGIR HAEVYLVLFAVPVLTGVTSVLVNGLILMRGWSSTWIYITSSLSIISYLTGNVAFTLWI TEAFPKWAAAALAVQLFTSNMVGFGIGSGIAPWIQEFQIVEPTVLISVLIGVLGTFAV PAAFWGKTVRQYIHGRWSDSERTALRPQ FPSE_11335 MRATLALTALLGLSNAAAIEPRQSRETKLYKIELGPDDVRTVTE AEKWALRAEGKHFIDVTDLDEVGFSLQFLASFPSAVAQSSAVKALLPKLSQANLRTTL TTFSNFYNRYYTSAYGEQSADWLFQQVESIISASGAKGVKVAKFKHTWRQPSIIATIP GKSDSTIVVGAHQDSVNTRSPSAGRAPGADDDGSGTVTILEAFRVLLSDSRVASGQAP NTIEFHWYSGEEAGLLGSGAIFNQYKREGRPVKAMLNQDMTGYVKPGTKAVAGVITDN VDAGLSAFLKKVIVAYSSLPAVDSKCGYGCSDHASATRAGYPAALAFESTFENSSPYI HSDKDTIDTVNFSHMLEFSKIVTGFAYELGFATNL FPSE_11334 MDKSKHKVIIAGGGIAGLTLANMLEKADIDYVLLESYKKIAPQV GASIGLQSNGLRILDQLGCADTLLALIDHPLLNSWTRNSDGSIIVHLQGVHNILESRF GYPTVFIDRQSLMEILYDNLKSKDSVHPSQAVKTVMELDDGVQVTTDKGKVFKGDILV GADGIYSTVRQEMWRIGNEASPGYFPDNEWSKVPCYYKCIFGISKPIEELIKGTHYVY NDKFSYLVMVGPGGKWYWFLFARLPAPLYGDDIPRYTKEDEAKLAQEHASDQITPEIT FGDLYEARTNSTLTPLHEWVFQKWHYNRIITIGDAAHKLEPLTGHGGNSAIETAASLI NHLLPGYPNWSDSNIQSAFSAVQNERFDRVQWLVDDAHKNQELNALATPFLAFIAPKL ARLLNIDTAMRLYGRKFVDATHVHSLPIPEKPHSVPFTDQLPARPFSSTALLGLGVLS QGALFRLANQILHPLQIPATFMGEALVTNYTGVAAVDQLLAALGAAFAVFIQPENRPA RLQWIAFTPLLFSTALDWTLESYRAGSRGLPTSFPSVFGAMYQLKGIGRTAPLYHMLS VCEQIVMDSISMVTGRAIDVEVVKASIPGLALGFVVPTALMIWPWENKVTWQQMVALW QPFPVYVGLITAGVSTVLRKVKSSSATHASSNATKESGKLTKRKDPSRSLLRYIYAGG AATATAIHLWSLYKIWSDPELSVSGVFGTVAYLVSGKSSSDPNIRITEFLQRDMFLNG VSVLVHSLYRTLDLRRVGYITNKETVVASLAVLIAQPIVGPAAAHIGFLGWREDMFYR VNKSVKA FPSE_11333 MLPTLTYLGLALALFQCLVFCDAKFIRPPEIDQNRKSDADIGKN TRYNIGYEIQIDWRSTIEGTQLWIMQLNKGTVNGAELRQLYTSRTEWEAEYNIAGLSK NGEDSVYCFTLWESSNGYLAQSITFNVTAPDPETTTTISKVLSITRTTTQSIAESTTQ SEPSTTAKTTATSTTIAEEDTGATPNSGLSKGETAGAAVGGTIGGLLIFGCVGWLLWK QISKKKRDPSIYEVPTGQLPVSEPKAELPGDHGSHIPGYARSPPGVQFSDIEEQIIVV SRSDQNYSGPGFVYMRAIRLRIPYQPVKFDVPRTALLANRFRAVQQPSKLTMVALATQ SEDQPRDVVFRNYSSQQASNYAEGRLGYSETLIEFVLKQHNSSGGHNGVVLDVGCGPG PATRMLAPHFDVAYGADPGHSMIEKAQQMGGTSKADVQIEYKVSGAEELDKIDGLEHS SVDLITAAAALSGSLLTSIDLSGGDKVQTIFEDFRQNVLRPYHSAGSQITMDGYVDLV MPWDDPTTASLYDRKSSSRHELSAKDGHFPKHYLMDNTPGDVSLDEKLQRFEKLVNSF GTVNRWQKANPELIGTEDDIVKILMRKLRAAAEENGGSLDLSGLADKYVIAVIIVKRV FPSE_11332 MLFTREHEAPFNKSPVVRAVSMILMVVTVFSVVIRLLTRLAAVK RLKYSTFFTSDEIMIHTSMIFSIAQSTIVYTQGSNGLGKLDISSSQISSILKSQLGSD VLFFITLGLSKLSATSTIWTMSPLSNKTILPIQILIGGWAISAVFVRSFACSLSNPWD YLNGQCINMIYADAINMTTDVLITALTLGILVHLQMPMGRKVMVIGVFGSRIVTIPPT ISHIVYYKRTLNSPTPIFEMWMPTIINQVIQCLGIMTTCIPFWWRFLKSMESGQMGAG DIFGALSRSNNTKSGGTSSRGQAFELTCRPSTAKYGGAWDVDVARHNSQEALVDPSAS TTGN FPSE_11331 MANRYELDALGSGKHARPTVEDVSQLSDQDHMDSAQLNMLGKKS VLKRNFGGWTILGFSCAVLVTWEGTLMNFAPGLTNGGSAGIIYGFIFVWIGVLSSFAT LCELVSIAPMAAGQYHWVAMLAPPRYSKYLSYITGWMNFAGWQGTSAAAGFLTATMIQ GLVIFTRPDYDAQTWHGTLLIWACILVAIIINTVVSALLPILEGMILILHLIGFFAIL ITLLVFRDNDNGTEVFTEWRNGGEWPTQGLSWFVGLLGCVFSFTGVDCSFHMCEEVRN PSLVVPRSIMGSITINGLLGFGMIIAMLYSATDIDAAVDSPTGYPFMEIFYQATGSIN GTAGMASLIVLMTLSATVGVIASTSRMLWAFARDNAMPFSGTLSKVEPRTNMPVWSIS ITCLISCLIGLINLGSSVVYNAIISVAISGLYASYFVPAILLLYRRCDKDYKIRNIAG DGQVLEWGPWHLKGMFGIANNVFACMFIFIVWFFSLWPPQTPVDAASMNYAPLMTGGV AILATIYYFLFANKVYVGPKVEI FPSE_11330 MDASLLPLNDQISRFKDVVKHNKTLITVLSRAAEMKLPNWYLAA GALTQTVWNVMTNRDPEQGIDDYDLIYFDASDLSWEAEDVVIQKGKELFKDIPVEVEI RNQARVHLWYEKKFGRPCPQHHSSEAAMTAWGTNTALIGVSLREDGEWDIFAPWGLSD MFTLVVRPNQQIMTEETYIKKTQRWIKLWPEITIMPWK FPSE_11329 MPSTSIYQSVIYPGNHEKLSSPTDTQNFIDNKLVSSKTTKWIDV HDPATNNIITRVPESTKDELEEAVRSAKAAFPGWKRTSIIKRQQIMFKLTHLIREHMD NLATSIVTEQGKTFADAKGDVLRGLQVCETACGITTQLTGEVLEVAKDMETRSYRQPL GITAAICPFNFPAMIPLWSLPLATVTGNCMIVKPSERDPGAAMMIAELCREAGFPPGV VNVVHGSKDTVNFLLDEPEIQAISFVGSNKAGEYIYQRGSANGKRVQANLGAKNHALL SLDANKEHALDSIAGAAFGAAGQRCMALSVLITLGDAKQWMDDLVKKAKNHVAGSGFD SKSDFGPLITPQARDRCEALITSAEKEGAKILLDGRGWKPEGFPDGNWVGPTVIAGVT PEMECYREEIFGPVLLCMEADTLQSGIDIINSNAWGNGAVIFTNNGAKASLFQQEIDA GQVGINVPIPVPLPMFSFTGNKRSVAGTGLANFYGKDGLRFYTQWKTVTSLWKADDAS TGEKLTSMPTSS FPSE_11328 MASYSLYEKYKTDTQFFVGWLANETKLRFLSNPTNPGSAPQIDV DAPPTVNGFVPRARYLASQNHNIPYYIFSALDSAIRKRKIARDAYRANAGAKVDPALA ESDRTHQHFTNTLEQCFDILGGAGWTRDATQDGSLPTLQDLQDDISRSFAALNIQNKE ASSDEEEEVTSSRTAQRRRPGKGKKKGKSKKAKGKQPAKGPVVHEQIPLERLLNIDGQ DERANNFMLAVHSAAQQWIELRWCTAYMWKEAINSGVNHNTAVAAATSKVAFSMVRRT HAAMLADFAGNDSYLTIVRALARGDVSNAQGTFQFDIANPNGEIVPRTIDDIEEQFLY HVYKALKAFLDDFRLNRTGKPTRNMTRRIASWDPKARLDTMTHDERLTWRHHYIIQWL YDLVNCYSAAQLNANRDSDHPKNLEDVDWAGGKRTLFGLNEFAADITRLAMQDARIKI ETLIQPHHVFQLQCIVDTFTISKGWLIPVDSEHVLEEPADFKARRDIDKFFDRHKIYS LGSYHKGIEWMCIYLQEHCEDFHDLVRGNVIPDILDVEQDFRNYLGQHIYAKGQAEER INVMTRLEDIWIDWEAIEPSRFQETNGLHEYSPFLCGASLEEALSISFKQMMWLWQEM QEPVLLLQLYRFLKQGDYIKEPVPLWEALEILFKKCAFPKDVDGQTDAGKITATMTSM KPTHLQALGRRRDKPKNVHETYDISWCTNFNRESALSAYRKADWNHEALGVLDTSLSS DLDILKSLGTKSDDEDDDEDDDEDTTPALPEARGTGATETAGGPSTAQTTTQTTSPAP PQPAPEIRSDRDVVLNAEADFLQDVCGFSLEAPMRPLSGINYPIITHTILGVFAQIEQ ALEAAGNLVYLTYYDEAWARQHGRPPLKPGTSKRGSMFISSLSKRDEPCKELFEITAD VLQRERRRIWEFTYWEDHA FPSE_11327 MRQSLFYHFLYLCGAVEGVDLSSKRGLAFHGDDHEADNKLLTSN NSEIAWYYTWSLWPSQQIGNSLPFLPLIHGLDDASDSELQNRLNNLPDSSTHLLTFNE PDGETDTGGSGISPKDAAKAYMKNIVPLRDTKREWSISHPSVTGSPRGLEWLRDFNES CYDIDDKGCPTDFIAVHWYGDAVGLDNWLNSLRDFYNETAPDAPFWITEMALPKEDEE ATFAMMNETLPNLDKRKDVEAYAWFGAFRKNDANEWTGKNVALFDSDGGLTKLGAEYL GGEEKGFEEGTSEGIVRMPSMGFLGVAMCAAAIYLW FPSE_11326 MFFKTAVIAALLPSVFATKGKGPDKDGKYWLSGDGIKAAFIPYG ASITNLLIDDQYGVSRDIVAGFDNATHYSMDKGHPHLGGVPGRYANRIRNSTFEIDGK DYHVKPNDNPTKESPDGADTLHGGPDGWDYRNFTVVSYTDSSITFSIVDPDGKEGFPG EVVSYVTYTLEGMDWDIKIVALATTKKTPIMLTSHTYWNLDGFSNNETNTVSNHSLHM PYGGQRMDVDNILIPTGDIIANKKGSVNDFWSKRKMIGDQENNEDLKNNCGFNCTGYD TCYTVNRGQLGNYNWRTEGPVASLSSAWSGIQVDIYSDQDAFQFYSCTQQKGDFPLKR TQGLKDNKDFPRTIPKYGCVVLEVQDYIDGINHPEWMRDQKQFFEPGGDPYVLQAKYT FSLKGGKE FPSE_11325 MSNIKEYYLLPTDLVPNSPRPLLHYKNALPKRPGTTHCDPAQVW ETFTKNGWDVEWIFRYPQTQLSHFHSEAHECMAVLSGTATIRFGVGDTSDDLQENTYG AAWENGGIELQAEAGDVFVIPAGVAHKTHDTKPEAEFKLLSPGVGHGIEADDPRQALR EVELSGYTMMGAYNGGNWDFVKRGGDYGKSWRVRKPKCDPVFGQDGGLVKSWPGDDKD ADLEIVQVENREHQRKSSKL FPSE_11324 MQFSLAAVALLGAVVSALPANEKRQAYIPCSGLYGTSQCCATDV LGVADLDCGNPPSSPTDADNFSAVCSEIGQRARCCVLPILDQGILCNTPTGVQD FPSE_11323 MAEFVIQDDKLSVLKGKVVIVTGGSSGIGLSTVEFLLSLGASVV NGDVQPPQKQHEGSFTFVKTDVSVWADLVALFKKAKEVYGRIDHVFANAGLGPRADYL STQVDDNGDLIEPTHALMDVSLKGVVNTCTLAIYYLRQQAEGGSIVISGSTTGLQRVR AVDYSTAKHGVIGWGRGLVPLLDAAKLPIRVNTLAPSWTESSVLPELKMLLNAINVEL QPASVVSRCAAFLMADESKNGQLVHIERGKYAEIDEAILLPAFGKIKSDDYPYEDDVL RRLSELA FPSE_11322 MARVAGDGVALLWATIAMLSLSWIFATLRFGVRKWRKNLGLDDG LMFAGLILYTVTTSLVIRCCFYGSGQKRKDLEPNDIKMGTKLFFIAQFFYSACSVPIK SSICVTMLRICDSRRRFVWTLWGIIGITVFTALVFIIATANICHPIETLWGEANGKCN TKVNSSIGFYFSAVSILTDWTLAILPAILLWNIQMKQRVKFPVIVMLSLGAFASCATV VRLGYLTLYNDPTEFVYSTGAIGLWSILEEGIGIIAGSMPALRPLLSLPIFGRSTYAS GGASNQASSHMNLGHMSNKKTQNQTDTELNDYHANLTTRISHSRDKQSLDDNDSQKFI LKSTKVVMTTERA FPSE_11321 MDMTIQDDIFDKLPAELNIQVFSYLSPGDLSSAVHASPSLARVY LWNRDPILSPRLPNFFLRFGQIDAVPMIEFLSELRSIRDRHTTIADIEKHIEPVLDAM LRLDIYRRPERWRLGLSTLVKADILIPEMQESFMDHCRTAGDTPLESENQWLSFDQMP SKLIWLFAKIYLAFECHCSIFNHSDGVMLQSRSNNADALFLRDIRDVTELHGTVFEQF CQHCCLYRRHEYLYEEALDCLDAEQSPLIDGSISISMHDTVLRNMAIQGYRYHVYMED LVEKHADIERYIWEVARMAKRVAPHLF FPSE_11320 MNPQLQNLYSKNVLSKDDSFRLISLQPGPSHTPLALCLLNTNLS NIQPYDAVSYVWGDMKDPISISVQDADESQTHVRISRNCHAALSSLRDPHVSRLLWID SICIDQDSPAEKNHQIGLMGLIYQNASKVMVYLGQGSPESDAAMRCLCELDEPSNDNS LAAVGASAVLQENRVAVDNLFKRPWFFRVWVLQEITFAQTATVICGDYQLDWESFKTF YHWNVNAGWIERLPFSINYAVSPSPFVSYVTYGERLLKILVDTRSCGATDPRDKLYAI IPLLDRDHEKMQEENEEQKERWQYNEEELRQLSIRQRRLSIQVNYNDSVSRVYTELAI LLMGSIGLDVLSYVVKESAMPGLPTWVPDWTVLSPYWSATQKVTRGRYKPFAGFPKGP SQHIWGWRMLYPHLIDTWTISNYPTASGESSKQLHIQAVSLGRIEKLGDVCDLANNYF PTGQWASLVPDESYLKNPEMPEDLPTEETHEWDSGPRSLSLFARTLTFDTVVYPKVAK DAITYIRRYNGEIINDADSPWGYFGDPNASNGERMPLIEIFQGPGSFERQVMQILKRC DGKRLCILDTGRIGLLHDNVQVGDEVFVVEGASTPFVLREATKDVVETESPERILNLV GDGFVLGVMNGEIWDLVDNGDVYREKLIIR FPSE_11319 MSQLMCTQLAPNQAWAIILPHLGFQYCFVLYGILSLASLQRALS DPEDIEGDLAAATNYHASAVQKFLAEQTTTCQHSDKAIDAMFSFSIIDTIYVLATYGT LSRQSEPTSRPSRVLELDWIRDIRSVRALLKPFNDKLRDGCLGEFANLKMFEDWDTGH VSGDDGLLNLRASYQGCNSADIELYNSTVKLLSSCRPYKSSLGDVGTDEARLSRPNPH WITPLIFLQEVSEEFIDRLYQRQPPALLILSFFGAMLSAYDTVWFMEGWALEIVSAVD YALGDYWAPYTTWCREQVAMNFVRWDSGSEITTPI FPSE_11318 MSNQKEKSAKQYDVMSDTKSELDESLDVPPPYELHSSGTVLASS AAVNEHGSLDITFSSMAPEEVNRLLPPPKPHQPESAAESSATPQQPCPRLTVVIQVVG SRGDVQPFIALGVALKRYGHRVRLATHDTFADFVRSSGLEFYPIGGDPEDLMAYMVQN PGLIPSMQSLRGGDIGRKRVMIREMLRGCWKSCVEPDPISSQAFVADAIIANPPSFAH VHCAEALGIPLHIMFTMPWTATRAFPHPLANVQSQNMDPKASNYLSYGVVDLMTWQGL GDVINGWRAKDLELERLPAAVGPDIVEIMKIPHTYCWSPALVPKPADWGDNIDISGFF LRDEPTYTPPSDLERFLSSAPQPVYIGFGSIVIEEPTEMTRIIKEACQRAGVRVIISR GWSKLGGTESSTDSVFYLGDCPHEWLFKRVAAVVHHGGAGTTACGLINGRPTTIVPFF GDQPFWGGVVASNGAGPHPIAYRSLSVDNLSEAIQFCLSPEARNSAEIIAAQMRQEKG VEKAVNSFHRHLPVAEMSCNLLDQRVARWRYSKAKNANSSPIRVSDEALQVLLQSKKV KMGDFKLLRPKDYRIENQRWDPLTAGASSVLGTMTDFTTSLGGTFIDPFKEMKRVKQD GSQTSVGAAAAVAAGKGMQGMTTAVVKGSLVDFPLALADGLKNVPRLYGEEVRDHGPV TDWKSGGTVAAKNFGYGFYEGLTNIVTKPMKGAKEEGTLGFMKGLGKGTVDIVTKPGS AMFGLLAYPAQGIYKSAKTYQRSNVERAMENGRTETLKVTQYSSPQGQNEQVVRRFQE LNI FPSE_11317 MGKEFELIDSILLDSMSTTSSNMDGTSTPTHTVMSETLTDYTES VPYPGAHFIIRQRDTGKVITLVAGDLQLADGLNPKGGYHWECIEKNRWLGFRNCVSGT IIGHNNNKKFVARAEKHQSYEYITLRPNPQGGYELMTKHNHDELWSMNVADDGQQLVE TKGQGALWDFLKV FPSE_11146 MSARPSSHHFGSNSSTSSSRSSSSSSSSDSGYRHSMDAIALPIA SVEVVRCMRCARSVETTSTDDVSTMGMVRIAHNLYYCERCAKMVGYK FPSE_11147 MLRQDFNRIDPKRRNVVDHRKKQFASPTYKDLDYPYRLNFYTDP PTADITLEQFEQWAIDRLRVLAELEACAFRNKTPAETATHMKPILKQHLNLEANSSSS KKLFEQRQKDHYSHFILRLAFSSTEDLRRRFTRVETMLFRLRLNEDDLSERSAFVKTL GLDWCEDVTEDDRREYAAELAAFTSNRKGENDDDTWFKVDWERVPDLIESRRVFLKAG KAFVPGREQAGMVVSEFTSRLERQLELTARALPRLDEDDRLTPILNHLSKNFITPDAS YTSGTAAVPGAEISAANIDNLSQHFPACMSHLHRSLRRDAHLKHFGRLQYSLFLKGIG LNLEECLVFWRKSFNKMTDDKFNKEYRYNIRHVYGDVGGDSNRRGGGYSPFSCQKILT EHPPGPGEAHGCPYRHFNLENLSALVQAMGVNDRSVLQGVKEDKDKQKFHMACNRVFE HLHKQEIRKAKDEGVMTQSQLETIVHPNEYFKRSFLLKNLGKETDVRMEG FPSE_11148 MDCALNSSLLLTLPPEILTMIFEQAPLVDKVMLATSCKRMLAIG RLSAGNLQSKFVGVSSMSHQPTAMSIPINIPAQPLHRQRSPLDSSPFPEDEGPYMGYT ETFENGHIYRAVSSGSGRFVAAGPQSNLPSMSFVVEKERASNIITIKFRSRDLKQVIC QVLGHKIVPPLQLALSIDQVLQHYDSLRAELDFLADNHPYDDTTKEMRLLVDDLLLER SLYDGSNMGRLRQEGVVTPSLLRDIHQRNMDLGVNDLEECFQLGEISEEDSNEFLETQ NAQINKFARTGNLDLLSGFCEPLLRSNDIQIIYDAGLLSQILDEGFFDIYVYILDLVE RTRSMEGDMPNPDYPDITYDPLCVAIRLGHCPAVRALISNKLDTFEGRIEDAVGHSDR VFTPLLAAILWQQVAVIRLLLREGPLYHSELVKANNFAVEMGFTDILDVLADLPKPKT SAHEAMSAKYPVPRAPQFLPTGRYSPYQRPIFRPSGGNSSISGISSALSGSRQDQMTK TTPTPFMSHPTSPGTSSVTVSSPRVPDFCISPQDLSISQVLSPVLSPQFDPPSVRSTQ AWPSQDPYSTIQEVIERPMLLDFTRSYKRRSHLGHSSMLRLQQSCRRIKEFFDQRGDV GEYGTIRNHCINPTSLSRSGLNHLRNILRNQAPPGLAPVLQALLVADALIYQLPDSRE RDTEFTQDLYRWKVVALERELDRKMFDELVRAIWKTECLPPNTLPASREDALRFGELA RDLVSVTHIKPPDLKPVGTRIRAIQRKIQGYHKGSPNVEMMALPGPESTTARGDSALD VHVVEPRVVLLLQSVALNVLFATSSAIQDVEDSGSSQHLFGAPASINRSTAIVEDYLS FDRNNYASYDSGIGMETPLEHPMSPWMLAMKDDHMAQESLYQPEEAQDALNLDPALLS FGDNSLMLIEQQETGIFFDDILTPPAQDTATMLSFETQLPGESAQRTEYKDFRATPSN IDWLDERTTPQQECSTRTANMSCPDCGKVLSSWNKANHERYWCRYNPNRERKT FPSE_11149 MATSGVFSARRRATPGDSDDTDLSLPRCAPGRRIPSAEWEKKRP IITKLYQEEKRPLKEVMEVLEREHGFTATVKMYKSRIWKWGLDKKLKSDEVLAILILR TEREAQGKTSEFTIRGQPVDIDNINRYIRRNPQLVARFRAGVVPTIQTTLEVQCHTPL PSHSSPLPPPPPEETSHIDQVIDLFTSYFDTSFTQGAWKCEYNVSCGSQNTGDRSVEL FERVVTSFGLVNRSMMRKDDISIGTILTPAFESLKEIVASGSPVFATRTPFLLWYLYR FHNGDLLGIVMKYLAGLIPIILGQNHPMTQIWQIVGSPEFSEHYELSTRLYSSLVPLF EEWIGPANELTTILYCDHIDCLFHHDETAEALEVSAIYRAKAEATQLRHPWLRELAIL QTGIVCNVKTSENKIEEAIQYLHTLQEWDLDEEQRAGMNVQLGNYSYQMGDLSLAIER FREASNLITACKGDERILLSSLANLESALHKKGDTDEAAQIHEFRLTRLSDFAKESGT FASSSYPIEGAGNMPDTSTAPVSPMGSYSWDGQQVSDWLWGDDSHGSSIPVVGLTQHL QGC FPSE_09966 MNTPHAPRTTTALSLDNNSHDAANNSFRGRDTSLRSTSRPIPVS AHPSSRAGASLTPNHHRHDHAYATLSTSPLTSFTLPDVSVTTPPLAHFRADTIAPSSI QDGELPPAQPNTLSIGSPAVPRRSVSPVGSLRISTDFSRRPTTPDRRESFNNNGNGSG SSRGSLTLNHKASSNSLHPLSRTPSLKAALTNSLGSVSGTSSLVPSPIISAMGDMTPL PSPLMSGDSPGPWKRLSAGSSSPPQKRLTSVGEGSVLVTSAGESIDAALTHGAKRKIY STLEPDDHVKIQSSTNQPPTQHTRNRSVSDYKPDPMNIPKRQISVSTRPNKDAPPREH QIRRELNLAESRGLAPTVVKPPTPPPSESSRDSGDGAGKPRGPSFEYFEANGRNDKKR RRWRAVRMLGQGTFSRVMLATSQTEPDEDAPEVDHGRLTPTAEQSLDRKSLVAVKVCE HGPKGGASEERVEMSLKRELEIMLSIHHPSLVDLKAWSIEPTRAILVLSYCPGGDMFD IATSHHSVLKEPLLRRIFAELVGAVSYLHERRIVHRDIKLENLLVNLTPSELADPSID WATYPYSVVILSDLGLSRRIADDEKLETRCGSEDYAAPEVIMGQPYDGRATDAWSLGV LLYALLEARLPFDPHPGMSDAHRMRSRTSHRIARVEWKWVEYVGDDTDHDGDEAKFKA KGLLGAMEITEGLLRRARGRWTVDKVAKTPWVQGAINVEGGLRFREEKDGEEVS FPSE_09967 MFNNPAGGSRHSNNNEDPSYGFADQGWHRFSMNNNNTNNFSTGH SQPYHDSSASYGDSSGMDWAPTPSAEYEMRFDQQDTMSQDVELDDMSSSNGGGGGGVG RLVAHFENKSFAPPLPLRPSNVVTSPVHQDPPVSSPFGNFSVASPIVTSPLASPSEPN YGLLSGHSRVNSPLVSPPPALAFGGFHDIPSVHSSNIGSSSGPFGSMNSFMVNNNRVT TPMESTPMAGSSMMHNTTLNNKITPSSTATPGVPGTPGFAIWRPPVPTTSKPSFDQQQ GSGTSSNAGGYFAKPPIPSTPKPVMNAGSQLVLDFNTNSSFNVKGKAPAKPPAKPPRP TRQPSRSSISTPVPFSPPIKREPSTPQLSQVSTSSIVSPNERRSSVSQKSQMGSRPSR EQVPAEAWESFKNTIRTLYLEERKPLKEVMSVMADKYGFQATPKMYKTRFSQWGFVKN NTEDEVKRLLSMKFQRDAEGKVSEFVRNGKVVNLGTYLKRKGVTEYDLVDFELPADLP AHIRCRTPTPPPTPGYLQSPDLIRAQETIVTNMRKAFLQCRQFEVETDAQVGWQSVMV WGAGSSDLLLEANYYFEMKDHDQGGDFLMKAFSQLESDLKKLSPQGIIELLLGMVHRD PGMMTALSKYLSAYSMTNFERSHPLRQIFACLYEVQQKHGPQTLSELLWGSITTIAEE LEAIYGRKHPYVARTWVDLATFYNHVSQERLEKLVGELRLLQRQMEQQLGPESADVLV LRYTIIQLMFAARPQSDATKQATIDFWHHMRGMGLLFPVRSQQPNVFCYHSPVKVDPW TKRCRRRYESGVAFLEEHVGVRVIVYFEEDFHTTEHAPEHMPSMHQQRQYQQHQHQHR QSQQHQHQQQQQQQQRPQQLQAQDSWAAAMEQHMSSTKYSFL FPSE_09968 MRLTRPSVLAGSSSISYQRLYSSSRIIRENDVLFLRQKGTRGPK WRLTSPLRPDGRIRLGYGATINASDLIGRRLLDSVVDSSDRKVDIHEPTMGAYILNSE RMATPIYPHHASFIVSLLDLNLSQPSEEDYDPETKEPPPPLEIFEAGTGMGSLTLYLA RALNAANPAVPPILRDALCTARYKSQDHSLELSDEVQAAFDAYRSSRRAVLHTLDQNA KHSGGANKLVRQFRRSLYYPTVDFHVGSIGDYVASRLAETDEKPIFSHAILDLPSVED YASPVIQALHPNGLLVVFAPSISQIARFQAWISKTKQPLRQERVIELDVSTTADGVLD TGGGKEWDVKTVVPRSDPEAIPVQVMRPKVGDRLSGGGFIGVYRRWPNEEAITQVESA GEEIISDTEGKVDSSEDIVSDTEETIDSEKTAEIESTKTE FPSE_09969 MESHRLGSGLPARPSEPSRIRRPGLGFGHGYGYGSESGPSSSNV PKSLNRPAKREDVPRSLPKPSSISRPTPTTRATQPQPTTTSSTTTRRPSLPQPISRPS ISHQAQSWGSSSTSASARSAANQHGASGSSSSTQSIDNTRPNPNGLRRKKPSLSGDSN TTRNGSSRTDSSSSSAQHKRHGSVEPVFGFHLDRELTCSPAEIQIAEVVDVKKHSRSP VIYPELDRYRNIRRPSDGSDNRIEVPFRLATHDLPPPTPASLLFSGTSGSPSTRFSES PGPGPYSRDTTPTSIASQSPCLLAPVRSNQNKGRLQSPVLLNRPPVTRRTTGNEVEAI PIDPHGLAAVRESLTSSSSNSTVREATIIKKKTKNLPPPPPSPPPRKSSQYFREDTSS PKSVRKVSRPVLRSPSPERNADTSASPRSNPPSRPSRDGTPDMHSQLFNPVPIIHSNL STQSLPTERRGSESAVAGALAPPKPQYTHSGRTASTSQLPIKGEVYAQPAAKPAAELK KGKGVEPPRMTRTPSPHVSSSSFSRFFGRRKNSSTVQPEKPEKEKKLIRKGPAAGTGH EGYGRLGAAKRRSGSVSNVTRNQASQEPRSSNDSFLSDRMNPVVIAGGEVIENRNASS EISRNGSSQSLAHDDARLGSSQLPASHQKPRNTLWPSPMSRPAHPAFGARRPSESSDS EGPAMKSTLAFRRSVQRLRASPDDPLKLPQPINTSGYASSPMTSFDTSIMSDDSHFEL QREISYEVKSHPAPKKLVKRPRSPRKWNLFGRSSQSQQNKQGEQVSATVKVVEKKPLA FYAMMDSSEQEDSEPMDIQDVLRAAEVYSKSPSVGNTPELPQGTPEMRSSSDSLARPA APVLSSRRASAEIRAKTWVPVIQHGAAPKKLTLQPSAQPTVPTITTGRRSRLPQVGRI PKVVSNRPEHEHVSPMSFSRPFRASMHLVPENLVVYDPDSVAKGPSPSRPSTPVPELT TDGSTAGSTNNPSSRDSIPPELNRVEKEFLAFSPRKDSESTIGTSSSSCSGILAFSGS TAVIPQPHDPPVEDEVWDEYDDLLEDDVSKPLPSATSSRGTPFHLETYETKLASKEKP LESPTITFDKKAIRQSKATTISSTCSADMTERLRAAFQPHPSPTTPFPAVDLVPSNGD KDAEAATAVEMSRQSSRSSRKTRRSDASSSSEDGSPLAQVNLRVGSMTVSKWLTFGHV LFSDVRHELVPVEGSLKRHSILVIDGLGNDDWSFYAAETYPAATFFNLSPRAPLPEDL KSASSSFPLSPPNHHQIQYNSHLDKFPFAPQSFTAVVYRFPVAAPEAYYRSILTEARR VLKPGGFIELSILDVDLNNMGNRGRRTVRHLKERINEKTPDTSLGSTADLIVRLLGKV GFTTIKAARVGVPVASTATRSNGKADKGKSKAAADQPSLSEMMSDNSPLADEGITKMV SRVGRWWYTRCYENAAENTSGKSIWSDKSLLSESEELGTSLKLMVCCARAPLERITSV FPSE_09970 MAEYSTLKVPELKKLLAEKSLSQTGNKADLIARLQENDKQSETE AKPVENKEDEISYSDDEAPAAPAAAAAAAAAAPVTSAPVADAPAAEAAPAEPTNAEEP AAVEAPAETEEAPAEPEKSYAMGLSSTAADDEAKKRAERAKRFGIEEDEDAIKRADRA KRFGLDEKELATTLDSALPERSRKRGRDRNTETEGSRPEKRQSMDRRNGPGGRQRRGR GGRDNGRDRGARKEGAQKEGAATRSGILNDPTEKAKAEKRAARFAGN FPSE_09971 MTRRIVRTAAQLAGAALFTFLVIAFLDRNYRVLPNSIHGYMPSH HPGLIVTDVTIVTCSSVNPFSSCELDKEWHRVDKDLYLGRTWTTSAYLYVMRKHEEDL TEEDRVVVDVSVGRLQPQPKGETDDAWESRSSGIWIKRSAKRKASDSKQAVTDVDVLF GDDAVEARDGYALTGTPLLLNTGGNLLCVQLTVRRGPVRESKKPTPRIRNDGRYKIMQ IGDLHLSTGVGACREAVPDSYNGGKCEADPRTLDFVNRVLDDEKPDLVVLSGDQVNGD TAPDAPTAMFKIVSLLIERKIPYAAIFGNHDDEKTMSREAQMAIMESLPFSLSTAGPA DIDGVGNYYVEVLARGKTDHSALTIYLMDTHAYTPDERNFPGYDWVKPNQIEWFKKTA AGLKKNHNEYTGRHMDIAFIHIPLTEYADPALPRVGEWKEGVTAPVYNSGFRDALVEQ GIVMVSAGHDHCNDYCSLSLAGEGETKIPALWMCYAGGSGFGGYAGYGGYHRRVRLFE VDTNEARIKTWKRLESGDTASRIDLQIIVDGGKPQPIAPVS FPSE_09972 MSSPTPTSPEEAVEEAVLDPETPQKPTEDNDEKEEPKSAPPAAA ARGRPRAKSTREPTLLHDFLLGRPSRSRQTAERQRRMSLEAVKAELRHEMRQSQVKRV PPPNGVRDRVKKWQKANAAALEQTPDDAATEPPDVAFDDEDFQSVTEEDRVRIKLRKK SKPPSNKTKESLPTEEEEVVPEKTPVKTPVKTPEPPPPVVTPKIATPKTPTPKTPTAK TPAPKTPTIAKQVNPPPKKRVVSDEHWRKNRKHGTKKTSPAKIPAPTKIPKDFIFRTQ ANPKVANRVKAWAEMVEIPDPPAPRSHKSSKSLGARPWMDDTDSEFMSDSELTVSNVT SSRVTAQRSAKSVSGHDDGIRVKPIRKKRQSGDGIRVRAMRTNLTDDEGIRVRPMSDI SSNAGSTVRSVRLRLKSAPSSRRPSMSSVRKEMKKQMTDTPKSVGGENSEVSSKRYSE AIEDGSELSKTTRTNDKSDLSKKAPIEAVEDGSAIDTPTKKRVASSSKAKPRPKSYQP PTTKQKGKETWVPDDDSTIEPSQLDGSDLSSSLLAKSIADIPGDIPWGNSAFTELDLP LRARGGPLRSRPIRPARPAKPERNTSFKNMPKVFKKVMEGANKMIHEINEHPPARDAV PNKPTSIEKWLNTTVDPFVEEPKAEPPTTPLSEDMPDEPSSPETIKSHRRTSRVEKRN MSTSTSKTETVDKTIPSEPLPATPEPAQEPAKKKPQPTPSPKPAPAKEPTPEPEPPKK STPKPEPKPEPKPEPKSEPKSEPKPEPEPARQPSPETITELSTDLSTDLSTDLSTELP APSPVSSPDPDSKTKPKRQDTKYPAKASGLTGLKRTKATRSTPPPPKSGPKHSLFGML KEAFTGESVNSLPKVKPYQSREERPYDDEPESMYAESRYNDSRYDDSRYEDSRYEESR YDDYRHGDSRYDDDRVSEGSENWTETELSKTEKLRSVRSASPEEERKTPKPQKTPEPQ EQPPREHVDEPEQSGDARAALPSARMMGPRLPPPTRGQYELSTILSEEGSSAVESDLT TDVTQSTLTQSTGFTKGNSRRSNSRSNSKNQGSGLKRRLTRHSDLVSVLSLPDDKNVP SAIIGNRSRPSMRKARGGANDVTNDDLLKEFAEDESLYLRELKTLVSGVIPVLLQQVV NGDNGNELFGSGGQVTKADALSKSVVNMGVALEKLQMAHRKAPIQDIRRLASWGHGVV PIYHKYLDAWRLGFQDLVVNLAPVAGVLDDEDSLVGAMPRNDAGDIIDAAGERVDVAH LLKRPLLRLKQITKFFKCVDSIIGTNDTFDLLSDFEDLQEKARRRHREETARLTDEDA INTDTTRSRDLRTLGPMEDVYIEPSRQVSAKDFFSLDLAHSNGQRLECQVELVHRDNQ RFPEDKGDLLIRENGVKGRSYLLFPPVPIELISARTGDGNFDMIIMIRGTHNDRPWHE LLILTTDNEDQILDWLDILPVSPVPPREPEPSVIDDDEPHSQSQMQDVPVGVRGSSRK YSAQSLRNSQSRRSSAAESPPASPTTPRRSIPTRYRPRSASPVTSPPLKSPDYYDLQG TADQYDFEERPMTSHSMQPDPLNIRKSDVSSRDDGAPPPPAHRTLSPSPQPTPKSKYS KPPALEPPTNSRVKRRTSSPLKHEYLPSDQSSISGTSITEGTYEHTEEEDYTESDYSY DTSDDDDIESVDLPETELGVSIRDDARSRDYAREELETLHEEEYPEDEPKRHQLHESI ISGPADSLTPSNSASQAGLYGQKMAPEENVQRYSAMISRWSDKGMWKNIAAENLSIIV TPGQIEAYAVHSGAVQGDKPMLALDLTPLVLIRQSTAVDLEIRSSIRSDCHLASSHSG GNFRFRCHNGPDCYNLYMSVHHARLNNQKFIQLENEARFRSFGEHKPPVENDDTSSRR RSWFSRKNSYRGSVRAPTLSYDGASTTPSSTLSASSFLKRLTGRGLPFSLARSSVKRN SRYGGSNTPGSSSYGGNSPRSPSISVENSGRGPSNFGTEDLKIRLHLLVAAAKWEDFG NCKLSIQRPPRGWHQALRADHGMEKRVTVTTIPRKDSEKPKVLLDAVLGSGCFTAMGS RGIVCGVWEEMKGSNGVAGVVPPTGPTGGNVKKWCFQCASVAEASWVLRLVHQEVMTI FPSE_09973 MSTESEIRSRRDSWPPTQMRLQPTISTKTTPLPPLDDIDDDPLT YFLTPAPLLDIDDDADDALFDFDAGIEDASAPRLFVRSVSPSTLDGLRKPGLRPMSPD SSSEISESNNEDDDDDDEEEEYISFSPNKHGLLSLRDLFINSRPPSRPKTPAFNQTAN NALLSPTSLSSSPKLRGRSRGRGRRGASSRGHSAARRPGQLWREPSPDVWSIEEETEE ELMSEIGSSCGARSDTSDDEDAEGEKRKEKKSKPTKKVRFVLPAVEV FPSE_09974 MTQLDILSSTSLRMNISAKKQRMPLRIVTFNVRYATKNFEKHER PWAERRPKLINQLNFITAGHESPFICLQECLFHQVNEIQEDLGSKWQHIGRGRGTKPE DGEFSPIFYRDDIWKNVRSEVRWLSKTPEKPSRGWDAVLNRIVTMAEFEHRVTATRVV VFSTHFDHIGVEARQNSAKLLVKFAREWGQAGNKKASAVLIGGDFNSEPDDGAYKTIT APGSDISDVSDLVPKEKRYGNETTYTSFGGTWPTSRIDFLFIQEPRTATVQTFGVLAN SFDDGVRISDHRPVVSDLDIVV FPSE_09975 MSKNVCYVEDAAEDSETSVLQGIQATRRYAVSEAPGPASPPPKE RPNTGKSRGEHRRHSSRRQVTPPSDDYSDEEPPRPAPRREERPRRDHEREREREQQRE QRDKDRRRKERKQKEAAELQQRKARSEAKQQAQAQAQAQAQAQQAPPREREAKPARRP RPTSLSHTRTEPVIQQYRRGRVEDPSCYGVQQPAVSGMRPRAQTRPHSYYPGQPAPPI SNAGWHHHQHSQPPSFPVGSFPGPSPYFPGASSPSVSGIPPSPSPVGGGPPGFFDMPS SQASAHLRNRFERPASSMGFRQDQPSPSNIGYPHDEYEIEEQQPEPPRPLRRASRSSK KPQQIEDDRRRMPPPESIPRPKSAMPTQTTPFRAPPQTMTRQKTRTPSRPPPSTRSRV GFADQQGYDDDEFADSPGLFADSPEANFDRRTALSRPRRSSVAYESYGADIVPARSTR RGSVAYERGGIDIIPARNTRRDSFYDYEQYDSGGASLEDEDKYMDAMKYQEDINGGPA MPLTADALRKASNPRLGGGSSRSSGSHDDSEYKRSNTTGLTRSSSSDTDNVTIKVSGS AVVRVSGAEIECGDGGEITFSRPTAGSRLGSDRASSYYQLEDVQSRVERKALPYRPHT PSRSDSHHRGYSGNHAPYDPSLTMDDYIY FPSE_09976 MAPALIRTLRSAPSLTQHAPRAAEAFSSLQGHLLEASKVLNTRG DDDKDSNNRHKPSVEPESGSFDPHNINNAGFFFLFALIGVVFVCTGIWFFFWAKNGGF QFKEEDWDDYKSTVLRRTGPNGTILSNATRPTNLGGGSVYKDVDDDNTTVVTEGTTLT GITAGASDIYAREKRRRKQEKRDRERAKKGKKTSRHVGEEGVEDEDAERAAKKELRNY RHERAARVGGLNKESEGSEWDGSTNNGTESNVSTNLLSDRQTTPTTTPTKAKAGIRKV YSTADRRENREAERMRAEARRLREAGRNARRDFSYQRAESYSRADTQADSQVSESLLD GSQVTRTTDDSGDLGTKSYHHPMPELRELEKERAREERRARRAQREYRRGRTTEE FPSE_09977 MGLTSNPSSAFTGVVIGLVSSFGSIVLIALVIFIFWVSGCASTG RIILDRLGRPGEYDDEQAFARDEAEALEVMDDMTRQEYLRAKAWVTANPPESMQTDIS LSQYLAIQEKGVSAWEFEPELEIANCFVEARTEIEFFDSECTVMSNLPVPKQNDVYYW EAKIYEKPESTLLSIGMATKPYPLFRLPGYHKYSVGYQSTGARRYNQPFGATPYGPPL VQGDVVGVGYRPRTGAIFFTRNGKKLEEVVHGLKAQNFFPAIGANGPATIHVNLGQAG FVFIEANVKKWGLAPVTGSLAPPPPYGSEQGSILLEAGTKDGSTYPQGRQHSHSITAG SYNTRNPSNDLVPQHGRTRSGNFRVLPPTSPGPVRSSTDISLAHFVPNEESGEASSNA GPQQETHNHNPLHLHLEDATNPPPEYQSPDHSSSDDDDDDRYGSDSEEDTPLIRVMNR SRGNSSATVLPSDRSSSPRNPPVPSYSDAVRQGAGRDRSDSARLPPPNRTASNRPRSS TSA FPSE_09978 MAGPGGGPPRRSHTKSRKGCDTCKRRHIRCDENFPQCRNCTKHK IRCPYNDVQVPDSERSTTPDKPDLMWTPQIEATIAEWQRTGIFPLPSLGIYPAPMPHL YSVEDLRLIYHVAALYYQLATIDANNFTLWTRHIPTLLRIGATTPYVMHALLAFSAMH IAFLTDCPLVGSMAYEHRGIALKGLQEAIGSFSRETSDAILAASLVLSWQATDWRSWT QLMQGTSSVIDAMDPWKHESQFGDFIAESSTFPTAPPSPGKDHRPSQPRPEDLEAYQR TLEQVQKVEAHLKHHKEDTTQIQHLIGFLRGSRKISPTLSIAQQFERLQPLRKWLFWM PVGYLQNYHGSPNSLVIIAHLYTVALLMERLFPEIGAAYFGSLSISPVEEIARRLMSI NVSGAGTDMQTPLTLMEFPIDTVGQFRSRMGWMHPERTPSFPQFNPRNFTVHESMPST EHYLYGNPAFSYSTEEMSILNASGPPPSAVSPLVLSPYPTQQYLNVPSPAYTGAYSPA SSTFEGSVAYSDTEEYGSWDMSTLQGGPSSAIQDSPQNYGVGFVTPVQAVWI FPSE_09979 MLHWADGRPRWLVLAPWFYTTAEMVTNALIDMDGTNLNHKFQSG GYGGGGQNPARPSPTQNGQFNGRNRRERGGQQPSANMEWRTVTPTEGCTAPDHLCSGL FQQYPLCGAVSVMSPRATTTLHAEDMTHMAQGQGAEIVGN FPSE_09980 MVSLYVIQPPWHRDPEKDWSEAKRATDQTDKPEGRL FPSE_09981 MSSWEPSPDSLQQLAACLKDSLSGFNKSAQKQADLMLQQAKNSP DINNYLAFLFSSSTPPNGLQFSEQDFHLVRSAAGIMLKNNVRTEWKSIPEDSLQLIKL AIPMCLQDKNSQIRNFAGNIATEIVRRGGLLTWPELLPQLLDLVGNTSGQTSDEAQEG AMSALAKICEDNYRQLTKEVNGQRPLNFVLPHFIAATKSQLPKVRAGALTAINVFTPR ESQAMLNSIDDLLQHLFILASDNNVDVRRQVCRAFVNLVETRPDKLQPHISGLVDYII TQQKGDDEELACEAAEFWLAVGEHNNLWRALEPYIHKIIPVLLECMVYSGEDIALLGG ASDDEEEEDREEDIRPAFAKKALARKANGEVGDSADPSKNGSGFEKLGGMNEDPEEGE VDDYDDGDDANPDERWTIRKCSAAALDVFARDFQAPVFEAIFPYLSQHLKHNEWPQRE AAVLALGAVADGCMDVVVPHLPELVPYLISLLEDSEPVVRQITCWTLGRYSSWAANLE DKDKDQFFLPLMDGILRHMLDKNKKVQEAAASAFANLEDKSGKILEPYCGPIVQQFVH CFAKYKDRNMYILYDCIQTLAEHIGPVLASPDLAGKLMPALIDRYNRVSDQSRELFPL LECLSYVAMALGDAFAPYAEAIFLRCVNIIHVNLEQTLAAANNPVLDQPDKDFLVTSL DLLSAIIQALNDDKSAALVKSSQQSFFELLSLCMGDPTDEVRQSAYALLGDCARYIYP LLQQYLPTVLPILLKQLDMDSVLDEDVDSGFGVVNNACWSVGEISMQHKENMGPWVQD LLQRFVEIMTNPRVPKALNENAATALGRLGLDNSEQLGPHLSTFAEEWISIMNEVEAT EEKATAFKGFSMIVGRNPQAMEKELLNYFTAIARYRDMGLKSPARQELHGVFQKVIDI YKQMIPDFSSFIGQLQQRDRQALETHYSLS FPSE_09982 MTADSIELSVPLPRSLDTRIYIRLSTKAKSIVIFLTTATQDELS TPVPLGSFVYALPNRLDQAQPLSTTLYSSEISVEFTTRLAKLLARKSQLPVYVTNSMS FANAGMGGTVEEEMEAFKTIVQVISEKLQLSSAKTADAIVAPLVGMKIGSS FPSE_09983 MAESEDGHHIEIVPDDSAWAGVEDNAEDPEEVRVIFSALDSFFQ YAKVSHFNVTHLRRQAFYALPQAQWQLLAAPPFSFLDTLEKTDNAIDENAELARTIAR TGLQSFRMMTADPEGKEPTMPQEWAGVAKNSDVDKARSTIRQFYRDWTAAGAAEREAC YSPIMKALAVEKEKYPDRSPLKVLVPGAGLGRLVFELVANGYSAEGNEISYHQLLASS YILNCCPAVEQHTIYPWVHSFSNHLTRANHLRGYPVPDIHPASVLAQTPNTGEMSMCA ADFLCLYADEEHEAQYDAVASVFFLDTAPNLIRYVEVIRHCLRPGGVLINVGPLLWHF ENNAPGNHGQDGEAEHDPKNSSGIADPGSFELADDEVIALLEKMGFVVEWHETGVHAP YIHDPESLLQSTYRASTWLARKPLNTAGS FPSE_09984 MAEAQLNDFPSLFSLKGKVAVVTGGSRGLGLHAASAFLQAGASK VFISSRKASACEEACKALNALPNLQPGAVAISVPADSAKFEGVESLLAQVKKHTDRVD ILFANAGATWGESFDTHPDSAFAKVMDLNVKAVFNTIRLFTPLLEKSATIQDPSRVLI TASVAGLAVGTIGKQGTYGYSASKAAVLHLGRNLAMELGPRHITVNSICPGFFPSKMS NGLLEMSGGADQFAAANPMRRLGQPEDIAGVVVYLASRAGSHVNGETVAIDGGALWQR GELMIAEKAKL FPSE_09985 MYQTSRSGWSEWSQWIWNTDYQQYYRQRVDVSGNSDIQWQSEYE AVQNNQTPRTPVEQMTQQFGAVNIRSPEQTAGDEQNNDDYTVTSSSSKVKSRSSRSHS SSSKSKSKSSKTSTGKGKAPVQEDIPEDDEQDYDDRHTQRKASIPQEEMYYDEATQQY LAYPQTAQVSTPTPAQPYAEASGEYEDPVLQDALAQSKQYTRDRYGNGESSSATYTAY TQDDEDQPTPAVSAGNQEHIIGTSGESETLDPRYTVAPSHMFQPGEVFKVLWPEPAGG GSIVEETVYRDQYGGRIFVHFRRFIVVANDLGHCTCIPISTYGKKGCKKSGVKAEQHG IVAESSNRNPVPLSNEPRLGFPPVRVHISEPGERISKESRVNYSKLTTVEHNVKVLFI GRVVGSDWDVVTEAVNTSWANKMHTHKKKHRR FPSE_09986 MDAVAYDNHNHSSYPRAHQSQHHQYPHSSTLPLPVPGAHGRSRS PDQVDGEGEGEGRYQTLDALPPSLHVSTSLPMSEVDTVFSMYQPTSTQASIISRRDVD MSGPAPPHRPPPSWKAGLDEPSRPPPPPPPPPPPSYLRPPMIWPQSLPLGPNRSPFGR PPPPPPPGDAFVHTNLRPPLLYSRDAVFFQRQSPQQILPPPPPPPPPPHTPGTPTIST FNVSSGTPTQPQTPNLRPPRGFNGFGQSPNTQQLIQGKSLANLSVPQTSRHELAGSTS RSPSSSYTIPRLIDDVASSYDSLSDEDSLVFRNSRQFPPLYPTASTLNSQGRNRKTSM TNRITTWISEYERDRAPKDRLDLSTSLEPLLETTRGDRSHLSKDSVPSEDTLELLWIK LKDQRVKLNEIKSQMAKKRKTLRELRRNRDDADNAFMGVIRPMLITHQEQLETSPVNL ERRLADLQRLRTEYQAFENDYEDLEVTLDEEEDTLNKLEIRFFSLLAVDQVTPLEEPP EEEPQHEQDNNMPNDLMGIQPRGPSEDVHPLFLDLMAAVGDLENAKEELDELLFLKEQ HEGELRMKSAAGMDLNEAEIEFFDEFPLEEEQMRSSVTSLEQQVTDLRRLCEDKGAMK KHLSSRVSYLLYPEAGYEDIELDNVSVILRTRSDLADPTFPVIMSQPEHVMAEDFPLT PSGALKAAAALPVTNPDKPGRMQRASKEYSIDRLMLDHGEGGKGDFINRWLLHQLRLS STNVRLLHTTFTQSRGLKIRDLDRWQSDALHYWWNDEGAELPEDMMQLVTSEHSNDGS RVGTNRLSRAVTYTPGMPSGRESYPPGSSVAAHSAWD FPSE_01036 MSLSSITGVGLYNYATLSNTASYAGSYSSSHSRDPSYSRRPSVP MNSYPASMHSRARNSTDSRVDPYSYPRNSESSHATKATSVNEEAMRILRRKQNDRKNS VDLSYSSSEQPRYHRHSHHAYHSHHPDNRDNRYNSASAKSRRRMRRAATPPDPTLSPP PEPSAAVEMKPRRKSKWRFWAKQEPDYIAEASTAAPPSPPKPRDELGTKVITKRRPSI TEWLAEDSSPPQSPTTLKRLFEEEE FPSE_01037 MPKDVKPKKKDRKRLKKEHKLPGVKKPDIKSEKLDGRSSQKKKR RSEAQESLNSIRTTLFSVHNNIINKNRNTPTETQEFCSKMGILYSNMLELAFEMGTTE EDTEMEWQHEPTTHVHLVRTPEEMAAYPDGAVVRPWQTGSNLNSPNTPSNNMAGQRPE VVEEIR FPSE_01038 MTRSLDEKHLDALSIIERVCSVFSLLGSIFIIGTFLLSKAFHKP INRLVFYASFGNMIVNVGTLMSRSYIGDSNSVGCQLQAFLIQMFMPADAFWTLTMAIN VYLTFYYKFDARRLRKMEIYYLVACYGIPFVPAFVYIFIKNADGQRMYGNATLWCWVS REWDIWRIITFYGPVWLVIVVTFFIYIRAGSTIYRKRRELDGFSSADRDLTYGGGDHI TTLKTTEVSVTTEVVKPDGILLQPMSGRIPDCDNPSATNGNYSVHISAQPSSGDIVDE MTPIDREQTRASIQVPQYQQHSAAQMNRRRNRELNNASWQYTKCAILFFTAILITWVP SSSNRVYSVFHKRSSKPLEFMSAFVLPLQGFWNALIYVVTSWSACKNLKNDLRMGQRP DVTELVGGMRPEVGPNHHLGHHRHSLGHIRRSNKYETESMTELANESRPASNDERREH ESV FPSE_01039 MFDVYWTDPDRELVGEHRARKEKRREQKAKQKEKEQENSTIPRS ASVASTTSSTESRFGFLRPRNGKQAKTRDKTKTGLLTPSQHSSRSNSGSYHRSALLAN LSEVSLGATRAQKASIPASDSISEAHICSRRESGSYVIKHAGASQTPPRSESDKPESS IDMRVSSEADVDHPATPSDSASEGNFPVPLLQNDSIPCLVPSIRLPKAPKAPVTIKFR PNDPDSWRPPEEWEYIDRLAEEARQAEADEDVEIKSQTDSQVQHNPETDFENLKKQVN EMAIASPAVVLARIKEIWSVTDESLHPDLNIELKRWMLSSLNYLDVDQKSRPADSSDS MCDSDSINILALYESKVAASYLAALHPTKQVHHLSAEPLPAAQLAKAHPMLVPSISTS TLPLEKHLFETVYSLSLPSASPSTEIPGVLKNISKSLRVGGSLHLTLINPLPCAGTLG YRMRTWLEENLLINLERHFRCTNPNKLFPDWMGEAGLRGPGSTLTTSKFYAVSASIRS QVDDNDPFVEAAPTAREVKAELRSIIGRLLWLEVWGNFVTGDKWWWEDEGCVTECLEL GTVWDYHIIEGFKKGETLEQIEEEP FPSE_01040 MATSPPPVHGMMPHQDEHSFAQEQVGRSRFCGIRDFFDYVDCYI TTSRFGHFFRLSGSGHPEEITGSTFFRECRAGVTTFATMAYIIAVNAALLQQTGGTCE CDLADRKACDKIDSYMACKEDIRRDIITATAAVAGMASFAFGLLTNLPVAIAPGMGLN AYFTFQVVGYNGSGPISYSLALTAVFVEGLIFIFLALT FPSE_12338 LVKLIPATIKTATGVGIGFFLTEIGLSYSAGIGAITGGDATPLA LGGCPNEMRDEKGICQEGVMTSPKLWIAVFCGGIVTSFLMAFRVKYALIIGITIVSIT SWPRGTSFTFFPDDADGDHRYEFFKQVVAWHPIEKTLNALDWNFGGSASHFALALFTF LYVDIIDATATLYSMVRFCGVVNPRDGDFPRSTIAYCTDAAFISVGALLGSSPVTAFI ESGAGIAEGGRTGLTAMVGCMMIRQITQINWRYIGDVLPSFVVMTFIPFSYSVAYGLI AGVFVYAVLNGLVGIVVFVSRGYFEPSEYDLKEYWTWKGSGRAPWFVRAIRRRGSPDE DDQPSGSEHAMTDLNYAERRDHSSSTAAASAASAASAAKDEPPMSPPPGGWAR FPSE_12339 MSGGWNTIESDAGVFTSLIENLGVKDVQFEELLTLDPSELLTLH PVYGVIFLFKYPTDQPYATPEGPRDGSFDHAASENIFFAAQTIQNACATQALLSVLLN KTNDVEVGEQLGDFREFTMVLPPEFRGEALSNSDLIREVHNSFARSSPFADETDKTGA EAEDAFHFIAYTPINGTLYELDGLQPAPISHGACSSEDFSTKVVDVLQRRIARYDSTE IRFNLLAMCRDLRQRARDFGDEELLAREERKRRDWMFENALRRHNFVGFAGEVMKGVV RSKIAEGGDAACDKWVKEGLERRKNAEQAYRGRAGAGGGDGDGDVDMGA FPSE_12340 MASMPSATRPEDDKSTTTTPSPADGQTVNLQVLSPSVGVTRPLL FPSLAANTTVKQLKDKIRQTLPLRPADENQRLIHRGRAIVRESDTLLDIFGADVIRNP EQQTIHLVIRDVQDSQSSAAPAPSAPSSLPAPASAPSSGPSPTAPAPPTNPLRAQPQH HQAHVPGYQIPWRNSMPPTSNPFPQPRMPSPSPSHTPEQAAAFQHHHQNMTQWLNNLQ REAMNRAINNNQRTRAQMGMRGVGDNGPGTVPVGDGSGRASPAPVPGQTIYREHVGPN GHTYQVETVIRTGGSGQQGSSGAAASMSPVDVHNIIRSADINQSASAVASAMQRSASS TSLHNRPLAQPGAPAPVYPHGYSIMSSRRGTPEPASARLAPTAASRSSQPRQGPEVYI LSSPEGPRALLFNNTSSEAYYTPRLRTQTSLQQLQQFQQIQQLQQLRQTVIGPTQETG IDQMQQRHHHHHHHHHNPRPPMAANYFNQLQAQPQPLRVNQRLQPLPQQPVLQDQDQP QPQPQPQVQGQGQVQNQGQEAEPGAFMGPLMHRGNPPMAALPPLLMQLWPQIWLLFRL ALFVWFFTSPDASWYRWFTIIVIAVFIFVLSTGVFNGVPDHVWRPLGRHLENLIPLEP RPQQGVAVPEQRNQQNPQPRPNPDPTEMARRLVEQHQGPDNWILSQVRRLERAGLLFL ASIAPGVAERHIANLEAAARAERERVEAEAREAEAAAAAAAAQNDENNENPAENEGEG TTTGTETDQAERAPENHERLRGEQGVQEGNQHGEHREPLVHL FPSE_12341 MAPTLGHGSSQLGSHMLRNSFYTHGRYATCGSPSASRHMLQALT KSRCLARPSASSIRTFASNSGKRPRFSQRLGEAMRNSKIQWYQIPVGLGVGFLGLVQF YKVSSREKERIEMEDGQEGTRTPKKRARIRPDGPWQVQVMSTLPLKAISRLWGRFNEL TIPYYLRVPGFKLYSWVFGVNLDEVAEPDLHVYPNLASFFYRTLKPGARPLDQDPHTL VCPSDGKVLQYGQIQGGDIEQVKGMTYSIDALLGKNTPPASVSGTSGTSTPATSLAST DVSDEEALVKQDAEFAQVNGISYTLPDLLSGTGKRGPSVKDESMPASPGTVSEVSAEL ALGERPWYDVVSPDKTTALYYAVIYLAPGDYHRFHSPTNWVVDRRRHFAGELYSVSPY LQRTLPGLFTLNERVVLLGRWRWGFFSYVPVGATNVGSIVVNFDKELRTNSLLTDTAA DRAAEEAANRGEVYQGFAEATYEAASPILRGHALRRGEEMGGFQLGSTIVLVFEAPAN KVGENNQHIGWDWAVEKGQQVKMGQALGRVVE FPSE_12342 MAAKLSANALIELAKNRRSYYALSKNLPIPTSRITELVNQTTLE SPSSFNSQTNRVVVLYGAEHDKLWDITSDALRAIVPAEAWEATANRVSGFKNAAGTVL FYVDNTTIQTFQGKFAIYADRFEPWAVQSAAIQQYLLWTALEAEGLGANLQHYNPLID EKVAETWKIPSTWTLNAQLVFGAKEGEAGPKTYVPLEERVKVYGA FPSE_12343 MTAPAIRIDGIVNPATQPQHELIMAEGAPSIAPSLTYSDDSDSQ EDEPVIPLERRRRASTRLIAQNARDIQRITGETPAEYMGRCCGGACCMSDRNNVEYEE VPLPDNDAFKSLGLRLEKIPADLTGIIPMPEQTVFFTSIPRPTSSPSDSAVSLDSDSE SSPLSSFSNKNNYLVPGPGYEGVDTTIQPPRFVQPHPPHNVYPAKIHNTRELTKPGAE KRTFHFDLDITDYPPEEVFDFKVGGAIGVMAPNDEISVDEILDALMIPRFQRDKPVLM KTTKGRWPTVWGDEKARELVTTRRELLTWTTDIQSYAPTKELLRVIAEHATATNEKKI LEYLCSNEGASIFSDFRGGPHTTLSQILNAFPSSHPPMDQLLSVQAQLMPRFYSLSND PTESYTLREHAQRRLIEIAVTVHDSMDWRRGCRAGVGSGFFERQAHKFMRAQAAGERS PQIFIPMFKGLMANPLAKQFNSDGPMLLIGAGVGIAPFRGFVQRRLKQANCANKVWVL QGIRDSLVDEIYSGEWGVHEEEVKRVVQSRVGTGKYVQEEVMNQSDLVWYIINSLDGR IFVCGSSKGMGEGVEDALCDVAMEKGNLEREEARNFWQLKKEEGKYISETW FPSE_12344 MQNTSSLPASPVGVNVQYPQLILEGKRTGYYITFTLFILTLWLI LPKKQRSQLEVPFYKASKKNWIFDAETLIKDSYTKFRDRVYQIKATEGVQVLVPASLV GELKGLPEDVLSATEAVSDALQSKYTKFSPGHNGETLALLIRTKLTQNLTRVVPRLRE ELEYILATEFPACEDWTPVKWQPFSLRAVTRLSGRAFVGPSLNRDEKWMDTTINFAVH VFTACVKLQFIPEWARPVGQYFVSELRQIRKDIKIAKELLEPILKERLQNMELSNGED APDDMIQWLLEALPEDEKSDLTTQAELQLIIAAASIHTTNNLLCECLCDLAAYPEVQE ELRQEAYQILEVENGWERKESLAKLKKLDSFMREVQRLSGNITSFIRKVMKPISLSDG TQLPAGTKVLAPQAGIALDERYFPDPERFDAFRFYKLRQESSEANHRWQFTSLDDTYT NFGAGKHACPGRFFANNEMKLVLAHLLINYDIRLKPGQDRPKPMTVVMAKAPSPDTEL EFRRRSLVA FPSE_12345 MAEFHGLGAKFDGFQSSTSGSSSSPFVQASDTSTHTSLSLTSSV CLPPLSTHDTAKSHHHIEDFERFIEDLQTSAKRAFPNSDYSRYSDVQVLLIQWDEDEL QVEGELNELRRVFNLYGFTTTKFRIPTSNSHRKLNQKVLSFVEEHENEDTLLIIYYGG HGIINKARQSTWACKRDKSYATVEWSAIQTLFETAKCDVLLLLDCCAAASAAPLTERT TYMMETIAACGFETWTSSPGAQSFTHTLIEVLKEWASQLPFSAAMLHSEILTRLKHAP PDRSSQGVLVESRKTPTYIVSTSNAHASSITLGKMPEEESGSISSSRAIPDSSIMDFT ERVQSDACYSTEDRIQALLATDTKGQRAAPHVLLTVALEEDQVFDAASCARWLKQFPL LATHVSVEAVYRSYSTLLILSVPVVIWDLLPELPATQFVGYVRTRNLLSRDMVLENAA APIPITTRHTAGNKTGDLLDCTSPLRSVSGLSSLDSGYDSFLSRPGSLVVLRHNRLPG DGTMSSIAGQVRMGKRRNKPSTVELLGPERLTSMPTDTRPSLKQRPGSSSRGSNRLVV SIGEADLNGDRIGHTCVLWYGYDVQQSRPTEKAAPKPTWRVK FPSE_12346 MAPFTPRGGARGGRGGARGGGRGGFGGDRGGFGGGRGGARGGRG GGRGGFGDRGGRGGRGGRGGAPRGGRGGAAGAKGGAKVIVEPHRHPGVFVVRGGKEDG LATRNTTPGESVYGEKRISVDEVVKNDDGTTTTTKIEYRMWNPFRSKLCAAIAGGADE IYIKPGSRVLYLGGASGTSVSHVADIVGPTGYVYAVEFSSRSGRDLITMASKRPNVVP IVEDARQPARYRMIVPMVDVIFADVAQPDQARIVAMNANWFLKVNGGVLISIKANCID STAPAAEVFAAEVQKMRAESIKPKFQLTLEPFERDHCLVAGEYLRYKS FPSE_12347 MRRGDGLNFENVPWLTLAFFPLLQVRYAATEIQPAKSARARGAY LRVSFKNTRETAQAINGWKLQRAVTFLENVKEHKEAVPMRRYAGSTGRTAQGKQFGVS KARWPIKSAEFLLGLLKNAEANADAKGLDTGALVVKHIQVNQAPKQRRRTYRAHGRIN PYMSNPCHIELILTEAEEVVQKSDAVAEREHLSSRQRGARLRKAITSA FPSE_12348 MSLNMMLHDAGDDSDLNSDQERPSTAPPSCDDEISAIAEQPDSH GWTTQHASVHWPANQVPVEIFEIIISHLARLEVRCLRLVCKEFEAKVSAQYFRNVVVP FKSELYSKLDRDENGVLKRTSSALLSNGMRIFQSFGPHIRRFALSLELDEDTLSYPPI KPSQEAVPSFWGIYRWPHDTYHRYTDLEGLEQTADETEAMKEALKCLVKVSNLGICCD AGLGFLLGPDHIARNAAATTVDPVFATQNWRITNSELQQKKPTIVSLSDFHQLLKDIT EPVFENPISFKRTVLRKMASDAGYQDDQIHDAVSMILDTEGTDLNTIDFDERASLLNN TETRFPLPMSNRADFEPGAADIGNYPLIPSSLTRAQKEMLLELEWAHRAMIQSYVIGL IDNARADCFMNLSTLTIAKIPSSHVYIFNRHDLWQNLPSLSNVSLGVIADWRRISKPA PGCVEDTAVSPVDAVEKVYQLLNTYIGAQTNIESLHFEWICGGEFAPSTFQRNHYILP APFFEDPQLMTRVSSPRTHEKDILVLPFIKHLSLKNCWASPHVFLQTIRNMALSTLEK LDLESVSLSGPPTLTPQAPLQGHHANVNNAHLLGLLTLPMGTQMPPHPVGGGHGHGPP PPPPPMAQMFQNLVQANNPPPDPLGTPSETLQEPEQLSWAGIIEHFSPGIKIQDILAA RDETGSSSDEQMINQPDPLGQHIPSSHRLRSDAKKYTLKCLSFKSCGYVSVDMVDTNT RSILPFGTQGLAGNGNLHSSNLGPLMQRCRDKLLARIAPFIRPRELFQLTNAFGLDTG WENIYDERTISDALGDGVESPGRGRFSGTIDAVTSTEARGVGAMTTTAF FPSE_12349 MQLSTFVASVLAVTASAAPSFPKPTITDTRSAIDTLGSLSGYFN LVAEKVKAAKAYDVAPVCDLSQAKMALDGQLLPPSKGLYLKHVAIGRGTQNYTCDTKN PSSAPVATGAVATLFNASCAAALYPDLVERIPGMAVHFPLTDAAKLGPASFPESGHHY FTADGVPFFDLRTPEQTIGEAPCAKNSSAPAPSFSAKGQLGEAAVPWLRLFTVKGATH DIKEVYRTTTAGGSAPATCNGMASEFEVQYATLYWFWAGKIDDAEA FPSE_05405 MSDAAVEAALASTPEPAAPAPTFEGENKFQHAISAWRTIDLTTL VSNLDNTASDIVAYQRDSTVQRKELAQKTKEFRKLDDSSKLTEIKGLLKSYQTFIDLL TNHSKSVNSAFLQTYASFSDAPDPYPLLEASVDSMLLAEDTLPKITEENQHLQENVTA LTTQLEDVESKLQTERKSRKDLEENLEKRVKEVETSWTAVLDEKTDNWEAKEKTLEDK VEKQERLLTEMRASYEVNQRLGKNGEEQEAQRNQVSSAELEMLHSDLDRTSARLAEIE ARNEQMRLELAQAKSSAQQQPETNLEDDPGYMRVRSENQSMIRKLDAARVEKEGLKRD LDGKLRSIEREVNALKEERDTLKNKVQKWGDYDEIKQELEVLKSIEFSTGDDDEVREH LESKDAEGNSLEKLLLARNKKLGDELTVLRVSHNDLQSRLEDLQEDLSRTNAELERSQ KLNQKLETDLETIQEEGANAFPSGASVAGTYVTRAVGRKSGRISPTSSIISGMDPRMG GGEPGERVYGGGSGMLPMVTAQRDRYKKKNGELEEELSNTHRTVSQLRQEVAALQKDN LNLYEKTRYVSTYNRGGGAATSSAYGANPNPSTVSIGETGNPGIAMDRYRQAYESNIS PFAAFRGRESARAYKRMSLPERAVYSLTRTVLASRTSRNLFGAYCVALHLLVFMCLYW MSSSDVQRVTHLESAAAAAAGVAGGSLGSPMDGAPKDPAK FPSE_05404 MTPDGSGDGPSIISPELTGREVGPYVLRTLLDEVPLSADGSQDD IKINCVDYLDANLYVGTSASELLHFVQIPPDPNDRSGQPVYILASRLCPQYVETPGTP NSRPGVQQILLLPRVGKACILCNWTVTFYSLPELSPVFGTTLVKNCSWIGGIDLNEPL LDNDGPERSGGVTILLSLMRKIQAVRVGEDARVTKKIDFSGSTLSVRRDSIACVADNK SYALIDVEQQLKIPLMSISSLEETTSPSEIGHAQSIGTDTTSGLLRSSSSTGNRTASE APSHSRSTSLGGSILDSIRRQDRGNESDDFLGRHPSPQLSSSPRPSMERSSTPNPALD KPLPAAPSRSGTPSQTANPRPKPGAVFLKPHITSPTPDEFLLVTGTDPLEPGIGMFVN LDGDPTRPTLEFTRYPREVVVDSPISDSDSSQPSLGQQEEGYVLASLTKEVDGSLKHG LEIQRFDAGSDVNPQRYWLTAKEVGQDGVYGIRSLAGTEETRFEEIVQKLSQRRYTPF SVSASPGTPDPSSSGKTSDSRTALSIEHMSKEKELFDRNIDSQDEESLPEEWEANRNA EGEEFARQLAKAHANLAVWSGSRIWWAIRNPILIQLDAALEVACAGDVFNPAELDRQA IFTTINSIRGRDPQTELEFMTYGYIRQKAGILLLTNLLKAPEDRQFTEKEVEALEEVL VESGLDPRVVLSLIPSVRNEIIEGSRGIWIYGGVRRTADAYLRSREFQMTSKDGVGTL EPRTMHFLRRFLGTWRKRKGFGSVADEREVFHTVDAALLLVLLEIDQHSPKGLGKGGV VRLELYEIVDKGVDCFDRAVGLLETYHRLFVLSRLYQSRKLAGDVLATWRRIIEGEQD VGQELREGEQRVREYLTKISSQALVQEYGVWLANRNPKLGVEVFAEDKGRAPKFEPAQ AVAILRKEAPGAVKYYLEHLVFGKGHTTYINDLMAYYLDVVVHDLESSEESRTIVRAT YAAYRALQAPKPAYSHFLRDNAPPDNEVWHSRLRLLQLLGEANDYDAAAIRDRISTLP DDLLVPETIILAGRERKHNDALRLLIHNLGDYDTAVSYCLRGGAGTTGAAPSERPSFE EQRRLFGVVFQEFLSLEDISDRVEQTGALLERFGGWFEIDDVLGRIPDDWSVDIVAGF LVGALKRLVGERHEAMLTCALSGAQNLRVNYDLVVKVEEKGPSIEAPN FPSE_05403 MSLTWRISVNGEADTPEDYLSTALGVIFPDDITNQHGDAEHNLS YASPHLPKPLVIDLADPVKEDDRKLFSHYLWNASLLLAEFIEADSLNIPLEKPREAQD SISFDVKGLDIMELGAGTALPSIMGGLLGAKRVVVTDYPAEPVLKTLRTNVERNIQPS FSPAPAETTPSSGVSVHGHSWGELDDPLSVSGEHSFDRIIAADCLWMPWQHANLHRSI SHFLKRTPEARCWVVAGFHTGRQKMSGFYDAAALKEVGLEVERMWERDCNGEERPWDI ERDDDITVRKRWLVVASLKWIST FPSE_05402 MMNSDQATKHEHEGLASVPTDPQDVEVHVIDAPISHDAVFGDIT GDGPNYRNVGWLGTSALMMKTQIGLGILSIPSAFHTLGIIPGVICLLLIGGITTWSNY VIGTFKLNHREVYDIGDAGGILFGRIGREFLGISFALFTIFAIASGILGISISFNALS DHGTCTATFVAVAAIIVFLCASIRTLGRMSWLAWAGLIPLLIAGSSSTPIHIFIEANP DQRPLVYLVTIAVSVQGRPSAAPKIDMDEKWMSDWKLVGTPTFIDAMAALSTIVFAYA GTPLFFPIAAEMREPRHYTKAMLLCQGVATATYLSVGIIIYYFCGSYVATPALGSAGK TIKKAAYGLALPGLIVGATINTHVTGKYAFVRILRGSEHLTANTFTHWATWLSMIFGT ALFAYIIASAIPVFGSLVSLVGALLGTLQAFQPYGCFWLYDNWRKGKQEKSLRWILMV AWSIFVIVSGTFLMIAGTYGSVIGVIESGKKGGGRPWSCADNSNSVGT FPSE_05401 MTALSDTSFAMFHSQPDAEDYARYADSSVIFTSGPMDVSIPADA FGFQHQNASPDAYASSMGFVEPSLYAEAPNYMLNSHASPSMYTDESPDMRLPSSSLST ASAPSTASSAIGSPQSHHGQMGAMPEWAPQGLGLQPTIVGNDYMGSDFASFPGSGMEE LSFDFPTAKAFVDPSLIHPELTRPMGIPSYDTHYQQHNHHTYPASPSASVSSSSPQPH MMRTNSSSPFLQASNFQSTFPSSPYCAPMDNQTHIRAPSISQFVPPTPGEYSSDESKE KQRCTWPDCGKTFKDLKAHMLTHQNERPEKCPIQTCEYHTKGFARKYDKNRHTLTHYK GTMVCGFCPGSGSAAEKSFNRADVFKRHLTAVHGVEQTPPNSRKKSSANANATKKLSG YAPDATGKCSTCSQTFSNAQDFYEHLDDCVLRIVQQEDPSEAINAKRLAEVENDKDVH QTLEKNNLPTITDIIMTKHEDDDSGDEDVESSPKGNTSPSTKRKGNPVNGVQKSRGVT HSRGGVALGTKSRSRKNRRDYPSSWGFDKGQMTMKKRVVAVFDGPRRLAKDDMMLSTD HEVRIKLSDGKSYVTDLDVQTLNRAAGFHGATEEEKGPWISDDPTPEQLKEMQQMLAN NTSTTL FPSE_05400 MDSSDTFLAIHMMYGQEVQILTRHNGLMRALPPRSRPPVQKVAS TGLIETHRPLFAACGPRGSWPRV FPSE_05399 MGQLERSGAPDLGLLARLTYGYVLSNTDVLTPVETSFVLIASLI PQDVNPQLKGHLRGALNGGASEDEVRAVRDVVIKICEASGMKKLQDNAIGGWGWRSEV ANV FPSE_05398 MRLGWYAGASTALAGTVVLSAFYQRANFYSAMVYLAQSNFCLLI LVNFALLSYSSFIYSLTRLFYGPLRAVEVEQLTERAWFAITETCLAMTIFREEIGAWF LVMFAALITGKVWGWIGDGRVEVLEQQPPANPRLFHLRLSVSLALSFFYDVYILQYTI NTVIQQARPNMMVMFLFEFAVLATSSLRTGARYALSLTEHNIQEQQKRKRLAERRQEV REEREAMIRQREAAAAAGEEVSNDPLPSEDDIDEMDIEVPGWSAKGEFVLWLDLATDL VKLGIYIVFFFMLLTFYGLPIHIMRDLFMTARDFIKRLGALLRYRKAIQEMNRYPDAT QEELTREDTCIICREEMRPWDPENNPGAMDRIRPKKLPCGHILHLGCLKSWLERQQVC PTCRSPVTLNENARAAQNRAARLEIQVGGGGRPAERQELANPFAGAPPGQQNGGAQPG QAPAPPRVFNLGPFRVGFGANGHQVRELAQQFGMPQAALNQQGLAPPTPGAGTPTVTG QTAPATPLPTGDNLQNAGNLLHQADQLIQRELQSALMAHQEIQTLQLLQAELQRLRQR QQQPDQAQNPLTHPIPPPTFPLTQPLMPQPFPVPPQMAMPLNFSQFPGIPPRNPSPLM ARHGAPPNAVPIPSGSSELPEGVVIPPGWSLLPLQRLDGSQASAQHSPQVGPQTAMPD NISVAQSPFAARQPSPIGSRNQEAQPAENAPVPASTEQRPPQFPTQLTRAVDPPHVVA PSPRLPNWGGSAQLFGSNSRLDQNEHGHRSELQAEANSSQSGPAEVISQPSPAAEHTP QGSSPSNSEDDEEPGDSSSKGKAKAVTVEDVEDEEDKDTTKED FPSE_05397 MTAQNVKGEQIYATLLLSDSYLPGALVLAHSLRDAGANHKLAVL VTLDSVSGDSITQLKEVYDYIFPVPRIRNDHPANLQLMNRGDLHSAFTKINLWRLTDF SKIVYIDADVVAYRAPEELFNLSQPFAAAPDIGWPDLFNTGVMVLDPNMGDFYAMMAM AERGISFDGADQGLINMHFGQQYHRLSFTYNVTPSAHYQYVPAYRHFQSSINMVHFIG ANKPWFTGRDAPSGSGPFTEMIGRWWAVYDRHYRHQENSATQYVQYFTKGEWRPQSVQ EQPSTNEQHQHDDHSANSTGQQQHGEQDLEDQHQADQDEDKNEDETHHETPGRHPITM HDWDAQKYPPPSDSKPEAMNFPLTHYEMSRDTTPFIPPQRYPSPPQNMWYEVPKDRSS PQSKHAPEIFPWERNQPRPTRTFAGEPQPEPQPSPEESSAQLSVKTEGITESGSESKN QSSSSTPTVQVSSSSPWTSYTRTNAWDEVPAIERYVDRLHGGGHRRGKSSTSSTGRVK SPTTGTWRDDQTSSKQGLKLTDFPSAVERPSLPVTPAPVHRNSFFTEDDAENEDETKK KLPEAEGVPTQSDWDPIEQLQKLAKQQSDALLKRLGGDGEEGGVSREIPTRPLPFGSG DGKSPTYVAQSASGVLSPQPMKGERSAGVLRDMSSGSPQQPTIPQPSYSGPGAAWEKG EDIPQHETPLLSKEKGLDALTA FPSE_05396 MTPDNSIHAAHLPSIEPTRSSSRHSTARNGSSTAFEAATADENE TIARNVSHMTDVESQTTATGRRQPSPIDNDPYGLSRGYKTESDLADIKANTSRKRDSL PGRKCLPGNIGSKIKARKLQGFYKNQNAAIDRMLKSVEEHRDEARDQHSEDQLKFRIA VWGSLAANVLLSILQLFAAISSGSLSLFTTMADSVFDPLSNLTLILSARAIRSVDARR FPAGKARLETVGNIVFCFLMIAVSLIIIAFACQELAQAKADKDFHLPAVISVCCAFAT KFALFLYCWALKDKYSQVNILWQDHRNDLLINGFGILTATGGAKLVWWIDPMGAILLS LLISCIWLRTAFGEFLLVVGITAPVETQQLITYVCVTHDDAIVGIDTVRVYHSGPRLI AEVDIVMDPTQTLQESHDIAEALQIKLEDLPDIERAYVHIDYETTHKPEHAFKKDM FPSE_05395 MAYVPISLSHNAVPNRRRWKTARNADIKSITCTRPLVNLSLLPL TKPAELAHQMSTEAEGTFEVADASLYTKTWTPQGPVRAQVIHVHGFSDHVNWYDDVYR ILASSGIQVFGFDQRGWGRSVKQPSDKGNTGSTARVVADVAAFIESKLPSDVPVFVLG HSMGGGEVLMLAADPQYAKLVSQVRGWILEAPFIGFAPEEVPSSFKIAAGRIACKILP RFQIKHQLDVMNLTRKTEVAKGFKEDPLCHDTGTLEGLASLLDRTAALQSGSIKLGKE VKSLWLGHGDHDKACSYEAAIEFAKNQDIEDKVVKTYVGGYHALHVDLCQEEYAKDIT DWILERSTGSCTTFDIVLPVLSDLWRQT FPSE_05394 MVSGVARRVLLPRLAESSQVSLAASRIQLGPSLYKPEASPLQTR LFHASGPHQPALPPFSIAAFQTPANKNISHTRRPLAQIRSSTCGPFSHALRQFSTAPR LLQEIQTKVEEGAKKPVELETNRNIEDEQKQEFTKSEKAAKAAQVNLAAKLSKEGKQA GKAGSAEIVRLIKIARPEIRWLGIAFVFLVVSSSVTMLIPFSVGRILDLATKGESEDV RLFGLTMNQFFFGLGTVLTIGAMANFGRVVLLRIVGERVVARLRSQLYRRTYVQDAEF FDANRVGDLISRLSSDTVIVGKSVTQNLSDGLRALFSGGAGFAIMVWTSPQLTGLLLL MFPPIAVGAFIYGRAIRNISRSIQRNLGTLTKIAEERLGNVKTSQAFVGEVQEIGRYN NQIRKIFALGKKESLIAATFFASTGWAGNMTILAMLVVGGGFVRSGAMSLGDLTSFMM YTAFAGSSLFGLSGFYSELMKGVGAASRLFELQDRKPGIPQTVGVQVKSAQGPIRFSD VTFAYPTRPAVKIFNGLDFEIPSGSNVCVVGPSGGGKSTVASLLLRFYNPVSGSITIN GQDISGMNVKSLRRRIGMVSQEPVLFSGSIAENIAYGRPQASRFDIISAARQANCNFI SDLPDGLETQVGARGSQLSGGQKQRIAIARALLKDPDILILDEATSALDAESETLVNE ALAGLLRGRNTTISIAHRLSTIKRSDQIIVLNNEGKVAEIGSYRQLAADKESAFSKLM EWQMSGGEVSKESGSTASRAHITEAEELEDDLERGEEEEEQFDEHDQENRDAKSEEKK P FPSE_05393 MAYNDDSVLARLSSLNESHDSIATAAQWIMFHRRHAERTVQLWL QRLKDSSSAKRLSLIYLANEVAQQSKIRHKDDFIIAFAPVIAEAASVAYKGAPAELQA KLKRVIDVWRDRSIFEAPIQAAIDARIGELDKARGMAKPGFSGSAFASGGAAASAGAA MPSEFAPLVSAHQSVTKLSPPLKATVASASQEYEKQTDPSTPVPSAPVYAARLNGLLK TLANAENAVAECVKAREGLVSGLEMLLNANRAALEQEKSDHAQLVSRKAEIEEKKQQV EIGIMRALGPADSNGNPGDAESLIAPAEPDRPEMEALTPPAFDPFDAPTPEALTPEGE PAVAPAPATEEETASYQSLPISTNGSNKRRRVDTEEFPDLGGDDGIDADVAQMLKESS QS FPSE_05392 MADRFPSLEDFDSGAQTDIKDPTAEPSTDDFLAREKALLGDDAE QFTTNNDAAAFADADDDLLGGAGGNEQSTFESQFPDLTQPEAGTGVSAGTAITGGPSV SYNSGYQAASFEEEQEPEVIKEWRESRDNKIAKRAEQFAAQREETIKEAQQNIDDFYD NYNNKKEKGIAQTRKEADEFLESREDTVSGGTSWDRIAKLVDVSGKGAKGGASGSGKE RFRELLVSLRKDEKAPGATGY FPSE_05391 MPLVDAKNPVPHYQRFYQNAYKNHTRLWKIGPRSRMLMTPYLIL LWGSLGASFYGAGRKVLGYNSYFGN FPSE_05390 MPTFRPDLNSFGAFLASFAIAFSPVIQSTLTRIYVFNPYRELYD YVVPTLFDSGQSHAARVWRKRFVLFNDHAMSQKSMPFLDFLSRYFPKVQLTNEESAIL NRDAVTNNDGAASDIADNDIHSSQYKGLFSDNFTARWFASSWTSSEFAINLMHKLGLR TIGPQSLQSVALREQDVQGVNDRLAQFRELGIDISPTVYCNAVISFAQRGEDELLRDL LHCDIHPDEFENSETRVMLLAASAKQQDWSRERLLQEVEALMAKPAPRKEKTLSEELN NNLWAALSTQGLAKVRSVFDKMDNLSVSIEQTNSEVFLARVFEGIWYHPKLSKQKLHG YQEDPQLDRAIHLSLRVARHGVAIPIKYWQILLYNLGRLGRFNELEQLSHEICELYSP DPGGLIPVHRCDVPPKPKSKPKVSNNDTGGWVEYHSFPDGKKKTSHFKEEFWRAEIGL TEEEPKEIATKPEPATKSDNLSKQGNDPEYTFCIPADLPFTNRQHPIQKIFDMSLQRA IIRWGFDKTLGQEPIQLSLARVKPGGITDFDLACGVRLLALLRQKGVYVDQQVVRSAI IKRLAVAHLPGRTRARARDDREMSPTNIKELVEKAWGSAILPNEKQLSLELEKTKPKL WKNFPKLFEKSYDKNENK FPSE_05389 MWRDRTNLYISYRQSYAHHPTQRRPYGPGASASGALADTYTSSY PNEDRLGLLSAGAFEDDGDAVIEMDVLPPRWAAFSDDITDLLSDIATKGQRLEKLHQK HVLPGFNDEEAKKAEEAQIENLTQDITKGFHACHRCIQKIEQMVRDSQHAGTITRAEE TMAKNIQTSLASRVQDASASFRKKQSAYLKKLRGMGGFGALSPGERSSTPQPGSYLDP SLQESDADRSFSQSTLQATQQQRVLHSNDTAIAQREREIEDIAQGIIELSDLFRDLQN MVIDQGTMLDRIDYNVERMNTDVKAADKELVVASGYQRRTTKRKIILLLILIIFGMII LLVIKPKKHE FPSE_05388 MADSLTEEQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK FPSE_05387 MAGPLRRGRLWIGVILIWIFGFWYWSSTDSTFALFGKDDPLAGT GASAWTRRPPKYPIPQEKLAVLPEIKGDVKVPKIQANAPVESAAAKETRLARLAAVKK SFEHSWSGYSNYAWMHDEVTPLTGKSKDPFGGWAATLVDALDTLWIMDMKEEFTKAVA AADGIDFTRSPMTTINIFETTIRYLGGFLSAYELSGRAHPILLKKAIELGDLLMVAFD TPNHIPVTRLEWKKAAYGQSQSASRETLVSELGSLSLELTKLSQITGNMKYYDAVKRL GDQFESTQLNTRLPGMWPIVVDAYTPAFHAGSEFTLGGMSDSLYEYLPKWYLLLGGQL EQPRKLYENFIPVAIKHLFKRAMTPSDKPIIISGDYQVTDLPGEQPKYTSVARGQHLT CFAGGMMAMASKIFNRPADLEIATQLTDGCIWAYQATKTGLGPEVFNFISCGSVDVKE TGDCTWSEERWLKAIEEQHAPDFKAPPMRGPEWKRPTVQDVVKKHNLPQGMIDVSDPR YILRPEAIESIFVMYRTTGDVQWMEKAWAMFETIEKVTRTEIAASAIDDVTKAEPTKM DSMESFWLAETLKYFYLIFSEFDVISLDEWVLNTEAHPLSRLDVKRGQ FPSE_05386 MSSLKQFIRNVRAAKTIADERAVIQKESAAIRASFREESHDPNI RRNNVAKLLYLFTLGERTHFGQIECLKLLASPRFADKRLGHLATSLLLDENQEVLTLV TNSLKNDLGHSNQYVVGLALCTLGNIASVEMSRDLFPEIETLVATANPYIRRKAALCA MRICRKVPDLQEHFIEKATQLLSDRNHGVLLCGLTLVTSLCEADEEEGGEEGIVEKFR SFVPGLVRTLKGLATSGYAPEHDVTGITDPFLQVKILHLLRVLAVGDAETSEQINDIL AQVATNTESSKNVGNSILYEAVRTILDIEADSGLRVLGVNILGKFLTNRDNNIRYVAL NTLIKVVAIEPNAVQRHRNTILECLRDPDISIRRRALDLSFTLINEGNVRVLIRELLA FLEVADNEFKPTMTSQIGIAADKYAPNKRWHFDTMLRVMSLAGNYVKEQILSSFVRLV ATTPELQTYAVQKLYINLKKDITQESLTQAGAWCIGEYADALLKGGQYEEEELVQEVK EHEVVDLFSLILNSAYATQVSTEYIVTALMKLTTRFNDPAQIEKIRRILQYHQTSLDI EIQQRVVEYGNLFSFDQVRRGVLEKMPIPQIKEESRVLGSAPTKKKASNRKSRVIKPT EQDLLDIMDAPAATPMAPSTTNTDLLADILGGASSPPPSASSPPPGSNMSNIMDLFGS GPGSSTASPAPPSSNIDLVSPVSSAPQPQTPQAPAGIPCYNSNDLNVTYQIQRNAEGM IQATARFINTSSSATLSNVSLQAAVPKSQKLQLLSISSSDLGPGAEASQMMRVSGCKG PLRLRLRIGYTHPTAGQVMDQVNWTES FPSE_05385 MKPDSPYFELAVQESPANPPAAWLWQPPSEILGSELQVRVGGSF VFPPAEIPLDEIRRVVFVAGGVGINPFASMMGCIAEEGHELDVRVLYSNKVPAQGLTG VLFLERIKTWFEENKISGDLKVFETSEHGKHEDESEFNVSKRRFTVQDVKEAVAGQEE ESVVYVCGPAEMTDEIVDGLTRDDGMDKKRVMLEKWW FPSE_05384 MDLDIEMDDAVETGQDLPVVDLGRDDILQHDELEEPGEVAEDEP VTDDSKTLVPTKIHIKGVDSLHTSDIKAYVKSHFGDVDRVEWIDDSSANLLFPSEPTA RDAIVALSSVPVADATALAIGETLPAKPFDAKPEISLQVRFAVSSDRKEQGAALRSRY YLLHPEHDPEERRRKNQENRSRYRERDGGYRGDGGRRRRASDDDIETFEASMYDDAPR PARRRDSDIEERPRSYTRQNEGKELFVGRKKQRDRSASPRRDRDGDDLMDRRASSSGN RVKARDLRDRISTGNKSKELFPTKKSIKQFGGGNLDTLEQAIGSARLKDEDRPKIVSA PGARGDGSSFNIRGMANQQGNGEGFAIKGAAGANARELFPTKLGGNNAGKELFGGGRS KQRQKAEDLFS FPSE_05383 MSLTNLPRIFLLSTKLKPEELHQLEERIPTLTYDIGEAEVVIGK ISQPMRAEFELRRAKLNFVPLSQAQTQRDGPSTTAVTKDPGNGSDPKRRRVTGNATGG HGTVKVVKLEWLLDSWEKEHMLPIDQYLVCQVGRVPAREATPVPMPVLGSESEHMLSA GSTSPASGILERALAEQKDEPISTSPQTRHKRRHDGSTTLSQNAPSLLHQTTSEHDIV LPKMPEFLRTAYSCERPTYKNPPNEAFVKLLTEIRTIRQLGKDDVGVRAYSTSIASVA AYPYPLKNSQEVARLPGCGDRIAELWHRWKETGESAEVREANADPRISVLKIFYDIWG VGAATAREFYQKGWQDLDDLVEHGWNTLSRSQQIGVKYYDEFLSGIPRDEVASIGAAI LKHARIIDPGFEMVIVGGHRRGKQESGDVDVVLSHRDESKTLHVISKIVVILEQAHLV THTLVLSAHNSERGQRPVSWKGQTSSSSGFDTLDKALVVWKDSSKEDAPHRRVDIIIS PWKTVGCAVLGWSGGTTFQRDLRQYCKYVKGYKFDSSGIRHRADGRWVDLEGTSADNA APDMETAERRVFGGLGLPWRPPEARCTG FPSE_05382 MSLVLELPAEYGYVLVAATSTFFINTLHVVLTSKARKQSGLKYP IPYASNDLAEKNAEAYKFNCAQRSHANFTENQISFLGALLISGLRYPVASAVLGAGWA ASRVFYAIGYSAGGPQGRMGGSIGSALCDVSLKFMAAYTSIMYAMGN FPSE_05381 MKLQLLTASLLLLQASNSNINVNVNANAVGINPQRDIPDSRNTN SHAQTNTRLFPYLTKLRDKAIESIFGRKPSQDATPPPVHNQLRAQYVKQLVLRFNVTT SHEEGALADAAARLFLDVWAFTDDYVDIRLHADEVRPLLSLLPKSLHTSHSILIPDLA TAVYNSLPTGGNPAYTDPKTFAPVVKIASSPVDNLFFQDYQSLPVIMRWMRLLEAMFP SYVKYINVGKSYEGRDIPALRVGISHNVPNAPRRKTIMIMGGSHAREWISTSTVNYLA WSFITSYGKERMITKLLDDFDLIFLPVANPDGFEYTWHVDRLWRKTRQQTNLQFCRGL DLDRAYGYEWDGSRVQRDPCSESYGGEKPFQAVEAVQIADWARNQTWNNNVRFVGLVD LHSYSQQILYPFSFTCSVDPPNLENLEELAAGIAKSIRLSNGESYTVASACEGAVSAR EFSGGRYWSRIESGGGSAVDWFYHEMRAHYSYQIKLRDTGSYGFLLPKEHIVPTGEEI FNAMKYYGDYLLGNNGIEWFPEAEKDDDFKTNQQKHIQTDEEDSSMSQELRRRRMRK FPSE_09116 MAFLRRRGNNNMSSETDVRRHTYFESSASGDIAPALPSQSFQNN SEAAGEFFHSPSCHDLPRDSTTSRIEDPRESQTESRPGSRLEGQGEDRPDTPPIQDEN NKHHRFSVLRFRNASDSQLSLRAKQQSEKTPPVPRPPEIITTAPTNELTGPKKKPSRI SLAARFRRSTDIPRDDNSSSNNFERQKTLRKSFTDDRRRPVLATLEEPESPRPSTTAT TSSPNGTILTPPNRPSESSRSDASSNDRISQQSSSFQSPETTPKKSGPFFRLRRQKKA PEPLFPFAHLQQQGKTQPGISSTSSLGISTTPRPASAQSSRTASGKTAKGTPDLQTSQ SPATAFIAPGGNQSGHSSPTRGTLLRGRSSTMSSIGRDSNDEHLLPPTTRTSSSTGRK SFGDLFGLSRLRQNSELSRQGTLTPTTPASIGSKNNSLQLARDSFALPERHEDESPNK YLGRVEEVASRGIIAATLSKSADPFMISVLRSYMRTFGFFGDPMDMAIRKLLMEAELP KETQQIDRCLQAFANRYHECNPGIYSSPDQAYFIAFSLLILHTDVFNKNNKHKMQKSD YLKNTNGEGIFDDILECFYDNITYTPFIHVEDDLDPTSDRFASHKSRRKPLLSSTVND PAKRATKEPIDPYTLILDGSLDTLRPNLKDAMELDDHYNYLGSATSLNLKDLQKTFFK TGVLQIVSARSRPDAFMTEQTASNPAEAHPGIVDIKVTKVGLLWRKDAKKRKARSPWQ EWGAILTGAQLYFFRNTGWVKSLMHQYENHIKAGHDGIPLIFNPPLQEFKPDGLMSTY AAVALHDAAYKKHKNAFVYVRQGGLEEVLLADNEEEMNDWLAKLNYAAAFRTTGVKMR GVVGGNYDGQSRRGLRRLDSADAATLIQTPTGPVSIARSRIDHKMAEDISAARRDVMK QKIEEADESVQEIQQRLEDQLRNARHLQILAPIQPRTREQILSAAARISAQLKWTRQE MWKSKCHRDILVQDLEEEYPSSIITPTKQLSNQPSQRTGSPTPRANMGRRGSRSTHHS GTEGDPRSPTEPQVVHLPNSSEATEDVDSPLDQVFTTPPQSATKRRHSSRDLSFARPD AASTRHGSISSIAQSPISSLPPTPLAKPLSQDETPKSPQRPEDDNHQDPDADERDFLE QTGLLEQRPSRDPTDKATVSTGADVPSESGTSTDKLDRSKIRRSLQRTFREGAGHLSH HRGSRKGKEVAGSGEESGQDHQLARGTGSFVVHGKKASVINFGDGLQNMSHDEKIRAR KSSQQHDFPLSPMPSGPEDDDFYSVVGVPVETSERRESIASASTATARSFRELHRKYS TAQATRSTSAGGRLTIPSDTESEVAVSFSDGRRSPLPPMETETDEEVDDAGVKRGRSD KKEGFESRDSDTESLQDVEQLPSRTVQPVNA FPSE_09117 MPQDPAAALSALLQQSSIEDHEEVLKAANAALKANKTDTDSQHT RIIALLKLDRFEDALRAIADGTPTLLSRIALEHTYALYKTGKLDEATLVLKAAGLENK RNLQHVAAQVAYRAERFDEACNIYSRLLDTDPADEENDISINLRATLAQSSWLGYSVT EKVAVQDSDGFELCYNAACAHIANGSLETAADLLQRASRLCDASDDLMEEDKQAEMRP ILAQQAYVFAKLGKLKEALDLYNSLSSTKEEDPDLALILGNNLVTLEPKSENPYLVER RFSTLTARERHAKLFHHQSEILQRNRLVVDLKVLKRDGVKRRTGAIIAQTPQPTTSAS LGIVSVLNAAASSQGATGKQLLKNLRGLAQKRPHDIGLALTIVQMQLKEGGIGSALSI LESFLQRLEEAGNVEALNARFSPGLVALTVTLLRAQGRESSAKAELVKAATHWQYRQA SPASSLLEEAGIELMKSSDGKDLLLAGSSFQKLIDEQKGSEIATAGLVAAFATSEPSR VEKHLQNLPPVDSLIEGIDVASLLGAGVAVSASKPGSSTLKRAAPSGNEGRSQKRRRK IRLPKNYVEGTKPDPERWLPLRDRSSYRPKGKKGKKKAIDSTQGGMVKEEETLELVGG GGVKVEKAPQASKKKKKGKK FPSE_09118 MGNFGTVVTSLLDTYTKCLSLLKGTRNPDDGTLSDTHSTLSASL RSDRARVRRVYASRLSKDGSRFEKGDAPARSALRRIIKKLTSTLTNIVSHDGKQQPVR YESLLALSNGSSIEAVRTMNDLSSRVGSRSTISQGSVTLESPTTIIRRSTSPAKFQRS TALTRQVSDLQPGLNIDYVIGFYQVGGDQTTPLKAKASRGLWEYGCVSAVLLPS FPSE_09119 MADAPTPNTNKSEIDIVKQQIQILEGRLHSDDRGRISRIINRIS ALEESVDKNKEEADIELKSSYRNAAAAWALVAQLQQQMKNMEHVLESQSEQMARTTRE VEDLRNRQLELLDSDEVLEERIEKLESTEPLSPTRECGPTSTPLNLLHILPTTTVVSA RSSDSSHAPPTTQPTAAELPRSWTVHVSLMPSKDTPFPFEKDTTSYKRCLSRGLHRML AVEGNDAQSFTDAVSRAFEPLLKGRSWVPLQAKLCDAERLQGLPMLRPLEPRLLQGNY DQAFLKQHCAVLDAGGRIDSLYIAMEHDSLSWNFLRRSPVYMHGLEPAWAHDTMLDKK DEQDASRDHMGDEGDESPPAGNIVRALTGLKRRMSDISCSSLDDTELAVAKMPRTCKS SPLEIRRGVETAR FPSE_09120 MDLAITLVKSVMRAFYQTREILVIDALILHEALRDDDLAYLMSI NTKDLHKICGKLREDRFLVVHTRSELREGNPRPSNRTWYYIDYRSTIDAIKWRVYTID KEVQGTTQVTSEKKEYFCSHCKAEWTAMEVLDNAGPHGFLCHRCSHVLTFEADRTSTG HEQSTRLNDQFKFISELLPKIDAVHINECDFDRALAKARPVKRDETHQRAQTIAADAG ANRPMAVKGLANTGPQSIAVNISTSDGLTEAEKAAEQARKEQIAKQNALPSWMSNSTV TGESFSASATTPGTPAIIKKEGKDSGSAPAAAANAQIDDIFEMIKAEQAAKQAQVESE EDDDEEEDEFEDVPAKRVKIEHEVKKEEDDGEDSDEIEFEDV FPSE_09121 MATTAMDYEAANGDRYEDEAPRYERDNRSASPRPRDDNEGGRRR SASPGGHGDSNMKDVSAPRDDDDGAINPGSNLFVTGIHPKLSEAEVSKMFEKYGDVEK CQIMRDPHTKESRGFGFVKMVTSDQAEAAKEGLQGEQIEGRTLSIEKARRARPRTPTP GKYFGPPKREPRAGGGRYEDRRRGGYGGGGGYGRDDSYRYRGYERNYERRYEERGGGY GGSSGGGGGGGGRDYDRSYRDERRYDDRSGGYGGRDYDRGYERRDRDEGYGRDRYGGG GREGGREDRDRYPPRGGPGGSGYERGGDRYERGGDRDAARSRDPAAGAGGAGYGESAS RSETRDAYGGSSYY FPSE_09122 MSIQGKVYAITGGASGIGFATAKLLVQRGAIVSIADVDTAAMSS ATAYFKEQGAMATVSRVDVSQRSEVESWLDDTVAKHGRLDGAANVAGIIGKHHGLRAV ADLEDEEWHKIIAVNLTGTMYCLRAQLNRIVDGGSIVNVASIHGTKGFAKHGAYDASK HGIVGLTKAAAKENGHREVRVNAVAPGAIYTPLMKKAWDLHNRADDAEFDEPSAFQRQ GTSEECANVIAFLLGPESTFVSGSVYEVDGAWI FPSE_09123 MATFRRFRPDDVNKFSKCNLDPFTETYELNFYLQYHAKWPSLFQ VCEDMDGNIVGYIMGKVESSPDAYKFSEHYLPWHAHITALTVAPEARRSGIAKILTDQ LEVAADAENAWFVDLFVRSSNHRAITLYKNLGYSVFRVVKDYYGDHATDPSKSSEDAF DMRKPMKRDKDHQHIRDDGENHLVNPEDVW FPSE_09124 MPSSPQTPRHSRNSSAFDSYSGSPQARRLSKSSVQDPSPFRNSF TQQDAVEMTGNGMGNLADELADAFSDSGDEGDFTDGDANSEMLGPGGDAHGPEYADEM EDTEGTSSPKKQEADRARVANLTLLSPRRKHQRQTSNYDGSEYGSESDLDSPGMPPGL VSKMDAVESLARRGTENYGGPADDVFKRVTNALRDLGSQSSVEASASRLITAHSALTT HLTHQTRQIHNLSFPLLSPLVAPPDPETIDDLIPLLLNLSDTMPRPSTTAFNSLTSLH SLTTELVQTLNYLSDTLHMSRQTTTMATRRLKSAKEIVSEMRKEEELREEGERWLTRG NWGERLEKRECAGVCGDVIGGFEEVCNGWRERLLAQAEAQA FPSE_09125 MAALPQPSGIAALNSQPAKRAIDESKSHTATPRSSTPPRSDRTR LEPRYSLDNGPTRPARNYGRKSEGARGSHLDIEAVDSALLREFQRPPRESTPGASPHR KRQRINGDRFIPTRTGQDLQASFSLLHEDGSPATPAKQKKRTPHGELHFQKTEEANRT FSHLLRAELFESSVPQAATPTLSPNQSLPTASHIPANDGTRAHTPPTNAPAPSLPSSS LTPSTPHKNLFSYMSPRHHNQVAGHPTPSKTPQGRHGPNLDTRAEIYSLSPVRFGSQQ MLLSPRRQPRAVSKVPYKVLDAPELADDFYLNLVDWGSANILGVGLGSSVYMWNAQTS KVNKLCTLDDDTVTSVSWIQKGTHLAIGTGKGLVQIWDAEKARRLRTMTGHTARVGSL AWNTHILTSGSRDRLIYHRDVRAPDQWLRKLVGHKQEVCGLKWNCEDGQLASGGNDNK LMVWDKLSETPLWKFSDHTAAVKAISWSPHQRGLLASGGGTADRRIIFHDTVKGSVIN EIDTGSQVCNIAWSKNSNEIVSTHGYSQNQIVVWKYPSMTQVASLTGHTYRVLYLAMS PDGRTIVTGAGDETLRFWSTFGRRPGSREDGDNGGRLAELAVIR FPSE_09126 MDDDPKAALGQGLKSDNISTDSEIILTPEPASPDNAPADSTLLD TPVLGATTPRGSMHSRHPSFSGSSSNHEDWDGPPLDRLSVLDLLENFALPQQLEKLQK GITAQTNKVRRSREAIKTKTQSARHRMVEEWRRRVPEADEQLDRYRKQMQHRVDKLGK RWGDTKVISAREKVSFIFGVMNIFLSGYLIGAHPEQFHWWYTVQLLYFMPIRFFTYRQ RGYHYFVADLCYFTNLLLALSIWVFPGSKRLFTASYCLAFGNNAVAIIMWRNSLVFHS FDKVTSLFIHIMPCATLHCIVHLLSPEKQKEQFPAIWTIKNSPPGSPTAYANVVSMLA WSTLPYIFWQLMYYFFITVRRRDKIAAGRPTSFTWLKKSYANTWLGKFVLRQPERLQE ATFMLIQYSYALLTMLPCPLWFLSRWASATFLMVVFTWSIYNGATYYIDVFGVRFQKE LEAMKAEVAQWQNSPEHLPQSPTLEARPDESSANQLTQQGVAPSASTGQIPSMNEVNR EKNMMGGTSLSKDDANRPMSVDNIPLLNDMQSSSSTGVDRRPVNNTRGRRVD FPSE_09127 MDAISFVLGIKSSHLRSAHLKDLVYRGRVLKTAKINDDGSAQTN GDANGDEKASRGDPKTAWVMAVYEDDAGEEQKWKRSITNQGASEYRINDRVVTAQQYN ESLESENILIKARNFLVFQGDVEAIASQSPQDLTRLIEQISGSLEYKAEYEKTQAESE QAAENQNFQLHRRRGINSEIKQYREQKREADNFQNKTDERDAAIVTHSLWKLYHFQKA MDDSYAAIQDHQEDLKELRRNVESFEKRLDAARREQAAANRQVAMVDKDIKAKARDIE DKENSLVPVEEKINESTEQVKTLQVQVAKVTKEHDEQVEVVQQVQKSIESVEKAREIF ENDYKEQMKKQGREVSDEDRREYNRLRTQVMSRTGSNQAKLENLDRQRKADEVTVNNL KGKVDSIAAAIDKIEAELASIDERRTSAQTISKELSQEIDAKKKEFNQLQSERVRTNQ KRTELEEKLEDVARKLREADDGRRQNDREARTKEMVTSLKRMFPGVRGRVGDLCKPKQ KKFDEAVIVALGRDFDSVVVDSEKIGVECVQYLKEQRFPPMTFIPLDNIKVNAVNTAV KGFSGARLTIDTIDFDSSVERAMSYACGSSVVCDSLDIAKHICYEKKIPVKAVTLEGY IIHKAGLMTGGRGPESKNKRRFEEADVQNLQRMATKLKDEIDRLPKADRRGSQEESLQ IDLSGLERRLVAVKDELVALNKNHASKKRELDNQRRQLDELEPKYQEQASQLESTTTT CEEFRDAIARVDDEVFGDFCRRLGYSDIRAYRDSQGKLEQEVSEKRNEFEVQKQKLSS RLGWEQQRVTISTGRIDTIQKQIRHLKKEIKTYTKAKDEIENALREEQEALEALRETL DENRSELAERNQKVSEAKVEVQKRSKDIDTHLRDINALETIVQKNSSSKSALLRRCRL EQIRIPLVNGTLDNLPNEDELLRQDPDAMDIDEDDEEMMDMALNDHGIAIDFDGLDDD LKASDDPSVEDGLSEKITSLTSELEKLNPNMRAMERLESVETRLRVTDQEYEDSKTAA QEAKEAFSEVKQKRYDLFNKAFSHIQEQISHVYKDLTRSEAYPLGGQAYLDIEEDTDM PYLSGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALD NANVDKIKKYIKDHRGPGMQFIVISLKAGLFQDSDSLVGVYRDQEVNSSRTLTLDRIG RCMFGPSQHGGGMDGFM FPSE_09128 MASHTPLGPAKVVLLAVHFATVADIDSLAYLISQHATILRPELA LRIILTYLPETLSPSTYVPLLQAIIANESLSQPTSDHQLDTSHVHNITDQQASRKVKK LHLIPLRNDDVHFTHEADSLTCFLLLRAYRMDEEAGMLAQVPDLLSPFLHHSKELHTW AISTVLPLIRRNHEYYPQRTARLSLLEFQNLPDRMAIDYLLAETGVIEDEYNLAGRDL SGMVGPWLHNDSRWKRDAFNEEVSSDSGTEPDLSCPGWEQAMEWLASKASTSWRVALN AIESWDGDIDLGGDTSSWLQENQQQYLDRSYTRAVLAAAYLIPDAEAEALEAAFRMCN NIRSLLDDDAGTTLQSAVALLAPVPPFDMDASGGAKATTYLRNDLLQSTNPLTTPKEQ SLDLLPTLIQSAYLLTCSGVPCTVRKAGDLAFIQDQQEQKSQLGRFIRNLPTRASDND DFWVRARQEILWLHSWGNKPGPAQDGTFQGVLGNVTLEFVETELLKSMLSRSRYSLAR SLYDQEGSDAPLSAQAVLNTVQNSALSAFDNASNPNRTRGGLKKCDEIIKAFPTIMNS THPIRRRVEALLKATHALSDYRLVLKQGEPFSPVVLRVHSDPVSIIEKVLEQNPKAYT RLQEFIELGSSMVDADLPTQARPGSRQPTTTDVNSVRSMVEKRIVSICVGAALREDDF ETAYSFVVSRLGVDETERRKQQTKICDEWSWKAALDAGKYVRTERSQKPTHLGTASGN PEIRHLEQRIECLATSLRIAPPSQLQEVLKTFRRCEEQLDAAIKEEAAREAAWDAAGD LSGLPGSFDAPNADKAYPPRNITASATARQTEEAPMSLFDLSRATARVASKNLTVLSS LQGSLQSSFTSDKTNPESEPSESELNEQNRTRKRDQLREAATGTLVSGVGWLLGANPN RPASGQQ FPSE_09129 MASGYGMNGGVGRCFPFWQEVMGCYVVNTSAADDSGKKKCGLVL EDYYECLHHKKEHARALAMQAAYARSESATARDDAPSVKQIRSLGLIDKEEDTKKVLG RS FPSE_09130 MPSFQGIEVAIVTEPGSKTLPEFPLSNSQQIQNSSPRISVYIPS EPGTHFGVHYTLTKVVKPPPYFYFKIFMNGRNVANCGANSLTHASGSIVRSLCAPSDR WKYKEDGVLYIREGIEARCFGFLPTGHKSIAEEGGFIEVQVFRAKGRIRRLPVLEKHR GQETYGIGSPSGGLLDSPDEARYYDWILIDPKENPFVTFRFHYRTWSNLYQLSLAPRP DKLEEESTRTERGSVGSAKAGESSGRSSYYKTGSTSLPKLSSDTDRVKSNQGLPSESS DLSTRLRGIIKNAPVILPPSHTTRPLPEIPIKKPIAHNDVDRPVLAYTPSSLSDISEK TEGSDESTTVILPVRSDFRSTEHRISGSHTTDNLPDSSLLGDPTNSRAEESSSEAAED HHVTELKDTSAKPEIEGTEPISDTTSKVSKAED FPSE_09131 MADAGWLRFFGANRARQHGLRYRASEAALHDILKDAPGNQETQI QHKRETMPRLPSWQGVSWADRGAAPLTGADAALDQADKLFINASQKVWHSPSVDQLAE SLRAALMTTEGNQPLSAEYRPHVLLLCEFYGTRHHKIAKLESQLAEAKDQLEKERERY RSIEEHWMVQDARYKAEVKRLEMFIHETSDKGMEAVILARAGSLIRNRPGDTTNGRTS TEASPNNASMASGATPQKVNTEAAPNSALPTRQNTVLSRTRTFDTSNEVRLSNAFRKM EKTKNANSGGGRRTEAQHIGHGKAHPEHHRESQRSQSDSVPQIGQTPRDAVDEPPHEG SPERKRNSTEKAAVLQEAGVVGQLCTATESAHGHEKVKQDRVSESKPSQSTPHRRQFS FVPGDDTTGHAKAPGNQPVAQNENSQEQSTGRQTVRLRQEARRSRSSEWI FPSE_09132 MDASRTNVPSQGTLSPIFGWVYSKYDATVTG FPSE_09133 MNTNSPPHRRGPWSQQEDHYLMALVQDHGPLNWVRIAQNLSSRT PKQCRERYHQNLKPSLNHEPISAEEGALIEQLVAQIGKRWAEIARRLHGRSDNAVKNW WNGSQNRRLRSDRRRATQGHDDFYSANRHGLTISTTPCHQIPGPHLSPISGPITRHPV GSWVEAPLPSPCSSESAESETGSNYTTSPAHHSVNLYRPVELPPLRGHFSSRVDPRLP RADSRLPSISTTTLSSYEDRQPTERSEYKPALPSPYGIPGQRQLPTAPNSPVQHQSQP QSQTQPRSQQDSRMRLHSLLD FPSE_09134 MEESKWTFGLSSVRIAVGLAKKLWWYPSSSSALGLRFSRFEVDR SGQTQAQAPGLAVGHAQPPFTSALRPAFSVSFVSSPIYPVVMLPLLQNPPPAMTPPQS NFDATIFPNHDASSFSDHHLHNVASPYQQSFAIRTSRPQWLNNSFGSAFYPQRQEYHL RRKTPNGTIDAGYDGSPSPFSHGPPPPKHLFQPRSAISTAFPLASPSIHHPRFTTDLD HHHSPSAGNKGFDDHLQARSRSSTWAYAGDAAPHHGAFPGNYYAFPQPEAQFNPYGQP EASGFPNLYQPVIRAHEYNVRAFCPPPVVMNESLPFGQPAIHMPWNFHAQSGALPRHV QQQGPRHFQHHAVHGIARPSSFSHVVEAEPHGHAGNDLGMPIQSYTGTTHMSNPQGFR EKVVLQAHKVYIDLLACTQAIRKPNPTKSAAGNNTSPRLLVYPKPPKPSLRISEAALG YDFAPFRPSQENEHYQGYSSRRHSAHNFYGSSNSYLPMGNTTPFTAAKSTLEVLSNLC EQSSWGWIDGMMLGGCLHYGLEQYQEALEWFSRILALDSSDVEAITNKAATLYCLNRQ DEAEKHWIRAVEVQPSYLEAVEHLVGLLYKKRSKEAVDIIDHVQRALRISSVQDQRHG PQDQVAKTPGFASSGYALPAKENGRILALIHAKGTMLYSLKEINRASEAFEEAVLISV GRRMTGIQDLVRQIQTALSPNEGFASNGQVGYNTRPLLLPPERARHTAQLVFGGTGAL PGLQHVPEGSTKRAAVQTTSNSLLSLAKIFQDSLSTGQGAPNILRRPSGVEDILALYY LSLSLQESPSTANNVGILLAGVQQAASNPPRPSVDALSHPGIPGIVPGSGLALALAYY HYGLRLDPKHVHLHTNLGSLLKDIGQLDLAIQMYEQAVSCDGTFDIALTNLANAVKDR GRINDAISYYKRAVNSNPDFAEAVCGLSTALNSVCDWRGRGGVILKGGKYDRWHVDDD GQLIDARATGHDDGLVSRVIRTINRQLSEGSHWGRGILDDNRIAALAQQIQDLGLGTS HEVQQGLRKWKNQRWEGARVVRLIERATRATQWKWYRDRYISGSQAPARYTRLRLPNG LAVPSAPTVLPFHTFTCPLSAKDIRLISQRNGIRISCSTLRLPWLPSTVYPPPPPPNP HLNIGYVSSDFNNHPLAHLMQSVFGFHDPQRVRAFCYATTASDRSVHRQQIEREAPVF RDASSWPADRLVEQIVSDKIHVLVNLNGYTRGARNEVFAARPAPVQMSFMGFAGTLGA EWCDYILADSTAIPPETLRHWRGNSKVTDVFQDETEGEGEDWMYSENIIFCRDTFFCC DHAQSCDAGERSITWEQEQQRRWKMRKEIFPALADDTIIMGNFNQLYKVPKAVIWLLR FPELGEANLRRTAKEWAGEEVASRLLFTDVAPKSQHISRARVCDLFLDTPECNAHTTA ADVLWSSTPLLTLPRYPYKMCSRMAASILKGALPKSDEGRQAAAELIAGDEKEYEQRA VKLANQLSYTISASGYGQGEGRLAEIRKLLWESKWHCGLFNTRRWVDDVETAYEEAWR RWVAGEGGDIHL FPSE_09135 MDYEAIKEQWSEVEDRDGVRLSWNVFPSSRMEASRLVVPIGALY TPLKEKPDTPLLHFEPVTCKQPCRSVLNPFCQVDVRARVWICPFCLSRNQLPPHYKDI TANAIPPELHPANTTIEYRLSRPAPAPPIFLYVVDMCQEADSLASLKESLVMSLSLLP ENALVGLITYGTMAHVHEIGYEECAKSYVFRGSKEYAAKQVQEMLGLSTSGVRPGMQP QPGRPFPAGPASRFLLPVQQAEFQLTKALESLQKDPWPVANDRRNLRCTGVALSVAVG LLESSFQNAGGRIMLFAGGPATEGPGMVVGPELREPIRSHHDIDRDNVKYYKKALKFY ENLAKRTAHNGHIIDIFAGCLDQVGLLEMKGLCNSTGGHMILTDSFTSSMFKQSFVRI FEKDGDDNLLMGFNAVLEVLTTKELKVTGLIGHAVSLNKKSISVGESECGIGNTCSWK MCGIDPKSSYGIYFEIAGQGPATHQQAPQKGMMQFLTYYQHSSGQFHLRVTTVARNLS SPAGDPAIAQSFDQEAAAVLMSRIAVFKAEVDDGPDVLRWVDRMLIRLCSRFADYRKD DPSSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDVSNSLVMIQP TLDSYTFDQDGGQPVLLDSASIQPTHILLLDTFFHILIFHGETIAEWRKAGYQEQEGY ENFAGLLEQPKEDARDLITDRFPLPRFIVCDAGGSQARFLLSKLNPSTTHTTGPYGGV GATTAQTIFTDDVSLQTFMDHLMNNLHSPSHPARKPRASADFNDQYSQDAYVFLPDDF STGYLLTRGTAPKFDPNEVKVIHLRATGGEVGASSALAPKIGPLGLSPKKVGEDIAKA TGDWKGLRVTVKLTIQNRQAAVSVVPTASSLIIKALKEPPRDRKKEKNIKHNKSVALD EIIDIARTMRYKSFAKDLAGTVKEILGTAYSVGCQVDGKPPQSVIEAIDSGDIDIPEE FPSE_09136 MENTEPTSLFTFESHDTALCAIPPRELWSSVDRLRCLYDKAYTA WPPHVNIIYPFVRPEVLEHASQVLRGNAIEHQPQVALDNADFFKHKHHNTIYLGQSSK DNVNQLVKLKNYISNVLGQPHDSTDENFTPHMTIGQSEDSEAAPHCFLLEKARRLAPI SWQVREVAILVRETLTQSGSPRPMKLWGTLNLESGTLTQTTQTQDFTDRLEETSRIAF QPSHCFSESPKLLEKDASPAYATEIVLDRLVVASYNVLAEFEWPPQSHRHTPLVQNLL SAQAAADVVVLQEVTDHFLPDLLGSEELRGRYPYVSHGPPDIKGTEPLPSLLNIIVLS KFPFEWHHLPFQKKHKGCTVVRFSTIGTSDAPDGKFNPWILVACHLSQGLTDGAIATK KNEIQKMLDYLSGTFPQNPWILAGDFNIVTSSHTVEAARKKQDISLQTVHRLQDIDRA LLDAGFLDTWLATRLESGESSDMNNERRSVLDSFQGEQGATFDPLTNTLTAELVGSGL NNRPQRYDKILIRTGHYHPHGFNMFGQTPFQSTQGGHSTYASDHWGIRCLLLKSSTRE MSKAAVPEMNIKLQRPVPSLVEGEGLEHFLENYGCLPTEQERANRAQAVRALEVALKD VDLSASEDDARSGPPFVVVPVGSYGLGVWTSSSDIDCLCIGPFSSKTFFALAVQRLKR AADIRIIRRVKANSGYMLELEVHGIKVDLQYCAAASIAERWPEVMKRPANDSAFALPF QTLAKLKPVRDLFYLRRSLPDMVQYRMAHLFIKSWAQARGIYSAKFGFLGGIHISVLL VPICKALASGSEIVSPTDIVVTFFRHYSNFDWKTSMVFDPFFHKDLRYNRTFREPLCL IGWHAPALNTAPIASNPTVMAIAAEIERANRLLSEDGCTWNSVLGLQSAQEAGKSRGA TEFLKEFKTYVKIDAHYWGPSQEKSGRFIGWLESRCVMLLVDVNRKLQHLVARIWPSR FIDVFSGGAGGPGEEYHGCYLIGLAWDGNMSKDDVKEMRTSIQTVLQDFETRIRRDEK YYDAQSCWMSATLARGSDLGDMEVDQSLWGEFAGDTDDEDSDEELEEEEELDNDEEES KGKGSTHGSRAAVVGKAPGLGKFRTAADVLNRLRWDTNFDPSDYIVGYEDRFLGARER AVEHWKSEQTDEEFIPQHRILYFKRRADNVIVWERRTRTDDIFGSGIKKQTTDV FPSE_09137 MSVGLAGGAHTALDEEARAEVDVLNSRLEKTTQLTKKIQSCLNR LETTGKSVQDVAGPLNGETRRLQILGNNVDSVLAAIDRLRQPADSKNDEEQIIRVGPE KAGLSTYLASIKRLGKALTEMQASNLRANQQTMADLSRLIKSGNSQLEHHFETVLRGE TPRSVEPLHYITKDKPFPTLPQDKIARLGLIYSYVVESHHNGASELAHIYAEVRGPYL SASLANLAAASVNTAKKKSPDAVYRAGTNGMGTYAQAMEGLFISEYDNVCSVFSREDW GVIFLSTCQTALAEQARCLRELNAHIKSHLNTDCYLAYEITEIISALSGKLETRTGEL KGALAAALKPVRETAKSSLAELLEETRRKVGMLQILPSDGAPIPLVSETMQRLQTMVH FLRPISSIMISIGDGGWKANAATNGRSTDAIPSLASFDIGADGKEIFSHYCLDTIETL LSGLDQKSRVLMKSRAVAGVFMANSVVIIGRMVQTSELNDLLENKLDLLEQWRKKATA SYTDICKDLSVHLFDTVHTNRTNRPTSGPVDSTSIVKGLGSKDKDKIKEKFTQFNGAF DDMVSRHKSYSMEREVRRIFGEDIRQKLQPLYERFWDRYHEIDKGKGKYVKYDKTSIA AVFASLAS FPSE_09138 MDSFMLQDEGVRDRIRQAEEFLDPNDPQVRSYRSDIILMLQKNQ RRLVVNLDHVRNHNQELAQGLLQQPFDFTLAFDQALKNIVQTIPQARPDQTAKDTIYY CAWAGSFGLNSCNPRTLSSHLLNYMVSIEGIVTRTSLIRPKVVKSVHYNEKKDMFHFR EYQDQTMTNGVTTSSVYPREDDDGNPLITEYGFCTYRDHQTISIQEMPERAPAGQLPR GVDAILDDDLVDSVKPGDRVQLVGIYRTLGNRNTNHNSALFKTMILTNNVVLLSSKSG GGVATATITDTDIRNINKVSKKKNLLELLSQSLAPSIYGHDYVKKAILLMLLGGIEKN LENGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTSDK ETGERRLEAGAMVMADRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSLNA RCSVVAAANPVFGQYDPHKDPHKNIALPDSLLSRFDLLFVVTDDIEDTRDRHVSEHVL RMHRYRQPGTEEGAPVREQGTQSLGVSASNQNESQGPTEVYQKFDAMLHSGVTITSGR GANKKPEILSIPFMKKYIQYAKTRIKPVLTQEVSDRITDIYVGLRNDEMEGNQRRTSP LTVRTLETIIRLATAHAKSRLSSRVEERDAIAAEGILRFALFKEVVEESRKKRRKTQT VDFASSSDESSSDDEDGDQANGTQASRSASRATRNSNRNQTRGTSDSREPEAPENDSQ ATPRRSGRRVQDSSQTSFASSMPSSQLPSESQLESQEGEDDLASGTAALAIDDTPIST QRLTTFRTTLGQLLNTDLFDDDTAEVDAVIDAVNSKVGRRNAFDKGEAVKALQKMNEA NQIMLTNDDQQVIKI FPSE_09139 MADLAEQHVPELQVDNEKSVLPNEDNIALDKTEDLVQGNDADAS ADAEPEPKPKTEAEPEGESKQDDAPGQLDPAVAENTTGEEETQQNVPIDFVTMGMFII DDIDFIPPTEPVKDILGGAGTYSALGARLLSPPPKSTSVGWIVDQGSDFPPSLSTLID SWSTSALFRHDGLRLTTRGWNGYEGTTEKRAFKYLTPKKRLTAEDLTPTLLQSRSFHM ICSPNRCRDLVAEITSLRKKVMPAESYTKPIFIWEPVPDLCTPDELLNCTNCLPLVDI CSPNHAELAGFMGDSGLDPETGEISTVAVERACEQLLASMPLQSFSLVVRAGEKGCYI AKNGGRKRGRDQKTTKRRKKDYVRGGLQHDTDMEALFAGLLQDADGFVAREEIEVDPG IEKWVPAYHTEPSKVVDPTGGGNTFLGGLGVALARGESIEEAVAWGAVAASFAIEQVG VPTLGRDAEGCETWNGQNVEARLKEFRERL FPSE_09140 MTAPQERNTNVKLLACLVDPDDTDDSDYRFLVDGQHVKYVSTAP GTFRGAEDDRTFEPILLGELLPSFPTGDWNNGYAVRDPETEKAVFSRTETVQLAGVKN CWHPVKLNELEFTRKERLRQRVHVSTHPKVQGGKPVLIKLAVWPWEISSIETETAAYQ WISDSGIGPKFLGHLTEGNDGRVVGFVTEWVEGARAAKSMDIDDCKKALGRLHELGIK LGDINKYNFLMRDGHDVVLVDFETAKKNCSPLELEDEMSALDGSLESKSFRDGWNASE FPSE_09141 MAVNSQMHNLTTLIKRLEAATSRLEDIASATDPPADTNVLNQAI PSPLNPSSAAPPPAPTSNAAKPEPEAEAEPLPESIEEFDAFLNTSVENYVKLSHQIGG LVAEQASLVKTGFQEQRKFLLISTKAKKPDLSGAGMPVFQDLIKPINEALMAVTELKD SNRPSPLITQLSTVSEGIMVLAWVTVDARPFKHVDECLGAAQFFGNRVLKEYKEKDPK QIEWVQSFYQVFRDLSDYVKQYFSTGIPWNPQGQSVQEVLQSLSGDSAPSPAPAPPAG GAPPPPPPPGPPPVLDIKTQEAPAAAPSGGLGAVFSELNKGDAVTKGLRKVDKSEMTH KNPSLRSGSTVSTGQRGKSPAPGKKPKPESMRIKKPSKKELEGNKWTIENFEKEAEPI EIEASLTQSVLISRCNNTTVIVRGKANQVTVENSTRLSLIVDTLVSTVDVVKAQNFAL QVMGTIPTVMLDQIDSAQIYFSKESIGTKVFTSKSAGINLNVISGEDEDYKEVPLPSQ ICSYYDETKGDLVNEIVAHAG FPSE_09142 MLASARSPAFRNSFRQFTKIRAAQTLSMAPPKRANSSSSSSSKS SIYAILGSRFGILTRSRTGYVENHSKGPMLRWQDSLPRLPVPTLEETASRYLKTLHPL LSASEYEASKKAVDEFVRPGGVGSKLQEKLVAKREDPKTKNWIYEWWNDAAYLSYRDP VVPYVSYFYSHRDDRRRRDPAKRAAAITTSVLEFKKQVDAGTLEPEYMKKLPICMDSY QWMFNTSRVAAKPADHPVKFSAEENKHIIVIRKNQFFKIQHEIDGKQLNTSELEKQFK HIYEVAQRVPAVGALTSENRDIWTDARDILLKASPKNKDALQAIESASFVVCLDDAAP VTLEERAHQYWHGDGANRWYDKPLQFIINDNGTSGFMGEHSMMDGTPTHRLNDYVNDL IFGNKLDFSDPSIRSNLPDPQLVKFEITQEVQSEIDRATKDFNDVISKHQLAVQAYQG YGKGLIKKFKCSPDAYVQMIIQLAYFKMYGKSRPTYESAATRRFQLGRTETCRTVSDD STAWVKAMADSSVDDKTRVELFRKAINAHIEYITAASDGKGVDRHLFGLKKLLEAGQE VPAIYKDPAFAYSSSWHLSTSQLSSEFFNGYGWSQVIDAGFGIAYMINENSLNFNIVS KGLGSDRMSYYLNEAANDMRELLTPTLEPPKAKL FPSE_09143 MDDSATYGSPGNGASPNSNPILAQPPLQADSGAGDNEDIQMSEG LGLDATIKQDSTTPAPARGDEEMNDAPSDETKPKEDGAAGTDGQETKTKEVVESAARE HLMTQTHAIVLPSYSTWFDMNAIHEIERKAMAEFFNNRNRSKTPAVYKDYRDFMINTY RLNPVEYLTVTACRRNLAGDVCAIMRVHAFLEQWGLINYQVDADQRPSHVGPPFTGHF KIICDTPRGLQAWQPSADPVVLEGKKSQDTDQKAAAATPAKAEQNLEIGRNIYEANAK SSLISKTEGKTNGETPTTNGVPGAEDAIKAPIAKVHCHQCGNDCTRIYYHSNHTDANP KAKYDLCPNCFTEGRLPANHNSSMYVKMENPTYTSTLDRDAPWTDAEILRLLEGLERF DDDWGEIAEHVGTRTREECVLQFLQLDIEEKYLDSEAPINAPTGLSMLGPQQGQLPFS QVDNPVMSVVGFLASLADPASTAAAANKSADELKRKLRKQLDGDKADDESQSNGDSKA KSDSMDIDLRQETTTTTTTTTTTTKTNALASIPLASIGARAAGFASHEEREMTRLVSA ASNVTLQKLELKLKYFDEMEEVLRAERRELERARQELFLDRLTFRRRVREVQEGLKNA AAVGGEQGMRLAQDAMNDGDRLSFQAAPGASAVPPPSSDGQIKSYEA FPSE_09144 MLPNAKRVLAKQATGLMARAHAYSLGAQRQRLVSTLAVLEQKDG VLNHSSLSAFAAAKKLGGTVHGFIAGTGVKSVAQEIAKAEGVEKIIAVENAAYEKGLP ETFAPLLVENIKKGGYTHVIAGHTAFGKNVMPRVAALLDSQQISDITAIESENVFVRP IYAGNAIATVESSDSVKIVTIRGTAFASVPLEGGSAAVEDGVDSKPESPTEWVSEDLA KSDRPDLSTASRVVSGGRGLKSKEDFDKIMLPLADALGAAVGASRAAVDSGYADNSLQ VGQTGKVIAPELYMAVGISGAIQHLAGMKDSKVIAAINKDADAPIFQVADVGLVGDLF EKVPELTEKVKSS FPSE_09145 MYESLPVPFGLVRHGVAPDHPEVKNCQDKFDEIASQPNFSFVGN VSIGLPGHSSEHCTIELQNLMRHYDAVLFAYGASEDKKLGIPGESTLSNIHSAREVVG WYNGLPGCSGLNIDLTQAEEAVVIGQGNVALDVARMLLEDVDVLKKTDITEKALEALS KSRIKRVHVVGRRGPMQASFTIKEVRELMNLKEVAFLPLNRSLIPENIKTLPRASKRL MEVLVKGSPTPHDAASKTWSLDSCLSPKHFLGNQNDPSKVASTEFDITELASPFDPKS SVKATGETALLPSDVVFRSVGYKSIALPGFSEIGIQFDDRRGIVDNDGLGRVTRMVSD AHAGGAHNEKVPGVYCAGWVKNGPTGVIASTMQDAFTTGDAIVHDWLSGGQFLNTSGN GNVTGWEGLRQDAGPTTCRAVGWDDWRKIDRAERERGQKIGKEREKFTRTEDMLAVLD FPSE_09146 MPPSQAPFLYSAVHSDSRFPEPKFDPKAVTRASWTPPSPRKKQN GPLVSFNTHPDMHEVLTYRTNEYASMSPRSKSFIKWLRHGQLGLRVLQLVAALGLLAL MILIDKVPTLEGWVMRITPGVVAAHCIYGIYHLSRDAAGRSPASSASYQLFSGVTDIG VAPLYAFGAMTVRTKSEVWITRLSDQALMDTFKPVLFYTFVSAGGLHLISLAIAGWLG FMFRKISLMPPDMNPLESHLTARPKHKRNKSSVMTASTFDSDHRLSSPRSARRESLVP QEGIHEGIEAPRVIPFSHTRNGSSTTVGTRDSRSRYPPSPQQQRPPTTPRRERRDSAT SRTTRTSATRSVYYDAYSEIPLDEQSTSRPSSSYNKYNQPRPAKFTETWKPTDSLISR TNQRNREMEAAKTSAANRQNKSYEALTQRYIHDDSDSEYGDENNPHYDLGVDGEDLRP HPLRLNPPSEKRERASPPRSKTPYFPFKDSLTDISSNARRVSASRDIADEKPAFTPQN RQSSIQPESAFYARSYGELTTGNSPVMVGSDSRKVSTGNDYNQHASPAYGRRNVSGKM AEEGRAAGNKFSFLDGRNPLAERR FPSE_09147 MATIKQIDGRTVHQIQSGQVIVDLCSVVKELVENSVDSGATSID VRFKNQGLDLIEVADNGSGIAPDNYPSVALKHHTSKLSSYSDIATLETFGFRGEALAS LCALSTVSITTCQQGEAPKGSKLSFEPSGKLSGTAIVAASKGTTVSVERLFHNLPVRR RELERNIKREWNKVIALLGQYACIQTKMKFSVSQQPSKGKRIQMFSTKGNPTTRENII NIFGAKTMTVLVPLELCLEMQPSTVSPALRVESDKDSTSKEVRVVGHVSRPSHGDGRQ TPDRQMFFVNGRPCGLPQFAKAFNEVYKSYNNTQTPFILADIQLDTHMYDVNVSPDKR SILLHDQNQLLDNLRTALTDLFDSQDYQVPTSQLMPFKSRTETTPSANTPFKPLHVTP KKAPDNSHSNRGSDGEASNEDTPPSRIISGAARRVGSSRSLEINASSQNLISGWVERG STKRTENRGYKVSDAEDATPSAVRGEPSLFVRSPSPSSEPDENNVKKSLPVRDFNKQL KANSVIKDSSSAVPIIPSILAEREPQIPATTPLRRGQPEITHTSPSKTSRATTIPETT VIIGDEIVEKVANSTDDEDGQSGLATDDDMEVDDPKPSFASSLTQRFAAGRRTANTEK SPFKEPGAEVPDDPIASESDGEESGSDISVSDAEKDKNIDSEVTVPRPDDQATEEPMT QDVSSDSSPSPTPGETTLMENRVRASKSSVRKKESTAQLLQTLQTSESLIKSELSLWA DGLPSDRDIQAGEDEVTDLAAANAEDKLSLAIARKDFLRMRIAGQFNMGFIIASRPAS MRSGEELEAADNDELFIIDQHATDEKYNFERLQEVQTVQSQRLVHPKRLELTALEEEI ILQNIPAIEANGFKVHVDMSGDEPVGSRCEVLALPMSREVTFSLADFEELIALLGEES SESKHIPRPSKVRKMFASRACRSSVMIGKALTHGQMETLVRHMAELDKPWNCPHGRPT MRHLCRLDSWDSKGWGDDGLQSSSVSWKAYMDGK FPSE_09148 MKRRSSGSADDGDESSLESDIPDPDPRLTTRTSPIRIRRPSSLN WKPSNDRNGRNGQARDDIQTAAGLKPTSLAAPSTPDMALARTPTQSPSPNRHTRRPRF SIVVLLVSALGIALLLGILRSLVTSQLDPKGCRMSYMRPSYVRFTEFDTEHTRFATKY SLYLYREQGIEPADKLLGIPVLFIPGNAGSYKQVRPIAAEAANYFHNSLRDDHGALDA GIRSLDFFTVDFNEDITAFHGQTLLDQAEYLNEAVRYILSLYSDPQRATREDNLPDPT SVIVLGHSMGGVVARAMLVQPNYQTNSINTIITMSAPHARPPVTFDGQIVQIYDEIND YWRQAYSQKWANNNPLWHVTLVSIAGGNLDTIVPSDYASLESLVPPTHGFTVFSTGIP TVWTSMDHQAILWCDQFRKVITKTLYDIVDVHRGSQTKPRAERMKTFKKRFLTGMEPT MEKDLPLKEATTLLTLSDDSDSILPAGQRLVLSQTGKQSKPQAHLLPIPPQVSPEARR FTVLTDAPLDDAGENGKLEVLFCSVYPFQSGQATALYPFQIDLSGDGSGSTRLACKNA ASDRILLPASTSSSKYPFYLDREREIIPFSYLQYDLGQLSDHQFVAIVEKSAPNSPSF LIAEFSDKSAYSKQENPGLMKLLVSGISMELPPGRPMSVEVNIPSLQSALLAYKLDIA YPQCEADKALFSPLVRQYLDQPYESKYFVNAQSADISLHGVAPYLPPVLGSGAEKGLT FQFWTDPSCDSNLIINIKPDFWGSLGKLYMRYRTVFAAFPMLTVALVLRKQFRVYDNS GVFISFSQSLDLCLRQSIPLLLLSLTLLSMSLEGVPVTWLGRFFNQAHTDPLSVDFHR HDILIGTDDPFFWFLVPLIGIVCIGVCAVVHYMTKALTHVLGFIYWAVTSFSLLGSTD DERLISSSALVPWTNKRRMITIAVLLFLVWTFIPYQFAYLVACLVQLFTVVRALRINA TAPSDTNSNYYHYAHSVLLLMLWILPINLPILAVWIRNLAVHWLTPFSSHHNVLSITP FILLVGNLTTGKMVPRVGRVLGYVTSLLLFATALYAAMYGISHAYMLHHLVNIIAAWL VVLHSTDDHFLSGLSAMLHDSSTSDDATKTKASM FPSE_09149 MHQDQQNRLFQARIVASIAATVMSLACGTNYVYSAWAPQFAERL KLSSTESNLIGLCGNLGMYTLGMPIGAFIDSRGPRPAVLAGAVLMLLGYFPLHQAYHR GSGSVILMCVFSYMTGLGSCMAFAASVKTSALNWPKTRGTATAFPLAAFGLSAFFFSF VGAVFFPGNPSAFLELLAWGTSGLTFGSFFFLKVYHDQPDYEAVPASDDTQSDPSSSS SQQFRPGSSEEPKPFRSSRVDNEPGMLTNSSSDSTCASRNSYTPAVASSSAAAPDSTG SLGTPLAPEFGLVVAPENPEDLEVGERSPLISRPSSRTDQPLLGNNYINSDGSRPLDI RGLALLRSASFWHLFIIMGILAGVGLMTIKYDWPLTYLAFGEHSADDVSSNIGNDAKA LWKHYDKNVTDDTLVHRQQMHVSALSICSFLGRLSSGVGSDFLVNKLHASRLWCLVAA CIIFIFAQVCALNVENPHWLGLVSGPSGLAYGFLFGVSPSLVAETFGVGGLSQNWGFI TMAPVFSSNIFNIFYGKIYDAHSVVGKDGELSCLDGLQCYRSAYWVTLLSCCAGTGIT LWAIRHRHAKHQRAMNKGHEED FPSE_09150 MGALLSLPLLAVPSVGTIVSFAASCCGAATCSMVCSACGKCGNS VATRIAYALLLLVNSIIAWIMLTPWAIKKLQKLTLDYVTINCPTGQCHGWFAVHRINF ALGLFHLVLAGLLFGVATSKNPRASIQNGYWGPKIIAWLAFVVLSFLIPDEFFLFWGN YIAFAAAMLFLFLGLILLVDLAHNWAEYCLAQIEDTDSRVWRFILIGSTLGMYIGSLA MTIVQYIFFARHSCSMNQAVITINLILWLGISVISVNPTVQEFNPKAGLAQAAMVAVY CTYLTMSAVSMEPDSKCNPLIMGQGTRTTSIVIGAIVTLLTIAYTTTRAATQSLGLGN SNGIQLPDDDEHGLVTQQPSARREMRAEALRRAVEEGSLPADALLSDDESEAGDTPVG DDERNRTQYSYTVFHIIFFLATAWVATLLTMNFDESTKDGDFATVGRTYAASWVKIVS AWVCYGMYTWTLVAPVVLPDRFDFS FPSE_09151 MASPSPTESGKSANPLTRLDSEGHDLPPSPAPSSPRTGRKRYAL ATELVYTDSKDQYGASSVPIYQSATFKQTSASGGQQEYDYTRSGNPTRTHLERHIAKI MNAQRALAISSGMGALDVITRLLRPGDEVITGDDLYGGSHRLLTYLAANQGIVVHHVD TTTVDSVRARLSEKTAMVLLETPTNPLIKVVDIPSIARLAHDVNPKALVVVDNTMLSP MLFNPLDVGCDIVYESGTKYLSGHHDIMAGVIAVNDSQIGDKLFFVINSTGCGLSPND SFLLMRGVKTLAIRMEKQQANAQAIAEFLESRGFRVRYPGLKSHPQYDLHWSMARGAG AVLSFETGDPSVSERIVEAARLWAISVSFGCVNSLISMPCQMSHASIDAKTRAERQMP EDIIRLCVGIEDPTDLIEDLSRALVQAGAVTVTMDGFHATGAAKELGETPLVFQ FPSE_09152 MPSESTPWLRWVLGMGERRKLGDVAMDILLFAGMMTAGLYVARN FLNPILSNIADPDKEKHEQARRQAKAHLERMNRNKRDGLDYGDDSSDSRRGPRPEELV LNEYENLVALEMVPPEDISVGFDDIGGLDTIIEELKESIIYPLTMPHLYSHAAPLLSA PSGVLLYGPPGCGKTMLAKAVAHESGASFINLHISTLTEKWYGDSNKIVRAVFSLARK MQPAIIFIDEIDAVLGTRRSGEHEASGMVKAEFMTLWDGLTSSNSSGMPARIMVLGAT NRINDIDEAILRRMPKKFPVTLPGTEQRRRILQLVLQDTKTDPEHFNLDYVSRITAGL SGSDIKEACRDAAMVPVREYMRQHRESGKAMSTVDPKQFRGIRSDDFLGREGGQIKMQ STRQTSGVEELIAEDQD FPSE_09153 MPPRARAKPVAEPAASDSEPDLDMFDKSDLQAAARTMSTTKKPR GRPPGTASKITKPAQRATRRAGKEKLPAVPEAVAQPDTAGKATGSGTNAMRKAQQMAP DETVTFEDIDGPSSAPATDVKKGTRGRPKKSNGDTSVLVPESAVKRRGRPPRQPATPI AEVPETQQEDPMELDPVLEESEDDNASAEPDVETIVPWSSYDTTDDSTRRRLEDLTKK YAALESSHRDLREVGVREAERNFERLKKQAEERTAAATKLIAELKAELAAQTTLANES EELRKQLQANKTEKESLGNTIKTLNGSLSEAKSEIKSLSTKLAAARSNETNSRVPGSA IKTTGLMNRTAQAGAAHAHAAQVTAQAKERLYADLTDLILRGVKQDEAEDIFDCIQTG RNGTLHFKLAVENDASDNYEDVSFTYQPQLNPGRDQELIDVLPGYLTEEITFPRSQAP KFYSRVNKSLTEDLTRQ FPSE_09154 MAGSSRIGFMAIAVAFHLVYILSIFDIYFVSPIVTGMKLFGVER PHESPKAPADRLVLFVGDGLRADKAFQAHPEPYPESDQDLVPRHLAPYLRSRVLEHGT FGVSHTRVPTESRPGHVALIAGLYEDVSAVATGWKMNPVNFDSVFNRSRHTWSWGSPD ILPMFQHGAVPGRVDAFAYGAELEDFSKDATELDYWVFDHVKDFFAAAATNETLNTAL REDKVVFFLHLLGLDTTGHSYRPYSKEYLHNIKVVDQGVKEIVELIESFYGDDRTAFV FTADHGMSDWGSHGDGHPNNTRTPLISWGSGVAAPELHPNSIAPGHDELSSDWNLDHV RRHDVAQADVAALMSYLIGTEFPANGVGQLPLNFLSASIKEKAEASLANAQVILEQYR VKEENKRNVELRYQPYGQLSDDNLDPESRIAYIRSLVEAGSFEEAIEESDALMAIGLQ GLRYLQTYDWLFLRALITIGYLGWMAYATTTVLSLYVVKESMSPQRTLLGSAFFLSVL VALYSSFIISKSPPAYYLYAFFPVLFWEEVYARRANVAKGFQALFGHVKSGGAVVALV FNVVLYLGVIQSLALAYIHREILTGLFVLGSFWPMTQGISFLRSHLFLSMLWFFSCLA MSTFTLLPAMKVEDIPLIMAGGGLMTFVGLAYLVLEDFILSDVTSSKTKSKRLHTSRT LLGIQIGLIILAMLVTHSSATSLQAKLGLPKGNQIVGWFVLVTSLLMPLAYRLQPNSH YMHRLAIIFLTCAPTFVILTISYEGLFYVAFSITLLAWVRLEYAVDAFTQEKAKKQTT VAGSQQHTPGTFRPLSLSDARIALFFMVLLQSAFFSTGNIASISSFSLESVSRLIPVF DPFSQGALLILKIIIPFFLISANLGVLNKRLGVAPSAIFMVVLTASDVLTLYFFWVVK DEGSWLEIGSTITHFAIASFLCVFVAALEFVSAAFIAGIEVEDTKSAALTSASTKPDE KVPLVAGAE FPSE_09155 MTNYWSIIVNANTVVSVLPFTCPGHTGDTDKPPGHRNLGTYLIP TYLPIYVTFFPTLLAFNSCRPPPPRYYRPRRFSIALASVSIYCRLPGAMDVDMSSGSG TPQVQPQAQQQQGESQISSTPGPPGSITSTSTSAGGTCHARKVRCDAASLGVPCTNCV AFQIECRIPNPKRKKTQGSGSQTNKDSDSDRGDGTEDPSPRPVAPPSTTSLTPRAPSV YHSNSGTPPTAWTEAQARKEEVDNGTYLDIVMKPKFTRAPITEAGRVAYLGESSNLTL LVHDRQGSADVVHYPLPENVRGSRARLTELDNIEIDILHQRGAFLLPPRTLCDELIDA YFKWVHPIVPVINRTRFMRQYRDPKNPPSLLLLQSVLLAGTRACNNHQLMDANGSTTP AALTFYKRAKALYDANYEDDRVTIVQSLLLMGWYWEGPEDVTKNVFYWSRVATIVAQG SGMHRSVEQSQLSRADKRLWKRIWWSLFTRDRSVAVALGRPVHINLDDADVEMLTEDD FIEDEADRASEYPPDPIHVQFFLEYVKLCEIMGLVLSQQYSVASKGLKRNAIDLTHSD MALADWLQNCPKIVYWEMPRHHFWSALLHSNYYTTLCLLHRAHMPPGGSSRLPDPSPY PSRNIAFQAAAMITSIVENLAAHDQLRYCPAFVVYSLFSALIMHVYQMKSPVPSIQQV TQDRLRSCMSAMKEISRVWLVGKMVYALFESIMGNKTLEERLQRAEGKRHRNLRHSLT QLEQQQNRQAEATKRKYDDMAIDFGTNTPQPQESYERSRPQTPSAVKTEPTSSMQPPP VTSPNTRQSTADTFMGGTNSRPQTRPATPFNPSFSVPPTPPDLYLVTRNSPNLSQSLW ENFQPDQLFPDSASMPAFPNMSSPTQTHSNLDHNNIGSMPPGNGQSGIHNPQAGQYQN RGNGMMPQGFQGHANMWQPNLDPSLPEGQSPDSWSTASGPGQAVPTTLNVEDWFQFFG INGTDPNFNFDVPLG FPSE_09156 MTDRSARPSPHRSSSALAPANYSSDTASVRPRNRRLASTLGDDS DTATSREASRSRRGRNLEVHDTTASPSPARSYGLLSALSPTNSRSTSPLPSDRGGATP QRTNSAANLSNFFNDSLTQSWASIQGFTSSLISGDDGAARRSQSHNRAGSRPGTWGRE FSGNGSSKKTGGAWGPAPPREPNLNDVGAGSREERETALKAAKRAMVLESHDGVNGGL DVSGKHKRRNSDESVQEQPQPEEYMVYIHKVEPNDTYAGLILKYQCREDAFRKANGLW TRDSVQVRKRLMIPVDACDIRGRPCDPPSWHNAHGVDLLAPTPSAEDNASTVYDDFFS RPTNGTPSATQPTEEENPWTHVRWVKIDSFPQPIEIARVAQNAMGYFPPRRKKSIRTI STLSTPRQSLDLYSNPPGSAGNSSRRRSSLGHRPQLSNTVMSSPVRGGGTISEAGESL PAWMRRPGGVGSMGMSTRAPGPDKDYLTTWTKKHLPGLTLEGIPSMSIMGSETAHFGF KSGESSSIVENPTGEGQDASSTQQGNGLDKAAAAVETWLRGALARKPSSQLDRIRGLP EGRPERNGDLIELTDTGSDDGGPSTAAPSGLMESLQITSSGRSEPEGGVRGRFAVKGG KDD FPSE_09157 MSKSKFKTLELVLKMPICIECRHPVKTLWTAYSGAGDKASGHNI RLTVCRNCGCFCDKYVEHDFVVLFIDLVLIKPQVYRHLLYNTLMRDDDRLDPSIIRLG ILLLLFDVYLTWARLEKQTVPDALPGASNLGKLAQQPIVLQYLFFLIFCALSTAAFHV SIRFLTSSAFSPLNLLGILPQYTRPNSVSTALLVSSSTKLFPILLVIWDYDVPASARS LGWAVVANNVEALRILLDCGYITACFLAIAGAASRWIVGRGVLLAAGLADVDSIGESG VAADGKALWALLMYAGEWAGRLAVG FPSE_09158 MAQGYAVFIGLAVIAVLCVASWFFAPKGENQVLWRSSAILAIVS CYLMWAITFLAQLHPLIAPRKGGIREEYLDQ FPSE_09159 MAPTLLWIGLGNMGRGMSKNIVEKGNLDGPLLLYNRSTKRTTDF SATLPNGKTEVIESLADGVARADIIFSCIANDEAVQETYKTILESDVKGKLFIESSTI HPETTEAIAKDVIAKDAEFVAAPVFGAPEMAEAGQLVGVLAGPAASVTKAKAWFQGVT SKGVIDLSDKPYSKALTLKVLGNTFILNMAEQLAEAHVAAEKTGLGTEAIHQFVQSVF GGPYAAYSKRMLSGDYYKHDEPLFAVELARKDARHAMSLAKSAGVQLRNVEAADAHLA MAFDHVGPSADMAGIYGAVRKESGLEYENNA FPSE_09160 MSWPRILKQAPRCSRCAPATEPHLASSLHDITNPFRSRFRGFAS QHGSKSSSAACLGKGATRRLSAASTALAKKKAIDRDFIVSVLEVSATKRDAKGYLQKY TSKNPKSLADAPLFVQGELQQEAVPEAPKPPHVAIMKLRAPQEIDAETLDGVANTLSQ LRKLGLLSVVVIDCGMDESRTTFRDQTFRLCEAIDRFGEHGARAVDNAFVYSEPSAQE GVSQESSHIDVRVEDPGFLDRILHHRMMPVIPSVVTRDVTRPPQPVDSNQIVLALTRY FAGLQFNTVGDHSEIDTAPSKPIALVERIILLDPLGATPMTGRPGAAHRFINLEQEYD PILKELMGPDGSPLGDDKDLCASITAHAANLALAKDALAMLPHTSSALITTPDAAANL TDIPLKSSELTGSDSGFEMGGMVTTRRKKNPLLHNLLTDKPVFSSSLPMARAPTNSGK GQTVGASSGSTLLKKGMPLRLFPHPRVTPWRPPQPGAPRLRLTDKCIDLPRLVHLIED SFGRKLDVDDYLNRVNENLAGVIIAGDYEGGAILTWEKPQHLDDQTAYEQGRYVPYLD KFAVLRKSQGSGGCADIVFNAMVRGCLPEGVSWRSRKDNPVNKWYFERSLGTKKLSDC NWTMFWTTPKLHIESPVLQDYESVCRGVEPSWADNKHILD FPSE_09161 MRPTARVFARYLEAGTPTGLTGLWTHATPRSTLLYLYGTTLNRL QKVPENSMYRQSVEAVTKHRMSLVEQMVPPGYNEWAARAKELVSKNSSQFRVSSGRVD GSEAHTVKLGDKVFVVGRKHEAGDVRIEEWDGEEDEGSEYEGIRTLKEREDQATWAER KPLEDHEKVEWEDEPQMTAEQVHDLEQKIGAGLIEEVIQVAEGELKLVETMEKTKVWE DLDEKPVPGQWTYFDRT FPSE_09162 MASLLDPPFFSQQSQSNKRKRPETTDEEFPSSLPTGSINPLSHS PGVVAQFAIAGLSETDEDPSQRIRDFPHRGLAENGASAVEVESDEDPETEGDEAARPK SKKNTSRKRGGHFDVLLQSTHHFIDQGEIEKAARTYGLLLQLRPSGLPVDVRHHDIWA IGAEILMREGEGSAREREEHETAPRPTKRWGRAANMSKVRAYFDTLIQQHPYDYKTPN IVSAFDFWIALFSCEIYNTHSEHTLALERLNSGEEDHRRESFGHDDSFGSDDTESSES RLVQKKEELRLQALSAMQDITKRMDVLIQDMPYSRNQQYLRLRAMASLYIADLVVPVG SVSMLQTQQGQQARLMEQDRARKSLMRIVTYGGELDNAALRVLNPSDDSEGDTSLSLY ASLPIREL FPSE_09163 MAITDDNAFELPRLRSSFTLENDTEFLENDNCAEFFDVKFCPYQ PLDAPPVFAAISKKHVVICTLSQTTDSNPCEVLSVIRDDDDEASACCCTWTKDPETGA PYLCIGGVDAKVKIYDVVNGKLYRCLTGHGGDVNDLATSPANSSIIASASGDTSIRIW SLDPVHANRPCLVILAGEGHSWDLLSAFHDTGRYLLSAAHDQIINLWTLPDLPTEAIQ TPARVHYPHFSTSAVHSGIIDCVAFYGDCILSRACHDNVISLWRIEGFSSANPPPAES EAPTAQTTVPTNYEEASRLTRSAFVPTISPQCPSQYTMLLQFYTPNCGPQFFMRFKLH FVPDQHPVLAFCNAAGNVFFWDFERLVAYREFMEALKDPGRDKSKQLPHPSWMRLVKT RPKTDSKGRQGGGDKDVPSTFRADAIRLSEEIGDYNVETLETWASRYSQDDPHEPLKA HKTESSSANFVGRQTAWSPGGEWCVVVGSSNQALILQRWANKGSTSRASASASASASV PPSVSAQNSAV FPSE_09164 MEHTFLTIHNLHPDANILYASDSIFEILGYSPQEVLGRSAFEYF HPEEIPYARSVHSRGVLLDKAAVLHYARLRSRDGRWVSSECVFSIVHDILFACISIYR CDAKSERRALAAPQVRRLFSRSPRDPRYHMLEHLSPKFRLPPVEREPRAALILNRFTR TLTVMFATDAIASILGIPASQVQHKSFYECIRESCLDDAVRCLESAKANDSIAYLRFW SRDPRRPEDFEDEESVADGESTIDAENGDVAAGSEEPSHYSRNNHTSSLNPDQTRSGE GLMAPNRQQRADSRRSSDSEGGGVKLDGAMDLDSRSNSTSNQRSGPSTKVEPDMEMQD GVFSAGETSGESRTTSSNALGSTSRSSQAGGYQTPPTPPSPQPVGGNTPRASRERSRP APQLAPSVELEAVVSCTSDGLVVILRRARPQIPDAHNPQVPSTNDRGVFAAPWAQQPF HAQYSHETFHNFQAPLAPQHMPLRSGIQATGGPPMDQLMQSIRDVAVFAWALCGINGN IASYSHGQPSGEAQPPDGLPIWDPTGEESSYEGPYNQAAQRWAREAQRQQPSLHPQDS VSTDFSMEDTADYSSYGAGYQNHTSNGYGNPWLSQPSAYQQNQNAFAHNGRQDHGGQT GYNNDPSYQHQDGQHWSGNSRQPATSAEETRDHTYRWY FPSE_09165 MSASSARGHYANPPLEDEDDLIDPDDADLNDFDDPLATNNPRQP LTGNIGSSSSSRPLNEGYLTSRIPGEDRAAPLNTIDESVWDTLRRDLLAVWAKLREVL YPRYLLGGTMFDSEGGIRGAYSSIRGAGLSGTREELTGLASRMVDAEALLQSNMTPGL RDWDLWGPLIFCLLLSVLLSFTARSEQRDAVFSGVFAMIWLGEAVVTLQIKLLGGNIS FAQSVCIIGYTLFPLVLAAMMSALGLHWIARIPIYIVLIGWSLAAGVSILGGSGVVKN RVFLAVYPLLVFYLGLGCLCFIS FPSE_09166 MADDAPDASPKNEPLNVETKEDAETRAARRELKQSSISDPPTSG PEDAANTSDAPDKDMKEQITSPKKKRAHDQLDGSKEEEENDTNSVASSDSAKDRSLRL EPEKKRHRDEDTDLQSRAASSEEATKTSQTGNSSTKKSQPQTSASAFAASGFGKLSSG SSPFASLGASQGSSPFASAATGKPSISSFASPPASTAAQPAAAPKLTFGSSGGASPFA GLSTGSNGSPFGGSTFGSAFGAGKPLSSFAAPGAEAPKSEKPSKPFGAPDSDVEEEDD EDNEAEETEQPAETERAASPEKESDEKKKLKLQKIEVNDGEAGEATVVSVRAKMFYHD KEAGWKERGAGMLKINVPQACVEYDDSGAVIPGSFDASALEVDEEAAGGSQGHKVARL IMRQDQTHRVILNTALVAAMKFQEKASLKSVGILFTAFEGEQSKPVSITMRMSAANAK IFMNEIGSIQKELQSS FPSE_09167 MTIHPEPSRPGSNESGTATKQLLSSQQGKRKRDIQDDPVVGSPT SSQSSNSKTRKGGKQGKGRPSLNKEASSVVIPCVVEWPEEFKQIERTHRALNLVYTFC TTRKHLATTFDTIKSSVEGHIKRELRIDEIASMVALRPEGLYFAYVDETMLQLDVKGT EKDELFRTGKSYRSQAPAHDASVGGYTGLESLDKSHNRDLEPMGQEVLFLEFIDGDLR RQVQGKGGEPVKPNRKLRDEQLKMPVFSQKQMTNLIERRNQKFTNAVNIFLNKCIEDG LDPLETLKEQTKSCIPVPSAKEEFAPEKAAETIPESIPKERKTIPEIVQELKESPWYT SQIVPDGHRVFEQQDPVYGDLNFLLSQNLVNALYNAKGITQFFAHQSEALNSLQDGKH VVVSTSTSSGKSLIYQLPVIRALEEDFNSRAIYIFPTKALAQDQKRSLKDMVRYMPGL EETMIETFDGDTPMTERNDIREQARVIFTNPDMLHITILPQEERWRSFLKNLKYVVVD ELHYYNGQMGSHMSFIMRRLRRICTAVGNRRVKFISCSATVANPEQHFRTIFGIENVQ LIDYDGSPSGRKEFLCWNTPYKDPGDPASGRGSTKFECARLFCALMLRGVRIIAFCRV RAQCELLVATIRQELENLGRPECTNLVMGYRGGYTAQDRRRIETEMFQGRLLGIVATT ALELGIDIGSLDCVMTWGFPYTIANLRQQSGRAGRRNKDSLSILVGDGFATDQHYMQN PDDLFTKPNCELQVDLENMLVREGHIQCAAYEMPIRPREDAKYFGKDLPKICVERLIR DDMGFFHCHDRFRPIPAKYVAIRDTEDDHFAIVDITNGRNIVLEELEASRATFTLYDG AIFLHQGNPYLVRDFQPDKGMARVERVKVEWTTVQRDYTDIDPTETEAIRTISDSRSH AYYGTIKIQQNVFGFWKVDKKNRVLDAVQVDNPPVIRFSKGMWLDVPKKAMSILQERR LHIAAAIHAAEHAIMSLLPAFVISMPGDVRTECKTAVKEFAKQESQRKRPARLTFYDA KGGAGGSGISTKAFDHVDQLLRDALKRVENCRCERGCVECVASEQCKQANEVMSKAGS QVILKTLLNVEVDMDSLPMGPELNIPMGTETVVLAEPVPYRAKEAVFENGINNRAAVF EESKEGAKPGDSGTTDGGGGFEQWLADSI FPSE_09168 MSPRDRHERSSSRSHRPRHRSHNSPDMRQASSDDRTLPVPNTYS EHKRGEKGGRGKMSKYIKPAGESGRSGFHPIHFSKISFRSTSRASLLCNFLWPFVPAA IAVRYAMPDNHVTIFALAYIAMIPCANLIGFAGQELSRKLPHVWGVLIEITIGSIVEI ILFMVLLSKNMFYVIKAAILGSILATMLLCLGFCFFVGGMLEDEQVFSEAISEAGSGL LLTAGVVLALPTVFEYGVGNGETLTNQDLEHKTLQISRIVSILLIIAYLVYVFFQART HHGIYDAVFEQDEHNDRDKHKDQAKAKLTLTECIIALVVAVGLVAWTAVILVMQIEFV IERGHVSDAFMGLILVPLVEKLAEHLTAIDEAWDNQINLAMSHVLGATLQTALFNAPL TVIVSWGLHKTLDLNFAVFDLVMLILAILTVGRFLQDQKSNYLEGFLLIILYVAIAIA AWYYPDPANHGAGGGSAEGSSEGGH FPSE_09169 MEASTTTATIIPQQAHSLPPSPPSTAKPSRIGSFHDLQDIPSVD QSCLDNINPYPLPPRCSPKSVLKEDFKTPDSFVERDSRLIRLTGVHPFNVEAPLSDLY DEGFLTSENLHYVRNHGHVPRCEDDEILDWEFEIDGLVETPIKMTVRDLINEYQQLTY PVTFVCAGNRRKEQNIVRKTKGFSWGAAGLSTALWTGVAIGDLLAAAKPKRGARYVCF EGADKLPNGYYGTSIKLNWCMDPNRGVMVAHRMNGKTLHPDHGKPVRLVIPGQIGGRS VKWLKKITVTQEPSDNWYHIYDNRVLPTMVSPEESADLPEVWKDEKYAIYDLSTNSAI CYPAHQESVTLTNVSESYKVRGYAYGGGGRRITRAEITLDKGKSWRLANIRYHEDDYR NAPEGDTLYGGRVDMWWRETSFCWCLWDLEIPLDELRNADDIMMRAMDESMNIQPRDM YWSVLGMMNNPWFRIVIHKDDNALRFEHPTHPTLKNKGWMERVKEDGGNLTNGYWGEK APGEIKEVVVKEPEKQICLTNPHVNREITIEELKAHEGEDEPWFVINGEVYNGTPYLS GHPGGAASIFGAAGQDATEEFMAIHSENAKAMLPAYHIGTLNKESRAILSGDATATSD DSNREIFLQSKTWSKALLCKKTSISPDTKIFSFKLNHEGQKIGLPTGQHLMMRLRDPA TREAIIRSYTPYSDGSDCGQLDILIKIYYDTPQRKGGAMTQALDSLPIGHWVDFKGPV GKFVYHGNGRCIISDRERRVRRFIMVCGGSGITPIRQVLRAVMQDPNDKTPCVVFNGN RSLQDILCKEELDNLEAANPSKCRIINALTDPPPNWNGIKGFVNQALVPEQMALPKPS GEGDELVLVCGPPPMVKATEATFMGLGFKSDDLVFF FPSE_09170 MDRARKRELRTLNEKAWDGESDVFPVGKTLDSSLKKNTAFIKRL RTAVTAATLSTFLQEIRTLSLHKYLSEIISACYEGLCRLKSPGEIEAGVEIVSALHQR FGPSEFTEYLAWLLGKGMATPDKGILKSLAPEVREKEEKERITRQRALLRVVTELWLV GVIRTLDDVSKPDDATKGAAGKTTELKTRTSKASGAEPFPLEVLKDLLGYDREHANLP LLVIFVKSFSWDILGVKPTGADGRKTVEEDGSTEGHEAERGDDGENGTSSDEDQPFAE PEMRDRFRSILKKYFDDVKGHIIRDQKSIQSQARRNAEAYVKSGEVFEDRQANYEKQV KAQERLVSNAQVVADAIGVEMPDLKDSDDSLAASNGSIGLVKTGDYLRSMGDGAGIWE DDDERRFYENLVDLKGKVPAILLEDGKKKKTDTDEQVGKKLDPAEVIETTKTAETADD QSTSIANRTIGAQVDALLARLPELTNKDIVDQTAIDFCFLNSKASRNRLVKALTEVPK GRSDLLPSWSRLVATLGRYMPDVPKGLVDYLDAEFRSLQRRKEKDFLGQVRLSNIRYL AELTKFGIVPEHVVFHCLKVSLDDFSRMNIEILCNLIENCGRYLLRNPETAPRMMSFL ETLQRKKSVQHIGQPERMLIDNAVYYVDPPERSAIEQKERTPMELFVRKLIYVDMTKR NYSKILKQIRRLHWEEAEVVAILEKVFSKPGKVKYGSVHLLGILLSALYRYHPAFVVR VIDNVIESMTFGLEQNDYRFYQRRIAEVKYLGELYNYRMLEHPVIFDTMYKIMTFGYG GPPVPGKYSPLDPPDDFFRIRLVSTMLETCGMFFNRGAAGKKLDYFLSFFQYYIFTKA SLPMDIEFLVHDTFALTRPQWKIASGLEEAIKAFQLAVAQDQKSAGVDKGVDVDDATS DALSDDDNDEDGDDNDDSASEEDEAEDMEEDSDSDSAFDEEAIVVTRQEEEVDPEDEA DFEREYAKMMAESLESRKFERKQLFDVPLPVRSKTREATSTEGGEGEPPPSHTMAFSL LTKRGNRQQTRTVELPSNSTFAVAMKNQQQAEREEQQRIKNLVLNYDLQQNEDPDGGT DPQLPQLYRNINIHNRNQATNDRRRIITTV FPSE_09171 MAVLDDWPPENIIYTSIMSAVLLMACLEWFLWLAAFLYCLVKVF QKSEHWSINVLCIIVGTAFVLLRVIFLPIMVVTLPLPDAIAKLWPAEMVSFLQWFAFW AFAILLTVPWLFCIYQVVTNQLGRTKRMKQVLDDVTAPKVVIVMPCYREEPEVLIKAI NSVVDCDYPPSCIHVFLSFDGEEEDDLYLNTISQLGVLLKTESHPRSIDVKYRSARVT ISRFPHGGKRHCQKVTFKLIDRVYEEYLKRNDNLFILFIDSDCILDKVCLQNFVYDME LSPGNTGEMLAMTGVITSTTKKHSIITLLQDMEYIHGQLFERTVESGCGSVTCLPGAL TMLRFSAFRRMAKYYFVDKAEQCEDLFDFAKCHLGEDRWLTHLFMIGAKKRHQIQMCT SAFCKTEAVQSTRSLVKQRRRWFLGFITNEVCMLTDWRLWKRYPILILVRFMQNTIRT TALLFFVMVLAIMTTVKKVDDLPVGFIAISLGLNWMLMLYFGAKLRRFKIWLYPLMFI LNPFYNWYYMVYGIFTAGQRTWGGPRADAAAADSHTTAREAAEQAEEQGDELNVVPET FKAAHEARRAASNRESTTTSELGRTKSVIRPPGKIDGKFSARQKTASGTYAHPDEIDI SANDVEKGRRATRGFFADRDSLDSIPSVQNIDLGVSTPRRMKLLMNEEDLRKYQLGQQ IQNRNSGIDDAEGIHRQPIRNPPTFPHAHSASANDIPLRDLGANDTAAQGSRSEDIQR LSEGRGIGTRADNGRSRNAGSSSFTSRMAKRTPKTSR FPSE_09172 MSVVGIDFGTLKTVIAIARNRGVDVVTNEVSNRATPSLVGFGPK SRYLGEAAKTQEISNLKNTVSSLKRLAGRSFNDPDIQVEQQYVTAPLVDVNGQVGAEV NYLGKKEHFTATQLVGMYLSKIKQTAGAELKLPVQDVCMSVPPWFTDVQRRALIDAAE IAGLRVLRLINDGTAAALGWGITKLDLPAPEEPARRVCFIDIGHSSYTVSIVEFKKGE LAVKATTWDKDFGGRDFDRALVEHLAKEFKGKYKVDIMTHGRALARTIAAAEKTKKIL SANQQAPVNIESLMNDIDASAMITRQEFEAMIEPLLQRTHHPLEEALAQAKLTKEDID IIEVVGGGSRVPALKERIQSFFGKTLSFTLNADEALARGSAFSCAILSPVFRVRDFAV QDIISYPIEFGWEKAPDIPDEDTSLTVFNKGNVMPSTKILTFYRKQPFDLEARYTQPE LLPGKTNPWIGRFSVKNVKADGKDDFMICKLKARVNIHGVLNVETGYYVEEEEVEEEV NEDPDVSLPAPPMAASSPPDSVSTSSSATVGDDSCAYPVKRQRLNNDEDDKLFCSAAV VDEDLETSIYENRSLTYTSHKAMETDKDAPKKTRKVKKQVRKGDLPISTGSASLDDST KASLLEKESAMVMEDKLVADTEEKKNELEAYIYDLRAKLDEQYSEFASDEEKETIKAK LEATEDWLYEDGEDTTKGVYVAKIDEIRAMAGPIVQRHFEKVEAERQAALEKAEAERA AKKAEEDARKAQDAEKATADQEMKDADVQDAEGTADPQ FPSE_09173 MPPKKKGNKKNQQDDWEAELGETIAPPAAANGADGDANDEDDEG GAGGLMATLRKNKEKRKKKGIVEPEPTPEEPAVEEPPAQAPVEANIDDEFALPEKKGK GGKQNKQPAKKAEPAAADDAEGGRILTKAEKEKLKKEREKQRKKEQAAAKKKGGAAPK DEPAKPAVAEKKEEAAPAEAAPEPEAAGGKKKKLPAHLAKLQKQQEDLKRQREEAEKA SAEAKAQAEEEDRLAAEEEKKREEARALKKQKEKERIEQLKKEGKYLTKAQKEEKARN ELKLQQMLAAGIQVGPAEGGEKKGKPADTRRKKGRGQQKIDEEKALAEAAERAQKEAE KALKEAEEKAAREKAEAEAKAAAEKKAEESDIDDDWEAAAASDGDVKDSWDADSDEDG AGKEKENGKTQDSAEDESEEESSDDEAVTAAKAAEAQRKREAAERREKAHQAALAARS KDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQIGATYFPSEAIKQKTA VVNQDGKFELKVPGLLVIDTPGHESFSNLRSRGSSLCNIAILVVDIMHGLEPQTLESM RMLRDRKTPFIVALNKIDRLYGWKQVANNGFQDSLALQSKAVRNEFETRLEKTKVAFA EQGFNSELFYENKSMSKYVSLVPTSAHTGEGVPDMLKLICELTQERMVGSLMYLSEVQ ATVLEVKAIEGFGMTIDVVLSNGILREGDRIVLCGTEGAIKTNIRALLTPAPMKELRL KSAYVHNKEVKAALGVKISAPGLEGAIAGSRLMVVGPDDDEDDIEDEVESDLAVLFNR VEKSGRGVSVQASTLGSLEALLDFLKDCKIPVANVGIGPVYKRDVMQCGVMLEKAPDY AIMLCFDVKVDKEAQQYAEDQGIKIFTADIIYHLFDSFTKHMDAQIEKKKEESKMLAV FPCVLKPVKVFNKNDPIVIGVDVTEGQLRINCPVAAVRNNPTTGVKEVVKLGRVTSIE REHKQIPVCKRKEPSVAIKIEMGGNQPMYGRHLEEEETLYSLISRASIDTLKEFYRKE VTNEEWQLIIKLKPLFDI FPSE_09174 MAFQSSVLRDGEWVTQTVSFQDALKASSSVPKTASDQHTEKPAC GILSRTIIESPVVHWVLPVLLRSHSNNDLAFIGDRFVQISELREDGQVHEVIRKADFG TRIRNAAVLGAVPGAGTKGARPGSIKTEDTDVAMQDDSIMYEADGHHTLPPQLLVLML ETGDAVFLFIRQRLDGTLEFVTTKHESPRNLQFLGYHLAIDPSFRYLAAGSAQGAFII YELESLTTMNSQFRHHGSFKPVKTIRVRTTHGVIHKMEFLHPRPEDDYHIILLLIVIQ REVRKQAHVSRMVVYDWELGDELSTVLRSEKGTLLPREHRMPLMIIPLKLNTAFFAVS EHCIGIVKNAFTGQTLFDTIETHSPGQTDLHHGASEPLWTAWARPFRLKPWQDKMDTI YLAREDGVITQIEIDATALVPSVLNVGTINTNITTAFTAAYDVFSDVLVIGGESGPGG IWKIDARADPQQVSVIPNWSPVVDLATTDTHLSWARGNTHKEISTRRKNATNLKQKPD RIFCTSGRGPRSSLTELRWGIQARIGLEFDYDQPVRQSWMFPIEIQGEKGFYAVLSLP HSSDVLHFPADLSNASALSSDACPFDTSSRTISAIKNDQGTIVQVTETSTSFIAPFQS SRHSHDEACGKTSLVADHASCFDDIIVLSSHGAYGSRIDVLRVDEMNLLHSTSFDAKG EITCLGLFKKSLETYIVAGSVKDSVPCMTIYSLEGREISSKAPQPNIDAADQEPLFQI EAYTSVDAISDTAEKVILVFGTRTGHVVTVELEDGLEHSSFAIEQLGLSPANVFATSG RFDGSHAALVCCDNNLSIVTDFSTRTTKFHTKSRIWATDSNEQSMPSPPIHSAFCLED SLAGYNRHMSLMLLAGTRILLVDIWPHVGPVPRSIPLDGTPMRLIYSKQWKALVVAHL KDNRPTLSFIDPDTGENISAAANKDRQPSDYISGLGHPGDRIFGLCEWFYVKDGKTFP FIIVTTQQGRLMIVSVKAEKVEGPNGPTRQLQYWTRYKKKGFTEPIYTVVGDAVGLLF CVGKVLHWEVLDLSEKRLKPMKEIKLDSPATSLRVEGHTVCALTAQHSLQVIDLEVGS EETQASIIHSDRITRYTGHVMEMGDSEEQPGKWPVSVISTSQAGLAGVWIPWGQRNKE FEVVFEGVLPTSIRRFRKGQTRPFWLAVDRQRQYDTLFSTTDQAEVLGVSLDGSLQHF SLIGMDLWRFLRLIQNLAYHNIDVCPFARGSQSMIDDDDMELDLDLELEPQSYPDMMH IDGDLLKRCFDLNALKSLVSIGDGIDLFCEYLDGIEDGIHTEGFRDNGVDGQEKYIEL GYKILEYVLAPPI FPSE_09175 MDSSQEFDRQTPLYASQTSSSTNVNTSDDVSQEPDIMSNPKASE IVEACKWKDISRLRSLAEGHGGFLSDTLRRRAWPILLGLDAPSDDQEDPSSPHDVSGD WKGLPRHRDEDQVQLDVNRSFVYYPNNQSDAELSLRKSELSSLITEVLRRHPYLCYFQ GYHDISQVLLLVLAPAWRARVLTRLSVLRIRDFMLPSFGPTTAQLRLLPDIINAADPK LRRHLAGVEPFYALAGTLTMYAHNIEAYQDIARLFDVFLAREPVFSVYVFAQIILDRR NEIFEIDEPDMLHVILGKVPTRMDLDDLIIKSAALFEAHPPDTLSYWRQISKYSALKT ARDIEACAKQSLDEGSVLFDKQVDEVHWQEIRDRVKLALWRYRRPARTVGMALAVGAL AFYLRRNPSLVSRIASLFAR FPSE_09176 MWLDRLAGGPATSPGPSTPQPGGRPYPKRTSSTLSPYITSQRPG LSPRGSSLSLVSNESSSSFLSSTRRPNGSGLRQASTIETGPDSLEVLETLLAGFSSNH AQTEKNATINKDDLSLEANFGGLSLKELAASQPPDTTTPYNRKPKTTEESEKDRANLE DLHRSIEACDDVLESVELNLANFRNDLAMVSADIESLQTRSAALNKRLENRKQVEKAL GPLVEELSLPPEVISKISEGHIDESWAKMLAELDRRSNMFKKKSETEMSNAAKDLEPI LEKLTLKAIERIRDFIVAQIKALRSPHINAQIIQQQNFLRFKELFIFLHKHHATLANE IALAYMNTMRWYYLNQFSRYERALARIKLHILDKNDALGHEDTTRKATVLSSNRAPGP PHDAFNLGRRIDLLKTNNQAALSSYLAEEDQTTHYLEVPFRNFNLALIDNATAEYTFM ATFFSPALSFKQISKNFNYIFEPTFELGRTLSKTLVGDTYDALGLLLCIRLNQHFAFE LQRRKVPAVDGYINATTMLLWPRLQVIMDRHCESVRQLINAIPSKPSRAEAAKLSAAP HVVTQRFGQLLHGFLALSTDAGDDEPVVSSVRRLRSDVETFLTRHAELFGDKRKSGRF LYNNYSLILTIISDANGKLADEQQEHFEELKIQHQESS FPSE_09177 MSAPNDNEHEMTFDSADAGASLTYPMQCSALRKNGFVVIKNRPC KIVDMSTSKTGKHGHAKVHLVATDIFTGKKYEDLSPSTHNMDVPNVSRREYQLLDISD DGFLSLMTDDGDTKDDVPLPDNEVGQKITKLFKEEEKDTNVIVLTSMGEECAMEAKEA PNQG FPSE_09178 MDERRDLRKFPRGERCPECGARRWYLENGLRFCSNGHQVEGFIQ FDIGDEVDAGNLGRVARKEKEVKEKELRHLTGQAGRNLFLESLQLILRNQLLWLIKSK GHREELETVVRDLWDLRTRGGGALPVEEETQQEAEQDEGLAMFSSQPAVDDKSKDDTL KKQRTRARSWNPEENPDWPMPRMIDTLALCYLGCLLLRIPTAIGELCLWANAGRIPYK RSYYDLPQEMQDRLPSPYTRALKLPLRSSLKGIDLHNAVLDLALSYNYNYGMIFPAVN ETPTLVHFVRQLSLPVEALVTAKSILSVMRFSFQLPIEQSRRFHIDYPEILLISVVLV AAKLCFPLGRHAPLLQVAGAEPNIRFDWTKWQKSIHELLDPSQASGKDPIFEKITVDQ VISMTAEELNEYFAHIASTIDKKNDSVVTRFFPSESAPPPETLSAENTEKDNDHKMRQ ILGRAIMIAGEEGSEQEDGTMLEPQYEAFRSVDDLSETAQALYKAASHISGLSLDEAV QAVYMLEQKILGWQMAQLQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQ RLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKALASKFNCDKMICRKC YARLPPRATNCRKRKCGHTNQLRPKKKLK FPSE_09179 MSGSGYDAVVDVDDEGDLGHTDLQEDLEFHTSNFNDTNPNTRKP PSGGSGLPAPATASSGSGSSKRFLWSMNFYAQFFDVDTSAVLSRCWAALFPRANFLDV LEGNPDLYGPFWIATTVVLILFLGGTISQYMSDTGKGPFLYDFKLLSGAAGLIYGYTL FIPMGLFLALRYFGSESANLLECWALYGYSNLIWIPVALISSSPISILNWVFVGVGFG ISVAFLLRNLYPVLSATDRQVSKILLVVVVLLHAGLSLAIKILFFAHGSPVSSTPDKS KGD FPSE_09180 MASRRSLFSSALRASAAVHPARPIFTIAARTARPSLAPRAFAPF AKSLRSYSSEKNNPEKEIKKWEFDELKKLVEDGAHDNIVIVDVREPYELFETGKIPGA INIPITTAVQSFHIPEEDFEEMYGFERPPKNKELLFYCKAGVRAKSAAHLAQHAGWTK VSDYPGSWLDWAAQNGPVEGVKRP FPSE_09181 MDAVNSTASGFSSVLAGTKYANVNIPPQIDYVIEAVSNAGVWTW VFTLVALCIAYDQIAYIVRKGPIVGPAMKIPFIGPFLDSMDPRFDGYHAKWSSGPLSC VSIFHKFVVIASTRDMARKVFNSPAYVKPTVVDVAPKLLGHDNWVFLDGKAHVDFRKG LNGLFTRKALELYLPGQEEAYNTYFKHFLKMTKDAGGKPVPFMHEFREVMCAVSCRTF VGHYISDEAVTKIAEDYYLITAALELVNLPVILPYTKSWYGKKAADMVLAEFSKCAAK SKVRMAAGGEVTCIMDAWILSMIQSDRWREAEEKGEPHNVEKPSPLLRMFNDYEISQT IFTFLFASQDATSSAATWLFQVTAQRPDVLDRVREENIKIRNGDPNAPLTMDQLESLT YTRAVVRELLRWRPPVIMVPYVTKKAFPLTDDYTVPKGSMLIPTTFMALHDPEVYDNP SHFDPERYYSGDAEEKGSKNYLVFGTGPHYCLGQVYAQLNLALMIGKASVMLDWKHHA TPKSEEIKVFATIFPMDDCPLTFEERKW FPSE_09182 MPAYIITCKEDATDEQVQSAKQHAKDQGGEITHEYTLIKGFAVK FPEDSVSTLESHPHIHTVENDGEMKTQ FPSE_09183 MENPNNGQAHFEPSKLVLGRVAADLFDTDVDSLDWGKSFIMLGG DSILAIDFIVRCRDAGILVDMRDLLTAGTLAQLAESIAQQNTGAGNGVNGHANGNGMN GNGLHNEATIRNGSDDLTTSAAAAGRRLRFATMEIPENTDASIISTAMERIVDRHSAL RSNWSISSTGKWIITTASGSGLKSRQFFYGEFAEATEVTDAFEWLRKALLSDELFPSG CLVIPSDAPGCSHTIVLAADANVVDALSMGLVLRELRETINGTQLELSSDFQFSDWIA RGCQGLEAQVERANTTKSNSITDTGALKLNGNTRDNIDFQLSRLATERLFAAQTHAAL RTSPVDIVNAAVSQSLGPRYRDTENVLTIISAYSIREEKDIPLDSIGCYEVETELAAT APVPGETLVHLVRQMRDARAGFSADSRSSTAIYVDCTRLCHFEEGDYSPWLSDPTPDH SPYVSLAMIAGQVHVSLHFGIARPDDKLIADRLRSCLDEIVDELAKAPRMGTLHDFPL VRWSYSALDGLARDLQTHGLDFGDIESIGPSTSVQESFFVSQAINPGSYVSHATLRLL PTSANVPRRVETEKVVRAWSDIVERHAMLRTAFAESNDRPGKFDQLVFKPTALPPRVI VISSSAETSIVSPFTAGRFESPLRLCIYEINAEELRLELEISHALVDGHSAKILLHDI RASYLQSAYFSQSAPLPYTVFASRQQATLSEGEASAGITYWTSYLNEASESHLPLITT NPQLKDLETTRCSVSVPDGKLRAVCGELSITPANLFHVAWALALRRIILSDRITFSYI VSGRNSDADGVEATVGPFVNTLPFALALNPENSIADVLGSAKQDWQNGLQFQNIPIAD LAAAKTRSLKRLGNTLLSIEREGSNTHPFTEGTYMSLDARTSAADFDLIANIRFNEQR INLSVEYWASRIAGPVAKAQMAAFEDAVSFLLEGVDLSVRDFPSHSPQDRAAFIRWNS TKPARLESCVHELVVEKMAEQPEALAVSSWDGELTYGELERASRRLAYHLVEKGVGPE VMVGMCMEKSKLGVVAMVATLLAGGGLVPLGVSHPLARIEGIVKDAASPLILVDRVHE ERLAELGSYTELVAVDSFFDNASSTAIPSSGPFTSVGPDNVAWMIFTSGSTGKPKGVV LEHGSLATSILYHGRRLDIQPHDRLLQFAAFTFDAAIQEIITALAFGATTCIPSESDR MDRLSNYLAESKVTIATLTSTVAALVRPQETPTVRTIILMGEAVQAKVVDQWIDYATV INAYGPSECCIHSTCRPVTDSSASLNIGTAIAGATWVVNPTNINQLVPLGGQGELLLE GPLLARGYLNDSVKTAQAFVTDPAFVRELELGSNQHRMYRTGDLVQQNTDGTLTYLGR IDSQIKIRGQRVEIGEIEYHIGKQGGVHDAVVLYMRQGPLADRLVAAVNLGESSSVDR PQTSTVQVVSEDHMANARLRLREVQHGLSRQVMHYMVPSIWLPLSAMPMNQSGKTDRR ALTDWIKSLSSDDIAALSNGGDADDVDDISATAVERELRQIWSEVLAVPLGSVTYSSS FFSLGGDSITAMQVVSASRSRGILITVRKVLDCQTIPELAAEVQATQNSDYAAVPEGA IALSPIQQMYFESIAADGLRADNEHCFNQGVLLHVTRQITLAELTRALDMAVAKHAML RARFQYSQEQGWQQRIEREVTGSYRLCAHTAGDTEMSGIVAQSQSTLDIENGPVFAAD LIEREDRQVLHLVAHHLVIDLVSWRILLRDLEEVIVNQKLPNPSSLSFPTWLGRQKES LSKVSNVLDTLPMIVPKTNWTYWGLTPGQETFGSRSSTQARCDIETTSLLTGGANSPL KTEPVEILLAGLLLSFQQVFADRPVPTVFTEGHGREAPDDGTDLSETIGWFTTMSPIY VSFTEASSNGAIDVLRQVKDQRRRIPGRGVPYFGSRFLTAQGKEQFAGHGPAEIMFNY TGRFQQLERDDALFHFDRDNNSSTMARAGDLVKLFAALDVAITIEAGKLCITVHYSNQ SRCQEGIRRWVEVYGQTVKSLVNALNTVEPMATATDFPLARLSDSDMETIEGQYLPMI GLTSSAEIEDILPCSPIQQGILLTQLQSPSTYCIYQTCRIVPSGDAPVSADRLIAAWR EVVSRHSILRTVLLEPLQGQENFIQVVLRHPEIGIIKKDGITDGVTAAEWLASRPSLD VSILGRPPHLLTVLTTVSGEVYCRFDISHALVDASSVTLIMRDLMDAYDEEKSQSAVS GSKYSTYVAFLQGRDSQDDLQYWTSLLQDAEPCLLPPNDPTHDAGDQPTIKKVSTRID DIGKLHQFRDKYGVSVASIFQLSWALVLAMQTCSRNVSFGNLSSGRDVPISGVQELVG PMINMLVCHLDLNWGEGVSEAARKLQSQSTEAFEHQRTSLAAIQHGLGLSRNQPLFNS TLSYKRQASTSSGRASSITLEGLTWEDPTEYDVHINIEASSTSIDINMQYSTAAFSET TTKKLVDSLVHAVFAVCGGGDRALGQLRLLLPAEEAKLCEWNSVIPPRVERCVHELVL DRMVSQPEALAVSAWDGDLTYAELNHSSYQLAHHLVAERGIGPEVMVGLCMDKSKWAI VAMIAVLRAGGTLVPLGVQEPFSRIEMITGETGTPLVLVDRHHEQRVAGLDTQLFTVD YFFDAVSPISMLPPFAELSATRPDHAAWVIFTSGSTGRPKGVVLEHGSVATSILAHGP AIGIQIQDRVSQFAAYTFDVSIAEIMTTLTFGACVCVPSEDDRTNRLTQFLSESEVSI ATLTSTVAALVQPDKTPKIRTLVLTGEAVQPKVVDQWMHRATVINAYGPAESSIWTTG KVIENALDATNIGTPLAGAFWVVNPDSVGQLVHIGELLIESPLLARGYLNDPVKTAAS FITNLELLKNLGLGIGSRRMYRTGDLVRQNQDGTITYLGRRDTQVKIRGMQDAVVLYM NQGALAGRLIAFIVSSDTLAASHDQSKPQSSASIQRVHEEQNETADIWLKDVQQNLSQ LVMHYMMPSVWIRLLAIPINASGKTDRLGLFRWVESLSAEDTAALTDAGAIEGEDIDE SSATPIERELRQIWSEVLDVPISRVTYSTNFFSLGGDSITAMQVVSACRARGILVTVR KVLDCQTIPELAANSQTGREDAAHLARIPEGVFGLSPIQQMFFDEIAGDGLRADMDYR FNQAVSLYTTQRIEKTDLIWAINALVSKHAMLRARFRYTQDNAWQQWIEKDITGSYRF QDHTVADVELMQNLIEKSQATLDLEHGPVFAIDFIERQDRQGRQVLHLVAHHLVIDLV SWRILIRDLEELLVHHKLPNPTSLSFPVWLERQQDSLNRLVTETEEGADKSLEETLPV VVPNANWGYWGLVPGNDIYASLSTIEVQCGSATTSLLSGNANNALKTEPVDILLAALI SSFQDVFADRSMPAVFTEGHGRETEEEEIDLSDTVSWFTTMVPVHVPQGAAKNAIEVL RKVKDQRRRLPGKGLPYFSSRYLTSHGREKFASHGPAEIIFNYLGRFQQLERDDALFH IEEDDTSASSQFGPLVKLFSVLDVSAGVEAGQLSIKVRFSRESLHQSAIKQWVKLYGD TVKTLVEELTMVPLTLTATDFPLARLSDSDWELIEGQYLKTEMGLSSTKEVEDILPCS AIQQGILLTQLQSPSTYCIHQTCRILPTDHTRPVSIQRLVVAWHQVVARHSILRSVLV EPLPAQESFLQIVLREPQIDVQITDGEDIRDEEAVEWLASQSTLDITKLSRPPHRLII LKTNTDNVYCRFDVSHALVDASSVALILRDLIAGYEGELGSSGGSNYSDYVAFLENRQ QHNDLQYWKSLLADAEPCLLPLQQPVHEASQAHLGHVIDQLDDITMVHQFRDRHNVSI ASICQLSWALVLANWTGSRNISFGNLSSGRDVPIPGVQELVGPMINMLVCNLFLDWDA STTIGGLARKLQSQSTESFEHQRASLASIQHELGFSKDQPLFNSTVSYRRQVSPSSDS KPAAIRLEAVISVDPTEYDVHVNIDASSTSLEFNLQYSTAVLSKAAATRLAESLVQAV RIVAQNVDRPLRELTSSLLPVGDKLQLQEWNSAVALPVQRCVHELVSDRIYTLPEALA ISSWDGDMTYDQLGDTSRRLASYLVEQGVGPKTMVGLCLDKSKWAIVGMLAVLFAGGA VVPLGVQHPVSRIRSIVEDTNAPIILVDDIHEKRLATMTAHTQLLSVESFFRASQPVS LQSGKSTVRFEDPAWIIYTSGSSGVPKGVVLQHDALATSILAHGPVIGVKPFDRLSQF AAYTFDVSIAEIMTALSFGACVCVPSEQDRMERLPSFLKDANITIATLTSTVAALIQE DIPTIRTMVLTGEAVQSKVVDRWVQQATVINAYGPSESSIWASCNIVKSGTDALNIGK PLAGAFWVANPDNVGQLVVRGAPGELLIEGPLLAREYLNDPEKTSTAFVSDPKFMQEL GLIPGRRLYRTGDLVQQNEDATLTYLGRIDSQIKIRGQRVEVAEIESQIVRLLPGARE AVVDLVRPEGEVHDGPLILVAVIEHSDASPSLDGEVFSLDGITQIPDNALQALGKLDN DLGSVLPPYMVPAAFLLVSKLPINASGKLDRRVVRQKLQSTSRDTIVRFSGSRDSIKA APTTDLERKLQILYSTALRLVPEAVGLDDSFFKLGGDSVAAMKLTAVARAQNVSVSVA DIFRWPRLADLSRVVEEKCSRDIGPLDKDPAPFSLWPELTETRTEIQTDDHNDKTRQA RLLENIATRCNTSADQIEDVYPCSPMQAGLMAITAQRPEAYVIQRVFKLHDDLSTQQL KDAWTRLTGKLSVLRTRIIPSASAQADALQVVIQEAPVWQDCRSLEVYLATDRATPIT YGRALSRTAVVDDPAGRYFIWTVHHSIYDGWSVARTMEMLTQLLSGQSTFSPAPVSRF INYLVQQDKDQIANFWNGHFEGANWVRYPAIASPQYRAKPRDTLQSQMHVNLETGGPA TMSTLLRAAWALLVATNTGANDAAINVVLSGRMAPIDAVMDVISPLVTTVPFYVSASK QQSVRVFLETIHRRATEMIPYEHTGLQNIRALVPGLGSEFDPGHTFVVQPAGESESAD LPMFKMDVERDATSFDAFDAYALTVECTVGGQNPGDVTIEVRYDRAVLAVDTVQHLIV QLAHIVQQLAQNAATDKPLSELQLLTDEDCDQLREWNSVVPPRLERCLHDLILETMTS YPTAPAISSWDGEMTYSELNDASQYLAYHLVDQGIGPEVMVGLCMDKSRWAVVAILAI LRAGGVVVPLGIQQPLLRIEGIIQDTSCPLILVDRSQEHRLASLSDRASLFSVSSFFD AVPTPLAKSHNLSTKALPSVKPDHSAYVIFTSGSTGVPKGIILEHGALATSIIAHGTE FGMDIKNCDRVLQFAAYTFDVAIQDIIATLSFGACLCIPSEHDRMNRLVPYISEAKVT FAILTPTVAALIQPQDVPSIRTLILGGEALPAKVVDQWIGHANIINGYGPSECSIHST CHKVQHSSEASIIGRGITAKTWVIDSSNSDQLVPIGAIGELIIEGPLLARGYLNDPLM TANSFITDPAFVQQLGFSPNRRMYRTGDLVQQNMDGSLVYLGRRDTQVKIRGQRVEVG EIESHILDLLPNAREAIVDVIQAAAEDQDVSPMLVAVIETDVSDTEVLASDTQMELYS PSQITQKMREGLDGLDTDLGLVLPAYMVPTVYLLASKLPLNASGKLDRRAVRDQLMLL PRHVLSSFSGLANSKQMPTTPMEQKLQTLYTSVLALKPEAVGISDSFFRLGGDSVAAM KLTAAAHAQGIPLTVADIFQWPRLADLAEAMEEKGGYGSSSAGQKDPEPFSLWPELQT GATEKSRLLADVAVQCGVSIDKIEDVYPCSPLQAGLMTITAQRPEAYVVQRVFKLQGG ISTQTLKAAWTQLITDLPILRTRIIPSVQATALQVVLRETPIWQAGISLEDYLTADRA IPMIYGGALSRTAILEDGSHRHFIWTVHHSIYDGWSMAKTMEMLEGLLSGSTLSHPVS VPVSRFIGYLTQKDKDQTAMFWQKHLEGANWTRYPELPSQQHIINPRVTSHRRLRIPK IAGSTTFIVLRAAWALLVASKTGADEAVINVVLSGRAAPVKNLLHLVAPTITTVPFHV STSTSQSIRDFLANIQGQATDMIPHEHTGLQNIRRMVSSLGPDFDPGHIFVVQPAAEM ESTVTNSHLQIEREASSMDAFHAQALTIECTVGHDTRDVEVELRFDDAVIAADDVEQL LDQFNHLVQQLAENADKKLPLDRLQLLSPGQMAQICRWNSSIPSRVDRCIHELVMDQM TTRPAATAVTACDGDLTYRQLDDLSFQLALHLIETGIGPEVIVGVCMSKSKWAVVAML AVLRAGGVVVPLGTRQPLGRIETIITDTAAPLILADRPQEQQLKGLQAPTQLLVVESF FEKTAKPAQNTGLQVFARSDNAAWIIYTSGSTGTPKGVVLEHGALATSILGHGKAYGL QSDDRILQFAAYTFDAAIQEIMTTLAFGACICIPSEQDRVERLTSYVAENRITMATLT STVAALVRPRETPTVRTIILVGEAVQANVVDQWLQQAKVINGYGPSECSIASTCREIQ NSSSALNIGTAIAGGLWVVKPSSTELAAFGSPGELLIDGPLLARGYLNDPTKTAASFI TDTVFLKDLGLSGRRLYRTGDLVQQNRDGSLTYLGRIDTQIKIRGQRVEIGEIESQIE KHPTVRDAVVLYPRQGPLANRLVATVVLGETSTDSQSTAIQQISQEYQGYANTQFHEL QRILSENLVYYMIPSVWVPLAAVPVNTSGKTDRLAISRWVQSLTEDEVSTLTSEETEY IDEELTTTIERQLRQIWSEVLDVPLHKITYTTTKFFSLGGDSITAMQVVSACRARGIL VTVRKALDYQTIRELATQTQTTENSSSITKIPEGNFQLSPIQQMYFADIAADGIRADG EYRFNQGVTLHVTRQIDWEDLAQALDAIVSKHAMLRARFSHSQNGWHQWVEKHVPASY RLCKHTASDSRSMQDIIEHSQVSLDLEHGPVFAADLIHRPDMQKEDGQDGQVLHFVAH HLVIDLMSWRILLQDLETLLVYDQPLKMDILSFPTWLERQRQSLSKSLDNNIDPLPVT IPKADWEYWGLVPRQERSVDLVNIQTKCDSATTSILLSDAANSALKTEPVEILLAAFY HSFREHFSDRPVPPIFTEGHGREAMDGETNLTETVGWFTTLSPIYIPPSENNNKAIDV LRQLKDQRRRIPSRGMPYFASRFLTPEGRERYADHGPAEIVFNYLGRFQQLEREDALF RIDNGDDAISVAPVGNLVNISAVLDISATVHEGELCINIRFSPKTKHQKTLQRWAQSY SCAIESLVGELATVTPGATATDFPLARLSDNDMSLIENQYLTTMGVSSSQVQDILPCS PIQQGILLTQMQLPRAYLIYQTCRITSSNHNRPVAADRLIKAWKQVVARHSVLRTILM EPLPNQEKFVQIVLADPEIDVVCVDDVLDEDATQWFEAQSQLDPSDRRRPPHRLTTLA TTSGEIYCRFDINHALVDASSMTLIIRDLIDAYGNGFTTGGSNYSSYIQFLQGKHQQD DLQYWKSALHNAEPCLLSPQDPTQSDAHGKILSVSKRIEDLTMLNIFRDTHGVSIASV CQLAWAVVLANWTNSQNISFGNLSSGRDAPIPDVQELVGPMINMLVCHLQVDWNANVS DVARKLQSQSAEAFEHQGVSLAAIQHELGLSRGQPLFNSILSYKRQVPTSSSTAEIIF EGLDSEDPTEYDINIHVVASPTDLEFDILYSNTLLSESAASRLADCLIQAVRAISENA SRQLGQLNLLPAGDANQICKWNSDMAEPREACLHDLVIQQMAAHPTAQAVYAHDGELT YGDLDKFSRQLACYLVNQGVGPEVMIGLCMDKSKWSVVAILGILRAGGAVLPLGVSNP LARVEAILKDTAAPLAIVDEAQECRLGALEADIKLININSFVNAVPSAVEGLPVSEPC TSVQPNNIAWCQFTSGSTGTPKGVILEHGALATSIYSHSQRFGLRPGERLLQFAAFTF DATIYDIMAPLSFGGCTCIPSENDRMNRLGPFISEANVSFAFMTPAVVSLLQPKDVPS IKTLIVGGEIFTSKTIDQWIQHADVKEAYGPTECSIFSTCNDLLDSSQVRNIGMAVAA RTWVINPFSNGQLVPISTPGELLIEGPLLSRGYLNDPEKTAGSFLVDPAFVKELGLSP GRRFYRTGDLVQQNSDGTLQYLDRIGTQVKINGQRLEIGEIESQILLLLPNAKYAYVC KQGSSLIGVIEATSSSRAAVVGSHSIITPNMEQQKSFEYIEASLRERLPSYMIPSTFL VINSFPLTDSGKLDRRRVVNLLDAIPSDKWLEYTARSQIYYAPVGRNEEILSELWAAC INLEKKSVSRMDNFFELGGDSITAMSLVQRLAKLGLRIHVSEIFKDPVLCAMAARVTA DIDENGEYERFSLVSSEERAQIVKENMSPARRQLNHFAFDATGPCDTSHLTSAIIQLV TKIESLRTGFAQTHKQKLLQVVYAKWEPAVRVFKTDKSPGAFYEETLERDMFIEPNLA RPMFDVAIIITRTTQQVRIVFRMSHALYDGATLHQVWAALEAIMAGQTIGNFAPVGPY FQSLRAQTTNETEEYWGELVQGAAISSVSAGAEPRVSRLGIFSSKPIMLPRSRQFDFT LAVAVKAAWAIVLSHHVTSNDVVFADVLTGRTVVHPSVADVVACCARAVPCRVTCEPE WTAKTLLEQIKQQQVDSMAHEGLELQQIAQRFMGWSEEVESDAPDMRVSMVNHTKAQK QTMSLGSTVYERVTVDLSNSYASVDFAIESVEQEDGSLSLGMAYASDRISEQLARTLS NGFQAVLLEIIEDSGCNVSHLDDILRDLVVKQ FPSE_09184 MIFTAPAYAPALPSPLPLQQTIGDFCLAKRRERQGASDSLFIEA AIDRKWTIDDIEARLGRMAAALSSAWNITPGQKWHKTVAILASNCVDTLILSWAVHRI GGGCLMLQPTSSADEMAAHMDRVPPFAMFLSQDLLTLGQEAFQKSSLSSNVPFYSFSG AEAPKPQQTAVPVASQDAPNISTLDDLMATGKELPLLEKTSFSEGEASRRVAYYCTTS GTSGFQRVVAITHENIIASILQAGLFIEATKGPASEVTLAFLPFNHIYGLLITHTFTW RGDSTVVHSGFNMMEILISIGKHRINTLYLVPPIINALSRNASILDRFDMSSVRYIVN GGGPLPKEAFLKMKAARPDWQIIPGWGQTEGCGIGSLSSPKDIFPGSSGVLLPGVRIR LRDDDGRIVQGLEEMGEIEIESPSGLFGYVDYADEALLSPPKEEEFWWPTGDVGLFRI SPNGEQHLFIVDRIRDMIKVKGNQVAPGQIEDHLTKHAAVAETAVIGIADEVAGERAL AFIVRESSHAREMSEADLRKIIQEHNDLELPEVCRLQDRIIFVDELPKSASGKILKRE LRKQVATWSPPQK FPSE_09185 MADHQARQSGSSQRTVIPDPQLAKEKSNLTNYLRVFAYATRWDF CVYVVGALASIGVGVTMPLMNVVLGQLVGDFSDTVQDPYNMDLNNFKSMLQKQSLYIV GLFLGRWLLNSINKFCFRMIGIRLSSAIRHHYLRSLFAQSIHVIDSMPPGAAATAITA TSNTLQIGVSERLGTFVTYVSTIIAAIAVAFTRSWSLTIVSASLLVYIAIIIAIVVPI YLKANAATLKADAQGTAVASEALQGIRLVNACGAHERIISKYSKWVTKAMERSQRVAP IIGAQTGLVFFGIFGVFGLAFWYGTQQFIHGVIKNVGVVIIVLTSVMLILFAFSYLEQ PIMAISQAMVAATELFKVIDAPLPPMGSFTPDINSKDLIFKDVTFEYPSRPGARVLDG LSFRIQAGQNTALVGPSGSGKSTIVGLLERWYSLKHSPVLPEAATPRSSKEGERDNHD ERKYERSTYEVPIMVPTNLTGALSGSISIGAHDLDDLEGKWWRAQIGLVQQEPFLFNS SIFENVANGLLGTVWENESEAKKRKMVQDACQEAYAHEFICRLPDAYDTRVGDGGAKL SGGQKQRIAIARSIVKRPQIMILDEATSAIDAKSEKIVQAALDRAIKTRTTITIAHRL STIQKADHIVVLSKGRVVEEGTHKSLMEHENGVYYSLVEAQSLRLSTTDDTDSDLPAT TYVAELKSAAVDIEQPLEVQNDEDNGPVVEPEVLRTLTQSFVKLLEGLRDQSSSFLLI TIASMGVGAATPLQAWLFAKAVIVFISPSDDLKKEGDFWGFMWLALAGGVGVAYFFQC WISLRLQYHVGATTKQSYLRDMLYQELSFFDDDSRSSGTLIGRIAGDPKQVEGVFGLN LASATSSVFTIVGCLIISLTFGWKLGLVGLCVTVPIMMVSGFWKFRHELQFDQMNAAV FAESSQFASEAIGAMRTVSSLTMESAINNRYKQLLDGHVEAARRKAQWTAVIFGFAES ATLGCQALILWYGGRLISSGEYSLEAFMVSYMAIINGVEYAGQILGVAPSAAQAAAAA NRILDVQDSNRSSQEAEKSGPTVEDTDGGVEIELCNVSFKYPTRNVSIYKNLDLTIKK GQYAALVGPSGCGKTTIISLLERFYDLEPNHGEILWNGTNINDFGVYQYREHLSLVSQ EPILFRGTIRDNILFGVADPSSVPEERIHEVCRDVFIHDVIVSLPDGYNTEVGAMSLS GGQKQRIAIARALIRNPKLLLLDEATSALDSESEKIVQAAFEKARKRRTMIAVAHRLA TIQDADIIFVFDQGMVVEKGTHGELLQKRGIYWDMCQTQALDQ FPSE_09186 MIRQIQKRPAGSNANPLFLFHDASGTISNYLALGLLGRDVYAIA DSRIKAKGDESLQDMSRRYYALIKSTVAEGTILLGGWSLGGMTALQVAWIFSRDPKVN VAGVLMIDSPFPDYRHALSLALESPPSEDGPASTRSDIEKAMLQTVTMLHKWKIPVWR REPQPHTVMLCAGNDVDSDHVALSLVDQFRDSPTLGWNERAGPSVVNESYPIQGHHFS IFDPRNIDSVTKTIVTVTAAMEMMAPEDDEDY FPSE_09187 MAPNMTAPEPIAIIGMSCRFPGGASEPSRLWDTLSEGKSAWSEV PEDRFNMKAFYQRGDPHASTTNTAGGHFLDEDLSKFDSNFFGVKPLEARAWDPQQRLT LELAYEAFENAGLTIPQLWGSNTGVYVGQWSSDYSEILARDPEYQELYHTLGAGPAIT SNRVSFFFNLRGPSFTVDTGCSSSLVALHNAVQSLRNGESTMSLVGGVNVLLDPQRFT YQSKLKMFSPDGRSFSFDHRANGYGRGEGCGCVVLKPLSLAVKDGDRIRAVIRNSALN QDGRTPQGISVPSMVAQEELIRRAYAEVGLVPTETDYVEAHGTGTAVGDPIEAQAIAA VLAQTRKPGQEPLSLASVKGNIGHTESAAGIAGLIKSVLMLENQAIPPQVNYEKPNPK IPLDKWNLQIPIRFEEKQLRRISVNSFGYGGTNAHVIVDRVDEHNHSNGTNGTNGTHH HNGTNGSNGNGTNGTNGTNGTDGFHDTESISDSISDRPRVFVLSGAEEQSCHQNAERL AQYLTKLPASLLEDSDGFLDKLAVSVNKRTVHDYSASVIAYDGEDLLAQLDVLQQTPV AIRAPVKGGARVGYVFGGQGAQYYSMGREMIKSWTPFRQSLDRANAHLKTMGCQWDLL TELSHEKAQDSHVDEPEYGQTLSTAVQLALVDTLVATKMRPTSVVGHSSGEIAAAYAA GALSFEDALTVAYHRGRLTSQLISTGISGGMLAVGSSPDAVQDYIEQVKATTKANVKI ACYNSPTSVTLSGDSEAINAISELLQDDDVFNRKLKTQGAAYHSQMMQAMEEEYRSAI AYIQPRPVDAPVTMMSSLLGKKLPAGFLLDGDYWARNLVSPVLFAGALRGIMVGDEHD GSSQHSPQVDLLLEIGPHATMQGAVKETLKGLGSSVRIQYLSCLKRKTSAAENMLRTL ADLFALGASVDLHYANAGFRTKLPPILKDLPPYAFNHDQRLWHDGRISHEFTHRQFLP HELLGNLSADVNHTEPRWRRFLRLKELPWLQHHIVQGQVIFPAAGYLAMAMEAMRRFT AFETENQSKATAGYSFRNTSFSKALVLREDDADTEICLSLRPEARSARNSWQDWKEFR VFSISPGKGWSEHCRGRIRAVVDQGILAEALKDTTGVKDRIAASVPHHITSNRLYATA RQVGMEWYGPFDNVVNLQARTDLSVATNRMPTLLSSAHPFGLSTYVVHPGMLDSTLFH GLVASVLVEQEVKAPVVPTFLEQLTISTAIQVPEGEELRTYSVSREEGSCWSAQVELN GESVISFHGLRTAQLPSDVVNTRPHQLAHSPEWVCHYPSMTREQLIDTCINSVPAGSA RQRNIVLYADVRAHVERALSHVQPDQVASGHEQSWYNWMKSFLATEPDSSDVVSEARE ATKSEKFVGFDAVKIIGENLEQLLTGEVTSLSLLSTNDMLERLYSEERNQRCYTQISA YCKAVGLYNPALKVLEVGGGTASATLPFLQALSHQGHPLVAQYDFTDLSTAFFAAARE RLGQYGHNVNYEAFDLAQDPETQGFEPGSYDIIVACNVVHATPSIASSLEHIRQLLRP GGTLVLMEITNGDPFYQLIFGSLSGWWSGVSEGRESTAILSEYDWKNVLAEQGYQSDP IMVGDYATSEGGTISVIFAKTPLESRRDHLSETSLHFATDLFADSSAGYLDNVAEHLG QKSELSLRQITTGNLESIKDIDSTVVVIDPETIEALAGRMDASLWEKFQKCALSCKGL LLVSRGATGSSVVPEGALAVGFARSMRLEQHGIRYITLDLDPSVDRDANELSRVLAQL LTSETFDFDRTISDADYEFAERKGQLHVNRLFPNVKLEESVAHSTRRSKPEQTEFLDT SRPLKVELGVDGLLETFRWVDDHQHARSLAPDEVRIECRAASINFRDVLIATGGLGGA GTMMNDCAGTVVEVGSNMASRYSVGDRVCSYYAQSYNNYPIVDGQHCAKIPDNVSFAL GASLPIVWATTYHSLVNVAKLKAGESILIHAAAGAVGQAAVILAQHLGAVVYATCGSQ EKRERLESMGVNPSHIFTSRSPAFGPALRAATNNKGVNVILNSLAGELFRESLECLTS FGRFIEIGKKDFLDDALMPTKFLLQNITFACVDLVQMINEDKPLVHGLLNDVVELVAS GQLKDEVNLQLYKLGEIESAFRLISAGKHMGKVILTVDQGETVLALPATPNIPKLLPD ATYLLVGGFGGLGVRLIRWLASRGAKTIVTMSRSGAKSPAAKTCIEEMDSLGVRIIAK SCDISSKEALQVVVKELEDVDGLAPVRGVINAAMALEDAMFDQMTHQQWVSSLAPKVA GTRNLDEVLPNYMDFFVVLSSIAGIIGHQAQANYAAACTFQDAFMHYRRSQGRASFAI DVGVVSDAGFVSEAPAVFSNMKRQGFSFISVAELLATLDYALSNDGPDCQASIGVMAE ASPNNAEWLEQRRISHLVKDSANGAAGLNEGSGSDADHIGHIRSAKTAEEALDAVGQA VLAELSKLTVTPVDRILPHRTLDSYGVDSLVAVELRNWVVAIVAADLSLLLIRESRSI EELIHLVAGKSRLVPAKLQDAVSKLA FPSE_09188 MELPTYAVSQSLLASRSVSSPRGDQPVRAATNGTQGQGQGQDGQ NLTGKRKRTNPGYPSRESPKGSSSRCNGLTQYAVPASFQSPQIVQYSPPNQILRTPPS NDVDDQLSVNSRSEPVYPTLGVPGAVRQTQEQLQLHAARIPASHFLNNVPNFGYHSTD KDVAMKQNYFSDSTFFPSPAITDTWGELPAPDLSWETTTRPHSPGNRATPTVDKHVSV SAFEAALETGELASDRSIQNAYNAPSTTGDNHLETLVSIQDGLSQVLKAVEAAGFDSL DSAVIAYYQRSSKGNEWLRQEQRLNRMRRLPVLLKELHLAAQGWGQWERRNFQEQIIK STEDILFAELQDHLATRRNSPHASPCSIEQRSQARQHMMEHDADPEAEFYDTSHGTEG IPADEMLHVNLLLY FPSE_09189 MPRPADTYTHDQGHLQNCPEYVAWRVAGNGQELAPTNPYNATGR RAFADSYGFDNSNLDLRPDQEVPTMQRIRSTLDMSKYFDEFFDDSLDHKCARVRCRSC GFVRAKNATRQADHLTQCKDFLATDEGEELMASGSLVHNVQQHQPPAIWNGARPHPDL MVRHLSNHPPSGTVPVGPCSRPEPSRASQRPEPPSLAQHLLDQSDDLLTNAVQHSFLA HAGSGSLSENDFNQWLAQIGYISRSLVPFTGALIGKIRIPETGNLEHDSTFRCLDLLC SAVTNMKKELEFLEATKREYGLEVGLDEPRPATKSFIDLFNSASCASATLLEGMVLLW AVEILFYNSFSYAGSFVAQPMPTQERSSFSLPSYSLPSSASPGAYSGQTIRKDRHTTA LREAFIKNWKSENFSQFVDVCKSIVDELAMDQMTGNGRADTSACERVFNQAVWLWAQV FPQTTGIRTGHEDSSRDGGRENKAMNRNRSTGNSVEIEDEYNVQTSTQLE FPSE_09190 MAPERVCLAYSGGLDTSTILRWLVLQGYQVVCFLADCGQEEDFD AVKVKAEKLGAERMIILDVQQELIDELVWPAVQCNAIYEDRYLLGTSLARPVIARAMV KVAKEHNCTILSHGFRFELAWKACDPTLKVLAPWRMPEFYNRFAGRADLLKFASEQNI PVSSTPKAPWSMDDNIIHCSYEAGILEQTELEPPKDMWKRTVDPMKAPDEPTPFVIHF EQGVPVKLEVGGKTITGSLEIFKEANEIGRINGIGRVDIVESRFIGLKSRGCYDTPGL TILRQAHIDLEGLVLDSKVRAIRDRLTQDWSACIYNGMYFSPERELVEHAIKFSQRQV EGKVSLVAYKGNAYVVGRSSETSNLYSEDESSMDTLDMNWTPQDTTGFIAIQGIRLEK YGARKIKDGEPLAP FPSE_09191 MITSSARSIRHRMPTASVILRSYSHPSSQRCISQWRGPRQSQWV YRNIGTVAVSRRSIHSQKVTDDEIATLARLHQHPLCLADLVRHGRPPLSSESLLSSAN FALSLLPVRLAHRIQALRNLPYIVVANPNISRIYNNYLHSLSILLPYWHATREGRPIS TQEDEVHFTNVLAELVATHTDTIPILAKGFLECRRYISPDEVTRFLDQHLRARIGTRL IAEQHIALHFSSQPHFDPSASPTPCPEHPSYIGVIDTALRPAQIVESCAGFVADICEL RYGVRPLLYIRGEPDTTFAFVPMHLEYIVTELLKNAFRATIENRSNEPVIVTIAPEPA LPKESSTSNSSPSAENKQSDTNSDAIRPLDDNAPGVTIRIRDRGGGIPPEVTPNIWSY SFTTFSDDVDDFPGDANGNDGGLSAISTASTGGSSIAGLGYGLPLSRAYAEYFGGGIA VQSLYGWGTDVYLRLKGVGNLDKQQ FPSE_09192 MARRMSMYSMASEAMGGPQAPQQMSTTTLLNAIHNIYLSSQPYQ IDAGTSLVVNTWLTAAQAGATVDATLAARAWEHARRRAEDGCVILGSLHQATPSLLVP FLNTFPFAIPASIYKSLEAVQPFLRCVTPYNASAPRQIALGVTLTLSLGGSVTGASLA LSQGGIDTENGLLNVPAEAGYRAFDVFYYLLTSASTPAEREFLGLKAPSAYALLARSG TYEPPSYLITADDGAAADDFRQALKEIGIKGSAHRNFISTLAGLLKLGNTLDYDADSD DFEEICEDVSGLLGMEPEVLMQQLSTEDRRTLVAGLYEALVDWVISKANAAIAAQMLR IRDGDESVDGRGVRTPNENEDGDTVSITVVEVPEPALGRALAMRTIFDDTLGINAEMI EDGVEIHPASSSVVREMQQAVADVAPDLGIMTGPQGRDRQHDIEKREVILEKAAYASE EDSFLKKLLFPVEGQGINLGRAGRFDLPGLLSSSRAWFHLALHPTDDSPANLATLPAI TSAWSAGTISRQLRSWRLPEWANRRNRSLDYTADFDVDEFVGRYGALGCKDGKDGIET WMLERGWSNGEVFIGKERIWVREGPWWEAESMLDIKPAHSLQSIGQNPFTSGFDTSYS ANPPNGSGFFPAPAMDNSMNGSNDQLMHTRNFSQGNMSQATFNQHPHLNPQSAPSIAP SAMRNAQGATGDYGLGNKGDTYKGQVFYNDDGEFTGKLDGDLAKDKKIETKPLTFGRR AWVAFVWALTFWIPSPLLKFIGRMRRPDVRMAWREKLVLFFIILLLNGMIIFWIIGFG KLLCPNANKAWNVKEVAGHAEDEDAFWVAIFGKVYDITDFWRQQHSDTSIKTTKQNML PLAGMVMDNYFMPPLNRACRGLGIKETVQLTYNETLNNPLAQHISGFYTRDRTSALHN ADWFWTTFQPKIKEYYHGDLVWGEGTVKSQGTGSSQHPWVMYGNQIYDLTDYLHTLDV NDNFDTYKFLNEDMVNLWKNSPGTNIKKDLDLLISNAKNETVAANLKNSWQCIQNIAY KGIIDFRDSPRCRVNNWLLLAFAIIICIVTAVKFLAALQFGSKRRPSPQDKFVICQVP VYTEGEDSLRKALDSLTALQYDNKRKLICVICDGVVVGEGNDRPTPKIVLDILGVDPK VDPPALPFKSVGNSAEQLNYGKVYSGLYEFEGNVVPYIVVVKVGKESEQTKSKPGNRG KRDSQILLMSFLNRVHHRAPMSPLELEMFHQVNNIIGVDPELYEYLLMIDADTCVEED ALNRLVAACAHDAKIAGICGETALENDEKTWWTMIQVYEYFISHHLAKAFESLFGSVT CLPGCFTMYRLRSVDKGKPLIISDGVIKDYSVCDVDTLHKKNLLSLGEDRYLTTLMTK YFPEMSYKFIPDAQCKTAAPESWSVLLSQRRRWINSTIHNLVELMQLKELCGFCCFSM RFVVFIDLFGTIILPSTTVYIGYLIYILATGTGPIPYISLAMIGAVYGLQALIFILKR QWQHIGWMIIYILAFPIYSFILPLYSFWNQDNFSWGNTRIVIGEKGDKQVVAVDDEGF DPRSIPLQRWDDYAMANNLPGRRGGYQEKTDYSYGDNYELDEIKSVYSAGPQGSVLTG MPGRNTYMPPSPAFNNGRTSTMGFQESPMQHRQSMMSMGTGVHDMRSQSPYQDYPGQH PGVANLRGQANLSPAAGGGHSRSGTALGFSSGSRSPMPDAMRSQSSFDFQHGQGGPTD MAIVESIRSVLCEVDLDTVTKKQVRALVEQRLQTELVGERRTFMDRQIDHELENM FPSE_09193 MSLPQLGGAGGAHTQPSLPSLPAHLQSDTHLTAHLASRFHVSHP TARLSSHALISLNTYTNSSKGPDGGKEGSAMAGAEDIADRAFLRLGHRSENQAIVFLG ESGAGKSTIRAHLLTALLNKSSTPLSTKLSLAAYVFDSLTTTKTATTPTASKSGLFYE LQYDTSATTNPILIGGKLLDHRLERSRIADVPTGERNFHVLYYLLAGTSEAEKSHLGL DGGSGAAGTTQKRWKYLGHPTQLKVGINDAEGFQVFKNALRKLEFPRAEIAEICQILA SILHIGQLEFETTSQTSVTGDDSGGFSHEGGTTVTAVKNKDVLSIVAAFLGVSSADLQ TTLGYKTKMIHRERVTVMLDPNGARAHAGELARTLYSLLVAWILETINQRLCAPEESI ANTVSIVDFPGFCQQTPTGSALDQLLNNAATESIYNLTLQNFFDRKADMLESEEVSVA ATSYFDNSDAVRGILKPGNGLLSILDDQTRRNRTDMQFLEALRRRFDGKNAAIEVGSA QAKLPGSNFMTENTSACFTVKHFAGEVDYPVKGLIEENSEVISGDLLNMINGTKSEFV ARLFGQDALQTVTHPTERTTVMQATVSSKPMRAPSVMSRKTTRAGRPSTAHRRQQQEA LEELDRESQVGESTKKNANMTPEQGASGQFLASLDNLQKAVTDPGTNSYFIFCLKPND RRIANQFDSKCVRMQVQTFGIAEISQRLRSADFSLFLPFGEFLGLTDSETILVGSERE RAEMVIEEKQWPQNEVRVGATGVFLSERCWMEIAQLGEAVSVGGRYGGLHSSDAGDGL TPAESIPYGVSKENLVSGGNTPLMYGEKSKGGYFTDDTRSEAGVSAFGGGDMFKNLDT REQMAERGNEKSLEEVEEYRDKPSRKRWVALVYFLTWFIPDFAIRVIGRMSRKDVRMA WREKVAINMLIWLMCAVAAFFMVGFPMLICPKQFVYSSGELSSYDGDKGSKGAYVAIR GFVIDLENFIPNHYPGSDLVSETTLMKYAGKDITSLFPVQVSALCQGKDGAIPPEVTL DNRNTNMTGQPQLLAQRDVDVNSVYHDFRYGTNDSRPDWYFEQMYTFKHVYLKGRMGY TPKYVEKLARDSAWNIVTIHGKVYDMTKYMQGGLRMKTKPGEATPNIPGATDFMEDSV VQLFRSAKGQDVSKYWDNIKLSAVKKQRMETCLNNLFYIGDSDTRNSTRCQFAEYFIL AISVLLASILVFKFLAALQFGGKNVPENLDKFVMCMIPAYTEDEDSLRRAIDSLSRMK YDDKRKLLVVVCDGMIIGQGNDRPTPRIVLDILGVSETVDPEPLSFEALGEGMKQHNM GKIYSGLYEVQGHIVPFMVIVKVGKPSEVARPGNRGKRDSQMVLMRFLNRVHYNLAMS PMELEMYHQIRNIIGVNPTFYEYLFQIDADTVVAPDSATRMISAFIDDTRLIACCGET ALTNAKGSFITMIQVYEYWISHNLSKAFESLFGSVTCLPGCFSMYRIRAAETGKPLFV SKEIVEDYSTIRVDTLHMKNLLHLGEDRYLTTLLLKYHGKYKTKYLYSAQAWTIAPDS WAVFLSQRRRWINSTVHNLVELIPLAELCGFCCFSMRFVVFVDLLSTIVQPVIVMYIV YLIYQVASNPSVVPITAFLLLGAIYGLQAIIFILRRKWEMVGWMIMYIAAIPVFSFGL PLYSFWHMDDFNWGNTRVIAGEAGKKIVVSDEGKFDPNSIPRKKWEEYQAELWETQTQ TARDDVRSEISGYSYATKAQGPFSDYHGGYQPSRPGSTAGFGHPNMSRMSLAHSEMPG NRASQFGGSQFFSPEEMVGMPSDDALLAEIRDILKTADLMTVTKKGIKQELERRFNVP LDAKRAYINSATEALLSGQL FPSE_09194 MGKRDFISGDGAAAFRKRQKITHEAPTSEEVTSSDQLRNLLSFD QDLRNARHGLQSFKNLLDQIVHEEGDQRANLEILQQYLESVKPRDTTEDAVFLNDIME MWSFAVQVNNDSVMSSVAVVLALLLQILSGSLQLVRHGLGICQTLLQEGQLKSLAKNL SSEKSKGFIISPTLRLLREAACLDGGAYAKRIFRARNFTLTALGRNLEIGHIGDTPEE VHKASVRTNAVRFFLSLLKFLGSDGRKELLSQKELLSHLTYTIRNDPPYVVTDILDSL KAYVLKDDKIPREIKFRSFNTKSLVRFLALYTYSNDGEDVDEKSTVSYKAHQLLIYIC TTPSAGVLYPSTGLYPKESEDDPIPSRAQKASTQGALFTDKYKNDIPVYNFVLAEFAQ KLRPWSSLKHSELLIEIFTAAPELTAKYFLGNKSFTFEPKLSMTWIGYAAFLFNTMQI PLLPRFGDRSRSAKAPPPTSILLDNIIPLPINQKVLIRCLSSKSNLTSFFATRILIVA VEKLAEALKMHEEISKGKDPVWAEAGRRLTDAFCQRIPDMKEIVRSYKSIPAKNILHK TMASRLLRLYYEVIPQVALAANFDVSPFFTEVLKSLNKGSEQHEDESLRVMELENLVS IASYSPGMRWFSKIDNLIDGAGSSPYTALLRLLCDKKRDLPFQQLKKVLGEVAVENQL VTKASLMSPLFDAIQSTLADVKTKHMEKVWSYVDNCINRCASSPIKYLDLLETYVQED GLQSPSDDTALVNVTMAEQLSFAMGSADSDEQAALGKFLYSYFSVSYNMKHGKQLTKA LYKRVSDQFSSSKVKMKKLKEEKSDNPVVDEDMMDVDTTEVAVAKDGSTIDSSRLEAM LHVTIAEDEDTTTLTKWAGKNAEDLVEDGWASGLIRLLSSAHTNIRKEALTSLLKMAA KLKESSYEEKEQVWLLLSELVESSRDQVDKGPVPSAFTAFAIHALDVLKNPLHPLYPK INSFLTRSPFWSSDKLPLAHDVLHGEPSEDDKYYTEITWLLTYLLDSLRTPSDLSIFH RKRWFEKILAVGVNPYLRVNLRTRLLRLLYRATCIEGGSTTLITRFGILSWLDTQRTS FEGGEEADVMAALMKRIWETCDQEKVKIWSKGGVEKLLAAHVL FPSE_09195 MTHAGTHGAHGSGPQTSPWPSWLSLCCAPLVSSEDRRPRRSKSL STSTSDSAHVGFAWASDSSSTLNTSWPVGRLSDKQLLIGQVDEKQAYPVIYDQPVHHP EPVAMPKHRNMSENSTRDSVSSKRRRFWSFSSNNSRRPLISAPSDFRHIATSTDPFFD YPEPTPSRASNLRPAKQLPHRPYRPLELSIYQPDNSVSPILPHFEPRPANPAPSSDFS EEPVSDISDSPSEKVRPSLEHQKSFSDSPMSFHFPRKNITSSAPSSSFGDDEIPPLIP PKSRGRSRAYSSPDVEKVKERVASAMLEVEKLQKQIDEVIERQSLYVPSRPATPHSVV RNMPSMSSSPGDESHLLTRSDLEPMPSIPALPPAAPSFAQRLNSDIIERPRTAPIRSP RTPMRTPITPPRRARTPGEPRTPSSNTPPPRPRRDDLPPPPPLPLVLRPPLRKKKSFS RVSSWLFPGSQHSRNMSFDSVTNAPRPIRGNQGFYQVSLGGTSLRRRSTDSADTVSTW ESDEEYRTVPTTCSLGSTAAVPQDEGPLISRFATSTFGRNEIRPQRRSVGVAM FPSE_09196 MVGISQDDAPSTAGRSSISDGDAERGRLLSGNDDDEPNAAEGCE DAERLESWHLQHKRRETRRWSYLVMIISTIALITVLAVWVHNYTLTSGCEYDGSCNDI SKLWGQYSSFFSVPSEIDSSTPDGCDVTVAIVLSRHGARYPTTTKSEAYDATITRLQK SVTKYGSGYEWLKEYQYSLGSEDLTEFGQDQMIHSGKAFYERYIGLAENSNPFIRASG SDRVVVSSYNFTQGFYASRGESGDDYTDDILVIPEESGINNTMSHGLCTSFEKDDDLG DNDAQTAWGNKFLPPIRDRLNRDLKKAKLSLKETVYLMDLCPFNTVNTPDGAVQSKFC DLFSTEDWRSYNYWQTLSKYYKYGNGNDMGPTQGVGYVNELISRLTRKPVKDETTTNS TLDSNPETFPLDRALYADFSHDNSMVSIFSAMGLYNYTGKLPKHHIVPAVRAHGYSSA WVVPFAARMYVEKLECGATKEQKGEEYVRVLVNDRVMELDTCGGDEYGRCTLEDFVES LSFAREGGHWDRCDV FPSE_09197 MVSTAGGIVIAIIVLLFAGAAGWFIFTQLRARRLGLPPPSFTSY LPWHKEDDGYGPPQPAPGGIVGWFNDQFRKLKNRNNRSAAGAYEQSGAARGRRGFGPL DPDEAWDSRVGNEADQYGYYEEEVGGRGRDTAYGGGGYNMNLAATPGLSGGRGFGDGE EDRGRRASRSPTSGPGGRNPFDDDAAATSLRGVSPRPIETGYGKSKPRSGSAESSPTE RRSVFRENM FPSE_09198 MSLQVEAPDGVLSDRPRFSAPPRRRQLEAKDVWEVGSSFLLNRY LRNKPMTGQAMVI FPSE_09199 MADLNLQAVHDELVSVAYEAGAMILAANPAELDTDTKLNSVDIV TEADKGVEKMVSTRLSSSFPNVSFMGEETYKPGMKLGPEPTFVVDPIDGTTNFVHSFP SACISLGLAIDRKPVVGVIYNPWLDTLYTAIKGQGAFLTHGRGKEPQRLPLARSPRPI EGLGSSLVAIEWGAQRDGPNFDVKVEAFRKLTASRETGGSMVHSLRSMGSAALNIAAV AAGQLDLYWEGGCWAWDVCAGWAILNEAGGRMVHGNPGNWDPEVESRVYLAVRGAPSG QKELVEEFWAVLGDNKLDYSI FPSE_09200 MSEAEAPKPVEALQPEAEAAPTTQPTEEKPEETTQTSEDKSEEK KEILKTTAKHDENPRNNRKFDPSVREVTDDPEAIRKQVEFYFGDWNFPQDKFMWESCG GTENKPMKVKTIHSFKRMRTFQPYSAVIAALKDSKFLDLSGEEGEEELKRKTPYQPLN TSKSKVEAATVYVKGFGDETPNTQFDLESFFAQFGEVKGIKLRRTNEGLFKGSVFVTF ADEEEANKFLKAEPAPKWNDHDLKIMSKRAYCDEKNELIKQGKIEPNHTQPKKFYEGR ESGKARGRGRGGNDSGSRDNDNWKQRRDNDQKNGFKDRRGGRGGRGGRGRGRGRGGRD GGRDRDQAKDGAKHSSNDTMPRIQSTADESSDNKKRSRDEAPAGEPAAKKSIDNREDT PVGDLIPQTKAEPGIKNEPGIKTEFGVKTEPQVKSEPDIKTEWVKVKTEPSI FPSE_09201 MRHYRGSLRSLHRIPSRFNNHTRLPRRRLTPRQHRQFWTSHSKR DPKEEEQQRSTLPVAVITGGLFIWWLYPSDDFAQLSGKQARLRNDKDSGQDKSPDKSQ NSESDADQSAWINFSRRFEAFSTLNSLEFSSFPDKIVNSLLPEWSRLIPGYVRKLQRE LSMSPGSLADEIWHDAHDPLINPEIQYSATVRVSPDICDEEKEYLSRRKRVARVGLAK YLGLKEDEVHPDDVPTIAMCGSGGGLRALIAGSGSILATEEDGLFDCVTYTSGVSGSC WLQALNLTSFNQGSLKKLIEHLKARSSTHIAYPPEAFQALASMPTNKYLLSGMVEKLK GDPKADFGLVDVYGVLLAARYLVPKGDLGVNDRDFKLSNQRQYVQYGQLPLPIYTAVR HEIPYLPEASKQGPIEAEIAKEEAKKEAWFQWYEITPYEFFCEEFGAGIPTWALGRRF KSGRDIAPEHGFHLPEIRLPLLMGIFGSAFCATLSHYYREIKPLVQGLTGFGAIDDLI STRDDDLIKVHPIDPAKIPNFAYGMHGKLPETTPGSIYDNEYIQLMDAGMSNNLPIYP LLRPGRDVDVLVAFDASADIKTDNWLSVADGYARQRNIKGWPVGIGWPKSGEATSQVV EELDEAQAKSTREAENKLREAKKEQKELRQEAHEEGKQVMAENDKTKFEHGDQESGDL GYCTVWVGTNQERSSKPPPPSKALSGDNSWQLMEPEAGIAVVYLPFISNDKVPGISPG TTDYLSTWNFIYTPEQIDNVVELARANYNEGKQQIRDTIRGVYERKKKLREEAEKAQR EDRYRSLVRRGEGVRLGEGDHFS FPSE_09202 MKRVRLDRLGGQLLQAQAQARGGINITRQAFLQTTRRQTTSATV TETENKQSTPQPLPSPPFQRAAESAKLAALHARLSLSNKIPLETLARTLIVPSADQNP SYNNSNLAFLGSTFINYHVLEYIICKWPRLPMPIVYEVLRAFAGKESLHQIARRWGVE AAAAPGEEVDPGLLQWAPKDSGMQTRWGYVRQEAAYTDRFTPRRGISSRVVFDDDFGD VIEEPKTGEDGYKYYQHEAHSSFVQAVVGSIYTHAGQDAARDFVKSHVLSRQVDVSAM FQFKLPTRELALLCAREGFEAPIARLESETGRHSRTPVFIVGIYSSSEKLGEGAGASL DLARWKASMNALKAWYLYSPGNKVRVPSDMHEPGAKPWKAPHIDIGEII FPSE_09203 MGTSPAGNRPFDINSTSPLRTPTLSFTFIDKLFKMGGGGGKVPY PKHVWSPAGGWYAQPANWRGNTLIAGVVMAGIVAVTWKFSSEREQWAHRPEPGQWYAS RHWSKQLKQWDAEDRENSTKSE FPSE_09204 MPFGMRRHLLRLIALIRSQGTQTKADSKAGKAKSSGRQTEGWCK KSFKVMAEVWRNGPSVRVHSRAVANKVTQTAKNNGDAVPRQGWARRVCTVMMDRKGKK PKWIMSR FPSE_09205 MSSHAERHGHLDATAGREVVYCHACANEWYRDEGGLTCPECRGE ITEIIDPENDPRDLGHHSSASTSPELHPYDSDPDEADIDEHTNQGFVFRRNIREGPGH HHHHDPAYAPTIESFVNMLNQFGARAPDGRSFSHGPGEHGEHQGHGEHERQGHEGQAG EGEHAHPNFARVQRATFTGPFGSGRTTITMISTPMHTTHTVPPGAPGLQAFQEYAQSL SRRPDGPRGLRVTAISLTMGANQPSSIFSDILRDTGPPPGHEHVGEDGMGGGHPPGFG RGLQDLLNLLTPGNAVHGDAVYSQEALDRIITRLMEANPQSNAAPPATDEALRNLERK PVNKQMLGSEGKAECTICIDEMKEGDMATFLPCSHWFHEECVTLWLKEHNTCPICRTP IEKNDRGASNNSGNNNSSGSNQSQGPASGPSSDRSNPFAAHMPNAYNTDWEPFDWTSQ PHSHSRPAQYSRPPSQSQSRLNEVLRNISTQREERDREHGRERDRATTSGYSYDTSRL QRRSSHSPTSPRARAPSEHGARMRQRSPSQSSRRSTADSDQQSRTSGHGPISWLRGQF SRGPGTGSPRDGSRQ FPSE_09206 MAESVPIPEPPGYPLIGNLGEFKTNPLNDLNRLADTYGPIFRLH LGSKTPTFVSSNAFINEACDEKRFKKTLKSVLSVVREGVHDGLFTAFEDEPNWGKAHR ILIPAFGPLSIRTMFPEMHEIANQLCMKLARHGPHTPVDASDNFTRLALDTLALCAMD FRFNSYYKEELHPFIEAMGDFLLESGNRNRRPAFAPNFLYRAANDKFYADIALMKSVA DEVVATRKQNPTDRKDLLAAMLEGVDPQTGEKLSDDNITNQLITFLIAGHETTSGTLS FAMYHLLKNPEAYNKLQKEIDEVIGRDPVTVEHLTKLPYLSAVLRETLRISSPITGFG VEAIEDTFIGGKYLIKKGETVLSVLSRGHVDPVVYGPDAEKFVPERMLDDEFARLNKE FPNCWKPFGNGKRACIGRPFAWQESLLAMALLFQNFNFTQTDPNYELQIKQNLTIKPD NFFFNCTLRHGMTPTDLEGQLAGKGATTSIASHIKTPAASKGVKASNGKPMAIYYGSN SGTCEALANRLASDAAGHGFSASIIGTLDQAKQNLPEDRPVVIVTASYEGQPPSNAAH FIKWMEDLAGNEMEKVSYAVFGCGHHDWVDTFLRIPKLVDTTLEQRGGTRLVPMGSAD AATSDMFSDFEAWEDTVLWPNLKEKYNVTDDEASGQRGLLVEVTTPRKTTLRQDVEEA LVVSEKTLTKTGPAKKHIEIQLPSGMTYKAGDYLAILPLNPRATVSRVFRRFSLAWDS FLKIQSDGPTTLPTNIAISAFDVFSAYVELSQPATKRNILALSEATEDKATIQELERL AGDAYQGDVSAKKVSVLDLLEKYPAVALPISSYLAMLPPMRVRQYSISSSPFADPSKL TLTYSLLDAPSLSGQGRHVGVATNFLSQLIAGDKLHVSVRASSAAFHLPSDPETTPII CVAAGTGLAPFRGFIQERAAMLAAGRKLAPALLFFGCRDPKNDDLYAEELARWEQMGA VDVRRAYSRATDNSEGCKYVQDRIYHDRADVFKVWDQGAKVFICGSREIGKAVEDICV RLAMERSEETQEGKGATEEKAREWFERSRNERFATDVFD FPSE_09207 MAEHKRTESLMEKLKLHDAKVHLVHQKHKIGKLANLFNKNHRHD EEHEQRCDDKRTAICEQNRYKSYFPMRAGNRVKWYVDGRDYFWAVSIALENAKESIYI ADWWLSPELFLRRPPYKEQGYRLDKLIKRKAEAGVQIYVCVYKEVEQALTCNSAHTKH ALRRLCPKGTPGYGNIHVARHPDHNVFENFGDMTWYWAHHEKFIVIDYEIAFVGGLDL CFGRWDNHQHALADIHPADVRDEIWPGQDFNNNRVMDFQNVDSWQDNELSKADFGRMP WHDVAMCIQGAAVIDVAEHFILRWNFVKRDKYKRDERFNWLMLEGREGEDEDLVGVQR PKHPVGDYVKHPYTPLSTKNLEDVGTVNCQIVRSSADWSSGILTDHSIQNAYIEIISK AQHYIYIENQFFITATGDQQAPVKNQIGRAIVDAVVRADKEGRKFRVIVLIPAIPGFA GDLREEAATGTRAIMDYQYKSICRGEHSIFGQCRAEGVDPTKYIFFFNLRSYDRLNKT PAIIKAEKETGVSYQKVQRAEAEEIMSEGIHGIHDPEDSDGDEHMRRDKDKGELDEDI KKTMEARKKFEAAMPPETVHTTSSVAHHAMADTGEEGLKSESWFEEDPESEIRNWIQE ELYIHGKLLLVDDRIAVCGSSNLNDRSQLGDHDSELSVVMEDTRLIPSMMDGKPYEAG YHAATLRRYLWREHMGLLPPQEHDASKDINAMPVNVNPDNDIYDQDESYKFVEDPLSD ELWDMWTSRADKNTMLFRHLFHSDPDDHIKTFEDYDRYLPPKGVKAGHIFDQFLPIAD AREKLSQIKGHLVWFPLEFLSEAEMAEWGLQVNAVTESIYT FPSE_09208 MSDAAYPPGGTIVQSLKRSFVDVPVDAANGDAVSTTEFLEASES LTTIFDAIGGMAFGPVKKDILGNVEKLRARQAVAPAESGTVQDLVRNELKTGKHTATE GCLWLVRGLDFTCQALERNVANSSEELADSFRTAYGNTLKPHHSFVVKPIFSAAMSAV PYRKDFYAKLGENPQQVEADLQTYLASFTKIVNILKAFINGPEGKW FPSE_09209 METEATPSESRPTTPEPPGYVNPLESSSRWYLIARAQAIRSAAS LGFSIANRTSPPAPSPTRDIWLDATLSKAAPSQADRAKTKIKAEVWMPPRPALGARAA VINFHGGGWILGQGTDDARWAGAIMANLDAVVFTVNYRLAPSCPFPTPIEDCVDAVLQ IKHRAAEFGIDPDRIVLSGFSAGATNAIASWLILNDPGRWGYKFPFEPPKIAGIALYY PVLDWTISRPEKRLTCSKPEFTLPKGLTDLIDASFIYPIIPREERSDPRLSPGLMPDE LLKKLPPIHLVLCEYDMLLAEGLKFSNRLKAAGKQFTLRIVEGERHAWDCPPPMTPKE SVMTEYQVAVETIASWFGKKCETDTESLRSMKLKRAVFKRPKYLSYNTWSGW FPSE_09210 MTGILAPVASAFGMPLPSKYHQKGLATTTKNSSTSSPSTPTSST TPKFPSPLITSFTAAPASASRPLSTISIDSVLSEPTAALTSSSFYTTQMASPDTTRRP ASATMSPPPISVDTRPSAAILSSAFSSPRSVSPSRTIRETMNDSGVELPHRESARSSM DGTTATTNAIVPSSRTSLDSGRTSMSSDWPVHPGEVNTIAQLDTLPFGTEVTFRARIE TQRPISKVLDFLLLRDQTHSVQGVLARDAGNADFISWVRKINSESLVQITGTLKQPPE PIRSATHSGVEVAVDSVHLVNLAQNLPFSNYKPPETLRNRMNARILDLRHPANQALFR VRAMVTRVFRNTLEEHGFVEINTPKLQPAATESGAAVFPVNYFGRRAFLAQSPQLAKQ EAISADFGRVFEVGPVFRAENSNTHRHLTEYTGLDLEMAIDTDYHEVISFIDIFLKEV FRTVYASRELEVIRKRWPSKEFKWLEETLVLPFSEGLQMLRDDGREVEVEDLSTPDEM RLGELVRQKYDTDYYVLDKFPANARPFYTAKDTEDPTWTRSFDIFIRGQEICSGGQRI HNVDELRANMAEAGMAEDGMEDYLTAFELGAPPHAGAGLGLERIVAWMLELGDVRYAS LFHRDPKSLPIKAPGLPHPEADTTKPHHADSPPPLEKLIANYGDATNTSWLDERFQIW RHETGAAIGWVQREKFAMITGDPLCDRNQYPQVIKAFINHLTVDLRLTPFWMLVSFEV QKILASELRWRSLTCTEEQRVDADKHNSAQIEGLAAKSRRVEREGVKIHEVKADEDFI ARANPAIEDWKNNRKGKQVHLTEVRPWIDQEHRRYFAAEKDGKVMSMVVLAKLAPRHG WQVKWALDFPGAVNGAIEVLVSFALSNVTGKVTFGAGVSEKLTAGEHVGGLRAKFLSA TYRSIIDSLGLRRKASFRSKFGALGEEIYICYPKKGVGLRDLQNVIKFFTD FPSE_09211 MSDHAESFGKAFGAFAAYGKSSKAGSGSGSGSGSGGRGGGGGGR GGHEGSGGIRKKISRCYDCGSAEHLNRDCPHKNTPRRALRQARREAAAESLASSSAET PALSTAPPSAAPVSVPAHVPSPALSPAPAPRFSFGVARTPAPAPRFSFGTAPAPAPAP RFSFGTAPAPAPGPGFSFGVAPVPAPTLVPTSDPIPAPAPPTPSPAPPLAPASSSAST PAPATSTASTGGLLPSAALASSSGPVISAEEHRLSVEEAEIQAMGDRLHEAERRVLQR RQEEELQRFQQQQEQQLQQFRQQQEEELQRLQQQHQQQQLQLQFQQQPQPQQQQARAR HGSGPISIDDVLGPAEDLPISQTRPWEPPSRRHLIMHLVRATNLTPAFAEQLLWRYDW NYPRCQDIVRNQGSAWPSEVFKEGGMRRD FPSE_09212 MAGKKILILGSGMVAKPCVDYLLRSPNNTLTVACRTLSSAQKVA AGRARVEAVSLDVASPELDRHIAGHDCVISLVPFVHHADVVRSAIRGKTNVVTTSYVS PAIRELEQEAKQAGITVLNEVGVDPGVDHLYAIKTIDEVHDKGGKVLEFYSYCGGLPA PEASDNPLRFKFSWSPRGALLSQKNSAKFVQDGKVFEISNKDLMSMAVPYHVVDGYSF LAYPNRDSVPFREAYRIPEAQTVIRGSLRYEGNPALVKALIELGWLDTTTKTWLRDGM SWAEIQQKATGAASDTEADLMARVDELCTFSSPDQRGKVLAGLKWMGLFSGEVPTIRE TLLDTLSDRLNMLCSFKPGERDLVMLQHKFVVQWKDGTKNTITSTLELFGEPNGYSAM SKSVGLTCGIATQLLLNGDPAFNKPGVLAPYSREICEPIRTRVEAEGIKLVEKIFK FPSE_09213 MTSIQEAEQIVRRLTRKYGFLNEEMMDDIEQFNAAYRREIDENW LAMESAVSHSVKILAKQIYGSGARFVFELLQNAEDNMFNKARASNALPFISFKIYLDK IVVECNEDGFTEPDLDAICAVGKSTKSASHGYIGAKGIGFKSVFIAASRVHIQSGNFS FEFRHNKTDPGIGMVRPIWVKATETIPSPMTRMTLYIHDQGDPDEIRHLKRIISMQFD DLQETCLLFLHKLGQIDLEFYDDNGELERSRCFRKYDIDKYRVSLETISDSHGDQTTR NQIYHITRQTATNLAPSDNRELSNTDEGKRAEVVLAFPITEDSEPLITQRNQDLFAFL PLRRSEYKFLIHSDFDTNANRQDVITTSRRNLDLRDWIATAFYQAVLQFCEHPQLCYT WPMFLRCPNTSNDAFWSGLDHDIQDLVKNRPVLRSRTRMDLRLIKDIVILTGDAKDVE GLPLFDDPVRDLFLSPSYSSNVVDILKGYGLERLSLLTVLDLLEADIQGPNSRFHGVD ASDEWHSAVACLLSDALEGTFSGPRRLKSLPLLPLRSGTWTSATSGIVYFPTMESISI PKSLDLNVLDLDACKSADRKSFFEKLGVRQATADEVRASIFRSYKWKSLYFVTMNAYL TFLYLTHQTGKHVQEDYAKVQVSTSTKERKTPHDTDVYLPGTHDSYSPKRLLAATGAS PGLYVDFLRSGYVKSPPDRPNILHPSWEEWLCTFIGIRERLRIVSRDGRNLSDAFLYI HEHRPEKFLGLFEHLWLYEKSSLMRNQTLRSKIEDLAAQSLCGVDFSLKLKETWLPTK ALRELVDRYMDHREHFPFLDLDKSGTTELGTQWNFLSDYFSVRKDDTVDFLLEILRCI ERSCSDSPTDSQIQKVFDLYVAIYAKLAVTSIGSDARRKITDFFEDGGIVVPYERDPT WAMSSACLWVAPPDMIASYSLRDLYVERVNAGSFRNIENLFKETLQIRDASLNDLVAE LMTIEEHDCEEISRLQAIYDYLHQANIPLPDLKAAFEASPLIYCETRHGESGWYKTSD CLWSSETTIRGKAILDECWEAYESFFVGKLGVKLLNLQMVYDELRQSSGNNIEETKVA ILSLNGLLQTETTRLDPEPIRQAKVFPVRYPTGTVVLSSVSVDFAIGDREKLNTMFRD KITLLDFDMEEIRRLRPLIEWLKLQDRYLSNSVEEDSFIVGDLKNPISTPNRDLKRKA YHITRVAATFNSPRFEDDPIGLYEQLRTMKVVEVDRISSVLKIFQNKQPFEVHVATAN EHIDDSTGGLTIYVPKDRRAQELCFGSVLPRKLGAWLMRRSKSLIDGPIETEAVNALT AIFATERFVLDDVLDDQGILQVSFEDEDGESCSSETEEHQEEEDQEEESQQQGSSDSE LETDDTLSEQLTPSHSSTNAGTLADAELSETMRGEGLHETLAEVISRHSQMSSQPLSG RVHHSPQAAVSPSSSQSLHHPSDQTRPNLPPAMTPLSGQHYSEDARYRVILDRVIEKA QSAVLPSRGSFDMRDLRNALPDDDTNAYESFDGLDLVSRFRSTSQLERDKKVGAAGEL YVFELLSCLNMPNWDRTNWQSTIRSYVTIHPDYRDLQAWRGHETADLVYSDDEGHLTN TLVGCGYLDHDEWHGEQPKYYIEVKTTTGPCSTAFYMSGKQYKLMQRVHQSDDHSEIY MVFRVFWLDSDDISLCLYIDPEQLRQDESLLFKGQAWAVTPGPSMG FPSE_09214 MEVHMERLNSLAEEFRCSLKEHHEESFENTTNQDMRREIYDMQT LRDVTNNMINMNRLRMFIEGMEELESVLIFIGYPGARSIMSNVWGAVKFLLKTTNTTD RAFDGVLDVYGLLGAQLMPLTRYRELFKKYPSAVECLVNIYEDIQRFHSLAYKLFSLT AKLWQRLQKPIWEDSARRFKRISESLRNTAKIIKAQSTRSQDMYPDSHGILSELEQYC YGWKQEWRTFENDEDIRKHEQRQEVITWISASGKMPRLQQSFRDMAICPKSGRWLFRK YSAVSDWMGEDDPPDSAIWLHEKVGYGKSVLASLVVQELQDPETHFEMPSGSKTCYFY CQEDDNEHRNHLDIVKGILLQMVESDDYILPLCHQEKNTSGGANLLDAGVAQKLIKTF IEYSPRHYIVIDGLDECDGAEIHQTARFFKDLVSVYDTQVRLGHLRVMFIGRETSDTR KFIPGDDCLSVPLKPDDNHDDIRAFVQKRIPEFSNSEYSQGFSLSDAIKEDIERIVCH QSQDSFLYAHLAIEFLLQQDTRRDLLDILEQGILPTELGDMYEKLLEAVKRRLQSQRG GEAKWQRSKSLFGWLVCAKRPLKWHEIQAILCFDERELKVDFEDKMMRKDVETYLGSI IHVLDGDNIRLIHSSARHHIIENKYIQENIVQCQLATTCLRYLTLSCFGNDYISTQRS EHATGGWFAFQDYACSQWLYHIDTVIRECSDLFQSPCQVTMDFVSALEHFINTHRSEI TTSEHADLGDGVISKFRHLDFYDDLRLVWNHIYTHQRGDYATRNKIGIAQIETALKDN RTELERFLPDQRLGEEDTVKHYYGGNLFKCRRTLCRFFYIGYDKKAARDTHEKRHERP FQCFLSCSSAPLGFVSNKDRDRHINIYHPNHSEGSSHFEVLSRRQVPGKFTCNICSKS FTRNINLKSHERSHFGDRPFACSTCGRAFARVNDCRRHEKIHASKGY FPSE_09215 MAQSWKHILNPVVEQEQHNEQPTPISNTSLANHQSAPLSNVPAE LYFNANEPFNMDFSLNGWNDYTGELPRESEYLGPGSSNPLANVPVHQQNYFPTDMILD PQLMGLDMGIMDANLNFMLPVTTEQQTNSFELAHSIENSQYLGLPMDSSQDESSVTPD VMCSSQSNLTPETVPDVDDTEEGGREEEESLFVTDQDETIVHYGMLHNVEVKLVPDDM SSFDDRLTTGDSPYQYFKPTEYQKQVMLCFPEDEKHFGHLSSAAGQTLMPLITRPRVE VEPLALKIDLREIIHRANKSADARVKVDINIYGPRSVGTSIGEILSNGKLWLQRSSHS KDGTEYDNPHFLTITVTDGHNGLVQNEVVANNGPTKKKTREEQLRKMVEEVYNAVDNT RELELVEGGDRVTRQLLRHQKEALGFMLERESGHINDKYRLWKEIVQNGRVQYRHRIT KRRRDTRPEEKGGGILADDMGMGKSLSILALVMKTLDNGQEWANLKNAEHKGRKTTRF SRSTLVVVSAALLVDEWMNEVKKHLQTGLKIVKYHGDRRPKDLSDLNMVEDSDIVVTT YHTLTTEYLIGKGKTSPLYKLGWYRVVLDEAHIIRRPSTKFFQACDYLHANSRWCLSG TPIQNKLADIGSLFRFIRAEPFDKASEFRKWIETPFGNSYDDLTLVKDRLVMLLEALC LRRTREVLDLPKTRQFVRHLKFNKPERDQYERTRNIIMRNMEHRMGEVEKSSQFGMFQ MRLQLRIVCNHGTYQKLFSWHRRNLLEEREAIVGTAGQYGEMLCVGCEQPMPVLGHDW SKKMFEDGCSHVLCTKCIEDSQFSELEISQRRCPVCVRWYQAPSPTGDEEDGTSRRKR KRNATTKDDHEHYFNAEGHSTKIRALVEDVRKDLWTTKSIIFSCWTRTLHLIARHLEK ADIPYLQLDGNSPLPQRQQTLNKFENGTETPVLIMTTGTGAFGLNLTSANRIFIAELQ WNPSVESQAISRAIRLGQKKEVRVTRYITKDTVEEDIKQQQEYKKYIAALGFEEGMD FPSE_09216 MNPKGTSPCRGDRDFFIDIDSDDNYISYVNNWWDFSGLFEVTLG WGTLDFTTAKLMDVAWDLVVGRGGQAVMSLIAWRVFTEYLEVSIATRPATYTTIWLLR FHQDTSFFRRGLASKTATWMMATTLSFILAFPTIAGSMTGYTTFNNAYIGSSDERLFP YQDVKPVAYVIHDGSRVPGFTDDYIVPWRSSVLRDNQHNLMSYWDYCRSFDEEYPDQS CELQLNTSLYTQRYGYDASAIRDNNNRTINETEFCGKTLQGKPLDISAYYLPGPFYWD LSNSENRTFNSNPYDNSTNILFVVGDDLYNSTELVQNGVCQPKKDESSVQRYQWGFSF LQLYFVTILLLMWSLALIFLWKDAHEMLKHNNRDVSSRDWKGLLDFTDTIKKQLEEAG IDITNLSDKQLNDEIQTILKGGSISSQDMPVHLFSLWYLLWERKCCFCCWENSQAEDF RFHTCKFTLYSILFLQLEVSGSSFAWNLCWVMPGVCNWVYKGKSLVSFTTWRKSVKV FPSE_09762 MEIPSPGPDLVLTLLFLCLVLVCMLCIGCLILVILVIRLTIHVQ QGPPIEIKETKHGHKEEVKQEVKKTIKKLGEPVQEFFHSAQDHLKLPNNPFTKKPISR SLHLSSEMASHVQNMVAVALPDGNTIMFQVNKDYDLVYYESRTPTESGSGRRKYDSDI LKIRGKPVRVNPKLPVVAAVAFQHGDCYDGKAHVRVYYVDRDSFAIREVYRQGGNGEP WDDAEHFNNRGLVISPQSGLTANVFQAPNNKKNFQIKLYYLRDNEDPHPDVAFNVVAI QDLWDTRPNITQT FPSE_09763 MASNSIFRELPRDIAAVVFHGKSYIFFVNSNHELCYLRSPQGSN KDFEHHIVEVKNGNLKVKCGSRQVAAMAWMGDKQQEIRIYCVAPEDGQCEKRGYIQEV AFNRANGWELGTLGYDDPKQWIDSDASLSSCALVWPDKPNQPDLSLFVSGKDQRGCPK VARYFYDWHTNSGTWVEDGVISKKVSNW FPSE_09764 MASLCLMGLVSASVRDDASSHTTETSSMTSRRAGQSGAGAGNVI RSCGSHISVCGAEDEDNVIYIPRSTETETNEIHSISIKRLKPTTLTVTEISVSTIIEE TMGHCRRTVTAAGPPAKVTIDVTIVSIQEVQDVDTIVETVTSTTTASVVEQCFLETYT ILGRKPITSRRARPDLVSTESASPPEYTDAATYSDESYDESTPNNMAGGLDGPGIYEQ SSDE FPSE_09765 MPLPKVDNEAPNTEDYINDSHRNSSSNGTFVNDNPNNNIGGQRR VSKATLLRNPLAGMTRAELLADVDSFVDARGLQEHREDFRKGALVAQVNNTPGAFEKI DIVTEEEKAVLRKEETSRWHQPFALYFLCTLCAGSAIVQGMDQTAVNGAQMFYFEEFE IGNEWMQGFTNGAPYLCSALIGCWTSPILNKYTGRRGTIFISCAISTITGFWMAAATS LPNFLAARFMLGFAVGAKSSTTPVYSAECTPKNIRGALTMMWQMWTAFGIALGFIVCV AFQNVTIIGGPNSPWRWMMASTSVPPLIVMLQVYFCPESPRWYMERGDFNKALRSVRK LRFNSVQATRDMYYAYKLLEIERSEREGRNLLKEFFTVRRNRRAAQSAWFCMFMQQFC GVNVIAYYSTSIFQDASYSLSEALLVSMGGGIINFLFAIPAIYTIDTFGRRNLLLVTF PLMAACLFFTGGVFQIGEQNQQALIGTVTTGLYLFMAVYSPGLGPVPFTYSAEAFPLH IRDIGMASSTAITWGFNFIISFTWPALRRAMGNTGAFSWYGAWNIFGWVFCYFLLPET KNLTLEELDMVFGVSNREHASYYARKLPWYLKKYLLRQDVPPFPPLYEFAANDGHYPQ EKPDAKHVEGNNLTPDSGDKEIMSERR FPSE_09766 MSSFQYSALDPEQLRFLALHPGAPQDPLAGTLFLDDLDQDWELP YYQALSYCWGDQLSPDSIILKERIWSDEGGNLDIRRNLASALRQLRHPKEERIIWCDA ICINQQDLAERSSQVRRMSKIYRLANRVIIWLGPETSWSTVAMDTLRWADKQTSSDRS LPLSREQWTAIEHLLDLDWHKRLWTYQEVGLADQETCTVRLGSEEMLWTVFKDRLQFV STFKQGEPSLRSDPATYSLNVATFTLKAIANSMSITAGDLMLPAFSASLFECSDARDR VFACLGFVKPEVVQTIEVDYTKSAKEVFTSVCLDSLVRHQSLEFLGYCRAPTSPSWVP DLENTFGTPLFDVTYGRVRDHYMEKLGPLNGSLLPSLEDWRNRIRVLIDGDLNGGDDG TEWREMDKRLMRFVPGGSASRCVKTQKGTFIRVTQGSREGDLVAVILGLQQPLVLRPG TKPNSYSVIGTCYHPGLANGSALLGNDLSGWERLWDRKCFEAAFYKEGFPVRYTDPRL DGIPLEDGFHERSRVIRGCNTPVWVHEDNEGEFQANDPRMSEEALMKRGVPVKRLKLI FPSE_09767 MTDKTMEEIPSLPFRGKPPHARAPETQQATPKQRLAKADPVAPV SKATSASSRPVGTAPAPPSKGGRAVDDSAKCDPVRMKEALMDLRYPPEYTYSKDWNKS QVQHATKMRMLEREKEDQTSLIVAYGDDKKDLRVRCQAVPSPLASDDKHRDKTWIYVL SPEADSITKRITLDELPKWKAQGWKEFHVRKSPLVEGTDFETRKISLIHDVYTTDNPV NDTSRIFKTGIAISEKSDNITWTPERLLTSKDGAQAVVATSKEFIGPLDHEHSHLTAT SRGHHIKYPRPGKVGPGWGDYDQYQEWQLRGRKVTSDMVPEKIWDNSIPETVAFNIIK DGRAVAGDRVNTVNEKFDEEVFGERVSNPVGLCERIKKDEERAPNRFDLAGVGMKKSL SSTSDSSYQTKLGKYPIAGVRAL FPSE_09768 MADLPDTERRRASPTYVEPHKGDLETTREERFECAKKIEEKLRH VYKHTFPLTAIHASIILLVPEGCLKDGGFLDPDEPSPSLKQALQHVAELVGHFIQKSN EDRWPADPTQPKRSETVPTERSLKRPRAAIDSGSAGPESITRDDNDTGCPSYEPKEIQ QRAAVDCRQRDNFQCIKPLDSQNPDNETSEDQATEVMIQFNWLECRQGKPNDQVTVMG SSNSMEDLAKRQLFHEANGNPAPDRNESGIIAAGGMDAHTAIISGHVVQVLMPFSDAK KCKLMIDLQWYLIQIAAMSGGARHPELLPQPYVYDPCKRLRRSSSS FPSE_09769 MSDSDLMNEWVVVHRERNAPGNQPDSAAASKTPHKSDLRETKEF RYACAAEIQGKIRSYNTKFRLTAINISAILFIPISCLEHGGLLDHTMKNGYQLKVALD NIAILVGHYLRKSHEDLSESKEEPETARLCTQQQDETHDAEGRRPLKRKLSTRETSRK RHSHRKYTDNEEDDDAAGNNDNTNGETHESNQVGRSGTEKTRCCIRDKFQCVFTGTSC GGPVHILPFAWNKDEVNKKQTICSLRGGVAFFDMSVLSELSRLLGFDLGWSDKFWNMV YVNDQLCSKWPLAYFGLKCLSVKPKQSLDFESSEEPDFEVEIQLNWLHRRREKPTKLM YLEDDDNEMREMAEMQIKHEDDAAPPPTDFSTTIISGHTVALSMPESDALKCRLMLDL HWNLTCIAAMSGGAEHPDLLPEPVLWAGYSGF FPSE_09770 MCVEIITIAQCARSSASDEYSSCGKLHLIGKEHVVCKEAHGDCI CCFGTCGKIIPEVPTGIALSEISQAPCLACAGGQLKNYKVLESILLDGNGIHDENWDL NLKALQAVWHQKCACPRGIKASTPKDDATVNEPAKEHASGHVDTKSVVDSAAAEASFT EVATDKQGPGQSATTDAPGHVEADPAPAADPWTGAPVDTDPVITGKDDMATAVANDND GDTSIKAGSDAGNVVQGSLDGEAVAEASRDAPKSTDGATPVSRRAVNFAFSAETADKL RDTTEKFAALKTSFLMGKPI FPSE_09771 MSTDGTQTAEPDKRTAQDLVKEIAKERGYLGEEQLARIGEINPE LRREVEEALLRKEEMIGSAVLTLARNIYTSNARFVFELLQNADDNDYSTAVSQGQDPY VSFKVHPDKVTIECNESGFTHENLKAICAIGKSSKVGAAGYIGEKGIGFKSVFMAAWK VHIQSHKFSFSFTHRKGDSGLGMVTPVWEETDETTVDSSTRITLLRHTSEDPEEDERR RDAIRLQFQDLQHTILLFLRKLRKVQVSFYDKDDVQTATTVYSLHGSNPATIRKETSD GVDERQYHVTKHVAENIPRSENRTYSDERDRADSSTEVVLAFPLTDTGTPIVENQDVF AFLPMRPMSFKITLSLPQRDSHPWDSFWSSLLDRIKERIHQVKVLRTLGSRQLGYMYQ LRNLQSWLRDEKGDPLFSDTNNEIYLAKEYTKDDLDLLKPYGLQGASPMEVILRIEND LQKPPEASMMKRSMISNDGHSSAARALMLLLKGITLDGPGPIQARPEQLRFIPLDNGG WVSASRRPLYYSHSSGQLKIPRGLGLVLVAANAASNPHRRALLDKFGVIEARFRDVRL TIMQKAVLPVADANSLHTSSSYLKFMYLSEALLKDEEVPKLLKDFQVYDHQRRACRSV KHNLYLPGDDEYGPRQLLSPYSSAPGFDVPFLHDDYLHSPPATPEGLACNWEEWLELR LRLRRNVRLTRMDKKELTISPLFRYIEKHRPDRLLGALQRAWNIENLSATASDQLIDE LSKMKVSCKTQSDRYCLFSLMSTYLPLPELESKRSRYAEEGGSFFLNLGEPITSDTYR VKWGFLVDHLYIGHTDDSCFYLHILNAIRHENTAADISRKSRILDLYEVIHTRCQEAT NVLDEQTSTRDTIAGHRLVYIPACDPQPATWVSPDECLWNARKEMQTAYPLAHLYRTA FRRSEEELDIMRQFFKITLGVRDCCWENYLNELRRLNTLGTEDFDWVNDIYKSLKTER PSLADIDATKIRKAFAAEKLIYFKSGNVSRWYTVSECLWSSATKIRGRVALNDLYPDL EDFFVNFLGVQELTLSMAYDELKEMGARIPCPPIDAVKDTIWALNSLLVTADRLPDER PILKGTAFPVKYPNGSVKLHPGRTEFSIVDRKALGDIFGTRAKTLDFTLDEVRRLEPF LSWLNMGSRYLSASVREISTVASEGMNKLQSFDREIKQKADGLYRIAVHYNSPRTQND NSKLHWILSRAEVHETDGISSELHLSQDGHAIVHVQERSEPHIREDNDALKVYVPRDP EAQGFCYFAALPRRLLEWIMTDPSTLEGADVNYGKDAPGEAVDESTSLALVQPEVREE EAAGHEDVTTHQSVGTVSPPRLSDFPIRQRSPATSNSSVTGQLVFTPASSTASHSSRN KRKPVHHTPTATANARHGGMVDDGQTSSGTASHPSFVFGSSPAMQSGSAFQFDGPNGA TLCSTIEDEEIYLGLINNVITSARHGTIPRRECFGEPGLFSALPEVDEDVQLSQRFRS YGPKERDMRIGALGELYIFELLLGISPALLQFSRDNWQSRMRKFINIHPNYSDMPAWT GQETADIVYFDRGRGLTKHLVGKGQLGPSWLNVKPLYLIEVKSTTGDRRTPFYMSKRQ YQKMGEYSRTLALSAPTATATTAPTIYLIARVSNVDNPNIRLDLYFYPNTLRAQGSLV FTPETWSVVPGSVDPGWLG FPSE_09772 MPLLSSPSRSFVFLIKLFDYLQQANIYSNKSTHSNLSISEPSTS TKSHIYIFHNTMPPTGPRLHLRGMSNRSDETDQDRSDGKSPRGKSSGNPNTPNRRSTF NPQAEPFTPTTTSPSTDPSSADSSAKKPSVQDQREQLRQINLIEDERDRVDAVGDFIW DQSTRWTDEQLEEEKKALVLEERKQRLGHR FPSE_09773 MASEDIPVTNESMGQPLSAKNQVLTAGAAVTQEFRPTKHICAHL NAFHAYADDPSRFVETNHYCAHLNEDVRQCLLYDSDTPNARLIGIEYMITPKLYETLD QQERQLWHSHVYEVKSGMLIMPNRAVPEAAWQVAENYEMEQVVQLYGKVYHLWQTDRG DTLPLGEPKLMTSFTADGQFDFEKHVGERDARFGTDWRVKKEARKDIPEPVIHDDADR AWKQA FPSE_09774 MHEIFLTALIEDKDFAGACSVLGGLTNMDPWESIQRVLYFQGPP RPMGIFNQSSIKKPISPPNTGFLWKELHQNLTRQSFILQTRYDVLKDRDMGANAPAMD LDATQGVLKWTDFPDPPRGQPLLTQRKKVELWEQKMLPSLMRDNNHTFKTETIEEMYR FYRDEIEFCLVRYYFLHPIENYVPMETKQQQSMPLGSLPSFDSLTPVDQQKRWFLHVK AHVVQDNKPEELRKVQEQLLAVRKELEGVFDFRGIDRKVHDTRVMQQAQGVQQLPQRV TIGK FPSE_09775 MSSDDQPKRKASDAPVSPPPIKRKVQSGTTKTAIANFFTPTSQK PKDPIVWSERSPNNNDDIPGTLLVGRFEPEKKEDRKVKRRKIAAFDLDSTLISTSSGK KHASSGTDWKWWHNSVPTKLRELYQDGYRVVILSNQAGLTLHFDAKHKGPKANAQKRV SEFKQKCSAVLNSLNLPTCVYAATEHDIYRKPRIGMWKEVCDDYDIPETEVDLENSVF VGDAGGRTAGIGKGPGGVAAMSKDFSCSDRNFAHNAGIKFMTPEEFFLGEKARSYARE FDLAEHPFSDDASSGNSVVTLDRTNDQDIILFCGPPGAGKSTLYWKSLKPLGYARINQ DLLKTRDKCVQAAKEHLQEGTSVAIDNTNADPATRTVWVELAKEFGISIRCVWFKTPL QVCEHNNAVRALNESLNPESRLVLPKMAFTSFANRFKPPSVKEGFQDIIEVQFQFRGT EEEYRIWGRYWT FPSE_09776 MAGGVKKPVNIFKLKDLGEPAGVFNWRLWFAVVSFALLGAARGI DEGLISGSFNSKDFQEKINYESYTEVEQANIKANVSAMVQIGSVGGALIAFLVCDRIG RIWATRFLCTLWALGIVIFMIGGVNGNLGAIYAGRFICGLGVGQTPVVGPVYIAEIAP AAIRGLCSCMFTGAVYIGIVLAYFTNYGAHLNMSDHTHNQWLVPTSLHIMMSGIIFIL MFFQSESPRYLVKQGKPEEAAKVLARLRQQSPESDYVVRQVAEIQAALDHELEATKGV GFLGKVKELIFVPSNLYRLYMSSMVQFLSQWSGAGSITLYAPDLFKIMGIVGKQEGLL VTAVFGIVKLIAAVICALFLVDVIGRKRALLIGITLQTIAMIYVASFLTKIPQLGVDE DFELPAADKGASRGAIAMIYVSGFGWALGWNSMQYLLTAELFPLRIRALATSWAMTLH FANQYGNSRAVPNMLLPVAKGGISPKGTFWCFAAVTFVGGLWVYFSVPETSGRSLENM DELFELPWYKIGLHGNKHVEELDEARDEKKRYADEANATGIELEHQRKQEA FPSE_09777 MCPPAGQVGVPEQDPVDKDDNCLYIITKSSSKSESLQHATDVCK MTMCTLASHRYSRGRKLEVQWCGYTAAKATIEPFMKVWECRKASLQFTSSQTVKLVDQ GRRS FPSE_09778 MDADWWPQTYFALKWKSTELVSNLEDPKIPEFNVSIQFIFLNRN FEKRLERMQAMGKGGLPIQGKEYAETELILVPFPIPPTRHGTLVTWRWMRSKPIYWIF PRWYTIAGFKTLSFGKRCLWMLQASKRQHKEILLGDSVLNDKREWTLFGMAYIRKRTQ LPRPQRHEIPEMARGPAPAGPEDPGLPLDSPPSDDGRPSNLRLQEARRPNAFVSYDIR LEHDALEALETLDAKFYSHCHSRTLSTITVEWSPRHYGRRRAHDISQEVMLDYNVRPP AYNYIRSNPDHDCEWAHFTQAKKSHKRERPDSMCFAGKNWSAGNENAQFFTMGRLNDI DCTAVCRVAMGPIVYGVDGQAMYIAPVEGTEVL FPSE_09779 MEFCIITPIKPRSIKIESCLPPSITPSHGRLPLSYLPRESKLLR ILAVDKDTSYSSVPKGGQYEDFSCITNTINTNACEVTALWKAVVEYYRPGGNIPDESP DDAKKTTNFPNPVNILKLHSNLSTKEWALNIASNLNRPMLIPVTYRDNPSMTNSAKTS CIDPIRFMLLHGLDASPQDSSMCLLRHEEGIMVLVISDVSTLMRWKLSPAHVRRLRGP LIPGTLDDIHGLVVAYLSQLIYATICWQSWRRNPGQMVFMYVVDSLAGMARFMEEYEE SHGQSGYHACIGHVGDDQSWDAVADVQNQGDDVIELNVAKAMRRVFHLAIIAIWYLDA A FPSE_09780 MPGINPGAWRPSIAYAPVLKSDHTPDCGKIFLVLTIRVCDGSSY RATIPSFTHNRSSVSRDVLVLLGTEGIKGLQLWIKALICLPRTAKSPQTAVRDPFTLT TVSNMLTRDERIQQYN FPSE_09781 MAVAEGGWWALVTGRLIYPKCYCSTFNNVPLQHLRYDNLPLGLY LRHLEARPRHDGKLFGIPAALSRGWVTSNYQDFNDLVAYISDGQNMVTQLLTVYSNRG IWRLINMERYLSSLPLFYISQCYALLAPERTSVMRKVHALERQDLIEEIRIACTASDM LFFSTLQAAQRFYSSWDHLLQTETSGQHACIHPGALNTVGKFDVGTEIKKVICTNSLG SHNALKAIVSQWPMFTQQDNKTWHCLSPLLISRFTDKHLSVKPLLVSIDSVRGRPRNK TRFPTPIKAAHLWVPMLVSCLGLPIFRTIQRLNEGWKTSRQLLDQNTGIIANLERRMK LRTILPWNMLNTNFTSTIPFSTADNAALTSEEYHYLQGWISTDSALSNLEEASRLTHV CCGREDVVETIMGVWHCVDRALDHDGSVVGIIGSASDFAKVLGFLASHLFTARCHRQV RHSNLACRRGLRSTKAKLAMATGDLRHCKIIKPLAILDKGPPSMQKKEARAKEKKKVY QSDGEESDDIDESNDDNEMATSPKGPGILPPPGEAGFP FPSE_09782 MDGMLPSRADSAQPSPSHPGGTITALRETIEQMSIRIQNKTATL AKPDFTTY FPSE_09783 MSHTTETYYYYVPREVDPSAYAWVQPTIIEDEDLTFGGKSLSAW YEEDRRRHSSGSNSSDEEERRGRERVRRNYSRSSKSHKK FPSE_09784 MFNNEPQDHDMLDSDNESPIVDSDHTIELLNYVDPNAEVIMEDA EMGAIPQVQTFEGINIDWGAWNDHFEPNMTAWQATLYDADDEGSETSRPNVRAVTEKK GDIGIVWDYEVEYNFF FPSE_09785 MKKGYKANAALHYIIYPLPLPTPREEELENTIFANSPPLRYEEC ERPTRWLMASTSFEGYLALRALQETQIGKRFVTTTWPDDVEILFDDDYIPPQCYFPFL ENLFCAINVSGWRDRPLEIRRMIISEVWLGVKVEQGWMHLDLRRTPGPLFRYPRAQNG TNDMDGTNDTNGTNDMNGTNDMNGTTNATNATNGMNGMNGMNGMNGMNGSNGSNSSNS VNGSNSSNSVNGSSSSNSVNGSNSPNSVNGSNSPNGLNGT FPSE_09786 MSGLEGLGIAASVIAVVDISLKVISSCSKYAQEVKSSKDGRARL LQAVTALYLESDKVRDLLLSTKGSKLRASRQLYSEIGSSEIQLCELEKQLSQSRSSLK WPLRKEKLESAIYCIEKSTKTMVDMLQIDMADLLVTIDDRAEAEEQRATIDQLPYVGD AVWDSHAEESNATCLPNTRETLLKELKDWIKDTTQQSKTVFWLNGMAGTGKSTISRTL SQHLSQDGQLGATFFFKRGEADRGGISKMVSTIARQLAMNQPELRPNIQAAIQGDPTV TSKSVAKQFNTLILDPLRKSSSRFSSERFITIVIDALDECESETDIRLIVSMFSTTKT IQCPRLRVFITSRPDLPVRLGFQDVKGSYQDLILHDVSASVIKQDILTFFHHKMKLIR DDWNASVGEKRKLPQDWPGSECIRSLTDKAVPLFIFAATACRFISERQLGSPEKQLHR FLEYQTDGTAAHLDFTYRPVLAQLFSSNSSETIKHQVIHEFQRVVGTIVNLFDPLSAS SLSRLLDVDQDIVDSKLDLLHSVLDVRSSPDLPIRMLHLSFRDYLTSPETRKHQFWID EKKSASNLAKDCLRVMQTLQPDICRLKNPGTHRSTLKHEFINACMPPEVQYACLYWVN HQVVAGLGPGDAARIFEFLKQHLLHWFEAMSLLGRAFQISRLMRELHSVIPIEIYCSF LVLAPNNSIVKQTFAANIPPWLSLLSGQEANWDSCRRLLGNIHDPVTNDNSMSGAVIL ENAFMISSPKQRTIASRIGKHKSRKVLQHLLTFLSPTISPNGKLVAVELEELLDNHVQ RILIFDLITGQVLFKIPDLRSLRALAFSQDSKFIWTCTGDGKVFVWDFSAGTCVEELD CPLNTTHDTISFSLDDPKTVIMQSYNSAYVCYLDNNKVSEDLNLQWTTEVRVKGQNIS PDGRLLVTPSSDTSSFDIWDISRRKLLCQLSIEQDSLEFMEFSPESTFLATGVADGRI QLWDMRDGKCFKTLTLAGNSFLGSITFPPDTRYLISTHDADDQIEVWLWEINTGTCAM MNIEISDSFGHFLVSPDCKTIASTTSNGRVQLWDWQRLLGIPRTTTSKLVSVFVSPDL SMIASHFCNGEVVVWDTTTGATLHHFSCPLANAVGFSPGSWLFATTKTRETLKVWSLH EPNVEWQFDQKLQVVRFMFSPDSRVIATLGTEIRIWVRESRTFRFKTLSSSQDKERLI CFSRDSKLISGSSSGKVKIWNCDTGQLVQSLPFTGCFPVLSIHLRPLTVSSYSIFVAV ARGFEVWDLETCSCILKRHSAAPCSVVSSSGTFILSPSGQQLEIWDWSTGETLAMCPL SLERGEILDFVPASSIVRTTYGDWALSSEQLTQIFGVEPKGEWVQWKGHNLFKLPPDL QSCNPKVAAIPGESLGFTFAVKSREQGLVVLRFSNEGGMLDDLISR FPSE_09787 MEPLRHANTMPTQGLTHQRSVARKPVGKPSVAPLSSPQAFSLAY RPAPQHPSGGFPPHNQQQQIQPQIQKQRPQSYHPGQTYPGYPQQSPHQIHNVQPVIQP VQSFPQQQVQPAQYLPQQQQQQHQGQGQYSHQPHPQPLQHQQPHQSSQQSQIQQQSPA LQQVQAQPQQPPQQHALPQNQVQAQLSQQQLHQQSPILQQHTHQSPPQQQTPSQYPQQ QPFSPIASTPNIANQTVPSPLANAPQTPQPQPQPQPQPQTTSASPSPQVHLNDPSHAN IGSFVADHGRPASISSSPSIATPATTIASVASPSPIGTPAWDPASPPPIQQTSTVEIR RTSTGQPKLCNHCRKGIPLNVSVYTCLICSTANIATNFCVWCFTSNAVNTSHSHDKSY YATESDPRVQSSVQDATTHMWTIRKNVSGRLWYTHNTTGLKTHLKPTTAALSGFSGLP PGWDERRTPDGRTFYFNKKMGTSAWTKPANSLPEGWKELRTPDAVPFYINEQLGLSTW DRPGQQPKQGNKVVIRRRPGPGQPMNSQNVGDNLLSATINAARLTGQGVESASRQVGK LGKRKNWRKLGRILNQVNGMTGDNSGSDGEYNDYNDNNDNDNSGFGFGDDSGGFQDQQ QGQFQQNFDSSFLDQSQQSFDLGDSQQQAMFQQPAYEQQTTFEYSVQSDQPGYDMSTF EQQPGQFSITTEVSYTTAEPQPTFEQQSFEPQQDQFAQQTYEQQASFEIQQQTTFDPM PTQDPTAMDPCQQAQPFESVPPLQQNDCPPPTIPQETYVQPTPQPMYQPELQQTYSNQ QYAYDPTQTPQMTQQQVTVTYQVPTYATEPAQPFILQSQTEEPVYPIYIPNNQPEIIT SDAHLVEVPGTDDTTLILNSGYGGNDILAGTSLAAEQNILATQVPQDPMANVTLQQSQ SPVVETTYTPAPVVQPTAGKTETVVSVDAVPVDNFNTAERCNQLI FPSE_09788 MPQPSERLHQNCKNFCVLSWDKGKKEWRGLKQIRPSEFYSHGLH PSLTTDSHVTVIFADADKVRECPSCRKCFTDEFLMPELWWSGYSRRSNGYFGSEAFRD DEGELLALNTWSRFLVKQLVDQNTHVWHKFNIFTRWIASTQQTYLIVFEAPKQTRLRE LFPKPLLTNSHNDALNDPFWVYPRLLEQLSNLQDNSVWAVRDQVRGIEKEEWSKRPSP RYRHMHDTARHAIHVSETLEVAEKTVASIVQQHNVFRDEVGWGDRQRNAGFRRVDERL LWYDHILRSLRHRASANKERLLNEVQLAFNSVAQYDSRVAVKIGRATQSDSAAMKTIA FVTLAFLPGTFISALFSMSFFNVDDDTGQWTVSKKIWMYWSIAIPVTLITSGLWLLWQ RCFPPAWIGEEEESANALRDLRRKIKRGDSARVDSYQMA FPSE_09789 MRFAHLCSIALGANTVIASVCRPRQSSHASVVSVEATISTASES SSPTSTKLETTGDTTSASTIVTVTTTTESFTSVATSILETTTATDLVTTGLSSSSTET TTLPETTATATPPLETFQIIVPEHSFHDEYLIGRKTTSNVMGFDLEPQSNIPTLTFSI EAETSFVREVDGMYLCIEYASPSSPGQLQLCNKDTLGNGRWSLLTCEKTDERGLECSV PAATCGFNMNTGQVVCNDLEGDFTQFYLVGNGSQNGFTLALGSKEHPPSSDYYTAVEI EVTPVRDEL FPSE_09790 MYRQNHPGPLSLPTTWSPPTNDMPNKSPTTDTNQRSRQASTCSE RSCEGMTLDETRELWRCMLELQLRYGCYNSTRIDMAVDAGEDGLDLMPNRFIIDTLNE SVIDLPDEGRELLNRYLCPSSPNNKQKWKFWKKE FPSE_09791 MTFTPEQYEPVTADSWLVCDTCGTQFPTADRSALKTCHICDDPR QFVPPSGQSFSTLGELKKHFKNEWVECPSDPNVTFIHSTPKLAIGQRAILIKTPKGNI LWDCITLLDEETVGKIKERGGLRAIVISHPHFYSAHVQWARAFGCPVYISSEDKPWTT LSSSHQVLLTESSTPILDTGATAIKLGGHFPGSLVLHYNSRLFIADTLMTTASGVGNW DIDATGAERPSRPPGLNSFSFLWSIPNFIPLGVDEMARMWRALKDVEFNATYGGFMGM DIEHKDVKERVLESMKIQAGFIGEKDFESRIGE FPSE_09792 MATASDDPNRRFSTGVPSEPEIIEQHQEEAPTVSHALAEESKNF EEKGAAQLEHGDVEVKNLGWNESPRAVPHLVGGLKNDDVWTLMRRFDKQVFYVKSIQE PPLGMLDMNIADDEEFSPEKLRAQIERLYVSVLVQLFAFYKQIIRLRSWKETQRTSAF LGVYTLAWLLDILGPTLILFLMVLIWSPDARNICFPGAPPSLIDPKTGGVKTPAAGVL ASDDSVTGAPEKHKGEAVEQEAHSFVTSLSTIVVSTAAGKHPQTDPDDDSAAPDPTKL TEEATEARQKSVGENTHAEHNRAKKPVSNAVWNKARPTMHMMADFVDTWERFGNALSP AAPFHCHRPKLILIGVLVPMLLGSYFTSPYMAMKGMGFGAGFGFFGDPIITPALNFIN RTYPRWEKYVELRNTILRGVPTNAQLAITLLRIGEKNKAPLPPPPTSDVPPPTVPHET AGEGLEHLEGVSQAEIAAAVQPDPNILNEDDENDEEKSKHKKSHRIMNFIRTTAKGGV TTALAADKAKAKAGAPHAKNRLGVVKGEQPNPITGPIRFPARYKGKKGHAYITATATT PAVSWTIELEDLKPAWTVSIGEIDELKKMGGLGWKSKIIVGWAMGSEIMDGLLIKTKD GQEHHLTAVSMRDQLFNRLISMGSQMWEAW FPSE_09793 MSPRLKPLLLPQMVEDRRKWEVQQVSPETDLSYVYYTTNSSSSD VASPLTPTFSPAKGHFRMSSSTSSLDLPPQLNESPISPTQSVHIKPPMRSLPDVQEEP LEPEHLNTHDEDNTDRHSFAPSDQFSLYDCLCDEPYAPRNSTEDVMFDGNIVGDYDID YDMGFLSDGDTPIDERSRKKRSGSESPFAGLTSRIGARFPNMSLWKPNGRRGNPMMSP STELSLESVVLSGGPSSRSSSMSAPSRPGHDRMLENTGLPTPAVSYYGSTESINLPAP IDIEKAQALVEQSDLERERGMATTPLLPPLMTSPLCGPPPESPLQSPTIAPPSTTTEV PSPVPSATTQFPRPSLSTRPSVSSFRFGSNSPELPTPLPSILLEYDEWSDSLGHANFT ITPQPYQPETVNMETLQQLRKDWDTARCNYTKHLVRTGENYGETSKIYGLTEAKWTEI EKRWRATHDGLMAQIVEATSSAPSSTSGSRSRSRGRGRARANSGGSNLGRPPPLDDVF AGMQWKRLEDGLPSAIPRLVDADGKFPARGDEDIVGPMQRDEVMLRTHSEERKGARFW KNLAGKVGLRK FPSE_09794 MPSKIVIIGAGFAGVWSALSAQRLINLRNKEKDIEIIVIAPEPW LTLRPRLYEANASTMKQPLEPLFKAAGITFLQGSAETIDTDSQTVHVQSSSSDNSDVH FDRLILAAGSAVVRPKSVAGLDQYAFDVDSLAGATKLEAHLKNLDSVPASKSRDTVVV CGGGFTGIEMAAELPNLLKHIPNPNVILVESASDIGPELGAGPRPTIMKALGALGVEL KLGVAVTAVDANGVQLSSGERIDTKTVIWTAGMRATHLTQQIPGAKDTLSRLYVDPDL RVTDCKNVLATGDAAHAAADTNGHIALMSCQHATILGRFSGHNAAADLLDESTIPYSQ PGYTTCLDLGPWGALLAHGWERDVKITGILAKQAKRYINQKLIVLPLDATEAMSAADP AAYSMESLYKSIFSALGWIWCNWEVK FPSE_09795 MAMIYRMTLFVVYTSSTSVEKASTRHTCPTHVMDSRKTPGTTAE LACQRSRRLQFPKTQPRDEPTELAAHTPTKPITFIFRSFTTIPCHHASWARPGVQYRH THELFCKTEGVNSSGAATKLAIMLSLSKPVRLQVMY FPSE_09796 MNTHRHSSTNRDRDLTLHNKRWTVPSDNSAVPEILLEAGDTNDT NDTEVTVSRDVAMEDPGFIHIADSDDDDFAEISTRRSIYGKLIMSGSWSGRIRDRCSG GKLLPLAAAGGHQ FPSE_09797 EPIATAEPIATAEPIATAEPIATAEPIATAEPIATAEPAAAETD VAEPTAVSRLQAPWPSTASRPAAVPRRGGGRPLATTKDSISVVQTQPPSRLERAPDII HGYDLSTDPFCSQAHLSTTPARLAYTPRDPSPSDPEFIHIADDDDDENLAEYDTLDAA PIEPSIVETDTAEPIVAEPTTAESIIAEPTVVPILTEPITVAKPAIVEPELLGLLSAR PPAPSLLSSRPLPLSPLLLTVVLLSLPSSRPLPLRKLLLHLLRASDDMLKRNNEEMTT TLTELLAHHKDETTETIHEHP FPSE_05754 MDYSVVRQFFTFKDPAHANGGLARDGLPLDVKQWRAIEEMLALD WHKRLWTHQEVVLANKQTCIVMLGLEEICWKQFHDAVSVICFLTSPPTHAIDDLVAYN QHAQVVGDRLLAFADDMGKSYNWLGALLATKYFECSDDRDRIYSLRGLVEPDVAELIE VDYTKSLKQIFTSVCLNEITRQQDLDFLTYCNAAASPSWVADLEWPWGDLTVDSNAGG NSSPAVDLIEPHVLEVAGIACDEIHDEPCPLRPKELVEPLGEFRQRIVDTFLSLVSKE SLQDDSILDQLIMVLTYGQVRDHTTQKLHPPGVYSLHSLGDWRRKIRQWINGEYGYEK DNVQEPWEKDDVYLRSLPVGGSVYGCVKTCRGTFIRVPMEAQKGDT FPSE_05753 MHTIVPTLISLGFSILAAASDDDVVSFPAIGEVDIVFPREDTYA AEAPFPVIFGLQNAPVLTTFSGTLNWELDCAYTLFGIGRLYLDFLPDTEPYYFLNTSQ AIADADEDQFQYWRGEEDSCILKWDFRWTTICEPRSDGSVLLKNNQFQRSGNVTFTLR PGAKTARKAIAEYEGCAIGGTSIKLEKNHTVGCPILSQDSSPKPKPCDLDVKKARSSL AAAVVKPTTSLTEIPSKTTDSGVTGTGADNVSKPTSTSGKADGGNSSTDSENVARGHS VSDNGGLLTFFVALSVGIIPSLFL FPSE_05752 MVRIISVFAGLSLVAGSIAAPSPEGMFSKLAKRGGTPNSSGMNN GFFYSWWSDGGAEATYENGAGGSYSMSWQTGGNVVGGKGWSPGKARTISYEGEYKPNG NSYLSVYGWTRNPLIEYYIVEQFGDYDPSSGAQSKGTVEADGSTYEIFTRTRTNAPSI DGTQTFEQYWSVRKDHRSTGSIDVGAHFKAWEQHGMKLGTHDYQILATEGYFSSGSSH MTVSEGASSGGGAATDGDSAAKPDAGNGNATQPDAGNGAGAGNGQGDAGNGQQQPGAG AGQGQNQGNGQQQPGFGNDGQQQGGSQPQPQQQAADESCKSN FPSE_05751 MADFVEVYSTISTELLTLLSSHLPYSLPLLRRLQFTKFEGGLRE TAKVIFVADSKINDGDSPKKFTAMYVDVGGGPDTQAWIYSTYEHPDQATTRDTTVYQE QLDRIVQESIKIAKDYGRELVYGDAVLLGTLHDSVRELLYKTGRVEPRETGAYDKWLF KYEDIPKDEVKLPEGMKWGTATEDDCRIVISRTDIPRTVQVLLRMPSLMIKLEDGTPV SWAFLGFDGSLISLHCEEPYRRRGLAKTLAAKLFREKSLDFADDGWCCADVAPENGGS RGMCKSLNGKPYWRLSWVLLHVGEKVPTQKNGTA FPSE_05750 MSFQRVGLVAMRAISSRPSVNFTRNTAKIAFTRLLSQKSQAPTI TNLKPEEGHQILVKQRLNRPVTPNLGIYKIGQIWFSASAWTRITGLVVGGTAYLTLGA HAVAPYLGLGFDSAALVSAFGALPFVAKTAIKFGLLGFPFSYHFINGIRHLVFDLGIG YKKVQFKKSEVATWTLSAIGGLVLAFWL FPSE_05749 MPSIKNVLASFALAATIASAHPGHDIAHEAAERRDFLNSVKRSS LAHCATKLKARGVEARNVARRSAQVNKARAKRALKKREEESALNKSHNQTEQGFTECT DAAAFFASINSCVLTPEVTQGPYYVAGEYVRENVIEEQDGLNIVLDYQVIDVETCDPV PNVYLEMWHCNSTGVYSGVIANGNGDNSDETNIDKTWLRGIQKTNSDGVAQFESIFPG HYTSRATHIHVMVHTNATLLANHTLGSDNYASHVGQAFFDQDLISQVETLEPYASNTQ EITLNEDDGILSEEISTDGVDPFMEYTLLGDSVSDGLFAWLAFGINTTVSNSVTPAAY YYKEGGVANGNSGGAPGGGAGGPPSGMPSGSRPGSTPSATPDAE FPSE_05748 MSVSEVDKYLWLAYCKAVQNAVGGLPGHKPALFFTKKAQKAPVA SPDVDPAYTNYGINNIINNFLQTNDLFFDPSHHKTYTQCLLEYLLSVKIGDGNQTRIT MTGRTLTGALDQALRERERALDKFEKEEGHGLTGEQDFSQWWPSHAPAYSAAKSKVEA VAADYKALLLSAGGPAASQLARDFNRIIQALIGGQSIDGITMPACHASAEVTRELLEK DRTPHAITYVPEFMSPQYTSQIEHWMNGVGDGDSSMQINVADGKYVNESDFGHAATDG FSYGPWLSFSSGKGSTNEKGLSFGSVDTDTNLDVQFSYDEIQTVAVPAGDWNVPSPGR RYQLSSAASEESRKLAFPDYLIVVRNLGFKISIRDDKLARQVDDMFEQAKNAGGSVRV FGIPATPEGNPDKATEENAHIAEWDRGNGMLLVRPTTDAGFASVVALVGELNISQ FPSE_05747 MSDNAESFGKAFGAFAAYEKSSKAGSGSGSGGGGGGGGGRGGRG RPDNRQGCWHCGSREHVLRDCPHRGERRQRKKDVRRQAPTVPATPPPPPAPLEQRPLP PVPPPQQQQQQDDEVMAAMGQVEAEFRSRRELLMQQQEQLTQQHQQQQQQLNEEKIAA FGRLSLRYTWKPQ FPSE_05746 MSLYVRQLSIDDLERSLVVETAAFPPAEAASREKIEYRLTVCPE LCMGLFLRGGTGLPSTIPQDIPFLTESGSNDDVLLAHVISTKSTNKPVKDEDMDYPPS WKSNPQGDYDVGHKRNGRTIALHALAVSPDYQKSGLGKAIMRAYIERMKKMGAADRIS ILTYDRLVPYYQKMEFEHYGKSSSEYAGVAWHDLSYIISGSS FPSE_05745 MASYSTPPNEKVDVLEAETAPNRHHGGTQVDRIDAMATAPGVTL ESFSHLDEKKILRKMDMRLIPMLALLYLLSFLDRGNIGNAKIEGLQEDLNMTPDQYNW CLTVFFFTYAAFEVPSNLLLKKLRPSVWLPIIMIAWGVVMTLMGIVQNYTGLLVARVF LGLTEAGLFPGVAYYLTMWYCRHEIQFRQALFFSAASIAGAFSGLLAFAIAKMDGVGG LEGWRWIFILEGIVTVIVAIFAFWALYDFPETASFLTEEERAFVVFRLKYQGQQKAAG QSQSRVAQSDEFKWAYVWDAFKDWQIWVNIFVYWGIVCPLYGISLFLPTIIRNLGYSS STAQLMTVPIYITAAILAVIVAWTSDRVGKRSPFIVAFLCVMVVGFSMCISTNNPKVV YGGVFIAACAIYPAFPGVISWLANNLSGSYKRSVGMAIQIGVGNLGGAMASNFYRQKD GPRYILGHALELGFICVGIIAALILIFSYSRINKSRERRMAAGEHETISDEELSARGD KAVTWRYMH FPSE_05744 MSAAGLVLPAKKIQETHRRPAHAVGTGIKRVPFRANTSGAKRKS RRRTTSRPLSDTSSTHASHVAEAPEPHALRQARVFEADIAISGDTLTGSAVLESVSPP RYTNPSRGYVVNDSMEDLDTTWLDTLYREGFEVVFGSWMGSYSCPFLFGHTLADKYVS ISDLCRHLDGCIVDSGNSQVAGRGEEAELSLQSTICAFAARWLPVTSAVESSENHCNL VQSLWRHARRDMLRIINRPSYRSMLSLLLFALTPIPEGISEEEETDGISGQACVHAAL QQIQNLRAHQRNLQFSGSKVSPSLRSETVTTPESIESSGFINAESTVYWAALTFDTSA SLTLNCRPLLSSGLFGFESELPWRLVRTCAKMFDENARQWKQASADMTDERANQIIAA GASWKLLGWKLTAIFKEALRDGHEEPEVRKAYFAVVDSIKQFGIVYRPHLDECHKRMP FLGQKTKLRWFSLMLHYHLGILMLVDIIQATDRQDLLPDITDISIDAENTIMNTLAFG LHNTFTLKRPNSDSQNGSRSWSSTGSDVHLVDSQEAQLQASLEAIDEFRRSCNKENAS WGKIITHSRDTLSEALQAAWLVVECVPERLDLKQKIIVELDSIAPKETIIASNSSSYS CSEILKGLDLNHESRFLSAHSYWPPEVPEIEIMGHETTNSSHIDFMIKQCKVHGFSPF HVKKPSMGYIYNRIWAAIKREALLTAAEGVATPEEIDGIFKGVLKTPKGPFEQMDLVG LDVVMDIEQHYADERGNIPSEPREYLQKFLDEGNLGIKSGRGFYDYTKR FPSE_05743 MRAEFLSLLALNAVSVLAAPKPSTVGASAAEATQLEEIADLAAA AYKTAQKTKAAGTCDWSKVRVRREWNTLSKNDKKAYIKAVKCLQSKPAKGPADFAAGA KTRFDDFVSTHIDATQTIHYTGNFLSYHRYYTWLYEEALRNECGYKGTQPYWDWSLTA ISGLKKSPLFDGSDTSLSGDGASTGENPDIVLGASSGLPPVYLKTGNGGGCVTSGPFK DMSVNLGPAALDLPGGKVEANPDPLAYNPRCLKRDLSDSVNRRFANATSVLNNIVNPK NVFDFQMQMQGVPGTGDIGIHGGGHYALGGDPGRDVYTSPGDPAFYFHHSMIDRVWWI WQMLDTKNRVNGKDALAGTNTFLNMPPSADTTFEDSIHLPWLGPDKQIKDLMSTQSGP FCYVYL FPSE_05742 MDPANLPSNPDENGAGAILGGTIFVVTLATIVVIARLYVRIFII RGTGWDDAFMILTMALNWAGEGVIIASVVYGAGKHIGDVEPDVFQKGMKLNFISQPIF LIAICVVKLSVGFALLRIASTKFYRWLICGIMIFMSIYTIGCFFTVVLQCKDLRALWD PLVPMQCWSQHTLQSLSYTNAALNILTDLFFAVIIPTPMLWNLNVHFRTRVTLIAILG LGVFACAAAIVKVGYVTNYGKVGDWLWDSRNISIWTVVELNVGIIGGSLPCLKPLFRR ILGSYYGKGSKKTPITGDSAYGRGTLRSATMRSGKNWHTLSSGHRKDDETSSQEGINN NYELRDRVTSPHGFSNHATVLGNIDVQSSDEECAGDAVYRGAGITKTTTVKISRAGN FPSE_05741 MAAATQAQEGHLQKRFSKITMIGMAFAILNTWISLAGSLGLVMP SGGSVSFVYGFIFCVICNICLSASVGELASLYPTAGGQYHYSFALSTKKWKSQMSFIV GWINIAGWLTLNTTAAYFGARFLAAAAVAGSGGTYEITQWGTYLMFVAVSIVGVFLNI FAYPILNRWNEGALYWSLISVVVISIVLLSTSPKTDAKFVFTNFSNTTGWSDGTAWML GLLQSALSMIGFDAVAHMTEEMPRPSKDAPQAMVAAVLVGGVTGIVFILVMLFCFVDL DLLLASPTQSPLTEMILQATKSKVAATVLSVAVALCFVNGANGCVTSGSRLLWSMARD NGTPFSKYLSHLHPKLNVPVRAIVVQAIFNLLFGLLYLGPEVAFNAYIASCTLFLNLS YAAPVLILLIRGRQLVLAEPPVFSLGHTFGYVVNYVAVIFVLVTSVFFCFPPAIPINV STMNYVTAVLGIFIIFVTVLWFMKRKTYEGPKLEFILGEELPVVDSSEPQRTEKEVVS SAHSRQDES FPSE_05740 MASITPSPSFKVALVQMKPKPLDAEYNFALAAEQIRLAAVQGAS LAVLPEYHLTGWVPEEPSFALTPEDAQKYREKYQSLAAELHINICAGTFVTQTSSLGD VANLDARPTLLNTSDFIDHDGNLLGTYTKTNLWIPERLTLTSFVDHARNTSKDEFAAP NPHQVIDTPLGRVGILVCWDLAFPEAFRQLVLAGAKIIIIPSYWTSGDMSEEGLAYNA NCEKMFIQSALVTRAFENTAAVIYCNVGGPAEEGFFGCSQVTLPIVGTVPGSFTDGEE AMRILNVDMQTVDIAERNYQIRQDLAREDWHYGYDRSTSAKL FPSE_05739 MLSSTHSAARMNITRSRTGCAVCKSKRLKCDESKPACSRCVRLG IPCPGYQKPLRWKGGSKSPPKDPPETPADATVDDVALPPPQQTQPSPIYASYQPPPLQ RQQQQQQQPPHPHPHPPPPPPPPQTQTQQQPQHPGQFVNSYYDQGLLDHLSNVIDAGC IEPQGQETLDGSMPLMQFTDDMLHLYPDQSLGQTPDQVSPEQTDDRLWSTTTMEPQQV YSQALTRVEPANQASEQVIEMPLAQALQDHSSVLVEYYFKEVCGMMSCYDSPMNPYRT TISNMWSGSQSLYYITQSMAAACLSEVSPTFASVGHRLRDQAMICLSREAKIAELETS SLLALVMLGMSLSWHDPDSLGQPQFDVLARKVITAEARGDPLALADKKKEFFFYNSLV YWKMLLSFVTDLDPNTRSAAPQPVPPDSLDMHEPRMPHPQTGIGIEVQELVASVGSLV RKERKRLRTRRFVSKDDIQQAQDAILASEQLHAELCAIELPQEGDIVDAGDDLTPAIH LVNIAEAYRCTGLLQLYRNFPDLLVPYAQSAHFMTGSPDSSGSYPDERAFADTWLTCL ALHVLDLVKDIPTTSRSRSIQPLLFVSICSELSMCRSYCSISNLQRSPVASVAASPRF RLPLNGLEVLQARKIVLARLSAFENILAAKPIRRMLMLVKKTWACMDEDKKDTYWMDV MMDNGYETLMG FPSE_05738 MARGLLTICVSALLAVAGAVTPADTVFYNGNIYTMNPSNDMVTA MAVRGGKIEYAGDKKTVHSYIGKKTKVIDLEGRMVMPGLVDSHMHLISGGLFLLKCDL SYQTLTIAQVLEHIQGCIDGETGKGDDDWIEVVNMDYAGLVSKSGNVGKAQLDKLSTK RPVIIRSSDYHTILANSRALELSKIDASTKDPADGKIVRLPGSQEPAGPLQDGASSLL AGPPPPTEAENLEAGRAALKLLREAGITTFQDAAADEGHHTVFSAIKKEDGLSARAYF DYRIEAPSSVDGVSGLVKNVTKQLKSWNDKSVVGPKPTLKWQAIKAFIDGVITYPSNT AALVDPYWAPANASNENSTWAPDKNSLNDPYWKPAVLTKTIEQLFLAGIDAQLHVDGD LAVKVGLDAAAAFRKKHPGKDVRLGLAHNELSLESDWPRYAKLGVDPIVSYQWSQLSS FYIPNTFNSLAEYRMDNLQAWAQFEKRGRPLVYGSDWPIDPLDEFLALKVAVTRSGDP KNPNSPASQGPPFDGVFPGSGISRTSALRSITINGARFLRADKQIGSLEKGKLADVIV LENNFFKVPEAELGRQKVLLTMVGGEVLYVAKGHDFGVKAKFPNDDEASGKLARRTIG GFNANDLSEEAKTDAAKLRKRGECVHKH FPSE_05737 MATAPSAATVIAVEFILVAVALAIIIARIYLRLVIQKQSLIVAD WIRILAFISAFTSAIFDIILVVEGAMDPANNYTLVNWDVPLEKLQRTLKVTWAVTIPF FTTFYLCKASLLVVYLQVFPTFMRKARIVLWVVVAYCVCAYAVSMSLLIFLCFPTQRN WSVLGPEKVCDDWPIVTTFQISWALHFFGSLALFALPFLLLHKLNLRPKVRVGVYGIF LLGFIDIAFSLTRFLTIQLTKVGDFASITTIGLWSGLDLYIGLIVACLPALRPYLHRK GSKYSYAESGRPTGNSTHPARRTVDRGFQEIDENPYVEGNSGPGRWAVGHSPELGATG WSDKKSNGSDVELVSLDVTAAKDRMHV FPSE_05736 MSMLDSTPLQAFAAGAALCSTSLGTTFTILGTSGLSSTRLGVVL TSAAMMDDVVGLIMVQVISNLGGNSFDVVTVVRPIAVSLAFAVVIPILCRFIVVPVTI RLNSYRGANPSSMVSKLLGMNQTAFVIHTGYLLGVVIGATFAGTSSLLAAYIAGATIS WWDSEVPHLDLDIDNSTATESEQASTTDNQAVGESSVQTPPPKIMEGGSGAEVFHRFY EPVLQRLLKPFFFASIGFSVPITQMFTGPTVWRGVIYTILMIFAKLACGLWLISFTSP FRALARTVRKIAPTLQKSSKTLAPGAQCTDTSAREGSSDSQQQSEERDAVPLQAIPDT NTSQVSPHTRNSTPKPEKALSLYPACIVGIGMVARGEIGYLISALAESKGIFGRSENG QSSDIFLIVTWAITLCTVIGPVCVGLIVNRVRKLENGSQKTRGESKRNVLGAWGVS FPSE_05735 MASPNPLNVYKGQDSVKNYFDPESAPPLPLVEIPDSLNPYRQDG VRIYAKMMTMHPANNVKAMPALNLLNSCVVPGKTKTVVEYSSGSTVLSMSLISRAIHG IDDVRAFLSNKTSATKLQLMQFFGIDITLFGGPSQPEPLDERGGIRAAQRLAQDSDET IINPNQYENNLNWNAHVKWTGPQIHAQLPEINLICTMTGLGTYFKEAKPSVYRLGVCT APGDRVPGPRSFALMAPVQFPWKKAVDHIEEVDSHNSFSTSLDLCRNGIVCGPSSGFN LTGLFQLIEKRKAEGSLSDLAGPDGTINCVFLCCDLPYQYISEYFDKLGESYFPSIKN EDLLKVDLYRYDEKWERTASEAIDAFFDVDRGALLDMVLADPKSASVSTIDLRGILRS RQDTTIIDLRQPQDFSNFHLPGAVNIPFVNDNTPSPFSDAKLLETLWKSLEDTFKKPD QDLQSLLQGRRILLTCYDGDSARVATSVLRAKGYESDSIRGGFQALNKMRDSSNQTSV NGAKESSSWVGLTREVLGVGPSQSTRVSL FPSE_05734 MPDTRASNNDLVMSRDPGSTNPIAVDGGSEDGKTHQFNEQTNYV PPRAIITIFLACATVDLLALMDQTMLATSLYIIGNALGSTAQVSWIASGYFITSTVGQ LMYGRLSDIWSRKIILLMGLAIFFIGSLASSLADSVLQLTIFRAFTGIGGGGLMTVAQ LIVSDVVPLRERGKYQGILGAVVAIANGIGPVVGGALSSGSEDSWRWIFRMQLPLTLL TTLCVLFFMPLKTVQGDWKLKLKAVDFLGIFLALAGMTVVILGLTWGGKEYAWNSTQV ISTLAIGTAVSIAFMLWQWKGPKYPLIPLHIFKSKIVNGACLTMAINGWNFVMQVYYI PSFYQLVYGYSATKAGAMLLPITLLQTASSTLSGLIVHWIGRYRECILFGWLCWAVGL GLMSTLDENTGVDKQIGYSVLIGIGVGNTLQPALIATQAGVDRRDMAVVTSFRNFVRN LGATLGLAVCGTVLNNVLAGSLSSLNLNSRDSNSLLGNPQSFLDTVAPDEAERIRSVL VPAYRQGFRIIFLIGAGAASLAFVLAFVLMPQVNLTRPDDSRLKEEGRTIYEEKRSKG MI FPSE_05733 MSSHASTVMDRPHPFLSLPPEIITCIVDNMLEMNHDCEQPKWWW SSPGNSPGFNPLQRYKDALSLARSCRTFYTLLTKQIYRADVWNNNSAALLRSAKNGSL EGVRRSIEAGADIITGDTTESIVFSDINGEVKGLFLNTWYPLDLSDQVTALHWAAYLG HNNVVSLLLEHGADVNHRVRVEGIDDAIERHNDYEEDLESYPVKFSCDVLTDNLEHSL ICLEGIPKEVARWTLEHGANPLYFAIEGGNWDTVKLLIDAGADFVTHTGSGIHALHQA VSNCNPHLVELLLEHESVAANTNTILDTRRATALHHLNYERDGNVSKNCDIIKQLVKN GFQVNNTDGFDALPIEEAIMHRSYKVVSQFIRSGSLIPGELSFRFYRHISPPSPEIQK ALKEAGRSGLADIWHRSAPVMLTTSLAMIRTYRHFYKLVYQASEIPEPMNSYDPGQWE VFWIGSPVHIERTELGGLIKMRY FPSE_05732 MAQKIKTDITLYTTNTPNGIKPSILLEELNLEYKVHAIKMTENE QKEEWFLKINPNGRIPALTDTLDGKQIRVFESGAMLQYLVDRYDKDHKFSFPQGSAEH WEMTSWLMWQMGGLGPMQGQANHFKRYAPEKIEYGINRYTNETRRLYRTLDTHLSKQE SGYIVGDKVTIADISIWGWVAAAKWAGVDLSEFPHLEKWLYKLLERPGFEAGRHVPTP HTAFDLNKLSEEELEEKATGAKNWVQSGMKEDAKK FPSE_05731 MVYQHGDHHDIPKKHRVHKPGAWLPADHRVHREYMRRVTKHADD NPKELIPVLQEFKEFIEKTSRVYMYFTQMFEEIPQKQPYLNDPTGTPQIRDYEHMLQV LNHIFGTAPEWTDAAESVGMVGVPLCAIFDYPMGTPSGYAAFLDPDVNRMLKKVLNHW GKYLMTPDSAKVLGDHTQGWFGPTGIHDLVEVANKPNGTDMKFEDMFICDPSAKYYGY KSWDDFFTRQVRDDARPVASPDDNLVIANCCESRVYNIEHGVKLRDRFFAKGQPYSIL DMLAHDPLAEKFAGGTIYQAFLSALSYHRWHTPVSGKIVRAFVQDGTYFSEPLFQGVG QPGSMEISSAGLSQSQGFLSALATRAIIIIEADEPAIGLLAFVGIGMDEVSTCEITVK EGDHVKKGQETGMFHFGGSTHCVIFRKGVKVEGFPEVGRQENVPVRSKLAVVKK FPSE_05730 MAPAPTSTENITPPRSSHGQADSWGYNMSQKEDYENDMTNPDFS QKNHFATQNGNSNSFHSGMDRVGRKVNSHDNLSSAKLHNSVDGTGARHITESQTSPAL NGNSWGQAYGPHETEDEYSRNAVPIDQPPPDEGSKWIHRDKLARIESEELQAAGIYIP RSRNQSKQRRGDRDRSRSAMRRGTDTSETRSRKNSTAVDARSPEVGGESWDLRTPEEI AEEENNAYFHANSHKGGSRIPVAKVSPVPISIDRFERASIASRKTSSSPENERTLTYE KARPGSAHMMKGSDAVSINSNFNNSSLPAPKRTATDNPSPKKNANGPRKTSAPSKTGT TGTNRPKTRSGSVGNAMSTTARPTTRSGELSPGNKAPEGDPPWMINSYKPDPRLPPDQ QLLPTVARRLQQEKWEKEGKFGDVYDKDFRPLNDNPLSREHHENRSGDEIDTKEKEEG QAGGEWPLKLEPKSPTQRAGGYSTMPRISDKPANSPLPSPRTPMSPNAPLSPNGPGQE QPKEEESTEQPAQQQPPQRQQPADDDSKGGCGCCVVM FPSE_05729 MTPSMRRTRIVCISDTHNCQVKLPKGDVLIHAGDLSNQGSHAEL AKTVAWLEKQDIEAKIVIAGNHDITLDPEFYAEHGLYFHNKNPQSHDECLRLFTSSPS ITYLSHGSTNIRLTSPSGPRTHFKVFGSPYSPRHGLWAFYYDAPQNPSNWSDLTSLWE SIPLDTDIVVTHTPPRMHCDETDERRATGCEALRQALWRVRPQLVVCGHIHDGRGAER VMWDLSCRNVAYQEESVVRWEDPGQGNNKTCLVDLTGKKAPSLANDGSHPGRYGTSIR GSDVTKVSHSNESATSPYVFGSGPMDSHASHGTVGLGGDAASPRSDQAALFGRMGRRE TCVVNAAIMKSRHPHIGGKQFNKPIVVDIDLPVWEQDGHINDP FPSE_05728 MSTQPDPYKILGVAKDAQLPEIRSAHRKLVLKCHPDKVQDPTLK AQKQDEFQRVQTAYELLADEKERKRYDDQTRLAELREQMRAKAAASASSRQTAAAASP SSSRPTPTSAYKYEIHTPERSYKSPRQPSPGPRGYASYSRSYEDDHRPADLFEGVRTV RREKSYQDKTSSRREEREREEREREREYARELREREKEKELERQRRKKADDAIRRAEK EAKEAAKEARRAEKKTRDKSRKRETESKKSKPYIETFDGESASKSDKKKSSKKHDERR ERDRSTHREEVVPPATSSMPPPPIPPQYQSVEYQSKADAAMNYIQASRSKVPAAFARH VQPPAPTPPPVGSPFAAPAEDDDARRSSAKPRRNSAGDKTYNKPEVVDDPLEATPPTA RPHMHKSATATGVPGSSPPRRDLPRTSTMPVEGGHSSRYAPGIARAQTFSGAYPELDP RGRGRTRHQAQIPESDSEDEYERQRRERKAHRSSKKHGPEARGEHVMQYRVDGGRTTL QNSYSRSAEPADAYGYYAEHTGARAGERPAMPARDTTYSSGYGGVQYSKVKTANYDNV QFSPYTSYREAYAA FPSE_05727 MRHSSYSHDRQVNRNRGNRRNEYKNQDKDRGHRSGRNDNRQNRP PKENGYVRREQHEKRVPFEKKYPAIEQSNILMMKATDTILMIITLHTQQNPMCIARIR RRESIPVPTQVLSGDVPRSLFSANYSSFELES FPSE_05726 MERDHNLLLSDVMFDPDIDTSSVPWSDFSLSPTEDALFADVYLG TDEDALNMCTFSTDPDTFNLDSTFVHENSFIDTSFTSWDFLTMQPPPTTNPSTATFTS PENGESSECVETQASSPRLQTHDSSELLSPQMHEVPPTQNAPEQPANAENTSKRTNMK HGTRPCDLKRQKRKEDKPEKCHICQKGHQWRRDLERHYCTHHPNEAADMGLSMSRPVC RHCGQDFARRDHLTRHLRRKHGG FPSE_05725 MEPMEDTYDPPEGYTSFDCPNYPENQTLTCPYIGCDGFVGPYVC GECGHRFAAAPALNRHGRASGHQVEWECRDDECEKFEEKFATGALYLEHLRDSSGHRS GEVSDETKNTESSFSPPSNSNAQDTEDDVFGPAHQTATSDRNICNEPCCYHYGTDYKC KSEFIRHEDTGAHQVATRLNQVLLTNTPADEVKAEQEAIRALRCNWSDCLLFGKTFKN ARVFYRHLQEEDHRDGWDIKFQEDSFEYSSDNEPLPGMAFNVDGRKGMCINEKCPRVG MKFDSFTAMKQHSRSFGHALTEEDLSSTDAEESGDEIWKTTDVHGMEATQDEGLWKCI KQGCKGYQKIMSNMANVRMHFNSAAHMNAAEEVSSSDDSHEELDGMQFSKDSGWLCVK PGCKKRGTTYRFLYNAKRHFSAEIHAMAEEESSHDGSEDELDGMAYSEETAAWVCAKN ACRSYGKPFVTVGFARKHARCTTHLKADEITPTPRRFKHSSTNSLLTPIEMGSSTANV TPGSPSTGQGLTLVRRPAGSSENTPTNRTPGKIRIRRSSGAKSGNEKRITDLERENQE LKERVTKLEGEVFGPKSPGVPQSPTAGLLVPPTAQVSNTSSSALSSPASSRQMENLSQ YVQEEFHLAVPMEVDEDDVWNPAMY FPSE_05724 MKTESPTPGGMYHADGTNELGSSDTHSGLQDTIAIDSDSDESDI SSCIPSSDTIDGSDLPSRVKEFSERCLNSLPLNLMTADFKNYLFYNSNQCLEEAKASG VDLDDIAVGNDCLPTWSGRTWDPTTESLHGIIQSRLATQDEAQQAGKLARDDIIWKTG VKLYEASVQGIPLEDIYIAAEDAIRYLHHEDDNEQQTPADDEDWILPTYDFETEQVHD TGAVSLSQSTTFVSSDDSTSLSLMSPCFSNTDSDSDIDIDSESDPDIDSDDVEDFDDT FSVDNMFDPEPHYLDSDDEEELNIFHGAHVVGLPSQHANVDLEMYEASDEDEVEDLPE MELCIDGELYKALFDIEGENSSKKERR FPSE_05723 MSILIWLRDFLVSILWAIAASIGRIFGCEKALTRRFYAAGSLFG LSPWTTVNTKHATTTERILEWRENIPPGPPPRPPKRSKRKSTSRRKKAMKQA FPSE_05722 MPSVGNPNGPCKNRLAARASKARKDRRKKSQAPKDKVAKADTTR GARKGLLPTSGPRAKMSAKKARKVEKAMAHAMKRKMEADGEAEMKDAPEAETEEKTEE AEMADIQ FPSE_05721 MILTYKTKALAAPSLFSIAIIALLAPVTQAHEHDTSKIPEGESV SLEPLDTILWIHILIQMLAYGVIFPVGMVLGMTKNRWHVPTQVVGTILAILGFFLGHA HKGRQFIPNHVHGKFAHVLQLTLAAQVILGLYLKGHWEKGINGKIRKLIRPCHSIIGK LMPILAWTQMIFGGITALGFCQGDHTGQCAAHFIMGGAFIAYGILLTILLVVGQLWIR RNGRSQEFYDSATIAAWGCINTFTEHRWGTDWVKNDWQHTTMGVIWWSAGLVGIWLSR DRDGNPKRNFIPAFVIFITGWGMSAHPQELMVSAATHTMFGYTLMGVGITRVIEIAFV LRDAHSISEDGRSANSFQFIPCFLMYAAGFLFMGATEEQMMLVASSSMDHISYILITY SLASLTFLFVNILIGLWDRLAHPVLDTKELGANRYANGLTVEDGQMRDAQEFELDGLT SDDDDDPSQQMLNSNNARN FPSE_10949 MDSSADVNQGSAEGTRANEPFTVEENIQQLNAVDQQIVQLMKHT ATALNTLTIPPTPESNQAQDPASEPPKPSLDPPAQKDAFRSATDSFLTALHAVDVKMK RQIFALEEASIINLAPPQRQENNGPIMASQKPNGIGAVGNIGAGWLNSRGTRVERDME AELWDKAKGFLKKNEYAE FPSE_10948 MSAPSQTPGAPAPGAYQGPSATPPAPTHTASNTTGQAGASTTGG AMSNQNLNQIVTDYLLKRGFNRTEEVFRQESKHLGPDGKPIYQLANLGPKKYQKAFGL LREWVENNLDIYKFELSKLLWPVFVYSFLELVTHAYTEDAKVFLRDIGPNFQPVHADD LKTLGTITLAQHITENPMTKLYRENKYRIPLNQHASGDLFNFLERESDQGGSVIRQLL ATYCQIDSTARGPITPFSFEAVYKRSRNMEVESVDTNEGIPGVNIGLSNKDVLDPTAP LKLGPLPMDVDLRDDVRAELEDDERRNPPPPGKASLVDEFDQKIKREESADAPSRAEL PLPPSRPRDIMLEMQKLRENRDRFKIDGATGGVGVPISACMFTFHNTLGSVASMDFSN DGQLVAAGTTESYIRVWSLDGKALPTMNPHEKDAKFNSRKLIGHSGPVYDVSFSDAVS GPPQKLFGDEGKSNPAMDTRPKLLLSASADGQIRLWSLESWSCLCLYKSHDGPAFRTQ WGPHGHYFLSGGYDKAVRVWMQDHASPQRLLVGHDTAISALTWHPNGLYVFSASDETD KSIRMWSVVTGSCVRVFTGHNEYITAMECAPNGKILASADCAGNIFFWDLAKGTRIKR SRGHGKGGIWSLSFSVESNVLASGGQDGTVRLWDVELPADPQKASQQQTGNDTTTTGA DGTNTGGVVGEGSRVAAATSGQGGSSGTTGTHKKKNKEVMITPDQISAFPTKKTPVMK VKFTRMNLVIVGGCYDPER FPSE_10947 MDVEESPWADSSQASQQASQPEASASASQSSTPAPASSSAPRPS RGPRRLVAQPTRLEAVDDPLGPLGAVPEDNGSAQDAPPVPPQKEQMVIRTTMSQPQQQ RRAADPNHIDDEENDGPKAPRAPPPVEAAQPSAVRSSNQPSVSIEQAAKPTFQIYVGD PHKVGDLTSSHIVYSVRTKTTSKGYKQPEFEVKRRYRDFLWLYNTLHGNNPGIVVPPP PDKQAVGRFDSNFVESRRAALEKMLNKTAIHPTLQHDPDLKLFLESETFNVDIKHKER RDPIPTESKGVLGSLGINVGGGNKFVEQDDWFHDRKVYLDALENQLKGLLKAMETMVG QRKMMAEAAGDFSASLHALSTVELSPSLSGPLDALSELQLTIRDVYDRQAQQDVLTFG IILEEYIRLIGSVKMAFGQRQKAFYSWHAAESELQKKKATQDKLLRQGKSQQDRLNQM SAEVGESERKVHQARLLFEDMGRLMRSELDRFEKEKVEDFKSGVETFLESAVEAQKEL IEKWETFLMQLDAEDDESVFYRPPVYQQQQQKGGDTAVDRARARIDEDSD FPSE_10946 MAKFSVSPATLSPIRTTLSTSSYSPSRFSLGQVRHATFIPRPRR PYTFTQLVTLSDGSSYTMRTTSPLPIYRAAKDTRNTLLWQPSEKSLKNVELDEAGRLA AFRERYGRAYDASSAEEGGETAEAGEDADGSYADLITGYAPAQDANTMRDSGPKKQAK KRK FPSE_10945 MRLLPHSVVVCTSNQPGDGPRAMTMSSFTSLTLTPTPLVTFNIA IPSRTLDAIKASGEFNVHVLSGDERGASVANHFTKGNVGGHAFQGLDYTQDGDEAPVL KEEGVMFALRCRVLKDAPEEGLVRVRDHVIVVGEVVEMVKVEEAEDEDFGLVYADRRY RQVGGVLEV FPSE_10944 MSETFEFQAEISQLLSLIINTVYSNKEIFLRELVSNASDALDKI RYKSLADPSQLDSGKDLRIDIIPDKANKTLTIRDTGIGMTKADLVNNLGTIARSGTKQ FMEALTAGADVSMIGQFGVGFYSAYLVADRVTVISKNNDDEQYIWESSAGGTFSITED NDSEQLGRGTSIILHLKEEQTDYLNESKIKEVIKKHSEFISYPIYLHVEKETEKEVPD EEAEEVTEEGDDKKPKIEEVDDDEEEKKPKTKTIKETKIEEEELNKQKPIWTRNPQDI SQEEYASFYKSLSNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTKNNIK LYVRRVFITDDATDLIPEWLGFVKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIVKKS LELFQEIAEDKEQFDKFYSAFSKNLKLGIHEDSQNRSILAKLLRFNSTKSGDELTSLS DYVTRMPEHQNNMYYITGESINAVSKSPFLDALREKGFEVLFLVDPIDEYAMTQLKEF EGKKLVDITKDFELEETDEEKKAREEEEKEYEQLAKSLKNVLGDKVEKVVVSHKLGSS PCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSAIVQELKKKVEND GENDRTVKSIVQLLFETSLLVSGFTIEEPAGFADRIHKLVQLGLNIEEDDSAPADADA TDAPAAAATGDSAMEEVD FPSE_10943 MQMGAFNVLAILSGLAVALASDICAAPSLKVKTHNGVLEGFKDG SSKVAQFLGIPYGEHRRWEPAIPSGPFGTLKATSFGPNCAQSEPGVGGPWAPEFLIKP NSTSENCLFLNVWAPSQALCPKAKPLPVIVWIHGGGFASGGGDIDYQIPRNWVERSQQ HIVVGINYRLGVFGFPNAPSLNPKQQNLGFLDQRLAVKWVSDNISRFGGDPKRIILWG QSAGAASVAFYQYAYPNTPIAAGYIENSGSAFLGLRANDETKSNFTSLAKSFGCRRDY VECLRRVPFKDLQRHVEEAKTMSFVPVVDERTVFSDYTNRIPSVAKLPAIIGTNRDEG DLSDPPGSGDHSSDQVKPDNTFHCPAHYETILRSSAGVNTWRYMYSPNFTNIMPGGEG AFHSAELPLIMGTHDEARGKSSKFEYKVSHAMQDYWLAFAQDPSAGLTRKGWKPTPKT GVQEGIVFGSDNKVVVRPYAWTSVQNGCNKAGAAKN FPSE_10942 MSSSIETLANGNGHAGEHDHVLRPRPRKPKHSQVSDVVRMTSTS DGEHLMPDNASTGSASGLTSGRSTPVPENAPPSVKSLSTARKQVRAEQRRRIFPTIEF ASRVSHFDPESDYRDFHGFFNLFWIGLAIMGITSMLRNLKDTGFPLRVQIWGLFTVKL WHLAIADFLMVATTAVSLPLNRAARNAKPGSFLDWDKGGIAIQSIYQVAWLTLWIIVP FYFEWTWTSQVFFLLHTMVLLMKMHSYAFYNGHLSQTEKRLHALDNPFSPRDRRPAYQ YPSTDLPASLTKGESNSTNAKKRRRQRQKKQEAKQSANGHKSNDEEPINHDLLAPSPV ASPSNSADEVDEIREGLARELTSPIGNVTYPNNLTWSNYTDYIFCPTLCYEIEYPRTT HINWINLISKIIATFGCIFLLTIISEDFILPVLLDASKRLDAVPTESSVSEKLLILAE TVSWLLFPFMLTFLLVFLVIFEYVLGAFAEITFFADRHFYSDWWNSTDWMEFSREWNI PVYSFLRRHVYSASRPRIGRGAATALTFLISAFGHEIVMACITKKFRGYGFVCQMLQL PIVMLQRTRWVRGKETLNNVCFWASMVLGLSMICALYVLV FPSE_10941 MASKGSDVPFSSPKRPQTPLGPSSGPQIIEATYIMTREMAMAQA GDIKEKLGHRGFDQAALLPQMNEWLSLPVVKVLSEDMKNRVSIWCQQHNRGWIDAIRD AEDDLKEYRYTSRIQESTAMVPESIQSRLEESLDRFRQEILQEQRETTAKMMAKMDSL QLSEQTSPPAKRRRMSTRNIPKNASLQKIDEGLRYLLGEDSELVQCFREHAVNADPKF TTLVEPSAKRFWTKGQLENFQKETRELGLGAVEYKLLDRIFQEMEEGDLSMANIAALS LQLTSREQYGTLKAKFRFFCVSDREQEEC FPSE_10940 MPLLPRINPDAAATPQSKRATLKAFLRKWLKVNYQDLLCMAFVG ALAYGIYHSPVIITRTFPITFDATSGDIVYPQWAYPDRGWILPAWLSGLISIAIPIIT YIVAQIQIKSVWDASNAIIGTVWSVTLASLFQVTLKQLVGGFRPYFLDVCMPDISLAK THNKTGLNGVGFYQIMYTTEICTQPDQSRIQNAITSFPSGHTTAAFAGFGFLFLWLNA KLKVWADHKPAFWKLSLTFVPVLAAVLIAGSLTIDAAHNWYDILGGGFIGTIMAFASY RSTYASVWDWRFNHLPLQETESFRYGFDGDLDYAAQTLSLAAGWGGKKVRLPESSSGP AISTESTLRSGAEEIENLDANTRRKRRGPVGEEAV FPSE_10939 MTAYTTNTSMEVDPLKQAGQSPSYSIEPDNTEYYHTQAQDRDAV PRSKPSVGQRFHKISSKAGSPLNKAANLIGAEGWWPTTMDKECAKAARVLHSFTNLSS STSPNSKGPLHPTGLTRKSMIKIPPTVLQSAAGLAIFNVIRAGACHGSLSGGSGVVIS RREDGTWSPPSSFIVSTLGAGFVFGLDVYDCVCVLNTPEQVAAFTKPRVSLGAEGSIA VGPVGTGASVDAALSKTAARPVWSYMKSRGLWAGLQIDGTIVVARGDANAVFYNERGI TAQKILLGDVAWPMGAKPLFEVLQALEGRATYDRTVVQAVGESPTPGDSILSEKQERY TDEPEREIGGSEKSERYIDEPEEPKISDSEKSEKHSDEYPAEKGEEPPMLIVTSPTTI HSMEDLPGYEVVEKE FPSE_10938 MIIPTSLLALAGVGFAALEPRQIMHQARHQPSFITSRDLSDAKS APCKFISKTYEEANPEKGNPVILAIPPSVGIACLKSVPLDKKRDLELLDYLEPLIGFQ STLEILADPPEEYLFPGVDVLGGFDTIRSKLENNKYKTQYEVMTDLRSIFASAYDGHF DYPPALLNAFVYVRRGIDFESVSSNGIRLPYIFSRIDTSRGDHGLLDYTPSAIKSIDG IPIVEWLEEDASRLTAGAQDPDAQYNALFSSVPRSAAGSSASTLVTQYEIPDTYTIVF HNGTKKVVQNEIIVLPTADLSGIESGEDFHQYIEVTPTNMTSEEPANERRSTKTQASS KYIPGYPIPVVKHSANSVAGYFLQGKGYEDTAVLSILSFVPLGLDLANPPPGFTLTKF ILEGQAVVLEFIRKAKAAGRDKLIIDMSANGGGSVVLAHSIYRLLFPDGKFTGWDRYR ANAALEAASEASYDSLVATLITVSEHYPVGVDGKAITTGKAFFGPYTVKGENVTAAFQ IDKTVPWEPSIPAYLNGFDPETKPLVEEAPWKPEDILIVTDGICASACGILTGLLTRN YNIRTLALGGRPLDQAMQAMGGVKGTLLNFNQDIVSAMALVRVGAGTDKEALSILSDA SASIPSASSPPLLPLPNAGRVNSLNSYTEDNLKGYPVQFRYEAANCRLFYTQLMAASP VAVWRRARGVAWNEEPCVPGSTTGKNGMIGDKTLKYDSRVRSRASGIKGPGMLKK FPSE_10937 MSNATLFFPSSRRGDEEKKKAKRRSGAFPASWQPLMLAQANTYQ GTSRVKFSTWERARAAFLPRIPPQC FPSE_10936 MVLSDPIAPLPEYHWNAIVDKNEWLEFEQEPIRPICKSSFWRIP TVLKLTWGLAKRRREEEEEEEEEEEDDEDEDDEDEKMTKNETTMTTTTDLGIWETPPA AAATRERAAVACAAIKKIIKTMIKPMLKLKLKRNPKDVQSSEKS FPSE_10935 MWEDEWAAAPEGTWVPDDIFLPRDEAFWLAPNPYIDTQTGCWSC GLAPTGATLATRVERRRRRHAAATAAATPPRTWEERVAAVVAACKSRLAAMPEEYQAQ IRAADEASGLDGVA FPSE_05113 MKYSAVIVAAAAAAASAKLEPITMKGSKLFYTNGTQFFMKGVAY QQDSAAGGESTGKKTYVDPLADEKACKRDVPLLKELGTNIIRTYAIDPTADHSACMKL LDDAGIYVISDLSEPALSINRDEPKWDIELYQRYIGVVDELGQYDNVVGFFAGNEVSN NVSNTQASAFVKAAVRDTKKHIQSKFKRWLGVGYASNDDVDIREDIADYFNCGEDDSR IDYWGYNIYSWCGKSSMKDSGYTTQAEFFKDYSVPVFFAEYGCNEPDGAAGRIFDETT ALYEEKVMTDVFSGGIVYMYNQEANDYGLVKISKNGDAVKLKDYAQLQKKANAANPQG VDQDDYKPTNSKAATCPSQSKTWKANSALPPVPDKTLCDCMVKSRSCVPADNLKAKDF NEIFGYICGQNKKICTAINANATAGIYGAYSMCDNESKLAYILDAYYKEQNNNADACD FKGKATTQKAQNQDSCKTKLESADKTNQEVATATAAAGAASTDGSDSSSKDDDESFGL ESATIARAFSVGNYAVGAYMAVAGVVGAGMVLL FPSE_05114 MDCCKQLTMFGVTFSMALTEPQRLSSRNNRQQSKNTTVKPTPAM RRCIRNNLMDPWTYRDVHALLYTGFRLGWIKEPTSTHE FPSE_05115 MAPKNQNPTHGQKVDLGQNAPVKEEGAGKVASESLAAESIKEGG EFVSNEGIRGENQPSSASENTSAGRNTNTSSAPSSKPAQSGSGESDSNKSSAGRTTKT SSAPGSSADSKSSDSANGGNAPSYVENQYIKSSGGPHGKNIGEGFDDPKAKDGLKLAL ESEPGSENDPSRLAEQQFQERQNAVGRDAGPRQRALSSETPFESLNNETSS FPSE_05116 MAPSSSKEKRLAKKAAEGKLKGKKGAKKNEEPELDANGNPIQDD APATSGEKLDEVKRLADQMDKHGISDRVTTGVLASTQTSKDVKITSTSLVFHGRVLIT DSTLELSYGRRYGLLGENGCGKSTFLKAIAAREYPIPEHLDIYLLNEGAPPSDLGALE WVVREAELELERLDHQAEKLLEDEGPESPHMDKLDPSTFATRASLILTGLGFNKKTIH KKTKDMSGGWRMRVALAKALFVKPSVLLLDDPTAHLDLEACVWLEEYLKKWERTLVLV SHSMDFLNGVCSSMIDMRGKQLIYYGGNYDSYSKTRSENETNQMKAYQKQQDEIVHIK KFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVEQDRVFSFRFADVDKLPPPVLSF DNVTFSYSGNPEDDLYRNLDLGFDMDSRTALVGPNGVGKSTLLRLMTGKLSPTGGVVT RHTHLKLGLYSQHSAEQLDLTKSALDFVRDKYSSKSQDYQYWRQQLGKYGLSGDSQTA LMGTLSEGQKSRIVFALLAIESPNMLLLDEPTNGLDIPTIDSLADAINAFSGGVIVVS HDFRLLDKIAKQILVCENQTIRTWDGPISEYKNYLRKKMINAGAV FPSE_05117 MDQNGYNSSALQRPPRRGDEGGEEDRDSRPHHHHRHHHHHHHHR RDGDLPAGAVAGEAATASSNAGGANAHQHSTFSLRSPKPEYRPPPFSSPNGHNHSHHN TSTSSANHSLQSPPRPALPNPYMSSSTGAPGGPVAPALPPPVGINSSSSPGSSAAGLH QHHHQPGAPAHQHRAAPPPVSPLHPPVAYYPPGTNTDIYIPPPEPKPASRGFYDPTTD TTKERRISDAATPGASWHNANANAPPAGTPKTRDPYSYSQTADQHTPSYYNGSYTSPR GPSYNRPRSPLSHSHQNLPAGSLSPPGQQPLLASPSVRHGTTVNMNSTTNGASAIPPF KSDLAAPSPPKPAPSSTTSRANPMSFDSILSSSEPAPKPKEPSPIIAREPEIKEEREP RRDRESKRDSREPKQTKRSLEPEPDHDTEVEKDVETEPEPLPSREKEKEPVAKKRGGR KSTKGRASDIRDAATPKNGRKLSVKKESPTPRLPAKRQANGQPKPKTWSAEMEKKIQN AESDIENRAANLDADEFDEQQYKERAQKRRRVMSELDVEHGLSRRDALANTISKKLVL HAELGKRRYDDVFYDEALHEVREQEVYAEKERKKDMQRKRRREKSMAVTMEQKEAALA RAEAAEDETERQKHLRDAERASKKAQQTKLILQKGIKGPARNLEINLEGGTMSSFQAS DVESGEAGTPSGKRKGKGRSGPRLKKSKEQKQAEKDSAEAAQAALDAGEELPTKEENR VRIKIKKTKKDVAVDSEKDKDEAEKTEEEVVEKKTKKSKDKDKDKEKVDDIPDNEKRF LSKGYNQIYDQIWRDMARKDVNKTFKLAVDSYATKASNLKKTAILASKEAKRWQLRTN KGTKDLQARAKRVMRDMMGFWKRNEREERDLRKAAEKQEIENARKEEADREAARQKRK LNFLISQTELYSHFIGKKIKTDEVERSTDNPEIAKDAHQTDQKMLDIDEPTGPVIGKV TNFENLDFEEGSDEALRAAAMANAQNAIAEAQKKARDFNNQGLDMDDEGEMNFQNPTG LGDVEIEQPKLINAQLKEYQLKGLNWLVNLYEQGINGILADEMGLGKTVQSISVMAYL AEKHDIWGPFLVVAPASTLHNWQQEIAKFVPEFKILPYWGGASDRKVLRKFWDRKHTT YRKDAPFHVCVTSYQLVVSDVAYFQKMRWQYMILDEAQAIKSSQSSRWKALLNFHCRN RLLLTGTPIQNNMQELWALLHFIMPSLFDSHDEFSEWFSKDIESHAQSNTKLNEDQLK RLHMILKPFMLRRVKKHVQKELGDKIELDIFCDLTYRQRAYYSNLRNQINIMDLVEKA TLGDDQDSGTLMNLVMQFRKVCNHPDLFERAEVNSPFACAYFAETASFVREGNDVAVG YSSRNMIEYELPRLVWRDGGRVHKAGPDSQVAGWKNRTLNHLMNIWSPDNIRDSSDGS KAFSWLRFADTSPNEAYQATHQSLIARAAKELQKRDRLGYMNVAYSDTEDSNFTPAHA LFQIRPRQNRKPLADITNEGILSRLMNVAQGDYDESGLGRLEPAGRPRASAPPIQVSC RSWASEFERNEILFNAPIRKILYGPTVFEEKALVEKKLPMELWPTRQMLPKPDHEKKG FTNISVPSMQRFVTDSGKLAKLDDLLFKLKSEGHRVLLYFQMTRMIDMMEEYLTYRNY KYCRLDGSTKLEDRRDTVHDFQTRPEIFIFLLSTRAGGLGINLTTADTVIFYDSDWNP TIDSQAMDRAHRLGQTKQVTVYRLITRGTIEERIRKRAMQKEEVQRVVIQGGGASVDF SGRRAPENRNRDIAMWLADDEQAEMIERREKELLESGELEKQQKKKGGKRRKAENSAS LDEMYHEGEGNFDDGSKGVSGTATPATAATPADSDSKGKKGRKGTKRAKTAKQRLAIA DGMME FPSE_05118 MAPSATKDSWKDFPEEKASGFITYARNSVDRVVPPPSRQKAYDE SMAFASSRPVLFSFIVSQLLLSFLPLLMFLTFSLSTILFALGAAIVFALFWIGVALLV LVPALLVTSSIAVLVWGWAVGSFVVARWLYSHSPVSVQNGEVVKKENGN FPSE_05119 MKDKPKYEPPPRPTTRVGRKKRKAGGTSAAQKLPAVYPTSRCKL RLLRMQRIHDHLLLEEEYVENQERLRKAKAAKEGQTAGTDADVDRLADERGRVDDMRG SPMGVGTLEELIDDDHAIVSSTTGPEYYVSIMSFVDKDLLEPGASVLLHHKSVSIVGV LTDDADPIVSVMKLDKAPTESYADIGGLEQQIQEVRESVELPLLHPELYEEMGIKPPK GVILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPRLVRQLFQVAGENA PSIVFIDEIDAIGTKRYDSTSGGEREVQRTMLELLNQLDGFDDRGDVKVIMATNKIDT LDPALIRPGRIDRKILFENPDQNTKRKIFTLHTSKMSLNDDVDLEEFISQKDDLSGAD IKAICSEAGLLALRERRMRVQMADFRSARERVLRTKQEGEPEGRQIALGLDAE FPSE_05120 MSRDRRIMKELADIQHDHDSSGVNAILVSEGNMTHLKGSFPAPP DTPYSGGTYTIDIQIPDQYPFKAPSMRFDTKIWHPNVSSQTGAICLDTLSSNWSPVQT IKTALLSLRMLLECPNPKDPQDAEVAKMMMESPERFAAKAHDWAVQHAGAPRRDVDLS QYKSEGAPAPPKVDAARYMGYNKDLVERFVNMGFPLDNVVEAFIAIGIERNGGRDYVL EEAYMGDIVARLLGEQ FPSE_05121 MQSITRATRASALKNVGRRAYSSSQYAKTINNLRINSDTKVIYQ GFTGKQGTFHAEQAIEYGTKVVGGTNPKKAGQTHLGLPVFKNVSEAVKATGATATGLF VPPPLAAAGIEEALEAEIPLVVCITEGIPQHDMVRITDMLKTQDKTRLVGPNCPGIIA PEQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFSGTN FIDCLKVFTEDPNTDGIIMIGEIGGSAEEDAAEFLKQANTVNGGKPVVSFIAGISAPP GRRMGHAGAIVSGGKGGADTKIKALEDAGVIVERSPAGLGKALYNEFVRRDLL FPSE_05122 MTYNQHNAEDSCLKNQHRACPVQDESINFSPSSTSPPPSVIQRG DPEKSPSAPVTAPGLEYTETSSTDNHEPNLDFPEGGLQAWLVVFGSFCGMFSVYGLIN TAAVFESYFSENQLKDYSSSQIGWIFSLYLFMVFIVGIQVGPVFDKYGPRLIVLAGGL LIATSLFILSICEEFYQILLSYSVVGGLGGALLNSPSYASIAHWFNVRRGLATGCAMT AGSIGGIIFPIVLQKLLPTIGFAWTTRILGFIILGLTVPATLFMRSRLPRHNKVTSVW PDLGIFRDLKFTFAAFGIFFTEWGLFVPLTYIVSYAGRYSGNPTSSYTLLSILNAGSL LGRFLPGFLADKIGRFNVMLLTLGLCIVSAFALWLPAGDSQAMIIAFAVVFGFGSGSN LGLTPVCVGQLCDPRDYGRYISTAFMVASFGTLSSLPIAGALLEAGGNGDAGWTALIV FDGLSYVLALACFLAARVLAVGWNVKSVY FPSE_05123 MESKTSTLDEAQRTPSSSTANRSPWLASAFGGSKVTIGPRVEPL AEHLASNLVSETDSTSAVDLHDKQVASEAGNAIQYRTCSWQKTAGLLFSEYIVLSIMS FPWSYSLLGLVPGLILTAVVAGLVLYTSLVLWEFCLRHPEVRDVCDIGQMIWWNGRWA WWWTAAMFVLNNTFIQALHVLVGAIYLNTMTEADNIKGCRTVAFAVVVTAISWIGSLP RTFSMLSKLAFASTLFTFVSVILATAFSGAQGKPAGYPSKGEPIISIWTPSSTTLVTG MSAFMNMSYTFIGQTTIPSFIAEMRDPRDFPKALWACTCAEIVTFSIVGSVIYAYTGN QYMVTPAFGVLNDLYKKVSYSFMIPTIIFVGCLYASVTGRFVFFRMFKDSEHLTSHTF KGWSWWSFVLFLSWAAAFLIAELIPFFSSLLSVMSSLFNCWFGFIFWALAYFRMRNAD KKVGRTRQPILDFLSVTLNIIIMLTGVLYLTLGTYVSVQGIIDQFEAGQVSGVFSCKS NGI FPSE_05124 MNSLTATESLIAAGLEARLLVPKDSDFTARQESYWSNSAKIKPA CIVQPRTSDEVASIVKALVAAGHKFAIRSGGHTNWAGSNNIEGGVTVDLGHLNHIKYD ASTETVDIGPGCRWRDVYAQLSEYDRAVAGGREGNPGVAGLLLGGGNTFFTGLQGFGC DNVISYQVVLASGDIVTADDKTNTDLFRVLKGGSNNFGIATNFTMKAIKNGRVWGGMA MFPDQAIPEVIEALYEFTENVANDVHSNLVTVFQYLPDLGEIGSASVYANMKGIERPA AYKKWLALPEISTRVKNTTVAEIASDYNLPPNYHNTWFTCCFKNDIRIITKASDLHTK LVEELKEFIPDGNFITQCIYQPLPTIFGQRSVEAGGNVMGVERHQHNGILFLASAMVR TPEQEAFARPKVEAWVRELREFAATIEGGNLEWTYLNYADKTQNPLGSYGLENIRKMK DAAAKYDPGQVFQKLVPGGFKISDVKDV FPSE_05125 MATNFNTRKGAAYATTSSSIPTEQWAQVLEKTGGAVQYKKIPVP TPASDEVLINIKYSGVCHTDLHAVNGDWPLASKMPLVGGHEGAGVVVARGELVKDVEI GDHVGLKWLHGSCMSCEQCRQSNESLCSHASLSGYTVDGSFQQYAVGKAAHVARIPKD CDLAGVAPILCAGLTVYKALKSSNVRPGQSVVIAGAGGGLGVFAIQYAKSMGLHVTAL DGGEEKRKVCTELGADTFVDFKTSTDIVGEIKNATRGLGPDAVLLLAASEKPFQQASQ YVKSKGTIVCVGLPANAFVKAPVFDTVVREINIKGSYVGNRQDTAEAIEFYRQGLIHA PYKIVGLSELQKVYDMMIAGNIAGRYVVDTSR FPSE_05126 MPEFPSLGHELTDLLLPANKQPRSKRQSNYPLDPHQYPKLETDD MDVIGVTTTLVQEENASKINCISSVVGGWNYESEDGTAHQISRDLGFTSREVISSTNN SHKISDRLSEDRRYVFWCNSRLMP FPSE_05127 MAAIVNVKDAASRLAQFADEAVTFFQQLNSSNKDIIKRLEQWES LSRLASEIESVPSTDSNDTEKQLEFTTQILLHCSEITKDILIGFNWREFTLDDPGLVT VYDRLNGQRVKTLFEDLEREQLCLVTFRESEFKSLSCVSNSTHQLEYSNSFKEREKEL LHYLFVTDPRQDLASLESAKGHVLEGTCAWITEIPDFRYWLLPPSKCKGLIIQGSQGT GKTMLASYIAGQLERLSGHTPDETVLYFFCSQGNIYKNSATAVLRGLIWQLCKLRPGL IHHGLDKIRAHGSDRIALAKNMVEILWQIFIAMIRDPAAGTITCILDGIDECDVSSIR GLTHRLSELLTSPKSPQNFRLLMTCLSAPSQMKHKDTFSILSLDSELQENNARDVQLY INKSLKKIAQDNEWPLQLHGQVVTALASRPNQSFHWASLVLSDLRYKSKLQIPLHIRC LSNSFETIYGNILQEIPVEHRKRVRLLFSWLLLAYHPLTIQELHALMGDQASDSETSI EDLKTCIKVCRSIVVIRPETRKSDLEYETVQTVQLSQRSVRDFLHRYMTVERTGPGAF QIHTDKDHESIASRCLDLMEELLPIWSEGKTDERSDLVLPYATRFWFRHLGEYPQLLQ DDKITAKAMSFLTQDHVSRGLWFTYLSKFKDTREYIKKQWTARKHGHHFPTVNGLGEI TNVIIVEQPKDLISAERLSALQLASLLGIIPVVRKIIDTTSLARYLRQTNIRSSLYSV YFTHPRSKTRISETQEGQPILATAELVAMTPLELAVLEGHREVVSLLLDSHPHSSMRP DSEFALETAISQCDREMVKLLIRAGASKLKASKNFDGPIGTAMTNNRLDVVRFFCNSD NSIWEREDSKRDEITYALLHLANDATPYPHDEPRFEEYARVLLHGNASPNGTVSCREG HSFRHLKYGVLQLLHSRGITLQALGPFPDEQTPLMLAISSMHLSCDGVDPTEIVQFLL DSGASVNQTDRKGWSALHHVANQIALGRAKKAWEDMHDGEEYKLYAIAGLLIEAGINQ DLEDREKRKAADILEIVGAPVWKRDNSWYERLMRSEPTRRMTLE FPSE_05128 MVSSRLFTGFIALASFHAANAGACRPRSSTAIVSGTDTTLTTAE TSFQTSIETETSSVTAQETITLETTFSLTSAETSVETSFVTEDDLTTTLESTQNLDFT TDITSFITLPAETTTSELPATTSGFVPADLFPCMSAESCSAVEFCDQTRCGCVDGFCQ LLDIDIDVPIRRRRLH FPSE_05129 MRNMSILGAGKTSSHSLLVQTQDVRSDTFTEEASSWPNHPKTLD YGWKVIWLFPLVLIWVPFIVLAFFIHGLNDKPISAHGDAVLEAIGLASTLWPIALAAV LGALVRTVALFRAEQGTELGTLAILLGSQTLINTIKTAFVLRMLSVWTLVLFVLWTFS PLGGQAVLRTIRTKSHISSQEHKISYIPAADAGPPLNFALWESSSTRGDQLGRILPMF GAALSAPNALAQASNGSSKRFDAVIKQLGGVDVASKDAKVDLWGNVRVPEITQLPGYN NLDLYNWIKVPSDQLATYESLIGIPIRGIPSNSAGNFTLQISASYIALECSSWFNTSE WLSRIPNGLRSHKTMNASIVEEKVTELNRGTSHTYMDIPNGISGSNSDFNFSSEDRYS IGPVKRGTLVFGTRNNSTICDMSNVYVDVDIKCDRPRAFETMVCQALSVRHSRGHGVS IPSTNMTTNRGTAPGAFISALPWLTQSFHPGVKSPFENYLTDPALGMEDDLTGGFGIT TEFTRLPLKVFAQRLALVINTAMRVSYYAPAVLSFGNINMTEMEDWMPGMYAIQYTNT TGDFTTSEERYQVQNIWMAIYIVSLILMGISLLATVSLSLVTRAPDFLTSISALTRDS VHINVPAGGSTYCGDERATLLKNRRLKIRDVQPDEDIGYVALADDNSHGNRGLKAAKK RLYA FPSE_05130 MPKYVLTGADGNLGRIAASFALEIANPDDELVFTSYKQEAIPED LRRSWTDKGAKVVTATYDDIESLKAAFQGAEAVSLISTWLFGEGRRRQAQTVVDAAKA CGVKRVCYTSFVGAGIEAENEEDIPFLPRDHHFIEKIIYASGLEWNIQRNYLYADNIP TLFAQSWKFCGDRWLVNTHGQAGAYVAREDCGNVLAALLLGRGKPNIVYEISGPEAVT NEEVFKWMCEQTGYNGEIVDMPDEELKTWWLDHGLPTDFFGDFSKLPMKLCIGDLLCC GEMVARGFMAKTTDSVEKLTGKKPKLYKEALLQYKDLFPKA FPSE_05131 MASLNLKTPPYPTGDTDDEIRNDRKLCRVCWNLYPGSASSALPL AGIAMHENSGTINSARAAEAGNLKAAPTWAGHGRIDYASWGIRIYLPDIPSSAVGGCV SCWLLQEMLTKLNRGNLDCSDPELWLEIVFCKGNVMRLNLIRGSPPEDDFDMFSSGGD SSEGDLVESYEIYTLPDSPCPWPTIGSCMNIERPDWSLDAEFGGQANHIEPDPTSKSS FDRARSWIKACKEHHTICSEAESASASKLPKRVVDIGPETNDGIHIFTHDDSKQHITE QYIALSHCWGKTQHLKSTKDTLEQWKKNIPFGRFAKTFQDAIIISRELGIRYIWIDSL CIVQDDTKDWEIEAAKMASIYNSAELVLSATGSVDGSGGCLFPREPYVTVSGTFPDGK PFEIYGRKAGQHQHSAFGWNTDRNVAKGSSNPLVGTIELDILNHPLLTRAWCFQERLL ATRILHYTKSEMIFDCLSSMDCECGALEKHEDDPLVPARRIIKTGHKFITGTKSYRGT SIRPSKPLQGEVKEFQEHHELWRDLIVQYSQKQITFRTDGLPAVAGLATEWSDKLTGR YLAGLWEKDLLNGLRWMPDEKDSEEELSYIAPSWSWLSVHRGVTWGLESFEYDNYFVT VDFTRTACPLKGENKFGQVNCGYLFLTGRAMPVTFTINENSVYLEKPGESVRKQLERP DSLFRLRNLKTKDLLCLRLCTRLSTRNAWDDDAYVGNTTKQRVDAYHVSHPAASIPTK SATP FPSE_05132 MATATMTVTAVPTKKKPDMAPESERFLRCCADVANALIEDHEAS KAGRTTRDINLNSLRNKLAKKHKLMNIPPLTAIIASIPEHYKKYILPKLIAKPIRTSS GIAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYD PFEQARGRVDQLKSLGHSVDKVEYIIMGGTFMSLSESYREEFIAQLHNALSGYQTTNV DEAVEAGEMSNVKCVGITIETRPDYCLQPHLSDMLRYGCTRLEVGVQSLYEDVARDSN RGHTVAAVAETFCLAKDAGYKVVSHMMPDLPNVGMERDIDQFREYFENPAFRTDGLKI YPTLVIRGTGLYELWRTGRYQNYTPNGLIDLVARIMALIPPWTRIYRVQRDIPMPLVT SGVENGNLRELALARMKDFGTTCRDVRTREVGVNEIKNKIRPNQIELVRRDYVANGGW ETFLAYEDPKQDILVALLRLRKCTEKYTYREELIGQPTSMVRELHVYGTAVPVHARDP RKFQHQGFGTLLMEEAERIAIEEHGSDKISVISGVGVRSYYKKLGFWLDGPYMSKWLD GREQPE FPSE_05133 MSPRQNGIPDYGTGILPVIPPDVNLPDRDPRSNAATAASAAGVR ALSTQLIAFYFRAPAKAFFRTRVDYLAYARNIHQAQTQLLMKAAANDASASAFTTLLR QSWLLLRGTTPGVLTSAIRHQGWSIVPNQILPPLIANVGVGAVLYTSYLQILGRLHEE SGQARKRVYPPPHPTHTFTAGLLAGGLQSVLAAPLDALQARYDHTDLMPNDGSGKPRS MWKFGWEKLREIGLRGIFAGWGLSLAKDSLGSAIFFSTFEYVKAQGYYRFVTWYYGGL AEDIVDVLAMKRPTHQHKQEEGIRLIRPHYALEPMFLLLGGLSASFTQQILLHPLTHF QVKHWDHLEDLDAKAAKLRASKVVNPEKPHRRWRMLRAYYRAYQESLAVCRTEAAAEG LSLTKWLYRGFWWNAIRQVPSTSAGLIIFELIRRKYGLGQGEVRITKDGYDILLH FPSE_05134 MASSSPNNMAHSTLPFPPSIEETAQSIRQQSARYSHVNQELQSL VDQVENDPTTGMFEFITQYVGLRNLPLAVAAFSIHRFPYDPLPLGSDLDSWVPKYLPT IAGSPKLLSEFLQLADNINQLSAIYASMPQDQQFWEAMYRRSLYQSYGDDFSRTAAWK MPDLPLYDLATLNKDQIGMVFGNQDQPGDSPVDSEGNPINPELLVRVQQSFWFEEIEV RAKALFEMFDYGSLPIDEETLGPIYDLLENNPSVDVVPFQRLVKWQRLVRTVHKHGVS DTRASEKLFKILRTDRFYALGAQGLIACLPSYNESYDVPYIFKLASVNSQIHLFLLCG VKAEARGAWFDGWFEKYPVSDPDEHVSLDTEYPSDEDGQVAMAAYAIGLDDEDMEFLW GMKNPQIPADPPVLDSVDETLLSTMSTYDMVDIRAMWSDDPYMFDQRMHGP FPSE_05135 MYTQHSGQGQHGRMNGAGRGIPNMIYNYQHPSHQHPSQTQHHQG LQHDHGMPNVNGLAHHSSFTPGGLSNSSPFAPNALQNGHSAGNRGQAPPNEMWQEQLR THKESERAHAAMTDQQQPHYYARLKASENRGIGGPPPSSLRAQPDDENDGVDRRRPLT VEKETRRQDWHNMDMSGQGLRNLAPELFKYRFLNELFIASNKLKILPNAIGELRALRH LDASFNQIEEIPPEIGMCTYLKNLHLFNNNIRILPNELGSLHLLEMLGIEGNPLDPDI KHEIMEKGTKSLITFLKENAPCPPPPSPRKLVCIQEDVSPSLERIKVFSWNILCDKYA TPQTYGYTPTNALNWDYRRSCILEELEIRDADFLALQEVSTDAFKEDLSPDLAQLDYK GVHWPKSRAKTMSEKDAQSVDGCAVFYKQSKFILLDKQLIEFATIAINRPDMKNQHDV FNRVMPKDNIAVICFFESRLTGARIILVNAHLTWDSALADVKVIQTGILMEHVTKLAE KYARWPAVKDKKMIVLPVGEDEVPVPQAEPGPSQEYRTNTEIPLLVCGDFNSTEDSSV YELMSMGRVPPDHLDLSSFQYGSFTRDGIEHPFSLRDAYAHIKHTADDMPFTNYTPGF ADVIDYIWYSTNTLEVVDLLGPPDPEYLKRVPAFPNWHFPADHIQIMSEFVIKGRKEK KHLPEREPDFGPGSRS FPSE_05136 MSEWSGFYYASANPLPTFARKAKAEKEWHRPETPPRSISASHDI KSNTRRPFRHTRTSSGSQRSGSRSSHESSLVHSSSSPVAKSGPALEEDNSHYSHSKVL SSDSRRQSSTSTSTSYKPRINSTSTIGATAPLPSPIIFNRPVIIDKSRERELLSRHSG AATPTYGSVDLKNVTMYSQLEASNKPMSGTTKQINLGFPRTESVSSTSGQTTASNHTM TSTDPSNSSDPAMKPFIVKNGRTYFNDPTSAYPLPTDLTELHRQSLRTLLMIQVYGAP VYTPFIQNNPPQRVLEIGCGTGFWSMMCHRYYKDRGHTGIQFTGIDIAPLAPGSPGSP AELCKPDKDMKWRFVQHDLRQRPWPFGDEEFDLIMVKDACLMVPSHVYQLFVEDYLRL LKPGGVLEIWDSDPTFRMLRPHVPSALPGSEEAEEHEAALDLGAFLMTSKTPLSAPLN SFLVEYNTWLSRTLEGRQLSPVPCSLISAVLLQESEDLMDIKSKRLAIPLSEVRWERE GVGGVVTKDGKSYVEMKAKSAPNQKVEKKTLTPGQSALRKTALLTVAQQVSALEPILR DASGKSQDEWDAWVAKMMADLMSETGTSWGECLELGVWSARKRLRKGES FPSE_05137 MSSNPQLRRQVINIYKELLYLGREYPLGFDYFRPRLHKAFISKA GERDEDKIRKGIAQAEFVKKEIEAL FPSE_05138 MSATMLPKGSGALKALKPLSKGSSLSRFKDYKAENPEGRWPTGL TPEARPFRSSWWHADKNYSVPYTIYNVPNNSEAYFKWPTLKKFMPSLNPRPWRSIKAG GSVGDRLETVVPGPTRDARLERQHALMGYMYNDLGVLVYDGPTEAQLQKIGDVLEEIV RHEGRLQIRAWHSSTKKVRFDKWNVYRLSVDESRHIDPLWRERGFLLPSGLDELTDLA LQKWREDWKAGREAEGSDGRLPVRFVEDTKELWLLKNEDGMGLINDTINGPRISSVDA YVATGLSWKRICVNIELHTVGTKRFLGCANFVFGLNIDNDILKQRLEEVKELNHTSLD TAESLMQARKEEQRVLRHSLQNIYNDEAPVSIEKHEHVVIDMTRKLWRLERLIWGQRQ EIMRKEAQEKKMIEWKRQRELRKSMKAQLKADAKRLESVEKLQDVKEAEPQSEDDVAK DVHDEKST FPSE_05139 MPRAPGSSKRQQGAASHRDNRHENGLVGPAKRSNDKKGSGQLDN SARRSDQGASGAPGQPTPVNGHANSPYKQCVYDATNDVRNDDHRRSSLDAVSEMSSDS STTTGPSGVDSSHRQIDVNAMKNADVHRDSGPFDLATTVLKSLPMQDTLAILIILMHV PSLSLTVIYTIFTFLTFVPPVTTSSGMNINLAEIFDGNSTMPSLVTVLCVDFFFLLIW LFLWGPIQDAILDFAKPVIAITLGGGTSARDGTSRGLTTCFTWVIGHHLLRGTKNHWG RVARHIPEHWQMPNVFSSSLEATSTTYDKMSAYGWVRSVLAIHILTQGIVRYIREWYL RREKANASVGASDPEAGKSSTVAGDTTNEGGFLTPDTETGLSSTTATTSTAPTTKKRR KQSTQVRLQQPLWAALASTKIVVVKEYELSHAASESAGTNATDIHNLGNAPFNNQPNQ IWISYIGSDEVCFNTSYFPEIDDDETRSVESSGEDSRPANIDTSKPFYVRVNNAVWQP TRMFPIEESPENSHEGVRWTGDIYGLRPASKYVCEFLDSQTDEVLFSTSIRTVQATQR ETDGVPPPVTNAQRSLHPDSPATTLRTSIAAVEAKLSEEKSRLKTLRKEYKNRANALR KDNELTDNQLSSAGNHDEKYRQKIRQQETQKAQAERDTQQLTEQLKNFDTAPELAERK KKVEKQFSSEKKVFETAQKAFKEYKAGLEKEIKAKEVEKSNLNTRRNKVATRIAKVEN ELANINDANNRGLDEAERRNQQRSHWQGHVAGIEANYNERLAHVRADNAGKSEEIRHF QMQLMSMHEFMTSGNGMSYEMMPPLDAGHPQLGPPFQPATSSTWNPDPTVPPHYPTGL WSASDNMLPSASAPTLPSLSPWQPPPTAPPFEPRMNRSRGRSSSMLSNISGFTQSSGE EYASPPMDSYRVKHIWASRARASGGGSSGSGSNGDPTSPR FPSE_05140 MDFWSRLLSPLSSGGSRKDLGQDPAKRLQRFEKQYSRLLNAWRA SSNLSRDSDAAETLEIRLQELTNILSDESRRPLPHPCIQYCSIKQIYVPIGKIATTSY NEWIIKEAVLFFATLIESEEEAFVENQTFSSSLTNLLVRITGVNSVRLGLDTESRVVE LAFNITTKIRLDPSILPSWFKTHRGVALQNREKEDRNRDAFVGRTQKADFPLFYILME YIHHEGKVGDFARTGLLYIIEAASSSGPLEQWIVESDLSTLMATGLGALYSQLSRKLV VDHLPHNLPPILAFSDYEHPASNYEVISSCSPEFQSHLDVFLSHLLFWQDVLNHCRSV EVKSTLLEHFQVIFLQQLLYPSLLESSDIDGGSSVAVLTYLRRILESLDHPDMINLIL HYLLALPDNIASARPGSSSSVSKARKRKSMDLATMLAERAEPAATPLLFNLVDLILAC LRSRNQQTIHVTLQLVSAILKRHHRYAVVTLLRTDSVPIQNATRTVGAQEQEVEFFMS LAGTIGGEDDFDEVYQLVLRDTMTKLEAHPCSLKLVAPRASTSNPRLPDSLPGAPKDV GDHTLRPDDPLLNSLLDLLETFFVNQVETNLSVTETLVDLAVCGFMKIEGWLTRNPNA YIYDDAEKEKRTSEGEEGTESDDDDDDDLGDLPSPTAEQKQLQAMEQCRQRPQWSQAS LPRVFSVLRRLEEQVASYKRSIPHFDELVQQRRDAFRTADAMLHHAGPTPRPTPISED PPDRRSFEGPSRNASPSRPSALEGLAHRLLSELGTPSRTTSPRGRKELGRTPGSGNAT PGKSGLSSAKEASLSQGRGTPVLTHSPERIQNTIDPTQKAREEVISRQTAEFATMGQT ILSKKVGLPKVEVEPIPTVADKELVPEPTQTADEPQRSEEVPAEQDDTKKEEPEHAEE VSTELDDVKKDEAEDSVTETTEQPTTEVKEDEETKPEGVETETEVQPSEAEPTEAVHE PAELPKSTGAEDEDAKIADVPEENEPAKEPETQEPEAQKPEANEPETSETSEHEVKES EVEDPEVKEPEAKDPEVKEPEAKDPEVEESETKQSETEQSETEQSETEQSETKELEAE EPAPKDPETEDEAEAQQPKTINTEIEDHEVEVPEPETPRPKSRQVETLEPETPQPREQ RENTTTVSVSHVVTNVIILQSFLFELAALVQVRAGLFDEVRFV FPSE_05141 MPFVANTPESYLGRSDSKLADGTCCGLTSNGKPCRRPVVQQNPP KKTDKRRRPVAPDPRDEGSYCWQHREQANISAQSSPGPRPTATPILEGRTSLDTLTDR LGLVDLNEKKHGNGRLNSSKPQSKKSSLLCCFCFSVPEEDEELERPQPHPVQHTSNQG LAPQAVSGGRSSRKSRKSTSSRTATIKDFIPDTLDTTTASALMTEMSRPFGSGEEPGF IYMFWLTPMTQSKSAAPVDAARSLLAPPSPNNQSRSRSASNAVRNYAASDPNSSKNTM LLKIGRAANVQRRLNEWQRQCGREVELLRYYPYIPGSQESSGVVPHMTQHVHRVERLV HLELSGMGFKADAGKCAACGREHREWFEVQATRDGIKAVDDIIRRWIEWDETNS FPSE_05142 MFPLLPLLLLLLHLTSPTSSSSVNAKPDLASDNNILPDNIQTYS VMSVGTYSLPALPYAYDALEPSISAQIMELHHSKHHQAYVTNLNAALKNYATATSSSD IAGQIALQSAIKFNGGGHINHSLFWENLCPSSSPGSKPDSAPTLGAEISKTWGSIEAF QETFKKTLLGLQGSGWGWLVKDTQGLRIVTTKDQDPVVGGEVPIFGVDMWEHAYYLQY LNGKAAYVDNIWNVINWKTAEARFTGSREDAFKVLRASI FPSE_05143 MSLLARFALVGLWATAAYAQSDTVTVTETVTECAASCYSVVSQC TSTEYIETSSIIKLPTESSVIVSETSIIETTEIISLPTVSVASTISKFANTSAVTGSY TSTSTVSGFETTITISESSGVSVGSSALAGETSESESESSLYGYSTTTLALTEATTET VTNIETLPTIVPSVDSSALASGTTQLSETSESSIVTQGSTEQSSESTPLSTESVSTES TTTDRIVPQQSKSTETEGTEASTATEASAVTEASTVTGEASTATLTSVLTSQVTFTET ISTVYSSSAEESVTSEESTELVVTSSQIEESSSGTEQGSMTTEVFTSEVTGVTQVTSS VTLTSIETLTVSESESTSASGETTEGSISESSGTSTSTASESEVKTVTSIETVTAETS ASQTDSTGSGSSPGSTSAGVSTGTTEVEESTTTSCTSTRHTHSYENTTIIHSTADVSI DSSALAGSFTSTLSERTSVTSGVVEQTTLPTAETETGATTGGTAGGVETTDSISIEST ASQSTAATTRVSLPIEPTYPPHNYDFGAPSSADDGWPTFSVDSSADAATGFSSFTTVV TTSKATDTASAPTSTGIKEPYYEPPAYEPPSYRESAYVRKRWGFGW FPSE_05144 MSGSTGLKGSIWATGGRSRLQGSVPSARASSQPSSRPTPPPPSV SAPLPSSISTPAPLSSSIPSSTPAPSSIPTPAPLPSSIPDSTHLPSSATSSSRLTSSQ AFHRFEQACQRLRWKFADLKNSYERALHPEKFGFSVGDAEKNFKVDFHEFYTWVEQAI VLLLLVFNIEISPKRFGMGKKQQHRYHEEVITTLEDATCPLYKALGSGEVNMALRKAK ELRNRWKDAGEGKETPPLKMYDLTWLVGQVLAGLEVGYAIGAKKVETDNQGRSWADDF DDEMVMAVDDEWDWMVEPMEWESVS FPSE_05145 MEDTSSFRTSKMSRLPAPSSVSIGGGGLTEWSESQHNARIASTA SSLSALKNLKREIPQPAAQSDPKRKPLSERALEYSSKPTSYVSGAAATRSGVRPQSLA GMSSGLKQPSTTQSRLGAATSMGFNKATQNGRYGTTAATTTRVNGVNGVNGRPTHTRS KSQAPRPRTAHAIREEQRQEPPANNAWDVDGRVVDMESQFKELKEMVNTTLIERKGHD DALELAKKRVNELESEREKLDMRNDTLKSDLDSAREEGRHIRHEMDKQKWEFERQMDD LERKHREKMDDMSRQYRTATEELKRELDRLKEEQTKDHEQKVESLTRLYHQELSEERQ KKDREIQDLRTRMGNEHQDMGVAIQRKDRDLQEANSKVESLQGDLQRERTLKSTLQTS IAELSAANTTLEAKINSLKSHVEFLESDNKAQSDSFANMEARLQEALRIAEEAQNKLI KEETERRVLFNKYQELKGNIRVMCRVRPPLGNGEGEEAKMSFPDDKTSSEIVLAGPEE KSSLGQITRKNYPFEFDRVFIPGTQNQEIFGEISQLVQSALDGYNVCIFCYGQTGSGK THTMSSSDGMIPRATHMIYDTITKLKEKSWEYTMEGSFVEVYNEELNDLLTPNDRAAA RKLEIRHDEVRKQTTITNCQSVRLDTPSAVEMMLEEAQNNRSVAATKANERSSRSHSI FILKLIGENSATGERCEGTLNLVDLAGSERLKHSQAEGDRMKETQNINKSLSCLGDVI EALGRGSGHIPYRNSKLTHLLQYSLGGNSKTLMFVMVSPLETHLKETLTSLRFATKVH NTHIGTAKATKKVRDST FPSE_05146 MEKYSQFRDRATGIAPFLPVSTPVSAVAIFTHAALFLFRLPFFI AYFIGYFLFFHFLPLPVIFRKVALWGLMAIPGIWWIDLQLDGVKRGTLADQPRERFPH PGSVIAVNFSSPIDAIYLAAIFDPIFTISFPDTRLVQRVSLLGAVLAALSPVRTAPPK NAKLVEIKDLLASNPGQVVAVFPECGTSNGKAILPFSPSILQTPADVHIFPVSIRYTP SDVTTPVPGKWFRFLWDLLSRPTTCIRVRIAEGQINTSAAKVNGVSHSDPTELRRRND VSAGVTADEQRVLDRVGEALARLSRVKRVGLTMKDKASFVDALNSKK FPSE_05147 MLSRSLLRSRAVGAFPLSARNHGRFLSTTAIRSDDKLNKISSNI TQPKAQGASQAMLYATGLSEADMNKAQVGISSVWYEGNPCNMHLMDLSAHVKESVAKA GLIPYRFNTIGVSDGISMGTTGMRYSLQSREIIADSVETVMNGQWYDANVSLPGCDKN MPGVAIAMGRVNRPSIMVYGGTIKPGCTKQGESIDIVSAFQAYGQYITGEITEEQRFD IIRNACPGGGACGGMYTANTMATAIETLGLTLPGSSSSPAEDPSKIAECEAVGPAIRN ILKEDIRPRDIMTRQAFENAMIVTTILGGSTNAVLHLIAIADSVGIKLDIEDFQKVSD RTPFLADLKPSGKWVMADMHKIGGTPALLKFLLKEGIIDGSGITVTGKTMKQNVEEMP GFPEDQTIIRPLSNPIKPTGHIQILRGSLAPGGCVGKITGKEGLRFEGKARVYDSEPA FISSLEAGEIKKGEKTVVIIRYDGPKGGPGMPEMLKPSSAIMGAGLGQDVALLTDGRF SGGSHGFIIGHIVPEAMEGGPIALVEDGDTIVIDAESRAIDLVVPEAEVDRRRKAWKA PAPRYTKGTLSKYARLVTNASEGCVTDSGLKN FPSE_05148 MVKHPDPTHTEAWYAPGPLALMNVMPWNVPRLEEENDRQAQEMW SGDETPDTALQRETPANVEEPSGAKETEDIGDVEDKVVEEPEEDNLDEEMREEIREDS HRSGRFVTYALSRKSVIDMIGKNRNLQARMGAQRSGMGTYGLPPVAKRVFRPDMGNLL LKMMRRRAVDALIERSSSRREGKDLHKFIMPVGSWEDAARSVAGGAVLYIPTEPTEDM NNYATLDVENANYGNKVAVHDLLFLLGESEVERLKTEAEDFQDQELLILKNHRSESVR NMDSNPECIQPADWQTGKAVPVFLLHDGGGTTFSYHCLEPLKRPVYGIYNPNFHSGEP FDGGLKDMAKLYTRWIIETVEKPDFPRQYNSDGKIPILLGGWSMGGHLSLEITKQLNP EDTEIRVIGILMVDTVYPLKYSSNNRKRPGEGSEGRNKNQILADIAMADARRMIQSWD PPVWDEDSIGERPRISLLRAKEAVPIKEGEKNLVDMSREERNLGWDLYDKNLFSEVVD IDGHHFEVFAFKFIEDISEKMRESLDGLERASRS FPSE_05149 MFLRPRALRVLRGVSQQQVRAFGFSGLPGQGPATPMANIPMPYI EESSAAGRKTWDIFSKLLQERIICLNGEVNDYMSASIVAQLLWLESDTPEKPITMYIN SPGGSVTSGMAIYDTMTYIKSPVSTVCIGGAASMAAILLAGGEAGKRFSLPHSSIMIH QPLGGTRGQASDIMIYANQIQKTREQSNKIMQYHLNKAKGHDKYSLEEINDLMERDKY LTPEEALDLGVIDEILTKRPETESEKKEGQSDAPKAS FPSE_05150 MLFSQSIGVVALATLATAAPERKTLATREVGRLPALGWNGWNQG QCNAASEKVALATAKTFINLGLKDAGYQYINIDDCWSTRQRDSKGNLVPDPSKWPRGI KPVVDEIHKMGLKFGLYGDRGVKTCAGFPGSQGHEKQDADLLASWGVDYWKYDNCYTP CYNGNQADIQTCPIGKGPSSRPGYELMRDMLRNTGKDILYSLCNWGWDEVWTWGASVG HMWRMSVDNWGKWDDVVRIANQAAPILKYTQPGRYNDLDMMILANGALTPAEERTHFA IWAITKSPIILGTDMTKINSDEIKLITNKGLLAVNQDSLSKPAVPFTPPGTPAKANNE IYPYWSGPLASGTVVAIVASKGNLDTTLSLSQVPGLKDQDYSWTELLTGAKGRGRTVR AKLEKHDVAVFRIDN FPSE_05151 MEHDTKTPQYKTSDPTSFAHESVKKRWPVILTQGIDDVYRAVTK TSDPEKLAEGKKIIEKLAGLKYEVEHDRKLTPIPDDGFTEEIATYNKEVEALGPDAHW YDVPWLFSECYLYRRISSFFRMSEHWRNYDIFARQKMDTFRSSRPAVLELASNYRQLV EQLRADKDSTHDPEAEKTLFQEMFEICLWGNATDLSLLTNLTYEDIQKLQGSSARKAA EKNILCNDLPKAYEILKKAQNEGKKERRVDIVLDNAGFELYVDMILAGYLLSAGLATQ VVLRPKSIPWFVSDVVPSDFSGLLNAVANPRALYDTPSEDEDLQGKKPEPLSEEGEKD LKFLFQEWATFHAEGQLILRPNRYWTYGGSFWRLPSENPELHEELKPAELVIFKGDLN YRKLTADGAWPPTTPFTEALGPLGPSSGVNVLSLRTCKADVVVGLPEGKDEELKQTEG GGGDSGARKWAWNGKWAVVNLSQGH FPSE_05152 MPTKEVLDQWEAISPTAKGEGPLQGRTDPAQSLVSTHECFAARP NAEGCTHPCHDGRLEPDQDRVRNSGARTPNSIHADIVAQIEAKRERKESEAAENKRKQ SEITNK FPSE_05153 MECKWRPQQRSGAQRRQASTTGTLAQSLEQSLSPTDTSPGTSVF QSGHAVDEVFDYASFMWDYGDFWQQASPEMHQNQGLETPMPATQSQVAFPDRTTFVTP LQAPSITHSNDSRATDTRDGSERLMEFFASSVNPPIIAEVETQKKWISMRQIVIGMAN VSPMARHAILAFSNLLLSRKDGNWTMSDDDHYQRGVAEVASHDGTPMSEHSREREHLL AALFFLSYIDILESRLEAAHSNLKRAYTLFQASNKHGLTQVEKQLLLWIRLLDGRAVS AGGDGLFLTKDDEVLLVEASPASFDGEPDDMSKNDPAEDIEDVLFQVLYQPGVVFYQK VQSFMGRISKIDPWHRSRGTVEDEIEVMNIGTVIAADLRTLYEHRPPLMDYAVAGKLT QPHVSKHLAFVITRAFRTYLSNYYASKVHLHRVAYKSLPLTKEASEALDQIRKLARQI VADLDVDDTLPINMLWPLLMLGVEEQDASEKAWIKTQILRMEKVAGNARITAQVLEEV QARQDAAKARMDIRSVMHEVFNSSFAIV FPSE_05154 MGSISTKGKSFPPGIHVPSLTWFKNDAEQEVDWDQQSRHIEFLV NSGLDGIVIAGTNGEAVTLSAAEKSRLVRTTREIATSCGRPDITITMGTSSQTTRDAI NETKLAKEAGADFVLVLTPSYFHFAMTQDAIVAFFEELADASPVPVVIYNFPGVVAGL DVNSEMLERLAKHPNIVGVKLTCGGIAKVARVSAQYKPEEFTALAGQSDWLVPALSVG ATGAVTGVANLYPKTCLRIFDLYMAGKTKEAEAAQLELAKMEWGFAKGGINGTKWIVA KLLGYPSDSCHCRKPYPQYVDESKQEWIHSVVEPLSAVEKGLGKRGAGI FPSE_05155 MGGFRAVEDRPTPKEVYNWRLYTEAAVIATGSLLFGYDSAFIGT TIARDSFKRDFNIQPSQQADISSNITATFQAGAFFGAIICFLITEKIGRKWALQANVA VFLVGAILMTAATHQLSYIYAGRALTGIACGAITATVPSYIAELSIVSIRGILTGLFE VTYQTGSLIGFWINYGINQNMNPNSAAAWRVPMAVQIAPAAVLFVGGFFLHESPLWLM RKNREEDATKALESLRQIPRDHKYLQEDLDMMRKRLADEARVASRFGTGPWALFRGAV SELTKKGMRNRVILVFCSFALQNMSGAAAINYYSPTLFGSLGISDVALYTGIYGLVKA VASIIFYGALIDIWGRRNPTIISSAFCSACLWIVGAYVKIGHPATIIAEGKDLSPSTA AGGKAATAMIMIYSVFWSFGLNGIPWIVSAEIFPGALRTLTGTYAACVQWLTQFAITK ALPYIFKSFGYGTWFFFACWMMIATIWAFFFLPETKGKTLEEMDVMFGYVHDRAPAES DDMGGKLGAKASVTEHKEDSV FPSE_05156 MPHPTMETINPALLMRQASHAPPSSNRPSNPAIASQFTGPVQQA TPPSSPYPNSERQSAWMGSVGFTTRFTRDSMGYGRAISETQATAYEPEPLQPLFGRSV SLSPLPEPRSENAQIPSGNRMGGDLAWLYGRPQSGYIQGQNVIICNGEQRIVAEKMYI SRGFRGAGTSR FPSE_05157 MATRAFTLPGYRLLLTLIKDVFVRDFGTPVSGAEGKYEISPSNL AVMTSMINVGELVGSLLAAPINDLFGRKGAFMSGAIAVIVGVVMQLSTTSSRALITAG RAISGFGVGNFSVTSPLYMGEVAPEGLRSPLLMCWQLVLSISQIIAAGINRSVIHNDT TFAYRFPIGFQLIFPLVLLLGITWLPESPRWLLRRGHHDKAMHSLRVLHREDKQYNCQ AVMQSIEKDSAKDNVDDAESAWIQLITDPVERRKVIYSAGALVAQQINGIQWFYYFGT IFSKAIGLRDPFLMTLIVFIIQVFVVLAAVLLANKIPRRPLLLITTGIMTVSIFVVGC LGIPGGQPSETVGKVIISFVIIEIVAFNFAWGPLGWTIASEMAVGRNRNKIYAVAVAS FWITVWATVFTLPYLYYSANLGPKTGFVYTGLCFVTLTYVYFCVGEVTGRSIEEINGF FRDGIPARHWKKQPFGEAQNPPDHTGPVDNLDPASGWQKS FPSE_05158 MRSILPVPTPPPELCPYSRASASQASADVIPLLVDDTYHVFHLS TPPSTKHHPQRLRTTWSRLRSTNLLEWERDPQPVISPAESSTDPDADGVWTGCAVLDL DQNMNIFYTGYNLSRNGQQTILRTKAFDRHGTRFDSTSQPINILGNGLDKFERIDFRD PFVFFNRAEGRYWMLVGTRLANGPYWSRGCIALLTSPDLEAWTVSPEPLYAPNDVFCP ECPELFTLPNGKWYLVYSRFHAPNAGTVYRMADTPYGPFRVPRDGSHGRLDGRRWYAA KSCPKARDSDKRICFGWIGDYADDENKWLWGGDLAITRVMWADTNACLRIDASQEFRS YIWRTSRPVSPGDAAPSLYLCSLGTTATHYPELGSAEWKRHLMVDFKIAACDAHTFGV MLQVDSTGKGHRLQFTPQGNGFFSVALMTDFPPLDDFWADQYRMHIPRPVDGPELVRH DNVSLVDGVFLFLRGQIVEVFCGGRALSFRLPIPPNWTDSDRCGVRRLGWYVEDGMVD LVDINMRHSMCVKDGLAESDNLEDAE FPSE_05159 MEIITPQDYQNNSRKRQRRSLRPCDACRKRKTRCVTKDGDGDCV HCQLRATPCTFQHDPPDRQVASTSGNSSSTTTAPGIQEEIGPDEMPGQLPNQSQNQNQ PSVRSMSQQSRKESRDEVQSVYSVPSIQPFLSPAETRASADSGMPIAPPSVLVPELGP LDRTMGCSSTRFAELYGLGSDMEPILMRHRPYDPQTHEFSLDTHAIRRVLERDDGQEY PLTFHMARDEKAVDGDPTFSQVDAIESCVRPHGPSLVELFWRHVQPCYPILSKDPFTL AYSQSYRRIPAALLGAIYLSAIRWWTYDPELSIRSPPDAALLRRMLRTAIPASYHRPK LSSIQAALLLLQCQPEDPLNPDHTFQWGLTCQALAIGQCLGLHLDASNWTIPQWERNV RKRLSWALFMQDRWTALAYGRPVHIHDDDWTVGDLTPADFSDFESEVPNAPSASGSVS SSVSVSGSDDDRRSIAYTGMTQYIKMVRLTQILSVVYSTFYTARTCREQDTMILFEKA RPLFEMLTNWYHNIPPTLQMNVIYQRKLCFHGYLHFSYYGVVMTLLRRLIRSTALPPR CSDDRVLSSIRQIALQTAQNAINFVISLRPDHLEAFWYFTSPYLFSLLGSFTTLLLVT SLSSQERHFWQETLNSYLWNLRMMSKSHQPMQYAVNRLEGAILRGLEHSLAVNLNEPL NDKVSPMMGNYAADVYEYTDFGDWDLAAGATGPYDLLSGLVIQPNSMMPQDGI FPSE_05160 MKSSITLTALALTGSVIADDALYSKRLTKRFIDDDGNYNVSFFH VNDVHAHLDQFAKSGTDCVDPKKGCFGGYARIKHTVDALRKDNPDNLWLNAGDEFQGT LFYSFYGPSKIAENLNALDFDAMTLGNHEWDGGDEELGEFLQNLTFPVISCNVKSEYE GLNKTIKNYQIFEEHDLAVIGVTTDTTPGISSVGNKTTFLDPITEVQKAVWEIRNKTE VNRIVALTHLGYDVDQELAAKTEGLSLIIGGHSHTPLGNMSNSEGDYPTIVDNINGDE VFIVTAYRWGEYLGAIDLTFDKDGKPLAYHGAPIHLTNQTEMDKDLQKKIESWRGPFE KFAAEVVGYTDAELDQSTCQDGDCLLGQVMADAMLEYRLNQSRSDDDKPSFAFINAGG VRATIDEGNITRGEVLTSFPFGNAIVELTFTGAEIKNIFEGAVTGLNQDNDKEITSWF QVSKGLKVEFNPDNKNGSKLVSLKLDDKSFEDKTKYRVVTLDFLAGGGDNFFSMDNKN VATLDTQDEVLIGYFDKHNPLKPKLEERVVKTNATSSDDDSEDADSEEAKGKGSGGST GGNDTNAASGVQISLLTAIFGVAMTMFMM FPSE_05161 MERPNDMEAVEKQPDSDFKHIDQAPSKVSSEIDADHGFTPEEQR SIIKRIDRRLVITVGAMYCVSLMDRTNMSAANIAGMGAELQLTGFRYNIANLVFFIPY IIFQPPSTILIRRIGPRFHLAIITLLWGAVMIGMGFVEKFPQLAALRAVLGFFEAGFF PSCVYLLSTWYTRYEVGKRYSVFYLLGCVASAFSGILAYGLMQLNGREGLTGWRWIFI IEGALTCTLGIAGYWLLVDFPDSKRKTWSFLGARERQWVVDRISRDRGDTVVPPFEMG KFLRGGADWKVWAYAMIFFDTTTISYALAYTLPILLVGNMGFSVGAAQCLVAPPYAFA GIVMFVTAWVGDKYHVRGPCILFNMLLCLIGLPIMGWAENPNVRYFGVFLVTAGANSN IPTAMSFQANNIRGQWKRAFCSATLVGFGGFGGIAGSLVFREQDKLTGYKPGMWACIA CCLVTVCLVICCDLDFKRNNAKADRGEKILEAHDDNATADFRYTY FPSE_05162 MADTTDADPSDTGVPAPEILAGITEESLAKGKNPLPKEEHPSGK DGYGTFMQIVRGSCFAFYFNSCIVIIFLTQLIGLPLYFVNRDWYYAYIAMTKGFFGLT ITLMTQIWGPTTIRVSGDESVAGQIKLRSDGGVQFEFPERLVLIANHQIYTDWLYLWW IAYANSPSMHGHIYIILKESLKRIPIVGLGMQLYGFIFMSRKMASDQPRMAYRLNKLK QPKVDPNGKSYMDPMWLLLFPEGTNLSNNGRRKSAGWAAKMDLKDPEHVLLPRSTGMF FCLNELKGSLDYVYDCTVAYEGIPRGGFGEQYFGLVSTYFQGRPPKSVNFHWRRFRLS DVPLDDQKAFDLWLREEWYKKDALMEEYMTTGRFPRMEGGKVDYIETEVKTRQPWEIL QLFAVIGTVGLIWHNVKKTFTTMTTAFQ FPSE_05163 MSRSSLIRGLAPIQPRLYQRPTRAFIARLPTSTCIPQTCRFLST TSACRTSEIRSIAELPNRINPRYLQSTKPGSSLLSLNWPKPPQNLLIIHKLYSEAVVD AVVKFSTYLRNEYPEVNLVFEPRIAESLKEHLDFPIYASDSRSNMADKVDVIATFGGD GTVLRAASLYKLHGSVPPILSFNMGTLGFLGEWDFREYKKAWRETFMSGSDVATREAN YPRGDWDKTSPVSYTAWDRHKGKSMGAQRASKVLLRHRIKADVYDPSGNNINHWLSDT LSSEAKSGAKALAVPHEPSPSLRAINEISVHRGSHPHLAIIDIYQNGHFLTETTADGI LISTPTGSTAYSLSAGGPIVHPLVKSILITSISPCSLSFRSLVLPLDTKVTLRMSRKN RGRELDLSIDGKRCAGVSPGTEIRVEGEFIGRAGPGEEWHGGVPCMIRTEDDDPWVGG LTGLLKFNSTFGREPAGDEFAD FPSE_05164 MAISSGLALLLAASAALQPVAARPSIDDAFVAISNSDFSPRSVS KRGTPQAPDGYVPAEVDCPSTRPTIRNGSSISSMERDWLPKRRNETISPIRDLLKRIA IPGFNSEEYLKDVEKNATALPNIGLAVSGGGYRAMLCGAGALAAWDIRSDGSDKNGNL GGLLQSATYLSGLSGGGWLVGSLMMNNFTSVQDSVQYPGLWQLEKSILEGPERYSLVQ YYNTIFDSISDKKDAGYERSLTDYWGRMLSYQLINATDGGPSYTWSSIADDPDFAEGK APMPLIVADGRAPNEKIISLNATNFEFTPWELGSYDPVLEGFVPLKYVGSEFDKGKLP KDKSCIAGFDNAGFVMGTSSSLFNQIVLYLNEDDNNYVPDGVPKIAIEAVTAVFDALG DENNDIADWTPNPFYGWNPDENLSAKSERLTLVDGGEDLQNIPYYPHLRKDREVDVVF SFDSSADTEFSWPDGASPIATYERSLTDISKGSSFPPVPDKNTFLNLGLNTRPTFFGC NATNMTEPTPLIVYIPNYPYVYASNISTFQMTVNSSELSAIIENGYAVATMLNGTRDE NWPVCVGCAMLARSFDRTNHTVPKKCQECFTNYCWNGTIDSSDPGVYDPKFIGDEIQI ESSATKMASSAFVAVAAFAGFILAI FPSE_05165 MVFLWSMFVTTWLTQAAYEFADQAPGEMPVRRASPDGSATGFEG AFEGRSIVRRFADSKNRSKFLPFFFEVCIVGSAFSMAQGLITSAILPVETSKMKLKLL SLRGARVGMERVGHTATTIATSLLKSTQVADPVRVRDLIINRIEYEARQKILQRRVFK CLALLTAYPVCILHEGIDNKRYADVDSRCEEAALRLTRMHPITQYDGLPQPSSQPRLV SQFTLESNINSEKTHFFETFSLEMEMEFRTSNSKNKPPSLTAQQAITKIRMILENLID EDQLDAFRSPIVRENIDVMAISGRECLVYSYKDAVPIAFLRVFKGIASVMLFAVPFFI DIEWKDMVLLKRLCLCLLIGCVGAAGLTILSEVDCMWKAFDKGMNSYAWSLGIAREID KLLEEPEDSVLHHRYGGEPNTSIRKHRYNIGYDEVCPFGQMDSPTPEISSMDCNSKE FPSE_05166 MSGKTFNVGIVGYGMSAKIFHIPFLTQTPQLKLHAIVQRSPKEG NSAPADYPDIKHYTDYKQLFADSDVDLIIISTPPNNHFELTKAALEAGKHVLTEKPFV PTSAEADKLIEIAKQNGKLLIVYQNRRWDSDFVTLKKLISEGTLGRIFQFDNHFDRYR MVPSNNWKLNLPLSQGGSALFDLGTHLIDQAYVLFGKPQSVHGRLLSQRSGKFDFENP DGVSAELTYPDGLIVNIRISVLSAELEQPRFWVRGTKGSFRKLGLDTQEDALKAGTKA TDEGFGKEDPARYKLIVVDENENAKEQPLSSIEVPTYKAFYAQLAKAVETGKEEDVPV KASEARDVLQIIEGVFESAKTGKDVTFA FPSE_05167 MKYSVAFVALAAVAAQAQSLADVPKCAIPCLDKAIASETSCDKT DLACVCKDFSAVRSKATSCVIDECGTDVAINEVLPATENLCKNPPKESEPKSTAEEEK PTTAAATSTMVVVTTSAEVVETTAAATTTVAPIIPTTAAEEPATSTPAAATPTKGPEQ ANGAAGLKGLGALAMAAFAALAL FPSE_05168 MRAKNPLAFRPGPVTFWTTVIYIALFIPLIWVHETVPPAPADRS LYQGLNLTEAWLDLQAISSTYHPYNSHENDRVRQFLIDRTKEILDRNDLSYTTESIGG VDWYSRTSSFGNPNSFDAPQETVQAKPRGATLFDDRTSNVSWTYNTARRMGSNISKGT WLGQYFEGNNYYVYIHGTDDPEGEWWRDESKYKKFHGQGGVLVNCHFDSVSTGYGATD DGMSCVSMLQLLSYFTLKGRQPKNGIVLLFNNAEEDGLLGARAFGYSPLLHFTHTFVN LEGAGAGGRALLFRTTDLQAAKAYSKSPHPLGSVVAANAFERGVIKSATDYEIFADIF GQRGLDIAFYAPRARYHTNQDDARHTSVNSIWHMLSAALASTEHLSKTTGTIFNGDRS DGNSDLVQNGKQAEGVWFDIFGAAWAVFALRGLFAWSLTLLVATPLVLMAFTYILVRN DKYYFFARDIKMHHDINDDPVTLGGWKGFFRFPFALAFAGGLTIAATLLVAKFNPLII YSSGYAVWSMTLSIFYFSFWLIMRGSAFVRPSALHRGFVLIWLFALGWGVQVVCAVAE DRMHIGALYATVFFQSAIFLALLISLLEQFALLGKHDFALQLHDAHQARDVSSRGTEQ ESRPQPDNEPARAEADDGEDEDEDATETTPLRAGESGYGSNAQTSFANTYRRSVADTS PAPPSMRRYQPFEHEQSWSGRLPTWTWILQFLFLAPIPVILFGNLGLVVMTATQMTGT DGGSLLVPVLSLGILSIFLLLPLTPFMHRVTHHVPMFLFFVFAGTLIYNLVAFPFSDN NRFKFYFQQVIDLDNGTDTVSIVGIEEYARSVINSLPSTTGQQIKCQPAVGRDLTDCQ YDSSSLTPNLYKDKSPKQLVSIETVDGSNGSRARLRIDAVDSRLCYVRTSRPIYGFAV DGASARDPRFGKFPSEGFSSVQLWRRDRDRPWTLNLYLNERNALALTESEQESEMLGT KARSVEQAAGLEVTVSCAWSDANTPGTIPALDELLKYMPTWAAVTKKNVGLVEVRKTH KV FPSE_05169 MSWRNQGITGSNNIPLGKRRFGDEEEEKFDGGDAAVDRDLKRGR DPEPRSEADGPRRRKKRNRWGDASENKAAGLMGLPTAILSNMTSEQLEAYTLHLRIEE ISQKLRIDDVVPADGDRSPSPAPQYDNHGRRINTREYRYRKRLEDERHKLIEKAMKTI PNYHPPQDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKMEGDSGAKIAIRG KGSVKEGKGRSDAAHASNQEEDLHCLIMADTEDKVNKAKKLIHNVIETAASIPEGQNE LKRNQLRELAALNGTLRDDENQACQNCGKIGHRKYDCPEKQNYTASIICRVCGNAGHM ARDCPDRQRGASWRNTDAAARPAGRIGSGDAVDREYEQLMQELGGGSSGPPARIEAGP GAQDNGSAKPWERGPTGGPAPWRSRNQDSNEGGSAAPWARERGGRNQDNAAGNGGDSY YGQAYGGASGAAAPWQQQAPGTQAGYGAYPGYGAYGAAPGMAAPPGLGATGGAPPPPP GAPPGLGDINAFIQQYAGAAPPPPPPSGDAPPPPPSDQPPPPPPPGA FPSE_05170 MASKTLTRPDEPGVHARDPRSHCQTEASEIVGSSAPIPSDNNDP PTNSNESESAEHSSKKSLVQIATVMASLCACVFLAALEVTIVSTALPTIAAHFASDSG YTWIGTSFVLAHTASTPSWGKISDIWGRKPILLIANVIFFAGSLVCALVDDLAIFIAG RAIQGLGAAGMQTLVNICISDMFSQRDRGLYYGLTSIVWAVASGVGPILGGAFTVRLS WRWCFWINLPITVAVFVLLVLTLRLPSPNTPVWAGLKAIDWPGSFLIVGGTLMLLLGL YLGGVYEPWNSATVVCLIVFGIITALLFVWNEWKLAEYPVIPVHLFKTWSSSAAYAVT FFHAFVFMGVAYYFPLYFQAVLLASPLRSGIYLLPFILSISISAAITGIYIQFSGKYL LVSRAGLAMMTLGMGLMMNLGMDLNWAKMITFQLLTGIGVGMNFEGPLLSVQAVVPHE DVAAATTSMGFTRTIATAISVVIGSVLFQNEMKGENEVLLGGLGPELARMFDGASASA NIDLINTLPAESQLMVRAAFFHSLDKMWILYTAFSSGGLLLSFFMKAQHLSKDHNDAH LGIAKDSNVGASEEVTTILTENDENHELRHILAKAGGALPN FPSE_05171 MRLLNAHTIRLQSFDGAESDIPPYTILSHTWGNEEITFQDITQQ PLENLQRREAFYKVQECCTQARRNGYDYVWIDTCCIDKTSSAELSEAINSMFKWYQQA SLCYVFLSDFDTSFSHHFSQTSTGKLVRLQSSDTSFFSSRWFTRGWTLQELIAPRRVI FFDKYWINFGSRDDELLDRLCHKTGIWPQLFDEPRCRCLEPYPAVRDGVCVICTALDT LPQTLDSFTVSVKMSWASSRITTRKEDSAYCLLGLFNLNMPMLYGEGDKAFLRLQEAI VRQSKDQSILLWRGGINHASFGRIPGCLAPLPSVFNEPVHVLGIRVFKGMSRTFGGSF MGSITPIELTDTAIQMDLWICPCTVSIYNPATEEWFDRKLSLGILDLAYDDDYLIRPA LLLEHLGIINLYRRVYHMFVVPVDPRQVYSSHEISVGQDRSNAFANAYPLTYPSVLKV RRYLNQATQKNVKIMRHQSPVNAVSVNPSYDLGPKTGPVYLVSKTIRVRYTSNGKRRF RYTMSDRGSHPPLSRYDTRATQIPSPWVFEKCQHSGVDRHFGGIHFLSFFIDNQSNSD HSQNDETAVGHVAITWGMHRQVNADGGGYTPWSPWCRAFNMLAFIEYAGTSADGVGSL EMYHGNHDLHPPEIQVRLEGQRRRLCLASWQNLCIPQNPEDKALFPSSCEDGWAEDQM HNIANDPMMNTHLAIRIVMIEGLGRTLYEVQFDIDQTPKALQSFDEQ FPSE_05172 MAWWFATSTSSSSKKKRSKKSSSKTKTSKTSIHKPSITDPPNVY RPALPAPVSSNQSGARPALPSAPKPKPSQQYPVQPPTSLYSNQNAAQSQSHFQPAGYL TAPPSWNGYQQPVVVNNHYHISHQPSQNLAPVNPPQYHTIAHHSQALVPPQQHNLSSM HSQPNFSSSLNRFTGSMANMAKEAAPQLYDDGLSAWHGCTQIAQSTNALYDRFNDIMT LIDHEKLCGNESKLFNCAPVQDQHVDDKGVNKKRHKDKQDKQEKQSCNVAASVVSGNY FSKVEQYANSKLPMDLHTLALHMPTWPLLCLAAQYSQRVYEKPSGSERDAHVSSDWLA GPRQTCIKSVSVDNRGTIVFAIRGTASFMDWAVNFKSVPISPVKFLDDPGNLCHAGFL NVAKKMVKPVAARLRQLLQEDPSRSAYSLLITGHSAGGAIASLLYSHMVAQTSEAKSE LNVLTEHFKRVHCITFGAPPVSLLPLSKPDAPSLRKSVFLSFVNEGDPVVRADKAYVK SLLDLLSSPPPSSSSSHHKDTKSKQRSRSSSRTKKPRWKVPACTLSNAGRIVVLRSGD PHAKPKDRKTVRERLEEGVVAVTCNESDLREVIWGDPVCHLMNLYAERIQVLAIRSVT AKH FPSE_05173 MAGTIQKRACDGCHRRKVKCDSNSPCRNCNTANIQCTYNAIPQK KGPKGSRAKVISELRETQRLTSLPVKVQARMSGVPTESIQNHNATNGLLTAEFAKSCL NFFFDNLYSKLPILDRDIIESQLSCMERDPEIYCLFTSLCAFMMLQPGMGFPTADYNL QMEPGANIAASNILVEECLRVRKGTEHWENPSHYVLATNYFIFAFYYSQQSHASAWYY LREATTMIQMSDQNNEGKYLQDMESIRRRRLYWLLFNAERAYAIQRSYPLTLQATVHM GNYGEDPADNLNPSIQTNFFNMCSVFQSIDDNFLSTWRHPCCRLDNQIIASLDAQLLK TMPTYHPNAALQAVQSWLKTVAWQTSGDTQENVAYRMSQFVSGFPNPAMLMNSGLIAK LLQVTSDLIYYLVNAPQSRNPTTQGPERHLQTLLRICLAIQSNHYQFVPLLLSKVNDY LPRIIDPMLLNPPENVGYGMSVDIFDGFGNAGMAQMPMGDYNSSLPMNSYEPKYEDMG GNSPDSLPHSHHSHHSNGSPPGSQMGNEMPQNFVSSPGAVMSPGMDYTPNMGGFSISD MVMSPLGGAAPPNGINMQRQQQQQQLNNQPQMQGMPNQGIGAPPMNQNIGSLYSGVRQ PSRQSSFNMQGQTPLSAMGSMSDAMDFNTLPPR FPSE_05174 MAGSDAPQAYVFYNYNPSMVAAVIFIIVFGLSSLLHTFQLARAR TWYFIPFLIGCLFECVGYIGRALSANEAPDFTKNPYIIQSILLLLGPALLAASIYMVL GRLIVLLDAGHLSVIRPKWLTKVFVTGDVLSFLAQSAGGGMLATAKDKDAVKRGENII VGGLIIQILFFGFFMIVTLIFHVRIKRNPTQKSLEIVTPWKKLLFALYAASLSILVRS VFRVAEYVMGKDSALQSQEFWIYIFDALLMSLVVVSLNWFHPSRVINGASDRKRIVSQ DEYMLEGQRHDGERRRYSLSPVRPKGNN FPSE_05175 MGVFSLLFVLLASLVSIVVAQIPFPHSRQPVLSVEVLPPLPTSV APEPTTVLTVDCLFCSVTDGEEVPSVTEWDPSVVTVTEKFTVITDEFTREYSTTYGKS SETIICAPPCHTLVTVPWRSGESKAAAVTPSPIMTSCITIYNTPVPSVETLTSPYLLT STSCSTTSTHMSPWTWEQPGTLVSTGLASSELGIPPLSSVEAVESTVTYVPEVTDTFV LTSKTKVTLPWSFVSIETSSTTETLTESVTEEVPVSGPCTTDITVTMTKTITETWESK TPSTATLLRTISTVLVEAYSTSTGEVVVEGTKTELTTVEAVSLGADCSETPGKCCAAC SVTVESDSASVETISSTFVSTLTTVEEYVSSAGGSVVPAPSETVIPGSEVSSPTTSLT VVETTTVSGSETETDGATSVQTAGAAHVTAAMGIGAIFGLLGLLA FPSE_05176 MASLRGTTQDMQRSDNGVVGANSESFIGAFPTKDVSSAALTGPL VWSGQDFQGDQTYTLRLSDEEAGEVESALASFKALGLDGDEVSADNFPLPNLSRRLRA SAETLHLGRGFVVIRGIDGSKYTVEDSVTIFLGVASYIADKRGLQDRKGNMLSHITDS KEWTTPAYARHGIHTKGSLPFHTDMGCDILSLQVRDSANKGGNTYLSSSWAVFNKLLD REPEVIKTLLIPDWPVQLSGRKTTFYLAPVLTFHDGKLLISLDPHRLGPHPIWKNGVP ALTEVQLNALKAVSDAASEVELQLKLETGDLLFFNNLALVHRRDAYTDDDKSARHMVR LWLRSQKYGWAIPDGLLPPWEAAYGEKRKHKTRHYPIVPMPEYTAPKYVTNSACFVME DSDSSDEE FPSE_00512 AGAHVPVFHSDERVYQQDTSSSASSLSPENGRQVLVNPGQDNVA SGSTRAHASDGSAKAGDLQGSWTVVQKSPVHLGHPPTSTPRRGPYCGQSLLGLRPATR SSGQRDRENFPSPPGDDLEGEDPCGEEEPDSVPGRQGRGVRKPPGLLLSPEQEGSPSR EEGAAQAPAPAPAPARPLTRIQEGQSLNLDPKPVTPRPDFSKTCDSSTLHYRHPLANP CCDVAQDSRHDDSDGDDEVPIVRFGNLNFEDALQDRRDLDGDTVTDCYVKPVPVAVIG SHSANDRPEDIDGDDGGADGKLRDSSQVGSDKSVAESVNDPDDADTESIEGSRKDATN SHVVSQLLGATTIEEPDPGEYTSDDNGNNVSPSPVSEQNAFLHSDTDTFDQDSSSEAV SFYEDSDYEDSDLEDNEMNEEEEYLSDLCEANDDSPDANASNALTQSMTTSGRRRLTS EERELVRQTREIGACCLPNPRNPEGKCKTCKRFSKTSAKTIHRVPCLRLRITEIVLYR SGGLNLTKRWEGIEMRDVSNRQIMSPIVIQVSQDFCKKPISIEVVQFEPQEGDVTARY WTECHSGRTTRKKKELPTYCLKSIYATANEVRRYTIENALPAYLHTIKEELSSGREGG PVVFRTYYMILTRYVELMKEHKSGNAMSPEDEKEAKIYGNLFILWCAMQHTVGSLYIQ GDETLGIRPENQDKSYPLYGKISPPRMIVAQFDNLVYNAVLETYKDKVLKDVDWLFSQ DKNRWWFTVYNILFIFLREVSRMTADRYRHARQNFGPKPRYSIPGFVERLHESCNNML THWHYYNCNKWPQSKDEDNAEHFAKIAVDDLEIIKQTREDKVIKRHLSVWKQYKANNG KVNGLVLRKDPDTVDYTGSQDKYDWDHPLYWVAQMFEKNWYPHPTYHRELVPKNPFST PVSTPN FPSE_00513 MPFNLDVGTVTMETDADALSFWKPKPHDTAKLPAAYRRLVLYRA KNLLDKLLDIRDVAMEYASEDALVDYAKECPLPSPDGQEEEDLADSLEESESDEDSDK DIGTYEAQIAQHEYGYMGVSENIDVANQQGGNASTGTTDTDMEDAEPIWDGAIHGFDW PNVEEPSTEEPFEHCSEFSNGEDEMIGHAEFDTFEASTDTTAPESSTWLTNTPYTFHG TFYNTFVTFPSSYDGDEQAVLSTAIEYSDVSQGPASAWLTNDPNALNGVAEAETSSES SSDDETSSLPTDQHLPTFQDKSDNEEDEESWIDNDSSDEISSQDIHDELKRLRLAPTT LLHPHGWYWPTHFLDEIVTHVKEHEKYLQKKKALVKSITQSKNLSPGETDNILCEFVH KNLVRKPVVDTAQLFKLKRFVDNVVRFVLATQRNIDAIDADMDEGRKRHFSSLNSTSR FRSKRLGSSLRFVTSINDDWGQVYDNWGMPPVKKKREQI FPSE_00514 MAEENDQSPGSELGDPPSTTPQSLAKAVHARRSEFVRPHSLKVK IGTWNVAACTGTDKDLATWFTCGEGLDQQLTGLNLSKNSAVEKDAKDNGSSEPLHLTS GGDIGLYVLGLQEIVDLNTTKDYMNRAVYTDTSAIDRWRAALEAALPKGYELITAEQM TGLLLLVYASPEIAPTISNVSTKQVGTGLLGYFGNKGAVTTRLLLGETTRMVFVNSHL ASGAGTSYLDRRCWDVGQILSRTQFDPIVHSGVEEDEGEKIGDEDLAFWFGDLNFRLD GLPGEDIRRILTLHARGEYGASEDESKSLGEQGVIVMKSSDSDDESSSRPSLHSRDES FDTASDLPDPDDFPEDPSQDPTSLQATIDSLIPHDQLRRIIKQRKLFHDGWKEGPITF LPTYKYDIGTVGLFDSSEKQRAPSWCDRILFRTRKDKQDYENKIKEEEEAKKKDEEMK SRGLEEDEDVLFSYDPDADGEDPASSTDNLEYDEYDDNEEPEAEELVTKEGFRDRIHL EIYTSHQRIASSDHKPITSIFTLDYDAVVPDLKAKIHAEVARELDRAENEGRPGITVV VEGSEGNEDKIVDFGEVLPLEKQTRHLTVANTGSVAATFSFVEKPTTDEGDDAIPEWL KTSFLSADEEPQKSLGATVTLDPGETALVLLELQVSAVPHFRALNEARATIEEVLVLR VEDGRDHFIPVRGVWQPSCLGRSMAELIRIPDGGIRKFVQDEGIEGAISYDSAVQCSA PKELFMLTEVVQTLVERSLAEATMLEDEVLPRDPGWPLESSTWRVGEDDMQDAKVKLV SALDKDGSLLDALPLEWPASFKLEVVCSILLLFLESLTDGLIPTHLWAKLSASLPNVA SVPPTAWSDTKTQVLDILSTAPNHNIAFVFLTATLSRAASELMPVTTDPKPGLSRRLS FRRGNAQEEDSKKRKMREHRYAEIVGPMVCRIDEKEKGARDRARTVVEMFIMRDEGS FPSE_00515 MSRQTPRKPRHSRQPSNRIPAVSDYESDAAAIHTDYAPPPPRTN TELNLSVLQRYLPSIHTILSIAANAVIYTFNSTSESWEKSGVEGTMFVCAQSPSSEDP AQQPRACVFILNRRGLDNVIVDLSRVSHAEVSGELVIMKVEGDWEEGDKVLGVWIHND KDETREMNAAIIQEAWKIARSAGPVETTQGPEAGPAMQAMGRRLSLSDLFGTANGFNA GH FPSE_00516 MSWAGFKKNVGRATTQVMMKTGHVEKTNDRDYEVEERRFKTMEA AALRLQKESKGYLDSLRAMTASQMRIAETIDAFYGDSGAKDGVSRSYKQAVEDLDAET IKALDGPYRTTVLDPITRFCAYFPDVNEAIKKRAHKLLDYDALRAKVKKLAEKPDKDA TKLPRTEKEMEMAKAAYEQLNEQLSTELPQLIELRVPYLDPTFEALVKIQLRFCAEAY SRMAQVQQYLDADTRDQYAEGQLDARVEQVLQEIRELSISGTV FPSE_00517 MFNPRFLVVSLGNPLPKYQSLHSAGHFALNGLANVLRNPSFQEK TFGGQSCLVSQGPKYTLVQSPTLMNISGRFVARAWSDMVKQQDPSSLSLIIVHDELEA KKGAVALRAWDRSPRGHNGIKDVKNKLSQDKYPTSPFVRIAVGIGRPSDGERDRNTVS DYVLDRISNEERRIFEEDEVPRMVAQQLIQVEKEWKAELEQL FPSE_00518 MATPYNGTSDHADDGYSSGVSDLNIFYETGHLVFLVTSAVLVLL MIPGVGFFYSGLARRKSALTLILLSMVSVAVISFQWFFWGYSLTFSRTGNAFFGDLTQ FGLMETLAQPNGSSALPDILFCLYQGMFAAITPALAIGAVADRGRILPAIVFMFLWST IVYDPIAYWTWNANGWLFNLPSYDFAGGGPVHVASGTCALAYSLMLGKRTGYNRNNGL PYRPHNVTHVVLGTVFLWVGWFGFNGGSALAMNIRAIMACYVTNLAASCGAIAWILLD YRLEKKWSTIGFCSGAISGLVAITPAAGFVKPWAAVIIGICGGVVCNFATKLKFVMNI DDSLDIFAIHGVGGMAGNILTGIFGTKSIAALDGGEYDPIGWVDSHWVQLGYQIAGTL ACFAWSFVLTCLLLFILNLIPGLSLRVAPEEEELGTDDGQLGEFAYDYVEMTRHMADS THPNSDASARTSHEKV FPSE_00519 MAAAATPTAAARPSPAPADSREDSRSSSSSTSPAPADNEESDFF LGANDSQSSIGVPNFQDMQVEDACQPPVHRLPNEILISVFAKLSSTSDLFHCMLVCKR WARNTVDQLWHRPACTNWKNHASICQTLGMENPSFRYRDFIKRLNLAALADKVNDGSV MPLSVCTRVERLTLTNCRNLTDSGLIALVENSNSLLALDISNDKNITEQSINAIAKHC NRLQGLNISGCESISNESMITLATSCRYIKRLKLNECGQLQDDAIHAFAENCPNILEI DLHQCARIGNGPVTSLMVKGNCLRELRLANCELIDDEAFLSLPYGRSFDHLRILDLTS CHRLTDAAVQKIIDVAPRLRNLVLAKCRNITDTAVHAISKLGKNLHYVHLGHCGNITD EGVKKLVQNCNRIRYIDLGCCTNLTDESVKRLALLPKLKRIGLVKCSSITDESVFHLA EAAYRPRVRRDASGMLVGNEYYASSLERVHLSYCVNLTLKSIMKLLNSCPRLTHLSLT GVAAFQRDDFQPYCRQAPPEFTQHQRDVFCVFSGTMVSKFRDFLNTSPQFEDMWDNGW NRPAVFGSRRAVAASQPTAPPGDGVDDEMVDDENDFEGLDGSEMVVDAQAQNPVNGNG TVNPNGLTNQTFQQAIPIPPPLPGFPIGQHPTFFQPYTRFLSSSPFLRQDPRLFRPMF FQTSINNMTEGGVPQPMTSQASAAPLGHGGPVNGESPTGASTATIHRPQENGDQSDSM N FPSE_00520 MLRPSTLRPALRASAHTRCAIVRTTRRSLFSQRSNRLTDDLDVN QLNSKRRDYEQNRTAFLAAGAIAGIVSFVYTAWKLKKAIEAQGEKEKAVIKCDTQVPT EIFKTEAGEKRKVVIHDEDGNEVVPTGNTTVKLFPRTLEVENVGSAGDVAGPIAAAVT DKHGTEFTLVGLGTRTVTFLGFEVYVVGFYVATQDVEKLQRYLVKKINPLATTLIPSE KEDLRKALQDATEGEETWNMILKDAGCRSAFRIIPVRDTDFPHMRDGLVRAVQARSAR NPDYNDESFGEAMKHFKVLFQRGQVAKKNELLLVRDGAGKMTITYNDSTRKEPVKTVL GTVDDERLSRLLWLNYLAGSKVASEEARKNIINGVMEFVERPVGTVATQVI FPSE_00521 MSQASTKVQQLIDNNSVVVFSKSYCPYCKQTKKTLDDLNTEYEL LELDEVSDGSALQDALEKISGQRTVPNVYIQQQHIGGNSDLQSLNSGGKLKNLLKEAN ALKA FPSE_00522 MSRYGWSIGREQISPYSSMPSGVPAVTDDDFSYITSQDLDGVTE ERYYPRSHSTAPPPAPEDDILLIKNRGITYPAHFPAYAIGDGKLRVIDVKDRVGLMME LPERGTSRIKLLYKGKQLKDPMAPVRDYGVKNNSELMAVMPEVGDVSSGSEEEMVIVD APRDDRKTRRRKNKRSKKKGIASDGDSLSGSPRDSASTFDHPRSPPAPPASTGATSGP MKVLDDLAVEYQIKWLPLSAEYIESPPSDPKKREEDHRKLSESIMMHIMLKCDGVEAE GMPEVRARRKELVRQVQKTLKDLDVAKDS FPSE_00523 MSSFEQVVVIDGKGHLLGRLASIVAKQLLSGQKIVIVRCEALNI SGEFFRAKLKYHAHLRKITRYNPTRGGPFHFRAPSRIFYKAVRGMIPHKTARGAAALE RLKVFEGVPPPYDKTKKVVVPQALRVLRLQPGRKFCTVGRLSHEVGWKYQDVVARLEE RRKAKGAAYYERKKIAARQLADAKKNATVKEETSKALANYGY FPSE_00524 MSDLDHFDLLPIQMDPQSKAITSQKASRSLAAELEALNTLHRSL LTIEHPSGAPPPPVPVNPKRTANVTKLRDSGNNEYRKGKFPEAIKFYTLGVQMAMQRP MWEPAALVREEISGLLANRAQAHMAMQNWAEGAVDAHASVEARWVGNAKAWWRRGRCL AEMGRFEEARDWIRRGLEVEGEEGELVQLLREIEERIEKQQG FPSE_00525 MPASLDSSRPIMAPSNRGSVRFSTYSMAPSLSPTVGTTDSAHAE IRDIATGLDRMENKALSSQRVILTDEKTDTMSKLALGAKLERALDRRMSGQDAVMRPR GQSLNEKLSEKE FPSE_00526 MCHGHPRHHPCAHTSINWHYCPSALIDLETGYETPCSKMSFAAA QPTKSDCPLQNCQFKSKGGSWTCCACQQGPNTQGWCTKPLSRLTMNPESFSLETVETT CDHGCCSECVRYGPSCDTSSPEMAFSEIRKGSASRKFGYGSATKSHRRGSAFSLPVSE EDSTPSPSSSKSSSGSSRYKLDLEYTSSKVKSSKKRHRSKR FPSE_00527 MCIANYIHYHHIPPCTRPITYSYHYTFCAAAHYDPITSHPLKPC DSVSTSSPYGDLNDPCSMSQCMVDRRCSSGECRLEDLNGRFDRPCFHSWLFCEPLDDY RRPQRSGLHTRDEEQNTITSDTPQSQSMDSRPSGFTVMDVLATSRDSLFSSGLYIDNP FPSE_00528 MTFRYFASLPLELQIKIWQAYVHPGPAMHIFDVCYPSWKGDKRT EKAFQPLRNSNEGEKKLKAYKNKAFLDRMDTIGPKSEFDPSMYHATATSRLVSRLTER TIRETETKQVMNEIYLAGRGQKIPIPASDVLMLRIRQDPSDHSNLHTETLLCPPPIKD ILENQWSTELASALRGAKKIAIDVSETWATGLYGELGLEEIAFFACTIQKDLEVLYLV DECAGRCKRCRRQNVKMDDIRKRDAMWKGLRSKDTNDEDRPGDIINAVSRRYVEATNL AALGWDEEHPSYLFACLIDTAIKTQQEGTDRGKFQGVRVLVVEDECITEGQM FPSE_00529 MLSGVLVFNQKGENLIFRAFRNDCRPRLADVFRIQVISNAQVRS PILTLGSTTFSHVKHENIYLVAITKSNANAALVFEFLYRLIQLGKGYFGKFDEEAVKN NFVLVYELLDEIIDFGYPQNTETDTLKMYITTEGVKSESRKEDTSKITMQATGALSWR KADVKYRKNEAFVDVIEDVNLLMSATGAVLRADVTGQIIMRAYLSGTPECKFGLNDRL LLDNDGLLSLPSGNKMGTKATKAAAGSVTLEDCQFHQCVKLGKFDADRIISFVPPDGE FELMRYRATENVNLPFKVHAIVNEVGRSKVEYSIGVKANFGSKLFATNVIVKIPTPLN TAKITERCTQGKAKYEPSENVIIWKIGRFTGQSEYVLSAEAILTSMTEQRAWSRPPLS MNFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIR FPSE_00530 MDESGLLLGDDGSVYSSASSTAPPATHLTSSPCHTRSPPPESSL FPRHISLSMETTAEFPQSFVRPWKELLQSHRDEQQDDFPSYYVNNVDSLITTSSPKSL YVGTGHSIYTRALLPAILSAKHSVQLITCYWAASPSLDAIRDTLEQLATTRIEAKVQT RLKITIGFSSFGLFQKLFHPASRNGYIYEPSKWSKLGLPDQLVLQDAGIDLTVKSIFF TPLSVMHPKFVIVDGKRAWIPSCNVSWERWFEGCVEVEGAIVDRLLAFYDRVWAQDSE PRQLSDTPNDNNDGNSPRLPDSNAASATQSIEFPANGLVPTIFLPSPHHRNPRFSFPF LSQKNPPMTPLNAALLTLFASAQRRITILTPNVTSWPVVESLLDALARGVDVQIRTSK GMMLVEQLVTSGTTTAWCLRNSMPGVIKTTNRS FPSE_00531 MTRFKIIVTSDTICPWCYVGRRQLQAAQRLWEQKYPDSNDTFSV SYQPFQLKPEWPRGPGSSVSKEKVYNEKFGKERVAMMHKHLSSVGEGLGIKFKYGGQT GNTRDSHRLVQLAKKHGEEAEGKALDGLFAAYFEENEDITSYDILKKVAVEAGIPEAE FQKSIIDSDEFGPEVDRLSEEAQYSGVRGVPDFVMQDRFRLSGANDPSTFVSAWEKIK AAEGS FPSE_00532 MASSSFPSILLLLLVAVATNAATPKITFGKCPSVIPPGVDCGRI DVPLAYQSGNSTSAKGDGTVELAFTRLNHTGKAKKEGVLFFNTGGPGASGAILVAGSP YVPAIEFSSDLRDAYDIIGLDPRGVGISSPVECDPKVFNERVSTYVTTDEEYDALFNY SRKLGESCANLTGPLINHLDSVHVAKDHQVVLEALGESKFNYLGLSYGTLLGYTYASL FPKSVGRMALDAIVDHSQSEIGALLAESTGYESTLNEFFNWCDRNSTCALHGKNSSHI WDEVLSRAGSKPIPAPGCNGTCRSDVNGEEIRYNAQSFLTFQFLTFGPNWIDLGDALL QASKGNATALSTATPNSRIVQDPSGSPYNYLAIGCQDWLHNSKNAVDLRLKLTNAVTF APRTLGMSQTYYYESACIGWPAPLTNPQGPIASGIKDAPTILIASSVFDPETSVTNAE GLREQLTRRGETSQAMNKYLATGKLPKDGTVYKT FPSE_00533 MRCPLSLSGLLVLISFSPSSLVHASSPEMVIPLLGSNPCTWGPS FWCASEENMKRCGVTQEECEKYANDVY FPSE_00534 MSEQRPPFPPFSRESAHVKVKAAQDGWNTQNPSKVKMAYTPDSI WRNRGTFIAVQFWYEFRDDDGQWWRCYGLEDWTFDNDGLMKKRQMSGNNVKISEEERW FKDGVDVNTVEIGPEHW FPSE_00535 MRKPTAAQLALLMASVATAADTTCAIDCFQGLITNSPPADCKEA TNYLCFCTMPTLQENFSKCANTSCGKDTSAAMTWANELCAKLGKPIDLGSGDGAPKTD ATTAIDATTAVEAPASTTDETKQTTAAETTVPEAVQTTATEAEKTDSKAEETSIETSV PSETSQETSQDTPETCTTETTMTKTETTFAKATTTEGASVTTDEDGSVGTVTGSGETA TSSSDAEVNAGSITTPGLLAVAGVVAALWQFV FPSE_00536 MLFQNILFAATIGLAAAASQPTGQECGRKVAPCSKDAVCKPTLD NCKDINKCPGTCYFKNEYQSCGGFRSKPPPPCKKNTHCVDDPRTPGDCGMACDKPGIC APKKLQSCGGFRGLSCPKGLYCYDDPKDKCDPKKGGADCPGICL FPSE_00537 MGVNNATLGLVCCVIVAVVALATRKGPDSREPPYVKERVPYFSH IYGLLKHGLRYFDVVSAQQPHPIFTIDMSGQKNYIVTSPELVQAVQRNTTSLSFSPAM IPAFRRMMGFDEAGIELIFRDAHTEKGMYGEIHRVQKASLLPGTESLDELCTIIRGKL LTIVNDMPSSQTIDLYSWVQDLYMRTNNSACFGAKDPFTLNPSLISTFWLWEANIKVL LLGIPWFLSPQKYSTAQKTRNELVNAFTEYLGNNGQDTACSFIKELCNLGIRRGLSTE NNARALVGSILAIVGNTIPTTFWLLIQIFSRPDLLKEIRSELEATLEDPSSRSEISLN YTVIREKCPVLMSTYEEILRMTSGIATVRYTNEDTLIQDRWLLKKGAQVQMPTAFIHA DPTTWGADAEVFDHTRFLKSKVLTKEQKARRAAAFRPFGGGNTLCPGRHFASYEVLTF AGSILLGFDMTPTTETFNLPEMDRSKLPLTSLKPAGDIKVNLTRRSGWEKVQFK FPSE_00538 MACRLYLHPLVISTAQQFFFIYIAGFTSSNSALRPIGFVFFLIS TFVALSSFEDFIEPPGWVSRAIISAFPQISLTYFERMIVRKIAYADDREKKDQPARSR FQEFRSRYVFGQEVASSMRGLGTAWEIRSIHNFDSRDPSYVPTATPFVCINLLKVLLC YFVHKLCITTQLSLNKGYMAPVYVPVFRRLGDVTMDELQVRYIATVTTVVSIFCFIQG GYSLASAASVVMNPKAVKDWRPIFGELSDSYCLRRFWSTFWHQGLQNNLRGTATWIVK NVFRLNSYCLASRYLKILLAFALSGLVHVPSDMGSAVPAAKSGAIQFFSTQLIGLVFE DTFGDMFLPLWKYKTTRVLAKLVGYFWVISFLAWSGPVRWFPVILQQTPETELFRLSA FKPMAKVMTCF FPSE_00539 MITPFHAIGVLLLGYSGLCTVQLLWNYRKAKAIGLPVLITPIDP SNVPYLLCSSWLEPLLRKVLPFGLGNFVEYNSRDWNYSQIHGLQERIGDTFIIVSPKQ IRVFTGNAKASDDLCRRRKDFVKAVALYKPLEIFGRNVVTTEGDDWVRHRRITTPPFN ERNSALVWDESKRQATDMLNMWASNPKGVVNPQSDTMVLALHVLTAAGFGRSYKFGSG LESELENHSLSYRDALSLILGNLFTAVFTATLNLPTWMLPSKFKKVQDAVINFRQYMA EMVAEEREAMNAGAEEQDNLMSILVRASENENKQGKGARHLTDTEIYGNLFSYNLAGH ETTSNTLAYATVLLAANPEWQKWAAEEVDQVTAGVDLKDLDYETYYPQLKRVLAIMHE TLRLFGAARAVPKTTLVDQILKVDGTSYTIPKNTFIGVNLAGLHISSASWGDNALEWL PSRWITRDETEGEKMTVMPTGAFLPWAAGPRVCPGKKFSQVEFVAVLACLLKEYTVEP DADGEGDLKTAASMALMEEAKQSSFNFLLKVKHPEKIKLRCVRRSENRA FPSE_00540 MLFFSVPPLRETMSVLNSKLSFDLNSTIMKMNHWLETSPIAYIF LSLLVLLFSTYFITTVRFSLQRLSNRRYQGKEPSTLPYGVPGLGSALGLIRNPHGFFG SIVKNFDSGEPIRMRLGLDHYYLIHGTRSVQHVFRCSKELTFEEFALRVAHKVKRLPE RDVALCSADKSGSARVPLIETREEDRIWRKFHELYEHHLIGSSAVSGLTELFVGLMNN ELSTIALDGPIEVGIDHFLRKHMFRASTIALAGHGVFEVDPNFADVFWEYDVDFMPLL YGLPKIMCRKGWNARDECLETTKTYLRNAWQNLDWRAAGEMNPEWEPNFGSRLVRERE VAMEKYGLSLEGRASFQMGLIWSINSNAIPMTSWIIIEILRRPEIFKRIKEEVATVFD KETKNIDIAEVRKLPLLNSVYLECMRLRSSVFVVRKLRESIDLDGYTLKKGNLILAPS YLAHSAPEVWSSGAHPPEEFWPDRFIQKGSAGITAGNYFPYGGGVAMCPGRNYAKQEI LAAATLFFAHFDAEPLRFVDDNGKTSDRGPEVGNEARGVARVDRDMVVRLWRA FPSE_00541 MTAQTALCLAATSQALTAFALGFTAPGNLVRPAIALVVAWLTWI FNQAIDDALPSRLHIAMISTGMWIQFLKSLDDLCLTKVSFGDEETTAKKSPPTSRSAI SRLRFGVSNLWNMRGVGTAHQISKIPSWSSSPATLSHVPSRGQEILRHVKNATLSYLV LDVFANQPPPDLENLMSPRNELLFTRLGEIDAHEALFRLGAVLGFWLNTFCVIHLVNS VFSLGYLISGLYPVRMLPPVWGRLSDVYTIRRFWGDFWHQTLRRHLTSISDFLVHGLL HMPRGTLIARYCKLIISFFISGALHYPADRALGISVQESNAITYFLVTALAIMCEDGV QHISKGLGGNKRKYFGYVWVVAYMYWMTPSWGYPAARVVRPQDQMVSYSVIGNLKSSE FPSE_00542 MAQEKTQDVKIIVAGLPRTSTTSLKKGLEILGISPCFHLGDPPA PIARVKESARVLAIQDRNERLKALKKLYDGFEAVFEPPASTLVDDMLTIYPNAKVILS VRKNPQVWLASYHGLGIDLRSSWYRILGYWVPGVIHSSDLFVAWDKFYSTKFGLKQVP CEELYNKHNQWVHDMVPPGQLLEYQPSMGWEPLAEFLDKPVPVGQSFPRVNEAAFLRN VKKTAMAVGSVMWLCLFAGLYFGACFAWRKYAYLL FPSE_00543 METYLLVAIALAVVFLVNHKSSPKVPSTIPRLQDSSPFLPFKLY WRYLTDCRRLFLEAYQEFTKDGKTCVLPSMGFKDEIHLAHHHTQWAASQPDGVLSPFE GFREIDKGDWNSGHASYIQDPWQSVVIKKEMGRFLEILASDVDDELKTAVPEYVKPGP NGEVDVYESMKWIVAQVSSRFTVGLSLCRDKTYLKDTLEFADLFILNSGLLIYTPSLL KPLVGFFITLPTRYNRWKIQKHIQPLYEERAKRLLNPELYEKSEEPTDNLQMMMKYAI DKHRDQVTLSQISNRLCLANLASFHQTAVATSNVLINIAASNAEFNTIEVIRKEMADV LGENGVFDKASVSKMVQTDSILRESMRTHGFGNRAMIRKVVSPDGLKTPDGQTLPNGS TMSILSYPVHNDPTIYEHPEKFHPFRFSQMRSEGNGANLSFVSTSPTYLPFGHGKHAC PGRFLVDFEMKMILHHVLKEYDIELLPEHNGVRPESHWITEAVMPPVGVKLRFKKREL A FPSE_00544 MPPIAVFLSYYRPWAAVAIAVAVYCQVIVDQNYSVKNAPFYLGK YLLGFLSVWAVYTVFLYPALLSPLRHLPQPKPGSFINGHWAESVREPAGLPFRHWMRT IENNGLIRYKHLFNQERILVTSPEGLKEVLGQNSYDYVKPHLLRAMVGKILGYGLLLS EGDVHKMQRKNLMPAFSFRHIKELYPVFWSKAQELVHGIEKEMSEAPGSQIDIADWAS RASLDIIGSAGMGHEFKSLSDPSIEDTMKMYGSMVKQSGGAKLLTVLQLVLPSMITDY LPFQRNMGVLAASKAARDTSQRLINAKKVQMAAKEKLSPDIISTALESGHFTDEGLVD TMMTFLAAGHETSAAALTWTIFLLAKNHGIQDRLREEIRQNVDGLADSVDSKKLDGLS YLHAVCQESLRLYAPIPFTVRDSLKDTTILGTFVPKGTMIILCPWAINRAHESWGADA DDFNPERWMVPGQANSGGAKNNYANLTFLHGPRSCIGQKFSLAELMALTCALVGRYRF DIDKDYEVKDLTDGIVAKPREGLKVSVEEIQGW FPSE_00545 MSISDGNYARGDLLNGCKALIPGLENAYGYVPSIAAGIVFDLIF AGTGIYHIVRSFQKRKATSYLLAIASYVELVGWIGRTWSNKCPYNKIAFLLQITTLVV GPIFVAAAIYVTLGYLIRSIGPQYSVIRPKLYLWIFLVVDVVALLIQAGGGGLAAGAA NKGKDTQPGTRLMVAGIIFQLVFMTIFCSLFAVFIIRTRGLTLQKGQRLCIYSTIISV VFVYIRCVYRTVELLQGWEGHLLKTEGYFIGLDGVCIAVAILVFCIFDPAILLDYQEP ITAEQSFNELNTMSTK FPSE_00546 MSNQTQDYSHEISPMDIVPKYPIPASLLSNKQPSGCQACTLQIP SFFCNDDCDGDGGLITEKTSLPMPVPSEQAFQRKISHGTNQLPNPTHHDNILQWIPVP DQMSPSHTSPAHSTTGSPSLVTHGTPREYVDMMCSLCGGNHDPYASLCATTANGFITE SDLGVSSIWP FPSE_00547 MASMKPLKVVSEATRERETFRYDPSLLTNFTFYCDGRLPPKHEL RSASDSILTGLAQLGTCQTGTDRAFVSLFDSSHQYVVAEATPSMRIAPDLPNLTCPES LLLCGTAIPRNQGTCDHVLYMKQESNAELPLSLVPNLAEDSYFSSRPYCQFGESGQFY AAVPIRTPRGIDIGAYCVMSTTQPSTWNDQSAQRMRDVSLAITNHLQLKRSKHEARNH ARVNRGLGSFIAGKGTLTGWHTAANLEAFVDDDALEGALNAEQQHSEYLEGNQRQGAH ISSELQDQGFNKLHQHASPPATNSLPSILKLANLNDNALERIFSKAANIIREAFEVEG TVFLDVAMGSYRRPQASPTEEVPNIDQVLGQACLSSSSDEQPQTPAGQVPDESSCDLL GFSNTDFSSVNDSTLINGSIGDLPQRFLAKLLHRYPDGKIFNFDSVGELQTSDSSGDD DVLKNRPDTALPQTTSEGTRRFPDAAQRKRAGNMYSRSQEGALIHRAFPGARSVAFIP IWDPKRERWMAGGFIYTFTPTRVFSTDSELAFLGAFAKLIAAEVLNLETVKADMAKSD ALGALSHELRSPLHGVILSTGLLNDTDLSVFQVNAIHTIETCGRTLLDTIDHLLDYSK VNSFAAKRVNSTTNGREHRKMSRSDLFGKKSLFRHTRLDALVEDVVESVFAGFNFQHM SIRQLSKQNRSSHADNAAHSRLDGVLAMEQLGSNFNDSNDKLSAFKNTAVYLSMDPKC HWMFYVQPGALRRIVMNLLGNSLKYTASGIIHVSLTQQSARRSSNERLVRLTVHDTGK GMGEDYLRHKLFKPFSQEDEFTPGIGLGLSLVKKMTTQLHGQISVESKLGVGTTIVVT LPLEQTSRRLLGTHGLTDRDRVFKEQVKDLTNLRVRLRGFGPEWSKDGRTLVEEVCCR WLHLELVSGQDKIPDLILWSEDALPSSMDDVAQLAKIPNVVVCRDALAAYRLLTRHEN LGQDGIFECMSQPLGPRALARALLRAYERWVGLPQLVMAVLPRPAPSPVRVVDPARSE AEMKPTDSRPEANITANSSNRKVRKLLLVDDNSINLKVLSAYMRKLGQTYAVATNGKE AVDAYTSDPGMFVGILMDISMPVMDGLEATRQIRAHERRAQTAPATIIVLTGLASDRI RNEAFESGVTVFLTRPVGLILLRETLDAQGLLPREKMVRSGS FPSE_00548 MVTWVLLDGDGYLLSAAAAPYFAIYLRENGYSVSQRNIIPGCAN LVSVLTDFTWSFMSDYMQNCVYWMVGPILDSLATFRRCSRSRFFAASGYATGIMWTWA NEINVRNAEERAITISSMNGFFYATTLFMPTLIFPQTMAPKFERGFPTVLSFAVGACG LILFANFSHQQQLRMEAEATASEVPAEVPESDVDETEKKA FPSE_00549 MFLTPLSSLRVSKHLIPANNLIPNCSIQQKPLLHYHGAFQRPIS ASKIEAHLASIGAVEPQWRYTMYSQTHFHSTSHEVLCISHGRARLCFGGEESDGRVEP VVEQGDVVIVPAGVGHRLLEDLDGGFEMVGSYPQGCDWDMCYGHKGEEIKVNAIKNME WFRKDPVYGDEGPAMGV FPSE_00550 MKLQSLVTLAASGLVAAQSRPNLTAAIESENSTLSSLGGLVAAQ PSLLRDLGRLRNVTILAPSNDALEELLKDTTVARMVKDDPSYVANLLSYHILNGTYYA SNITDMDMAAFIPTHLTNSTYANVTTGQRVEAMAMNDTVSFYSGFRAQSNVTKADLNF TGGVIHIINRVLSIPQNLSDTAIAANLSAAAGALTEAKVVTNLTSEKNITVFVPSNSA FAKIGSVLANASESDLADILAYHVINGTVGYSSDLKNGTLTASDGTKLNIAIYNGTVF VNEAKVIVPDVLIANGVVHVIDGVLNPDKPSATANPTASTQEAAFSGASSVSDVPFTS GVPEGTAQATGLSPSTSTEGAAQATAAIALGALFGGAALMMNA FPSE_00551 MATEDERYRQSSQFRLWSFSKANLQELRAKTNSLAREQIAPRLA TDPPPDFLTPDEEIRLVKFFTVELIRAAQFCELPTEVRATAAIFLRRFYVTNSVMTYP ATDLLKTSLFFGCKAEGFFYKLNAFSEKFPNTTGEQILAGEFLLCQGIRFAFDVRHPF RALEGAILEFRRRLPDEETRINKAHAQAREILKFSPLVTDAYFHYAPSQIMMAALSMV DHGLLDILIPSPGQGGNASQDAVFANMRDKILEAVASCRKMLEEEPPERMTDYWGTPE IVKAMKPLRKKLQKCRDPDRANLVNLQRARREQVMNKEKKAAEGGEDGTVFGDESRET KRVKLENADPFGPPL FPSE_00552 MHFTKKIDRAFQWAGEKMGGEARTAHSDEFKNLETEMALRQDGM EKMQKSMTGYVKWLSRRNELMEDKERGTPMSALGRTMATHGEDFEQDSEFGNCLLSLG RANERIAGIQDSYVDSANATWLDNLERSLAMMREYQNARKKLENRRLAYDASTNKLQK ARRDDFRVEEEVRMNKAKFEETSEDVLRRMQDIKETEVDNISSLTQFLEAELDYHERC AEELRRVRQSWAGGASSPTSAPKIGRSRSNTARSWQEPRHQAVYEEPEPEQESARIPH RSPASRLAPPPPQPARPPIGRASTFEGRSSTATPLANLRVPGAPLTRVATDGGSYGRH DDVFSDDVSTSRSGSPDWEQRAASPATSYGSLSRSTSGLALGKKPPPPPPNRAKKPPP PPPARRENLGY FPSE_00553 MCATQLSRNGAPERFTRRGSQCDYLLEMENGDQRILNKSDALTP DTKPSTGEESGEQNETNLAQPSFSTGEWAIVKPKRGKEIDKTSWSCTYDLRALQPRLT IETITQARWWRVFIGTGGMVWVWIPGSQRECVEQRLS FPSE_00554 MRLRSSGFLKWSSLPPEIRHMILNTIVDLKNPRWAGLASVSKEW QSVIGVRNMAKLKLQTPSCFDGFEKIVQQRHLVKHIYLCVELPKYDCTLCKARTRTPS FSRDSSIFSHAIGKILSILSTWKTQGPLTLELNAVSCSNTGHWAKNLRFDDDHDESPR QDLTFAESTRHDPLHGWVNGTQVRPPSFLAMQQLFGPLTLGYDSYDPVTVVTSLLIRR QFRRCLEPSSLDRLLSQLVCLTDIIYDLWSIMYTYTFPCFYFDFSRLKREEAIGMILP KTLKSLILFQHSVEHHTQSAYQDPNYQRFRNIRNRLDIQNKSSQFLAQTSLRLEQVSV SFLISAGEFLAACREEWTWEHLQSLALSFQGSGKHHQEGINSLLLSTAKVALRMPKLE TLVLWSGEQGTTCAFIYTRNEYCARVVWRGTWDLDISQEVLKAWEAVAALYSLELTVE HERIQEVIRSCGDAIHHLNLPCQIIQPTSLWQMRVEEA FPSE_00555 MEVAASLIAIVDLSVSVSSACIKYIRGVKNAENEATALHEELSS LLCIVSRLQLPSVSSSLKIELEPDIKLCYDDLLALQAKLKPAEGFRRFGQKLVWPFKK EGEFQNASMRIQRHLNIFEKAIAVSTLETTSEIKAQLLQLREERESDRTLITENFGAI DAHLSDIKKIMSQDQRNEFTKWLNAVDCESNYRDNIALAVSNTGSWFFEETGHFAQWL QCTANSPKNILVQGEAGAGKTVLMSTAVQAALSVPPSSNIYIGYYYFDFRSSQKSLPS SMLRSLLHQLINDMPSFPEAIERLRSKYLTADMVPVSELTSILQQEYSNFDAAFLFID ALDECEKLDDLLNVLKTMTRNTGPWTNIRCMCFSRDESGIKQSLEPSGFTIQPLEYAA VVQDIEVYVTEIMRNDANGNFKVFHGSSEGLRSDVITALVQKSGGMFRWVQCQLDEIS RCRTAREIREAINNLPSTLTETYEQMFKKMSNSDLRKAQRILSWLIGTDGEMTANMLV EALTIDEDRLEVDEEDRLQNPGEIRNICRSLVRESDHSYPIRGPKCKAITLAHFSVEQ YLLSPQAGKFRLDLRDIHLQLSRACLAYSTSPIWESVTQSGSEEDAVAENGRDVVQFL ASTCQDIFSHLQYQNVEYDLVHYITGLLQQEPRLRNLDRNCALVNSWDDYRSPYKAIL YIDARGGPWGVSMTRLLDGPLRIGHNSSFLSKSVVAGLYPTCISLILSTIEFNGVDDY DQTPLAFIVATGRIDLVRHFTLQNTTNYQRAAGSNTPEDGHRLKLILNSTVNGLFTAI VAKWVDGCHELFAAALGYLEMFIDRDYGTKVYQTMIRLCSILSAVERFDEFLEFLLSH ASRSERMNAQALKQLEEEALYVSIRQDNAGYVRKMLASGVSPTARINRASNLRLLHQR YADKVTLEGVNEYWLCYLDTEVLLERIDHHWRDWEYAQEEPKIIFTTAMNNSQISRLL VEGANAEILDDEDILLPVIGSWLSMTDNQGQTKDLEFLRSLLLDYLQKPENKEKLNLA LNIWAGSKDGIQVIKQLIAKGADPNSSLVGENYHDFRTPLVTACRGQGFANVGVLLDA GADPNLEAESGMLPSVALLNNSWCPESERDRIYDLLKQHRPALKTRTEKPLLPPPTFS RYGYGSRNGSVLSAIITSTMRYHIPVEFLMSHDLLNNLDRYLPGDEYGTPLIAAAATG SKDFVDLLIQHGATIDAPGHPDTEWSHPALAAILSDHWDLALKFLEGFDASSYETHEE QRKWKMVLMSALQSNGQDVALKLIEGGVDVDFIIDQGASSYILYHTRESFHASMDEIM SCLSEAGTSMYAAYVSGNMSMINKLQSIGASQDPAPGAPFGDSLTAACASENVEAVEI LLQQGGDVNKCNPGREKWCPLIAALPVSYSSGTDEIVSLLIEKGAKANVSYPFKNAPA EPSSLESILFKYNKTLHFSLMWKRMWDNSKITGQSILYAKPFNGNAFIAATEGRDDER LELVAKQEGVDVNREESCGIYPTAMIATLDMKKSYRTSDTLRKLGAMEISASQMLNFT HPFRALSSEIVKGNASLTIPGSFWGNMITLCVNVPMAIPFLLQRGADPTEVVPGSFYG SALMAASALLSADTILHFLDHGCDFNNIVSASPFGAPLIAVCAGPSHYPFQWSFHEEY NLQDPPGWSMVQYDMLELLIANGADINVTHNEFSPLIALVLCDCEQEYKIKGLRLLLD KGADPNLTLPQWGYKAVSIHPVPGLFVAL FPSE_00556 MVLVKDTSGSRAAKLIKSFWAMTKGEQQITNAHSAKLFLQACKA FSDKNTAVKCVEVLISNPPGIPALERAVRADLSPDFINSTTLPLILTLADDGVIALNN GGFLRQILSAILEPSTFWNASMDAYGSDRLDSLGLEAFAWLCLQVVSDQTSFDVHKLA VEQMMERQTLLSSQLPEVRKIAYRIEKIIKIFSQPQSTTDGVTPGGRHDNDYADFRQI SIYPTSDEITSTDPPYLQRLGDVFDTPMDTRSQVYRDWLFRLLREDMLSEIRDDLQVA MGRKKSKRRPVALGQMELFDGYGDDTPARHILPYSFFVACGQGVNFPRKMSKEKKVAF LANAKNFMKHDSFGALCCGDKIIAFGSLVRNEKNLLKSPPIVGLRFNDPSGLKSALTA FGSPLRNELKFLIVGTATFAYEPILCRLKTIADLPLEAQLLDHEQPPLDSLMPSKMDT FIDRCKTAFESGLKVTIPSSFTQKKDIYLQGAQLKSLIHGLSSDVALIQGPPGTGKSF LGAIILLTILRLTKSRVLVLSYTNHALDQFLEDLMDIGVDSDDMVRLGSKSTPATQST LLKEHNGQKQFWLTMEEKNILNGLQAEESFLNLERDECSRKLTGRDVNPTDILEHLEF SDSFTAAWSAFQVPDDDGFQMVDAHGKIMEPEAIYSHWIRNSDAKVMGSLYESLEESS RAFWDLSHASRLELHREWASKVREEHIINFADLSKRFSDTKAQIKSIRDEQSRRVLKN KRIIGCTTTAAAMYQSIIETAAPDVILVEEAGEILEAHIITAMSASVKQLILIGDHKQ LRPKVGNYALTKEKGEGYDLNVSLFERLITHGRHFTALEEQHRSHPDISQYPRMLAYP ELKDMPSTLKRDSIRGLKSRITFVHHEKLEDTMDDVNDSRDPIAKATKRNAHEALMVL KMVRFLSQNGYKTQNMVVLTPYLGQLFLLKETLRQETDPVLGDIDSHDLLRAGLITPA AAKVNKTSLRLSTIDNYQGEECDIVIVSLTRSNNSGDIGFLYARERLVVLLSRARNGM ILFGNMETFMKSKKGGEMWTQYFDALKTNDSLFNGVPVHCEQHPDVSMLLKTPEDFDT MCPDGGCAKPCGAALSCGKHNCNLRCHRVQDHSKVACFAKIDQTCARGHTSKVPCGDN SKSCKACTKEDQENRRRILRDLDIERTRQEQEDKYRLELQEIDDEIHHLRRTAQHESE TKKKMDEVEQKKEELESLRQARANKAKLEAAQANVPLSKASAPQFDVTSSAASEWKHM KELEGARNAALDKLMGMIGLESVKDQLLSIKSSVDTKIRQGFSLGDERWSCSLLGNPG TGKTTVARIYAEFLSSVGAVAGSCFQEVTGSKLANMGVSGCEKLIEKVNNDGGGCVFI DEAYQLSSGNSPGGKAVLDYLLAEVENSRGKIVFVLAGYNKEMESFFSHNPGIPSRFP IEMKFEDYEDDELLKILQLQIHRKFNGTMAVAKGVDGLFCRIAARRIGHGRGKNGFGN ARAVENHLQAISKRQSARIRKERQAGAKPNDLLLTQEDIIGPEPSQALMKSKAYRELN CMIGLQEVKDAIKVLMETLKTNFERELAEEPLVEFSLNKVFLGSPGTGKTTIAKLYGA ILRDLGLLSNGEVVCKNPADFVGAALGQSEAQTKGILAATVGKVLVIDEAYGLSGGGG SNGSVADPYKEAVVDTIVAEVQSVPGEDRCVLLLGYKDQMEQMFQDVNPGLSRRFPLS SAFVFKDFDDDALAKILDMKLGKSGFKATEKAKAVALEVLGRARNRPNFGNAGEIDIL LDRAKASHQKRLTAGLVNRRTTLEAVDFDEEFDRAEKGGTDINKLFSGDVGRDDLIAT LQRYQTCVRQAKELDIDPEIPFNFLFRGPPGTGKTTAARKMGKVYYDMGFLAKPEVID VSATELIGQYVGHTGPKVQKLLDKALGRVLFIDEAYRLASESGSFAREAVDELVDLVT KPKYHQKLIIILAGYVQDINTLLAINPGMSSRFPESIDFDPLIPSACIQLMTKQMQVH KAKLKGRRIVDLSCLETPAEPFLNDLTKRFEALSQQDSWANARDVKELAKKLFQKFDL SCQQLTLKEDLVLATLEDMLKERRGRMDNKKPTAAAEIAKAFVNGPTFTPPVTTTSKV STTCEKEAEEQEESTEDPVHSNALHSIRDAGVSDEVWEQLQKDREKEERDNEALRRLK KAQRTASEADRERLVREILVEEKKRKEIEERKAKLMHMGVCPVGYQWIKQNGGFRCAG GSHWMTDGEVDSM FPSE_00557 MHRVYRGNRGSLSTATAARQKINTSKTHPLGDVIERVTRGSLSD TGVRAEIDNVQFVASYNWVSSSTPTILIPGEPPKWMPLKGDNKLPQDSGDYYRDLNAA SYPKHPLEPAIVSIMKMHPEPMPVNIVACGSSIGNLLRYARGVDLDHCFRILVERVGD TVHLIRREDSPDQTIEDVRGFGHTFPEAYTTWGSGAKRSKTHQRIISYNFAGHDLLVR FEGDGFIGSSSPETTVKSSDKVSNKDDLDQIEDLDVSHLPVDTNNNLVILDAGENVPH SSIFDLKTRSVYTRTRDHLGNQLPRLWISQISQFLLAYHEKGLFRESDIEIKNVKSDV DKWQDENQPSLNRLAALFHVIMDSVRNSEDGKLEIVWSQDGSLEIRKQLPDAGDVLSK SVREQWEAWLGGENEDENDPEGSGRWEDFMATLSDSDDESDYAACDAECGYCGKCK FPSE_00558 MNWLSLPPELRNNILLQLSRINNTGIYASVSTEWRRVLEKLNFR HLRLHQDCLEFLDQLSEEQTAQIDHIWLNIELTTYTCRACRKWESLTQNYKNDRVIEG AVVRLFSILAQWKHNEKRHLTLELNTYSPSDSEHWFKDCFFGAPAEDKFEAVARSRDF HDLKHGWWQGRILEHPPDKAIGRPFVPSVSLDFKKRGELPLVQAVTKFVLRRQCRRQL KPTALLQLWSALPRLEEIRYEPWQLCEGPSQLPWESFYGEMISQLPKSVKKITIFEDF NENYLDLFQLGRGPDPEYNPDRVRQPSFDVGAAFVTRSRGGLEYLSVAFLVDARHFFD VCEINHPHWRWDELKSLTLTSRLMCKYEPDETNDLLTTAAHVARRMPKLQTMTLWNGS RGEACSFTYRRHPEDIYASITWRGTWALTLRTSTLKAWELTWGNLIPKARGRVNIIVE EYLLTDEIRSHGDAIRCLGLHYVVDDISLQQIMAENS FPSE_00559 MAAPANIRIPTEKDIFIPVIGVTGSGKSSLISACSGKAPKIGHG RNACTSKIELYPYDASPGRFVWLIDTPGFEGLGTSYTEFVKYITRFLLDVYKVKVVLN GIIYLYRITGSAKIDLVTLKQLCGEDAPKKLTIVTTMWDAVTNTLEATKRESELKNTW EFGSWMLSQGSSYHRYQNTRESARMIVNSLAGLDQPTRTTSNKQMVKKTRRQIQTFVG QEVHKHLIEERKTFEERLRKAENYMREVNENETYLAMYQERCRYEKMFKGMESKTAAL RANMEGLLAEKDQRINDLNLKIMRQQSDQALALARVEAKQAQMIKRYDGHLVAGVGV FPSE_00560 MSLARYFYWHFVAISLLVATAVCDISSNSFRERCLALKPARLIH NSTLTRQEFVSAGTTLDLSDNVPSCNRRSQLVTVDLCRIALQIPTSKRSSISFELWLP QKWEGSRYLATGNGGVDGCIKYEDLGYGTSNGFATMGTNNGHNGTTAITMLNNPDVIK DFSYRSLHTGTESAKKIIKSFYGKKSAKNYYIGCSLGGRMGVKAAEAYPEDYDGIVAG APAVDFNNLQGQRAMFYPITGNSTSANYIPLTAWTGLIHDEVLAQCDKIDGVKDGIIE VPDKCFFNPKKLLCKGSQTSKCLNQAQVKQLEKIYAPYTYPNGKLIFPRMNPGNELQA VSKLLAGKPFDYSVDWFRYVVYNDPEWDANTYSVDNVRAAEKLNPSDIRTYPKSLPAF KKRGGKLLSYHGGQDNQITQFNTHRFWNRMASADRNLQDYYRYFPISGMFHCSTGPGA WAIGQGGGAPSAGVPFDPEHNVLAAIVAWVEKGNAPQSLTGTKFINDIYTEGVDFRRK HCLYPKTQTYIGGDPKKVTSWRCK FPSE_00561 MPQALIRSINKNPVQEVQSLALDANDNYFLRWTDESDSSWYSYI GLKTHYPSLVEFFDEHDEHTSTVTFGSTIGDFFVFNQKTWQYEGRGLNLPDWLSEDNR QIKQVALGMNGAHCILFTNGEWTVACENNYPELYDILNDHERGDVVFVAMNPNRKNEF FLALADNTIHVQASAICEADIVDVLSAYEELEIITSHVSNFSHNLTEKPKLPQSARKG AFLKGIATSVGKGVLTSIVTTALTASCSVM FPSE_00562 MASNMTHTLDPQEPTYINDIKVYHKGLPGVFRIESENLDVRLKA VVNSHGKISDIQFKNRNGEQMRDQIFADIRGSLYFTGSARLAQIVIVMMGISCETIGE PERVSMVVGPDAEG FPSE_00563 MVDTKKTQAVDGLRGQPYDGFNSDERPVADNGSTIDAQNFAFTE DRKIGVTGAVFLILNKMIGTGIFSTPSSIFAATGSVGICLMLWIIGGFLTFCGLSVFL EFGLAIPRSGGEKNYLERVYRHPKYLATCVLASQMILLGFSSGNSLAFGRYILYASGK ETPDGWEARGIAVACVTFSVLLHSTLPKWGIRLFNALGVFKVVILLFIVFSGFAALAG HRRVPDPHNFDNAFRIEDGDGYGGGGAYAYSNALLNIIYSYKGWENANYVLGELKNPR KTLAVAAPIAIGGVTILYVLANVAYFAAIPKSDLAKSEVIVAGLFFRNVFGQGAAARS LPAFVALSNLGNVLAVSFAHARVNQELAKEGMLPFSRFWASNKPFNSPAPSLFLHWLV TVIVLLAPPAGPAYNFIVNLYTYPGSWINGFVTAGLIYLHWSKSENWTSPWHTYLPIS VIYLLANIFLALVPFIPPDGDWNADGYPYYVFPVVGVGVLILGGVYWAFWTKILPRFG GYKVVADRTFDDAGVETVRYRKVSVRHH FPSE_00564 MASFTAKEVAAHNTRGDCWTIIKGQVYDVTKYMEDHPGGADVLI ESAGKDSTIEFDSAGHSEDAFEIMEEYRIGEYKGAPVRNAPKAVTLKKSAPIAAAGSS IATTALTATAAISVAAAALHQAYRLNPEILASLPKIKPGSGSGPGFLEGFIIASALFT VAGTVGVKKLSKHLHFEEGGFMSYAPHKKMPKVTKLNPLLQRGWLDPIAYHALPLTVK EEIAPNVFRLVFALPTPTTVLGLPTGQHLAIKAEIDGKSVNRSYTPISNNSDLGKLEL VIKCYPDGILTGRYLANLEIGDEVQFRGPKGSMRYQRGLCKRIGMLAGGTGITPMFQI IRAICEDDRDLTQISLVYANRSEQDILLREQLETFARRYPQNFRLYYLVEKAPENWAY GTGYATQELMEEKFPAPGPDSKIMLCGPPGMIKAAKTSLGNLGFEQPGASSKMTDHIF CF FPSE_00565 MSTKRFHLLGEDPSTAQQIVLPPSLDEQGLQHLVASHFAIVDPS GIAFVTDSEALPTVADVLAADDISITIDGKAVREIPGPSGLPFVGNYFEVYPDHLGNH QRLFEKYGPLFKTTNMGNTIYQTNDPKLATIVFGESDFFSKRIIEGHPLFPIKNKEAG VFVGDTDTEEWKEVHKFLPPALGPKAVRHYAPTMQRTVEDAFKVFDELDERDEAWNVY PYMLKLGAQAVGKLVLGMDFQHFSSPDARPHAMVLRIAQSLELNKKLTSMPSWYKSLP FGDPQRMREARAHMEAMMTESVANAAKGEGDLELQDAAVEAENMVDYVLRASDSKGNK LPRERIMEPLVVATGAGFTTTSSLLSWLLYGLVVYPGMQERLLQELVDNGFDENTQIT ADLTNKLTFLDKYIKETQRRHNPSYQPARTSAVDMILPGGYKLPKDSIVIPALHHIHN NTKIWDNPALFDPDRWDTDRVKNRPKDSYIPFATGPRMCVGFNFALQEVKVFLPKLVY RYKFNLAQDGPVEYDPMFQLIRPNNLYVRAERRIKWPSKSD FPSE_00566 MAIAEPVLGLVESIALITLIFVEHRNSRKPSKIIGGYLAITIIL DIALVRTFWIRSMWAIAAVFTCAFALKVTLLILEEWPKVLVGENEKIRETSSGVINRS VFWWLNSLFLQGYRGILETADLQNIDPKFDTDHVSKPLEERWIRDPKNGPSSLLKCTF LAYKWQFAVGILPRLLHSGFNFAQPFLIQSVINFVGRKEMSVQISSGLIGATVLIYLG LAISGAWHKHLSFQMVTMYRGGLISLIFKKTLKLKTSSVKDSAQVTLMTTDVETIVAA GASIHDMWANMIELPIGIYLLHRQIGNPSLLVLVPTIVTTILSGIISVAMEPATVQWN AMVQKRVGETSSMLDQIKGIKMMGLTNFFRQTVQSLRVKELKVSAKFRWLLVHFVTLA MVSAQVTPVVVILSAIYWSRSDQGLSVAEAFTSLSLVSLVTQPLVTILVSLMQIAGVV AGCGRIQAFLLLKEQDIMTAEVPENGLAVAINNACFSTDEGNTLLTDINMRVVQGTIN MIVGKVGSSKSTLLKAIIGENTPSQGSVRTEASVAYCDQVPWLRNTTVSKNIIGQSQV DEKWLARVIRSCALDEDLAQLPQGQETVVGSRGVALSGGQKQRVALARAVYSQSKLIV LDDVFSSLDQTTSDTVFDRLLGEKGLLRKSTVILATSNARFLSSADRITVVDEGRIAR NQITYSELELTETLKVITPMQSPEIVQKDIATVIPKEEIDLSRKTGDTECYKIYVRSM GWKVIGIVFPTAVMGAVTEAMPQIWLRIWTEKGDGSKDAKYVGGYVGLVVAAMILALV NISYFLIMGVEKSSNNLHEQLLKSVCRAPLHFFTTTENGSILNRFSQDMTLIDMSLPL AFYLTLDLTLRGLVQTGVVASGASYFGAFLPLSFLALYLIQKYYLRTSRQMRLLDLEA KTPLYTQFTEITAGLSTVRTFGWSKELLDESFKLLNTSQKPFYLMFCIQRWLELVLDF FVAGMAMLLVTIALRIPGTTSEGAIGLSMVNLLGLNLTLTTVIDQWTSLETSLGAIAR LKAFISSTPNENKETEKEIPDDWPIGKIEIEGITASYSDESEPVLHDVSLVIEPGQKV CLCGRSGSGKSSLVLSILRLLELQSGSIRIDGKDLAEIPRQYIRSQITTIPQDPVSMS GTVRHNMDPEELAQADEMLITALKMTTIWSAVETRGGLDADISALGFSVGQCQLFCLA RALLSHSKIVLLDEPSSSVDDATTKEVRQVIREVMHGRTVIEVTHRLDHVTDFDIVVV MKDGRIVETGNPRDLLTQDSALKALHG FPSE_00567 MSQQATSSTPVDADTIAPPSYTAQPPAEPPTDEKIAIEQPHIND DNLPEVVTEPTHQHLPAQTSPQTSPPPPVSTVSPVQTSIMNWDGTQSPPILQHPAIAH QQQEQQYQYAGQENMSIPMQPQPPMQRSGPTVTPLHLLADQADSVDCPFCQHQTETKV KNVYAAALFFGTFFGVMCPYICHCASNVSHYCKNCGRKVAMREYRGEMQPLGTPDHLR EASKYPAAPPPAPTK FPSE_00568 MRFGWAVLFTPAVLAATVSYDFTIEWVRADPDGEFERPTIGING KWPIPRIEANVGDTVLVNARNNLGNQSTSLHFHGLFMNGSNHMDGPSQVTQCAIRPGE SFLYNFTITQPGTYWYHSHTESQYPDGLRGPLIIHDPESPFKDQYDEEIIMTISDWYH DQMQTLIPEFMRKGNPTGAEPVPQAALMNETQDLQVDVQPGKTYLLRLANIGAFAGHF FWIEGHNLTIVEVDGAYTKPASANMVYLSAGQRCSVLVTTKENTLDNFPIVSSMDTDL FDVLPEDLNWNVTGWLVYDQQKDLPEPLIVHEFDPYDDMDLVPYDDMARLPEPSKHIE LDVVMDNLRDGANYAFFNNITYRAPKVPTLYTALTSGKEATNPQIYGTYTHSFVLEKD EIVQIVVNNRDDGRHPFHLHGHHFQVLHRSEDDVGDFTGSEELAEIPMRRDTVVVRGN GNAVLRFKADNPGVWLFHCHIEWHVTSGLIATFVEDPLALQASLELPQNHLDACKAGG IPTVGNAAGNKNLLDLSGENVPPPRLPEGFTLKGIVAFAFSTLMGIFGIYTVASYGMK KEKKTPERAPLLAEDELPEQ FPSE_00569 MLELFSVPVFVVVFRETLETAIIVSVLLAFLKQTLDGSHRDANL YKTLRTQVWAGTATGFAVCAIASGIIIGTFYILGSDTWADHEYYYEGVFSLISAIIIT IMGGALLRVGKMEDKWRAKLSKAIEEPVVAGHGKRAWLINLFEKYAMFVLPCITVLRE GIEGIVFVAGVSFSAPASAVPLPVILGLTLGALVGYALYRGGSSAKLQYFLVASTCLL YLVAAGLFSRAIWLFEQQQWNKVVGGDAAELGDGPGSYDIDRSIWHVNCCNPQLNGGG GWAILNAVVGWNNSATYGSVLAYNLYWVFVIAQFTAMAYKEDHGHWPLVKAKEVHTRT D FPSE_00570 MTRDAMRPATPIKEDSLAHREKPDITNASPGMRPGTPKAGTHVA TPRTDTPKAGTSRTGTPHGASKHGTPKITASAEKKAITEDAPNEDGPAEHDVPKPNGD KAQPNGDVSGRIDPGYKEDVEIDSFISHRIDEANSTVDIRVLWDGGETTWETEWSLQE QVPALVFQYWDKLGGRDAATKLEVYHVFKILRRDTTSRAKKYEVQWVGFKRNDSTVEK EDFLRSIAPAELDKFQAKELASGASNDKRKGAARGPGRPRKKARADED FPSE_00571 MVWVAMSLSVNVVAKLNCNTDHTGHRNSPLSHFHRIGQEVMPIS TLYLYLCGVGLQIPTSP FPSE_00572 MSNDDLKGLEPEANKTNYVGSGSGTGSDTEEGRLQHAADAKRQI GVLSASMLIFNRVIGTGIFATPGSILALLGSPGLALIIWVVGSLIAAAGTAVYLEWGT GIPKNGGEKNYLEFIYRKPKFLVTGIYASYILLMGWASGNSVVFGEYILHAANKEVDR WNQRAIGLACITTAFLIHGCALKWGLRLQNALGFIKLGIVFIMIICGFAALGGHLKID EKPDNLSRAFEGTTGSAYGVVTALYNVIWSFVGYSNANYALSETRNPVRTLKIAAPTA IISVSIIYILVNIAYFAAVPKAEIIASERLVAASLFRNVMGPAAERAMSVFVALSAFG NVLSVIFSQGRLVQELGREGILPFSRLWASNRPFNAPLAGLFEHWVICVIVILAPPPG DAYNFILNLISYPLAIVNTFVAGGLVHLYLHREKYNWNAPIKATLPVTVFFLLSNMYL VIAPFIAPDDPSQNQYKSMPYYIHCVVGIGILVAGAFYWLVWAVILPKIGGYKLVRET HVDEIDGWESNRFRRVPLN FPSE_00573 MSKLLRFQRARPMTWGSTFTSRQFSTTRVALSVRGQSKLFKDAD AAVADIKSGSTLLSSGFGLCGVADTLINAINKRGRDSLHSLTAVSNNAGVEGKGGLAV LTSAGQVDRLILSYLGNNKVLEKKYLTGELAIELCPQGTLAERIRAGGAGIPAFFTPT AAHTLLQDGEIPVRLDKSGAVVEKGRKRETREFNGRTFLMEHAIEGDVAIMRAWKADK DGNCVFRYATKSFGPLMAKAAKLTIVEAENIVEVGEIDPNDVNLPGIFVDRIVPATAE KNIEVLKLREEGQDGPPKATNEAQERRNRIARRASKELKPGYYVNLGVGIPTLAVSFL PADSTVHIQSENGILGMGAYPTKDEVDADIINAGKETVTLVPGASVFDSAESFGMIRG GHVDVSILGALQVSAVGDLANYMIPGKVFKGMGGAMDLVANPDNTKIVVATEHCAKDG SSKIVQQCTLPLTGAGVVSTIITDLCVFEVDREQGTLTLTELAPGVSVDEVRSKTDAD FAEARELKQME FPSE_00574 MRYSVVSLLTLALGAIAVPKADIKTYSGYKVFRVNTHGKVDVKE RLAPVTFDEWEHGDQYADIVVAPNDVDAFESLKLDFTTLHENLGDSIVSESPSHKKWK RRADDESWYDEFHNYEDHIDYFRDLHAQFPNNSKLISSGKSYQNRNIYGLHLWGEAGP GKPAVLYHGTVHAREWISAPTVEYITLQLIKGFKGEDKDVQTILNKYDFYIFPFVNPD GFVYSTTADRLWRKNRQPPPTSAPNQTCFGRDINRNWEFGWDSNKRGASTNPCSQTYR GEKPADSPENAGLDKFVRQLRDTVGIALYIDWHSYGQYILSPFGYKEDLYAPELGKWT KAAALVSEAIRDSSDDKTTYTFGPSGAVLYVTTGAAPDHVYSVGQAKFSYTIELRDTG ETGFVLPPAQIRPNVEEQWAGQKVLLSLLDETFFDGSGPALYQGQT FPSE_00575 MSKLSAPLKALINAPFARPGPRPAPAQVQELYEAIANDAAIRNL GPKSWLTVSAAATFTLNSPDSLPVLHRVATSKDPNSAVQNAEFIREVGLKCISFNGIP RTINSLNAFHASLPEDVTSKLSTKPSRQPTSQNIDQTLARGRGLWDSIYRPYEDKLFE KLALAHPDLPVYILSSHYSALLSDPAASDRDTLASLGRIHTSMIAISCLRAQTGVGPQ VLSHVFGLRKALEDGTYKNDQNGETEESVQYLASDEGGHWILNTVDKIVQAIGGSSFA PGNESKL FPSE_00576 MAPEKKTKEPFWLGGAAACMAVCFTHPLDQTKYRMQVLKSNSSM LNVLYRFAARDGIPSLWTGLSASILRQGTYSTARFGFHTYFSDKLRGYTGKQLSVTQN IACAGVAGGVAGLVGNPAEVVLVRMCADGAKAPGQQFGYNHALNALARIYSEEGMRAF WKGLAPNIARSALMNVSQIATYASAKQYLVANGFGDDVKTHAISSLAAGTMATTICAP ADVLKSRMQSNAGKEGLVQVLRAGLREEGPRFLMRGWTPAWLRLTYVAQSSYM FPSE_00577 MAGNSNSDLQTLTSPLQQGGDSPRPRQAACLVCRRSKIKCDWKP HQERCRRCIQLDTECVRPAYHPGRQKGIKNKRTGLDKALYQIDQAVKRARSASQKNPE DDRILNHLQDLLSGANSPADAPQTRYSASGDGEDDAYSEEEEEEQERDPVVMPEFIQR TQQSLAIDDAENPLQLLARASYIQPSPESRHGNSPLQAHTASTTGQTEDEIQAFFAPA QVHLDVGSDLDPVTLGLVSEDEADRLFHFFHRNLAHTRWGLDPRIYTVEYTRSRSAFL YTSIMAASALFMPSAAALSKRLSNHVRTLAHKVVVKRYRSVEIVLAFMVNIPWMFPGQ HSTDDETCTYISIANTVATDLSLHKTLISPEMLGSGGEIGLARGDCLDPRAALAMDGF YDLDPWSEKGRLFLRNRERCWLSLFVLERGMSLARGRPFSVPMTKSLKDCDSWHRSEY ADPLDGHLVSMAVLRRDLDALFATVRALCDGSQMASSDGSLIAQSIQGSIERFFDQWY TEWGISIGKGPDRRLPPYVEILVTHTRLSIYGGVINHPTAPLEVRRFFHTAGLSSALN VMRAAIQGESQLQSMPNNTAIMISFAACFALTLSSYATGGSALAPSVRNLIDETATVL ERIGKVTRHRNGLSIKYGKYLKQIVRRAATGDGISDPRMPIVNEAPMTTPSFLDQQAP WQEPIHFSVMSDDQIVQALNQPGNDFEPGFGGLSWEDMTNFEWLYWPEVGI FPSE_00578 MSAVIDNGSDKDQPVKDVAQEVTEQSKPVSRFTRWYRSPLFNVI IVGLISFTQPGIWNALNNTGAGGQQEPYLVNGANSLTFGIMVFGCSFFSILANKIGLK WVLIIGTLGYAPYSAALYTNNRYGVEWFVLLGGATCGIGASALWASEGAIALGYGDIK DRGKFTGIWLGLRELGQLIGSSIQLSLNVHTGKRGRVGYTTYLVLIALQCLGLPLAFL ISPPHKVIRSDGSKLPNTKTNKSIAEQFRKWGALIKRKQFFLLIPMLVGFNWNSTYLG IYLVNYFSVRSRALASLTSGVAATAANVFWGWFFDLKYFSRPQLARFTWVFLAVTMTA LFGWQFAMEMEYRSANPPVTLDWALPGFGRGFAVQVLLRFMNESHYMFVYWIIGTFFQ DVETLTLAVGLVRSFESLGSCLAFGVGAAKVPSLTNLIVAFAMFCISLPSTSFAVFLV PERPAENALDKPNEEE FPSE_00579 MAAKLILAAFIAALKVNGSPCKPNSSPSTSLVFTSSAAFTTTTA DSSTELGLSTQSSIIDSTLDFTSTALETSSSETFASETTRDESSDSTVPPSLTTTNSI VGESTTVESTVVTSWSSDPATTSSIASTTTTAEPQTPIGFYNGGFEDDSTSDARPWVI GRSVTIENDPANAHSGDRYALVKYPITGTGRPIDPLRQTISGLDPKKKYLLTFYWAFT DFYSLSNTGCDFWTYFGPAIELYKFKADGMPTNEYFKRQYLVTLVSTTDKVDLVFYWR CSNSVPASPWDGAEIRVDDVSLVEYDPPCALVKPPPKDLVCGQMGSFPSSANSFLIGS EVLLGPFENCAQMCAENAECKTVAGVFGTGDVMGRNARCKLYSATPEELGFYAATTGS GVFQPGCFECRPSK FPSE_00580 MKWVFPLILAFVPWAVADFGNTTELLKTLPSCSSPCYEDAFGKS GCSTADVACLCGGGQKTIKTAEGCAAQACHVKDSLTTLNMTYTYCDYPVRDKTSIFIN VTIVLGVISGVSILLRLGTKYFLSNADYGLDDLFICLTLLIGMPSTAMNIHGTAGHGE GRDIWTLEFDTITKFGFYFWLLEVFYFAQVSFLKTSLLFFYLRIFPGKAQKLLWGTII FNTVYGIVFIFVAAFQCTPVNYFWLSWDGEHKGTCFNIASIGWANAAISIILDFWMLG IPMWYIRKLKLHWKKKIGVAAMFVVGTFITVVSIIRLQYIVHLGSSTNPTYDQTDVSI WSTVEINIGIICASMPALRVLIVRIFPALGGSSNDSSKYNNYSENYGRKSHVLSRSRA ARVELPSETGDSDHSPEHGGIEMHRTFQVQYSENDEQSLVDGPNRYNKTQVVSETRRS RDTGDSR FPSE_00581 MTTVKSHLDFTSWNRPGPCFRDLNYTPYDVNITKSRRQHLAAYL KFMRPEVSNMFNDYADRGTEMACQWLHEERKARAVSTPFFEYLADNCLWRLWFKPFGD DGPKWPWGPPKASLNLTKGQKPNQIYAKYRQDRADKLATRAANALRISSIAESAPETP IYPNSEYIVRSQAVLTTSGDDEPEPDLELNKRQAAWDELDFRHIPGVVGPFEIDIPSM APMETLVARDLVAVNELTEGHAIIKANMTQRRVIVSFPDKVEEYGEDG FPSE_00582 MSKQHPEDFLNYLQQAWKRDKIYIKENPESMFDIKAIRVLCQDG KFRPLGNSYIPLPKLHYLRNRYMLEGEPFPFLRLDPPIRSEGGFGQWRCLRAFAKYHD DLDFFLEMLIRVRRSKATTVEFPRRILELYLRIQAECEDSKDPEASAHKVRYAFEKEQ LVYIHPIWRPLSECLLDDSCEISSTLSKSPVFPVPEDWDASKSELASLREFYTQTLKL ENASYRTILGVLEKRDFDAVLDPADLWLTDELYRALDKMRMNLSPNDAADLKLAFIEK PIIAVAPGETVTWLNATDVSWCPKLESPEVNDLSKHYPELHEFFVDFLDIKQNDAGLI FDTLMQVSSLSPDCSRDHTARGLLVAVSQRIPEYGHVFDKERFMQSNVFPVSTSDGVL LCAPSMEFVIADRQNLKEAFEGRLNLLDFDPKTVWMLDNLLVWAGLDQRYLSRHVVDQ GPEDENFKSIELPDELSAKADHILRIAVHFKSPRTTTPKGTSWLRETLDHCEVRLASG FRSQLVYRSGSAEIIAVNPMGGLDIKDTVGLTVYADDENWEIFKQTLLPRRLLEWIMT DPDTNVVQDIPEQAVSLMRSIINVSNAREHVISRILDAEGIVDLELLQASPYWRVNSE RQPDATISTSKADQFAASKIIDDSDSTPAPAYSQAGPSYSRDERPAYSKGEPSYTTRT ERTYSNGEPPYSKEDPVKRRSPPPPPPAKPDSLRDRLPVSKRGLQRRVSEKQDWEGDF VANEATLVDFTGEDAYGID FPSE_00583 MATITQTDTATSEPVFELRDTTNPRDGSLNDNPPRSPSNKPNTA ELLKIFSAGFSFFVAGVNDGSIGALVPHIIRDYDVTTAIVSSVYGSNFMGWFFGAFSN THLCQMLDLGSMLALGAVLQVIAHALRAWKPPFALFAVTFWLASLGQAYQDTHGNTFV AGTKGSHRWLAFIHAMYMAGCLVGPFVATGIASVGSTSRWYLFYTFPLAIGVLNVAFV IYAFWDSLKLKRKQKPTERTLEADESPASRNEDAFSLIKETLRNKNVWLVSMFFFFFL GATLTASGWVVEYLVDVRNGDLNQMGFVPAGFSGGSLLGRLFLAEPTHRFGVRRMIFG FTIISIALQLLFWLVPNIIAASIAISLLGFFMGPYFATGINVGSKLFNPRIQSTALAF VFVFAQLGGCMFPIITGLVAASAGVGVLQPVLCALLVATAISWLFVPMPKESDNPTLH QE FPSE_00584 MSHLTYYNYEGVGKTNNKDYSYSQAVRVGNTIKCSGQGGWDDQG NIDKDDLTGQIDLAFKNVEKNLKDAGARGWADVHSVRSYHISLSGSFDMMVEEFRKWM PDHQPTWTCVGVTELGIPGMIVEIEVEANIAQ FPSE_00585 MASTTQISLPNGKKYDQPTGLFINNEFVAATGDEFVVTNPHTEE EVIKLNGASKEDVDKAVQAARKAFEGEWSELAAVDRGAFLYKIADLIDRDRELIAAID AFDNGKPFSACLAGDLDESYNVFRYYAGAADKISGKTIETSPAKLAYVLQEPLGVCGQ IIPWNFPFMMLAWKVAPALACGNTVILKPAEQTPLSALYFGNLVKEAGLPAGVVNVLP GLGPSTGKAIAGHMDIDKVAFTGSTNTGRAIMKDAANNLKNITLECGGKSPSIVFADA ELEQAVKWCHFGIMDNKGEVCTSTSRIYVHEDIYDKFLEKFVEVTKENDKLGAPFDES TVQGPQVSKTQYDRVLSYIEEGRKSGAKLLYGGSKYGDKGYFLQPTVFADTTEDMKIM KEEIFGPVVSIAKFSTDEEAIKKANDTSYGLAAALFTEKIARAHKVARKLQAGMVWIN SSGDSHFGIPFGGYKSSGIGRELGQYALDAYTQPKAVHVNLGFEL FPSE_00586 MTVTNSVSPDAIRAMFASALSSMYRREVPQYGTLLKLVSDINHK KQQNIEPRIEVERHGAIRLGTPEELSMMRRLFSVMGMHSVGYYDLTVANLPVHATCFR PLTQQALAYNPFRVFTSLLRLELIEDDELRSKATKILSKRCIFTSRCVELIEQFESQG PLSAATAEEFIKEALETFRWHKTSTVDLKTYKALRKAHPLIADVVCFKGPHINHLTPR VLDIEMAQMEMLRYGLQAKDAIEGPPPRLCPILLRQTSFLAIDEAIAFSEGEETGGSH KARFGEIEQRGMALTPKGRQLYDDLINEWRETSSTLTGAESKEKHLAKIFERFPDDIK TLRKEKLAYFSYRPIHNASISHDADIDSLISSGAVEVEPITYEDFLPVSAAGIFHSNL GDDGGMSHIVGADENSFENSLGCSVKREFELYSEMQEASIRRCFATSC FPSE_00587 MASFKYIPPPNDFLKVIGDANHYEQAVDLCNGFYKISGQGGFTD KGEMPSDVKDEVEQAVQNVEDVLKAAGLRGWEDVYYVRHYHTDIDVTLPLVTEAVKRK VPNNRPGGVAAGVTRLALPNMRIEIEVDAKKHSS FPSE_00588 MSQQGKHSAKTVLEKFIGADHTEPEERAPSITNADLYIEEEPTV GEFLKEITPSIQQVGEYFYNLFPFLSWVGKYNLIWFLGDMVAGITVGAVVVPQSMAYA QLAQLPVEYGLYSSFMGVLIYWFFATSKDITIGPVAVMSQVTGNIVLQAADSLPDVPG HVIASALAVIVGSIVTFLGLARLGWLVEFIPLPSICAFMTGSGVNIIAGQVPKLMGIK GVNTRQPTYMVIIDTLKNLGGSKLDAAIGLSALVLLYTIRIFCGTMAKRQPHRAKLYF FISTLRTAFVILLYVGISAGINIKHREKPRISIVGDVPSGFTHAAVPQINSSIIKSFV SELPAAVIVVLIEHISISKSFGRVNNYVIDPSQELVAIGVSNLLGPFLGAYPATGSFS RTAIKSKAGVRTPFAGVITAIVVLLALYALTAVFFYIPNAGLAGVIIHAVGDVITPPK VVYQFWRVSPIEVIIFFAGVLVTIFSSIENGIYTTIAMSAAVVVFRLFKTRGRFMGVV RVRTMKANVSDGTASPGSVDEGEGSLRAGFLPLDHGNGSNPKVIVRTPYPGVFIYRFA EGFNYPNASHYLNHLTETIFSECRRTNPALLGKLGDRPWNDREPRHIKTIENDERPIL KSVILDFSSVNNVDVTSIQALIDVRNQLDKFAAPEVVDWHFANIENRWTKRALAAAGF GFRTPRTGNEAAGHWKAIFSIADLGGDDSAATAAALDEKVKNAPMRQDIEAIEGSVNS ASMEKLPRDPTSTRLVSVGGLNRPYFHLDLQEAVDAAVAAAELKQH FPSE_00589 MSYYSGPRSGSEAELTALVYSFPGRLKESRADGKWTYMCFLQSV PPSYEWFCTNPTCAVPKTTHSKYCITIRPPMFGSSHCVPNYFHVPCFVKLAALTYLPN LHRLWPLNRLTYPRADMQLTEATREVFLDIGAEQLLQELKWRYYSLCQLGEERDMGFM RPNEVFQRFLPGIVYDQDITVQGLSTATDRKIAGHQSQNTDHDRWNIVDHYLPDISFD SDLKFGAIFERWEEYAGKCHKEDDKLMLIE FPSE_00590 MVSFKVFRGTPEGKIVADNVERELENHEVFIETTHSGVCGTDKL YMTSGVVLGHEGVGIVKAVGSAVTNVKNGDRVGFGYTHQICGHCDNCCTDRDQYCRDR QIYGFADFNNGSFSYGAVWDSKTVCPIPEGYDSADAAPLLCAGSTVWTCLTQYGLRPL ESVGIVGIGGLGHLAIKLAAAMGNHVVVFSSSESKRQEAMDYGASEFHVYKSGDKAPE GLKPVKHLLLCGSGNIDYSGLASIVDYDGSIYPLTAALEATPVPLTELSLKGIRIQGS LVGSRDSVRKLLEFVARKNITPTTMKYSLNEEGIEKALHDLKDGKVRYRAVLVKE FPSE_00591 MTTTLKKNLQSPFHDDPTSDNNRIELYTLDANPKENEITAFNTI SPTNIVPLRKLKQWPRGVLCPACREISITRVERKICGGTHAMAALMFACTIVGGPLAY VGKQWKNAEHYCCRCDRRLVTWHFSSGTEIHVW FPSE_00592 MATSIGNGPPSMAETTGDRLIAVLVVVVFSVIVSLLMLVLRVWC RWSIRSLGWDDFAAMMSSGYLQMCITIFGSGILALIPLGLSDPTGQIPVDKIPAFYKC AYITIFFYGQALFWAKMSFVLLYYRIVSLSYWRWTYIGAMVFLVLWNICVILIFFLTC IPMATIWDPAVKGTCVKHTLELGYLCAGISIFTDLAVAVLPLPFIWNLNLRRSHKIAL SGVFLLGCFSISLAIVRIRWTDIWSTTTWDIVRPQLWGLAEITSALICACIPTFKPLL VRLNAMKPRCETTKQTPAIQNQRSDDEAGLTLDTVTRVGSQSQESHDGDPHRALTAKS YGTNTLESLDKYLHSAPICARHFQGTHFVLVSGHLKLCLPTTKGSGSTSHKTSNEMAS LCNYTHPELQITDGLVRQETGILFPYNPEFYNTATGLYGPGALYCWYLLLASVLMHWF FCYVNNLTPDFSSDLLGALAYPVFAATDLLLQSVRMMGKDDRALAIYCLAFPDSPIWG PHYFSDTPLDMNNIPPEVLDLGQRVIDIIGPYRVSWTAGLFLIADPGMAWTLFFWEGS RQAMLIGTWVFTALLIMVLLLSMTRLVQTLRKGRNAETKEILHSIMWGFLPGAAVMAV AFGLIMYFGMTIVPDVAIQLTERDQLATLMVGIVTWVYAMLETYYKKTEEELV FPSE_00593 MAPSISPNSDKTKKRPKVRGCYQCSRRRIDCDRQEPECVKCVTK GIKCSGLGLRYRFNDGIAARGKFVGKTFPVVDIPVTQSTKGNDAIITINSHQRDDPFR ESGYERIAKSSVQTDEVVDDATDTTALVVPDNRPISIHWGLDHVDPKSRFCLGYSQLI AVINMGFNGYRDLILPRAETDPLVRKAVLLVVEQHLSLQNGTSISLDPAAYTSLVREL ISRSHQCAPQDDDSALTALLLLHIREMISGSDNFRLIYGSLRVVVNALAARSEDKRTD LRTFLQLQILRYAHRNP FPSE_00594 MRLLTRPPSCAIARGLCRNSTFPRSIFRASVSTLHAKINSPPPR IVKSEGCWLETHQGHRILDASSGASVVSIGHNDSRVKEAIVAQLDQVAYCYNPFFTTE TAENISRFLTDSTNGHMSKVFVVSSGTEAVEAALKIARQYFTELPTPQPNRTKFIARK QSYHGNTLGSLSVGGHKARRGVYEPVLATNVSHVSPCYPYREMKEGETEEQYVKRLAQ ELDDEFKRVGPDTVCAFIAETVSGTSLGCAPAVPGYFKAMREVCDRHGALLIMDEVMS GMGRTGTLHAWEQEGVVPDLQTVAKGLGAGYMPVGALLVGNKVANTLEQGSGAFSHSQ TYQGHPVACAAAYAVQMAMKEDNMLQNTQDVGKVLGEKLKERLAGHKNVGDVRGRGLF WGLEFVRDKDTKEPFPLGDQIAGKLHKAGLTSDHGISLIPATGNVDGMQGDMVIVSPP LTVTKEEVDMVVDRVEKVVTSILGP FPSE_00595 MLTKAACLLGLSVISAVNAVQYGYNHVSVRPDPPLIAANFKDVD IKLLSPAFLGDRQPGFKNGTQGPTSHEDMESFMEKIASNNDYMSYHTTNFTSEEFRSF PYVKLSTAKGRRKSNKLRIFIDASVHGNEPAGDESMLALLGKFDNDPKWAAKMLKDVD IVIMPRYNPDGNYYFQRILASGLDPNRDHVKLARQQTRDIKKFFSEFAPHVAIDMHEY GAASRYGNYVQAADGLFSAAKNLNINKNIRELSEKLFAKNIGEDMVKAGLRWEPYVTG STNSTPGYVPKFYEAGSDVKIGRNAMGTTQCITFLIEMRGIGLADQEFQRRTAAGLTM ASSIIETAAKNAEKVFETVEGGIKDFIKSKDPIVITDYTKFSTREFEMIDATNGSVVK VPVQFASTTPTTANLTRSRPESYLIPVAWSSLAERLEISGLEVKTLDKAWSGTVEALN VTSSELSRSYYEGVVLATITTEAKKKEIKLPAGSFLVSTRQKNAGLAFAALEPENIDS YASANIIPLDVGDEYPVFRVMK FPSE_00596 MLVKGSESDSEAKPYKRIVFYHQTQYKDDRYISLQPTILPSVGV THVIVAAIHLNTPTRITLNDDLYDADKFIPLWDEVKQLQAAGIKVLGMLGGAAQGSYT KLDGSLEGFHKWYQPLRKMIKWAGFDGLDLDIEEAMSLGGVIRLIDHLKTDFGQSFLV TLAPVAPALRNEQNLGGFNMEELEKGLGSRIAWYNTQFYCGWGDMSEPHDYDRIIARG WPQEKVVIGLVTNPSNCSGYVKEAKLRKCLGELVRKYPRIGGVMGWEYYNARTEESSE PWKWAEMINDILNLLHIQFAKMGSEDNDKKHMENHQHEFSHDNHDDWETQPPYMRPDE ATEKRENFEKKVHGSCHCGRVQYWLNSDKPLASKYCHCIDCKKIHGAPFQWAAIFKKT DLAFENGVKNLRFYKTSSGKNEHILPCKVGCAECGSWIMDEGRNMVLLFPTLLNLDTP QLRENFQPQCHIFYTSRVVDIPDGKDKWTKLDKDSELMKDMD FPSE_00597 MKPAVVIVPGNFSLPRFWSTIQQSVKDKGYPVEVVRLKSSREET IDPAPGLADDVKEASSVLHKHIDHGKGVVLLMHSYGGMVGTEATRGLARVDREKSGLK GGITHLVFLASIFAPPGKSTRGLYEANPGRYPRREAS FPSE_00598 MRRSLAFLALVATSFAVPQAVTEDISPKDGPPKGCTTSYGGQFE VTIFKPSNMKRDLSEKRSCNGEGVLVLNLKDGVLKDAQGRTGYISDSYQFQFDKPAQS GAIYTSGFSVCSNGTLALGPSAIFWQCKSGDFYNLYDRDWAEQCEPVEFGVMPCGKGS SSKSAPKKRIVGSSVVATTVVTVVSDGTTKEVPTTIAVPMCQIGDGQVQVRTTPCDDM ELPVITAPPVSQVSDGKLQVPTTAPPAPPAVQPQDNPGDAGKPAGDAPGSGNGSEGGA GSDGGNSGGDNGGNGNGDEGGSGSDSGDNSKPGASPAGNSAPENTGAASQNCADSDDG SCARGQGSAGRSGKAKPTATDDEVLATDSGSPSETDDTEETGTSRGGSTRAVKPFRPQ STDGDDAEETDSGADSSSGDNSSSDAAQSDGLRIAASLGMVFVSAMLGSFLIL FPSE_00599 MAQSNSTKNENHERHGLFILHPNSDELYDESKYLVDIVAIHGLG GHPLKTWTEKEDRHLWLRDSLPIHVPEERIMSFGYDSVVLFGKSRSQICDYALDIANR LEIFRQSSQERCRPLLFICHSLGGVVFKEFLVQITLNKDLEHLSQSVAGVVFLGCPHR GSRVASHARLLSKLINNATLGTGARSDLLKTLQISSAELEAVSQHARYPLKSIVIVSF YEQLPTGRSMVVEPFSAILGLPNERAVPVTANHRGLAQVSPKKPHQYLPIWSSIKQLA EGCLTSIEADNRELLDALFCLDPKAAQMRPRQSQNGTCEWIFSHPKYVKWLESTETPL LLLTGFAGSGKSVLTRCVAEHIQSCESNKDSDSGYLVVSLFCSYMDTVLNTEETVLRT LLHQLIQLNPRCGILVRNRVESRKLGGTVFDMSTTKMWEALQQVLSIQTMSRVIIIID AIEELGATVATAVLAGLSGIATNLHRQHIALKAFISSRPSTELSSHELKGIEMLHLGD VDMRRDIEIYLQSSIDDFKTENESFNASITPDLQQIIVASISTTAAGMFLVAVLTWED FHTGLFWNETTIIERLDDVLSAGTSMVTFYDKLIERIDNSVLEDVLQMFAILAAAARP MTEVEIGTILGICRSRGPIERSTDFEPYQNLNAVIEREVPHLISFQDDGTMALIHLSL KDYLESRSDFEDIIEMGRQTITKACLIYLKLQDMLESASIETDHNELTEKYPFLDYAS SHFLWHIEGFARHDPMWLLFSDTAGEKSIWSLNSLWPEGKYYGTTPLRFVLSNMPEAV AFSLARRFQGHGYDMDETWSSCPGRALQYCCMNANSEFGSIVALLLIDLGANPNLPKE SFLSNLRLALAAEAWDLYDELLCHPMTDLSARNKQGGTMLHDQVECGPIERISEMLLG RTPLTLATYWGLKSMALVLIEHSEAFPIAKGVHLSALVLAAKHGDKDICERLLAACGY RNLCFHLDMSGKGFLHHAAMNEWGDMIETCLRRGGLTLNIDQIDHSGRSALHYASQLG NYNSCQVLIQGGASLTLQDRLGRTAVQAAADAGFKECLILLLESGRVDPSQRDIEGRS LVHWVATLDCVDAMELVSEMPGAKLDQKDRHGKTPIDIAFICKSKYECMYDTPFVEHG VEDFYIDLSYEANLLERNATRQKLANEEHMQVQIQYPCHLWALVTYVSEETETKTKDS EKPNKKKDTKEKTSKGISSKEKSSKEKPSKEKASKSVKK FPSE_00600 MKAVTSLVLHCAAVTVAAAALPDGSQVVSDPLAGYEIVPMQWKG VIKEGEAPVSLNGTIESVISQIKELNPEFELVEEDPAESSGLEARNPSNIICNVGGTG YVDVKAAQLYVVWMAVLASALASPVLMAMLSGFATTTATTSNPGAATWLTMWIALLQA ASRKPAARLVQSGLALLVGPHGSFGVSSSILTDTTLLLEEATASLLIDYIMVA FPSE_00601 MRYVGVGFWALCVLANAEIPSSCCSELRKIPQLNGAVYMSGTIE YKERLDTYYSANAALHSSCMVMPRTTEDVSALMSVITTHQCQFGMGSGKHSAYRNSNA VEDGITVDFGYMNQTWYDTKTKIASIKPGSNWGEVYKALEPYGVTAVGGRASPVGVGG FITGGGYSFHANVRGFGCNQVVNFEVVLSDGQVVNANKTHNSDLWKSLKGGSGNLGFV TRIDQRVVESNQLWAGFIYFETSRRDVVFEKYINFAENNDKDPASQLTASMLWDGEQY HLLSVVSNSDAVESPASFSDLFRVPSISNTTAKGKIADLIPQFTGPTPLGLYANWMTG TTSNDVRIMKFVYEKFEECVDRMRAAAPSSKFNVLVQFQPMTPSMVKQGQESGGDILG LENIVAEGPWLMWLIAVTADTKETQDMIDPMRQEFKAAVDAYATELGIRKDWVYLNYA TGDQDPISHYGSDSIGVIRKASKKYDPNGVFQNLRRSGFKISK FPSE_00602 MSGGPSTRSQATGVVNTVCHEPDYAVYTFYDISDHAAWKSISLD FDKKEELLMEFYWKTENIPPSALEPNLRNIDFTVQQKVGTVHHTQRQHFDHTSCLDMY LRLAYYPQTTHESHQTAAFCFEYRIQKPNGMDERASAFHLQLCEWRFSQSVFSTRLQT LVDSLRLGERLDEFNCNLAVLMAIEIKRQIRDTEQYTCLADYTDAFINVREEVERFAG FWGELKDDVYRHLVTDKNTYFDSRDIGLFI FPSE_00603 MSHSTPQQVSGGTDRQAQEQDEITIRHRAQFRIQTHRFLQNVTQ LVQDWKSQAKTDFFKELGKVEGSALTTEEYVELCGAMIENRELIISSMKRGNEVFEKE IENLKSDPVEAMSDLTIERYEASVETRNQVIADLEKERLELVNKKNESDESEYPEHWI FKS FPSE_00604 MPPINIEDDSQSHSTQPATNTSTTTRVVPHVVTEHLQTAPEWRI NGHEAITSHDVEIISVTPTVQSPCVIMLCSGINFDGVPFNQMRFTERDVHLMSGPKLF GYWRGKGGRPWAAGISDDICHLLKILDEKDGKLEVQFVGCAKSKSEW FPSE_00605 MTTPSLPCANCPPDGVGCQKVGKSSCGNCRLVVYCGPECQKAHW PIHKLDCKSPLSKETWTPNWILTNRIPAFFGNMPAITFGGKKYLWGNVPALDVLQLGS NEGDNYQDQLNLLFAASGDLRNVVKTVVELPSTYDQTINIVMNDRDLDVVARNAIMLL LALTAEGQDEVIDCIIHIWYSAFLRKSDVDIIHQKVRPLLEEVCKKIKDQPPNTYLGK TWVFGHQRSLRLVLNKSSWEVLLALMDVPKGLTADKANNIRTAVTLADSRVDFRDRAY LFFPPAHRVARHRFREDGLLLPFGACRTGFRFPNPTFFQNKDSWPMYDNSDPLSGWSI KEVESTSIGPATSDIYGKLFRHVKCLLKAFVERLSSSSMTFKLLQVDASDLPDHQLER SFDRIEVSNISDSAYLGIHKTVAIMSPLLQPSSVNPHATLITLFMNMVDFNKTMGDKV DESASILTILEFLQLTSLPVSDRDPEFVKIMYSRDRVQNYDHILDKIAKTFNFSDFPQ YMGVAIKEKHTIVEKWPHRLKRKPHQRGAKEDFDLSMRGGASGKELYLEWKRVLV FPSE_00606 MRLVRFRQGVFPKRPLIFGIVETRDKRSQQFSAWYIQQQTTLHV ISSMQRICNMIYKSDYPDKTAMDGQLEMGKITQSPQPVNADDDQRQRFLLCITSRDSS TEDGTIEEKKFEEYAALRKKETELEGSIRQSKRELEVDNLRDLATYIHKANTKPLKAR KSIGKLIDKRHVFVLEIETSYKLHHEQCIEKYEDLQKPALLGCPGCILLQEAWVWCIP DEKLRSKAWLTFNIDTSKMYFHLFTSRVIDLDIFTLPDTEDYIRLVNVANVRYACLSH CWGSTRSKHLTKQENILNNESGIPLLELPKTFQDAVHITKALGIRYLWIDTFCIIQDD EKDWETQASLMAAIYENAYITLAAGASDNDDGGFFAKSRDKFTKLHKFHLDVDGIDHE IHMRHAVPHPGQGWPCGEVLPLMTRAWTMQERILAKRYLCFAQNEIFWECQEDVSCCC TIAEGPFNPCDGKPKFHGCQPLKYQCSLLNDLSSDDLANVWRDLVEEYSGRDLTKPSD KLPALAGLAEKFQRTFGSPYLAGLWLKNLRKELAWRTMGDEASFGRVRKGPSWTWAAA CDSRITWPSITLHKTFQVKGTPIHDGTHRFNLHSYAMGSHLLLHGLIQPISIRTFTEL DDYETSFPLARHCEVVEWSRRHSEHSQSLVRCFGSLVEPAEPKTRNEQTEVETYKKPP QHGDFCADYRFWETEEELREALQHAYFLFLGTESDTDPLWIDGIVLKPRPGHFNDTKC SYERIGWLRYCTLESVTESEWTPRGTKSIVTLF FPSE_00607 MFSLTKSVLFTFIVAIAAQATTTVPSPTQTSLPGLASQVPNCVA VCLRNLHESIGCDVGDIVCLCKSKASLISKVGLCVVGSQCDFEDASSSTDIFRDMCDL VAEDPGTAVIASASKVLDAVVASATTSDVEAPTSTNAAGSVAYDVVKVVVVAAAAFAI FPSE_00608 MPLTCTDPCKMLAAIFIPPLGVFMERGCAGDFWLNLFLTCLFFI PGLIHALYIICKY FPSE_00609 MLSRVIFPAVAMLPPVACNVIDSPNDPIPKKWEKALPMTWDNTE IMMTTMFPDGPGFTKYHNWALDQIMDGNGTVNVCMRWNSDKVLNEETRNNIHAQHVQQ YEQWLQWLPGWDNFPFKEVKHNVIAWAVANDSQLVGNRDGFHVYTEFKDENGAPDCDP GCSRHLHQDGDFSKCGRGAENRYQQYFLVDKAWGDYNMGAASGEGTTVSEYGWDNVGS QLGNWSILVHETGHTFGLRDYINDHSNTTDICSIMWLPPNLESQMVMEPTDQGAHIPM LSHYEGWLTRYLWSRFSRLRGWQEDGTTYPPTPKCPLGSFK FPSE_00610 MSFVPMEEDIRFRGLQASRTATWKYLVSVFLRWVVTLLLSIAIW KILWFYSEAMVIMAKPATRDFNVWITGLTIALGLAFAGSLDKLARDTRWWILSRRHRS RRKLEAILEAENVLSVLQMAFSSRRITIQVTAWSWFLVFVASQIGLAVLGLFYSVDVS DKLALQVIPGNVSIANMSAVQPLGFVFSDSQSRSDQEYAANMYGAMSLTYLTGVRGLE PQIGDLRLASDPDLFCDINGCDFMFVESSVPSDPEKELAEMSSWQPIMVATPRKMAIS TKCESYPVISGGDGSSTEIEYRKNATSGKGQETVRVGVPFTAETDQTIFITNTSTTCG SGCSTVMALETSAKDPWFYSCNTTVGAVTNGTIPEHQVPEYVRVMVSHAIALQGFSAN SSFNTSDLQYLVYPAQSPFRTPLQGTVQAIELTVSRFAAGVIAMMAKVNDAIVVDGLP PTKGWSLNVDHWDYILIILAVIVWFQFAFSVVVAFMATRVVMPHGGATSMAKVLCAMA ADDDPNGTDWIYRSRKVSADGVYDLYLEGRPRAEQQGKSF FPSE_00611 MKCDGYPPPKPPKPPRKRTKAKTVSVKLVPIPLGTSIHDVPSLT SHECQYFQHFLQLTSTQLSLSAESTNFWITFVLPLGHKHESIRYSVAAVGASHRLFMA RANGHPNISEIQNFMIQQYNKAISSIIPNMTQSCTENLHVVLICCLLFISFEGLTGRY DEFIKHLRAGSALFNSKLPSSTFEDRMITEKLAEMFCRLQVESANFMPINEGASGVER WYRNNAIQGSHTVVPFNSLNEASLMLRQLDVLQDEKPWHYEGSDEVDKGRLMAEASQK LQTSLDEWTARLDAFCSLRAEGLSAREEQQYDNLSLRQKYWQMVIDSYEGMSGKAGPS PKLFEPFLVAARKAAAPIIALQQPTYSLEGDLISGLTFIASSAEDEEVKVQALDLLWR LNRREGLLDSRDVVEMHELSRALSQLTVTPTVDEHWKPKAAAGIPSIIETLRKYLEA FPSE_00612 MESSGDARIPGHEEPAAFYTQEQIYSALARAKRIVNRIHQDDNT YVLTKSHLAVIFETPNLDALDPLVRGGELKACIENIRLFAEMFFTNEPVYPYRRENVS RTVIEAALDRDKHDCTVTLLPYPVVVPILPLDVPLSVHTATNVVGDMMGAEFINRHKE RFQTPRGFNTLGNMMSINPQMRVYLELCCWAFEHIVSKKVGDNKYRNTLKLYWLPACF TDVSQYPTTINIDELDQFVSRLSIDLEKAHDEGFKARRPRAGKGYIGYYHAVTRKLLK TGDTFVVEHDSPDEMQLFADMIKLRWKCLRIVTLAGITHTEAPLDQSDSDTNGDDMRP SN FPSE_00613 MDSFTADLTIRPRSDDQMDIFEEMLRTADLPNDPLLPGFSPNEL KKRYEYAGKIEDELTDMYPGYHLRTEHLMTILMVDLKFFESGGGLSPDTCDPDDLKVR LESVSPFCKHYMLHRDPDNIKPTDPALDADDPINLKTLRWAHAIPGIPEVHPDFTYEM VENEEHEQIRKSIIWAGRFKTNPTLPTVIPSIQEQAKCLERDGHRCVVTGAPDPQVFW FIPKTWNDTPRHNDDIGNLSGGCTILTDMSLLEDFHSNTELGKTHRAWNMISIHKDLY DALAKGLCAFRYIDRQPLDYENVTVELQLYWMPKLSGRFNQVFKVGSLLSFITNIFEL IHEFLRQPSIHPDYSNLTTKSGQQLLSGTSFHVTIPGKDADKFESVVKIQWGCIMFAA LCGGAGRAWYLTGMDQSDESMQTRDKEFSDDSKVS FPSE_00614 MTSQAIVVMAPQRANLNNQTTSVFLAGVTTSTREPDWRQALTDA LSNHPVVILNPDRRDWDSTWREDFSDKRWAEQVLWELDMQEAADIIVFMFHEATEAPI SLMELGLAVRTKSVIVCAHPDYRKRGNVDAVCRKFGVQLLDTLEELVRCLITMLDQRS K FPSE_00615 MENPTTSLPIRQPKPSPVKSTHIFPVAVGPFPIACLEEHQLIER YKRAYEIEKHIQKYEPDFRIRVEHFATILLVPLQDFEKRGLFSPETSDPLDLKDRLEC ISPFCKHYMLHLDPKETLKPVWKHARPIPPGNVVQPLTPGMGYNEAMKAMHSRAAFRT YPKFYQVPNHAGEQEENKCRVRDQYKCVLTGKHNPSVFWFIPKGWNDNVLHNDATGNL EAGCIRLAKIDLLDDIISSTELGKTHKVWNMLCVDKDIDDLLKKGLGAFKYIGKEKVD GQVKVQLKFFWMPDLPGRFNQVIDLNQMKGLERHTHSNGATSFDYNRIKRENIREISV DLSIFQRDGCLKPRSDRWPTNFNLQLNSARTVYIEMSERESELFESVVKIHWACVTFT ALCGGAGRPWFLTGKDQENGSLQPMDEEFRSHESRMRAEQAQARWQRREPSK FPSE_00616 MSSPSTDVPVPTYSTTNNNDLLKRIEASSCVKFPILHSPASCST NLRRLIHNANCENHYEAYHIEADIVDAFFLAIEKGHDDVVADFIARGWVSPDTTSRYG ETPLIAAVRAGKLPMVSRLVALGASVNNYGRVRSEDKTIALEDRPERTPLMVAADCGH LALVKVLIEDYGARHDLIAPDGAIALRLAATNRHREIVQYLPHFRGGSWKRWKHVHHK QMERLRRAAKRLAKFLLIIFWDCPKLFLYDAPKELCLAVWRRRHRIKKFVKEIPGTIK RGIIAIPGRIKSAGKAVWEGIKKIPYCVKRVLQAIWEALKKMPAAVMTVLRWVGSGLT NIGEAIADVFAKLFSFLHTMIMAIVTFFRRITLRDIWDGFCYLARAILIDAPKAIGAF VVSFGKMTYDVLTAVFGSLGSCIWQIGVGILWLIKYIPLRIWTMIEALGTSFVKAFEE LMVFFNPKRM FPSE_00617 MACRLVLSFFLAFALYFSDVFAGPCRPKLVSSYAVSSVATSGAS TDLASLTSFFERLTVEISASASTDILTGGGETGATQATDSLSPSLPTSLVTTATTDGE TTATATDSALDTPSFTTGSVADDSFSITNGLGTDISSSTSGSVATGPASYTTSGATVD TTNAAITKVSDPITGSTTTNAPIDATSNTTADVCSLTTDSAASTYTISDSETGTTTGP VTTTSAGYCVCATAIEPVTTVAATRSPETTETEIIVVTDKLADETKILVDAPSTDVIA GPDRTVTAVRHDGSIEIIEPKTIYDTIARTTIELPTAYKTVYTTDDEIRRHYQHTTEI TTSTSTGVVTETITSIESIPTTSTEYVVTGTTIKFAPGMKIHVTNSRTFVVYSAPSIW THVLYTTTDYITSAWTTAIVHSTDTDYVTIPTENVEITTATNTVDETIIMSTDTGFTV STVTTNEWATETSVSTSTSTKLLPPKYTQHEFPWLQNKKRAIASTTLKARAALPDSLE DQWISQFGESHFHSACSCFLSSSLPTRTVHMSAEPETIIEKSTIINKIYGDTVTLTVT TAAAVTTQKTVTEMTIVDGTTSIEMRTVHEDVTQTILSTPTAQSLITRVMINDDVKQV TKEIAVIDTITLYGIATGYTWMEVTQTQDIYDLRSKLFPVVQTIDIAETSTSTLPTTT VKTTLDVTSTALSTADETVYDAFTSIVPVAVTDVKKFTYTKTIFTDATSVYSIEATNT IGTIATTTLTTLTTVANGVTSTITTILSSDVTVTAQAIATETCAMPIKDGDFEYAPGN SPWYKSFRSKYDWNKKWLDDTHGKVLQTERLYNNTNFILWQDIKSCKGVTFSCDYQFW INKYYYVKGGKIPGKGKNDNSDSAFYWWTPYVWTFWNDNSATIGERWPRDSSETGRWM GANIKFQTTGNVDMLWVYPASPQWPWEGDNFLMLDNYKCKPIAFKGPNGPVGVPCKRL FPSE_00618 MSTVMAQHETQGQVLQHAKQGLEGSMKPVNPEIDADEMQEQEQE EGRMRPSLNFTGHQLFYVFGLDGVGAMLLSGGVNFALAYPMYTTQDTTKNPIRLFQLP NTLAGDAAVTIIIQCILTWFVEMGLVSYDLSKRSVQPVGFIPEPSHPWMRWLLFLPPS DPSDSETESEKVRPVNESKADSLFNTIVQGALRGFVFAVAGFILLWPLSVGILTTLGE RDGGDWRYDDHWIPQAFKAILGGILSLLTTPLMALFWLVKAGWEGNDERSNARESRRS QYADAQRQDEPAV FPSE_00619 MSSFITKTVLAALVAAAGVRAHGHVESITVGGTEYEGLNPGAAA FENPRKELAAWFATNTDNGFVEPSAFGDADIICHRGAENAVKSAKVKAGEKITIKWDT WPESHKGPVIDYLASCGSGGCAKVDKTSLKFFKIAEAGMTSGGKFASDDLIAAGNTWE VTVPTSIKAGNYVLRHEIIALHAAGQKNGAQNYPQCFNLEVESDGTAEPAGVAGTSLY TDSEKGIVFDLYNNPTSYPIPGPKMNIAGGSSGAAPSTPATPTTGSGSDTPSNTAAPV ESAPASSAPAESAAPVESAPAADNGNQNNGGASPVETEAPATPQPTKTGCKAKKARRH ARDMMN FPSE_00204 MDSPSPGPSPALEAQNPAHRPPPPGSGHSLLPHPALPYHYLPPG QYPPVGPPGQYGPLQPPASHAAPSSRPSSHAAPSSSVRTPSGHTSSVQAPSSRTSASK TSSRLAMPPLKFPIASLQGGMIRERYTLIKEIEKSIQSVRPGFRIRVEQLATFLLLPL QDLKANGQLSPKTCTPHRLQERLGDIPAFCKHYMLHLDPENMISHPKNHKYKRWSHAI PEQPEKQDRLHSDMSPDQIRKSMHYHSCFKTRDISLPNDLGKKAESSCRKRDGNKCVV TGRPNPRVFWFIPRGWNDTTDHNDATGNLEAGGFYLTKVDLLKGIHSARELGKTQKAW NMICIDPVLYDFLVQGYCAFSYVSARGLDGTSILNLKFFWMPKLTPRFHQVMDLSKID TFERTPGADHLLSFDIGDIDFGKELSVDLDYFRRLNCPPAPECQERMSETTDFPVISS GHDVWINITAPEASLFESVAKIHWYCVVFSALCGGAGRAWHLTGMDQTDGSLQPGPPV L FPSE_00205 MTPPRSLVSLWIDHPVPGLHESPTRLTYLLSIYRKVHKSDPEFR LCFEYLVAILLVDKKKIEKKGRLSIERVSPFIVQDRLQFISPFCKHYMFHLDPNNFTE DAWSHAKPRLPHYQHPVNEELFDKEPEEIKAALYYNSCFQTDPELSPVHPSEEQKTLC LERDGRKCVLTGESNTRVFHFIPITWNDTVEHNNATGILKQASVELADVDLVDDPGEI CSVSGLGSSHKAWNMLCVQEHLYDHLVKGLCAFKFMRCTKLGHGKASVKLKFYWMPKL PTRSNFDLGDGANLNSGHSQFRHEVFELFKRIDAYYWHGCPTRFEETFRMGQTIVSGH QVDIRMSEEDAEKFESVVKVHWACVLFTALCGAAGRAWDLTGMDLSDGSLQPRVHEFE ADEQEQTMDIKESGMIDSNFSLEEYF FPSE_00206 MANTNTKNPHVSRLRPWQRRALENGRTPLPEAQGDQRWLNSPCH SQQPAGSFTQGATSTTPAGESSGQASTNVATPSFSYRFWPEDEMRHLIALRNGGATWA MVYTEFPNRTHEAIKQAYHKRRHAIEQQMENEATGATSS FPSE_00207 MSSNGSAPAHQQGLKPWQRRALDRGRTPLPEKPGARPWETKSTK TSQDQTSSGNTPGSAPSSGDGTNESASQPTTTAAAYPYRFWPTEEIERLIRLRRDGLS WNQIKDHFPDRSLESLKQTYHKRRAAVEAEMDASE FPSE_00208 MDDQFGGRTDDDLFYDDFEPVESETVVTTEVASDPEPAPVAPAQ PPAAPQEEVAPTPTPSAPLSKPQGLSSSRYADKAAPVPAPAPVAAPKPTKQPPQPTSN APPNAPTAPREKTPHGSQHNNAARLQSGANPRQKLTEAQLAEKMEKMKLINAEKARKF EKAEKDEKDHAAAYARGMEEAKKRRAEDAERRKRNEEDRRKLEDERAKNRERKLKAMG MKEGGWDEGKEAQEEEENRRFRGANGSIRGSKNAGIGGSRYATRDNEEHDVDRFLDER YRSGRGRGRGRGGRGGRGGRGGHEGAPPAPPKQAVPAAEDFPALPGETKKNAAVPTSD KPAFAAAAAGLPPPLPPLSGGKWDEEMDEYDELNKKA FPSE_00209 MAAEQRKLLEQLMGASSTSRAAQLSLTDPKVCRSYLAGTCPHDL FTNTKQDIGPCPKVHNEGLKTEYEALSDREKQKYGFEYDYMRDLQKYIDDCNRRIDAA QRRLEKTPDEIRQTNVLLKSISELTDSINNGLLEVEVLGSMGEVSRAQDELFRVRQAT QSKADREKELKALSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMHLGYAQ MRKTYDAFPKEMKGRSRAPMDDDGPGMGGPRGPRGSGGYRSGRGGRGYRGGW FPSE_00210 MRRFLAYLLLAITNGFALTSAAASGYHEQLTLRPLPLSQLLASF NFRANTSIADFEAHNFRLFPRSLAQILQYAGTRELHLRFTLGRWDAQSWGARPWDGTR EGGTGVELWAWLDAETDAEADEKWLILTNALSGLFCASLNFIDETRTIRPVMSFQPDG HHSNSSLANTRLLHGVLPHEVVCTENLTPFLKLLPCKGKAGIATLLDGHKLFDASYQS MAIDVRPKCDTDGECFLEMEETVDMVLDINRSKRPQNNPIPRPPPSHELLCDTSKPYH SDNTCFPADSLDGQDWSLSQVFGRSIKGTCPLTDPDIPPVCVQIPQSRDIYTTEGARE IRSQDGNSRCYNLDANSELALMLVKPESQDEDKILNKPETPLLYADRSFNGHGQEHGG VQAILSNPSDTDVEFVYMESLPWFMRIYLHTLSARVADAPLSNSSDLIKEIYYRPALD RARGTQLELRMRIPPHCTVFLTYDFEKSILRYTEYPPDANRGFDVAAAVITTLEPKVL NIRTTTLLLYLPTPDFSMPYNVIIFTSTAIALAFGGLYNILVRRFVGADEAQGMALKA KIIGLIARLKGKVGKQ FPSE_00211 MNPNPLALLLLLVAFALVDAYYTLTVFAPKVPQIHARVINARNR AFIIGASQPSTFCGLDNATECPDGTSTQVNENMTGLAAAVPGGQFIFVAPDGMISYPS PHSALRPPGSQMGGFHPAHVVSDCKMPVTILMWSPENGSPGLWACPTARNVPVSKEAV LKATTGLFKGKGCLKVEGVEIRTAGDKFAAWAYT FPSE_00212 MTPVALVLGIFSFSAFAQAGNTPGLPFVINTWGGDFTAATDAAF NSLQKSKTSAIDAVEAGGLTCERNQCDGSVGFGGSPDENCETTLDAMIMDGDSMNTGA VAALRRVKEAISVARHVLEYTSHSLLAGDQATQFAIENGFKTTNLTTKASAKKCKEWK ASKCQPNYRLNVSPNPEHFCGPYRPLAKNKQTQQKTQSSHDTLSLIAITKDGSLAAGT TTNGASHKIPGRVGDGPIVGSGSYADSSIGGCGATGDGDIMLRFLPCYQALDSLSRGL SPKEAAEDAVLRMIRKYSDLKSGIVVVDRYGNHGAAASGWDFTYSYRGGKMKKTKVVK VKPVQEV FPSE_00213 MTLSGKNWIFYGLVIDHIGTKFGYSEITQCIEHYEGSKPLKELH ITAFDHLPEDKKLSKREILISRGRKFVGIHGKRHLWFKDAPGKSSGYISNALILV FPSE_00214 MAKLSPLLPTFGLDDSDENVITHSISSFPIHCLREPGIGIRYEI AKDIVNKIKKIAPMFCLRIEHLVAILLVTMQDLQPHGHLSPETCSPYKLKERLCAISP FCKHYMLHLDPENLIPELKQTVPNPGHTISGPTNPKYHHWSHAIPKQPNWEPQPTHEM SPEDYARSMYYNARFRTAHTITDAPARLHIEMEQRRIVHDRYECVVTGRVDPRVFWFI PRTWNDTKDHNDATGNIRMGCMYLTKINLLDEIHSATELHKTHKLWNMISVDSAIYDA LTLGLCAFKYIGKEDVGGGNFWVHLKLFWMPELTGRFNKPMDQEGTKELSLELNDFQR RGCPIPKQVERSQTAMSTLPLWSGKDVFLNILEADTEIFEHVVTVHWACATFTALCGG AGRAWFLTGMNQEEGWFQPRDEEFSRLEREAFER FPSE_00215 MTLITTRTNKILKGHKAVILLNTESPRTQVDAIQKRFPSLVIKT FIENKRGEPMEPEFDEQEWKDTTIILTAVATVRLLPRPEQVPHLQYVQLTSAGADYFI KNPLFTDTKIPICTASGVHGPQIAEWVIGTYLSHRLKLKEYSKLQDQGQWHPLNTDPE DATDTAGKRIGILGYGSIGRQTARVSKALGLDIHAYTLHPRSTPESRRDNSYSPPGLG DPEGEFPSKWFSGGSREDVHAFLDSGLDIVVIALPLTAKTQGLISKPELDILAKKRTF LVNIARGPIVNTEDLIQALNSEKIVGAALDVTDPEPLPKKHPLWSAKNVTITPHVSAA SSFYFKRLFDIFGLNLERLGVGRTDLINKVNRREGY FPSE_00216 MARGWFVNCAAVLLALTAGVDAYSVPALSARAKDSGPKAVNISV PVDHFHNETIYEPHSDKKFPLRYWFDAQYYRKGGPVIILASGETSGEDRIPFLEHGIL QMLANATGGIGVILEHRYYGTSFPVPDLKPENMRFLSTEQALADTAYFAQHVEFPGME EHNLTASTTPYIIYGGSYAGAFAAFARKIYPDLFWGGISSSGVTEAIVDYWQYFEAAR LFAPGDCAKVTQKLTHVVDNILLGDDKEEKKQLKIAFGLLGLRDDDFATTISQGIGSL QSNNWDPASDSSSFGLYCGSVSSDDILFASTRPLAPYVKKWLISAGYKKQLKYMTNRF LNYIGYIRSNVESDKSGRCDGKTLDQCYSIRGSMNDTKLDPNNMSRQWTYQTCTQWGY WQTGSGAPKDQLPIVSRLIDVEYNTIPCREEFNITTPPNVESINKLGGFNFSYPRVAF IDGEYDPWRAATPHAIGLPERESTASEPFILIPYGVHHWDENGLAPGSEEIGLPPPAV KQAQQDIIDFTKAWLEDWEKEKGSATADL FPSE_00217 MSQYTIPDRLFSQAAIQYCGFNQIQAARLWERWEGIQREPDDHP AKVECTFLEILTCFINDSNDVWIGDDTVWIRCMEHWGIATEMQDAIMDQRFKRLRMTR TCVQWIHNTIELRYTGLEHFLQFSSCKALCCDHSSNLGLDQKPQGGIQDTHRKFPSDY SGETLSPAVDVAFPGMTALYKATSEPRVCSRILNPFLDSEGNLERVEALDTPPPSDFS ALSSTPYFGTSLQTAHVHAAYLKRRDNRCQEVAVVRLCIPNAAFQSLNSTQIVQAHFP SDDWKKLVWHSKRSLSNKELLRFRRATVVVGSVAGKPGKAFQKLESWKDITEEHVSTI GTYKDGEPDVQYGFISDDGSDFLEAHATDIKVLPYSNEQYLEWMAEHKPE FPSE_00218 MAGRRSRQGCGECRKRRRKCDEAKPSCGQCVSYNRTCQYELRLV WSQKSRVSKLVSNKSTDITWNIRSDDPGKVTKGVELVIPESLPNGMALPRRYKRLLHH FAEGVLASLSSHPTIHQDFCQGLMPTMLHSPHLLSASLALSASSLISRGIEEVEGTSI ARVVEHLQSSGLPLLRVALDKGQQSEILIATCLIWCLADVFSGQQGISRWPIHLQGIK ALLRDERSYKANDTALRSAMKHLLMLYRALETLPHVPSITLSTGKTHESTNCSTTASS YFNPQIDGFLGYSEELLDILHQINMKSLVNDQESASADILLGKLNVIISRDVKQPPSV SIASLSSQEGRDFALCNKVFQQATLIQLYRQLYGLASSSEPIQAAIQMINDMIGNMAQ GEPCHTWVAMAMPLFTVGCEVYKEDQKTFILDKIHKLEICIGSLHVKVIEQALQDIWK LREEFQDYEGNLCAEYLLA FPSE_00219 MLFKSFTFLSIASVAIAHGSHDQEPMEGPHQSLWYNTLPGDGGT QADSVFSGISTFGRLPYQPCLGHNSIKYDIAFIGAPFDTGTSYRPGARFGPSGIRQGS RRLNLYGGYNVPLATNPFNSWATVLDCGDIPVTSYDNQFALQQIEEGHYSILSRPPVT DADKLGPASKGRTLPRVITLGGDHTITLPLLRSINRAYGPVTVIHFDSHLDTWRPKVF GGSPSEAASINHGTYFYHAAMEGLLVNDTNIHAGIRTTLSGPSDYDNDGYCGFEIVEA REIDTIGTTGIIEKILKRVGPDRPVYLSIDIDTLDPAFAPATGTPETGGWSTRELRTI IRGLESLNLIAADIVEVAPAYDTNAEHTTMAAADVLYEVMSIMVKKGPLSRMMDGKTQ EEL FPSE_00220 MASQHSPSTEPSNRILESFPDEETPLIPSARNSSLELKSSSRSP WLTETIVLVKASVPVILAYMLQNSLQTVSVLMVGRLSPEALATAAFSYMFAMATAWLI ALGGTTALDTLGSSTFTSSKNKHDLGILLQRGLVVLTGFYGVVAIIWCFSGYIFRSLG QEEFICTQGPRFLQLLIPGGLGYVWFEAMKKFLQAQEIYRPGTYALLVISPLNAVLNC FFIYTLDFGLDGAPIATGISYWLCFLVLVGYTAFIKGSECWGGFDLAQALQHLGPFAK LAFLGVIHVGTEWWAFEIVALAAGRLGTIPLAAQSVVMTLDQIINTIPFGLGVAASSR LGNLLGLGDAVQARRCAHSAALLSIIFGTIILTVLLSTKNVIAKIFNDDEEVVTLVAH IMPYVALFQIADGLNGSCGGALRGMGRQWVGAVVNCISYYGGALPAGIWLAFHGWGLS GLWVGQCVALFLVGALEWVIIALCDWKKEVKRASDRIRVDDLDRSL FPSE_00221 MDRDKIDSGILEKGDVTEGDSATFTDQGSVINASGHTDQLTRQY GLVGLTGIAVTVNNAWVILGSSISVSILSGGISGVIYGLMVAVFYYTFIGLSIAELSS SCPSSGGVYHWATIAAGPKWGRVTGFYAGWINFYGWMFGLASLVQVAANAGVQCYATL TPTFSPSAWHVYVAYLIVIWLSAFVVIFSNRLVPYTQKLGLFLVVVGGLVTIIIVAVM PLKHASSQFVWNSFHENNLTGWNDGVAFMVGVLNGAFTIGTLDAITHMAEEAASPKKD LPKAIFLYISIGGVYALAFAIVLGYAISDLSVLQGNSNTFPLAGIYHQATGSAAATFA LLFIILISSLCCVIGTVLTNCRTYWTLARDQAVPFSQYFSRVSTKLRTPVESTLFVAV IASGIGAIPLGSSVGFSNLTGSFIIITTVSYAIPIVANLLSGRERFSPGPFHLGKWGS MINGFTILLIVIFDIFFCFPVGLPFDGSTMNYNSVILCGLCFLITIWWLVSASKHYPG PSFRHESPSSEIKEEGT FPSE_00222 MPARRSARLKAKADEPVPSEKQIQEPKKTPKRKAPSSNDDNPIK KAPKTKSTDRTADFKSPGRHSKLKATAKTSKTGKPSVQTSVTNSSGSFSPFPPEILNL VIAKIEDTKSLGNLSKTGKAFHSLVIPQLYKRVQGFVTYHAHIAKLIRTIEPLLTIEQ RKQLKKEGQYKGQQESFPDKADGKKKPEIADFVRQAMLDIISPGKKHGYIVYRYAEEL LKSINNLEVFAATSLSEPMARLLAAMENLQALWLHISDHQNPEAEALASIKGLKHLHL YAYNFVGTGIPPLSLIWNSRSTLRSLHLNSSPFKFLYKEVKDTSSSTTGSSNPQYDLS ALKSLSIKGASIDPDEVDCITRAIDFTKLENLNVGYKNVKIELLFKRLIEIFSAASST DIKLRSLSVHLGTQGNSNLTRMVAYPEEEDHGIEFISSFSSLTSLTIVDAGIHSSDQP NPGLKDTLLRGILMHTNLAKIEFGDSISLTGWKMPCLDTQMVKRFIENFPQLKHFHFY AQENQFNEIAEALSRGRNLESILINRGGRFKYEETGPEFLRHLTHPILERVSDDKTRD YKWEDHSKFSRLTMGISVWEVGSKLGKSKKGMKKAEKISSASNPKRSVMYRDITRHEC LWLRGTMNEMEEWVDKVAKDLD FPSE_00223 MASKSLYAIIAGVGPGTGRSVALRFAQKYPVVLFARRPESYEST LADVEKAGGKAIGITADVADPASLKKAFDDINKQFPNSHLAAAVYNVGSGGFSKKPFL EQTEKDLDASIDGSISSFFHFAQAAIPHLLEAVPESHYPPTLILTGATASIKGSPQFS TFAAGKWGQRAMHQSLAREFGPKGVHIAHAIIDGVIDIPRTKEWAANDGAEDGKISPD AIAEAYWNLHTQHRSAFTQEIDIRPYVEKW FPSE_00224 MPFYQIHHSCSLNQNQRQLLATAITQLHCRAFKTPSFFVHVRFY PEENKDNNYFVAGWPHPATSNRIVGLVRDSASRSKADFDKLATDIEEYWYSVLGVQPP AKKSRWNVGDEEKRLIMVTFTPMLALREGGMTIPEAGKEEDWLQEQLPYIDSMRVKGI EGFAGLYNDAKNSEK FPSE_00225 MSYRIPIGPTAGQHFLDPWTHGIGIMRDVCSRWSELDITQIGSL IAIAGTVPTAWRFLNTLWHEAYGTIRRFFLASVTVPGGDPLNRSVVKWIMANRERHYR SFHGRTDVGQNGDRAAALKKTRHSIQYSPHWNTRWLFWGGNLFLVTRRVDDFSSSLSD PSYDGIGGEEITVSCFGWSAEPIKSFIESCREYSDRQTQFFVIIYARDRYGLSWKPKA RKPIRYLETVHFDNETKQDLLGDIRNYLDPKTQKRYQSRSMPYRRGYLFYGPPGTGKS SLSVAIAGEFGLDLYEVKIPSVATDADLEQMFQEIPPRCVVLLEDIDAVWTDRSNSDS GQENSSAPNCTLSGLLNVLDGVGSVEGRIIIMTTNHPEQLDSALVRPGRVDMKVLLGN ISRKSAEEMFIRMFSPDLGCTAHLDMDEIKELAAQFAKEVPDDTFTPSLLQGFFQLHL ESPHDAASSIGAWVKKELERSSEKEFEVITGKRRV FPSE_00226 MCLPFFRKKKQPMVYDYYPQPAMGYSNKYDKHGYSKKYKKQRKY DHMGSAVGNAAGIWADAWGGSGGDGGGGGSGGCDGGGGGGGAC FPSE_00227 MVKIVLAGGSGNVGRELLEALLVRNKHEIIIFSTKDAPEKEIAP GVKWFKADYQDVDQLVKLLEGVRTVLSFMSVSPGAVEAGTRGQINLIDAAVRAGVKRF APSEWASSKLDKFPWYDFKRVAREHLAEINKDKKVIEYTLFQYGLYANYMTYPYNSSK HITMFEPIINFNACRALVIDDGDVVFSLTTAQDAAKVTALALEYEGEWPVVSGIKGAD ITMNGLVALGEKIRGKPFTVEHLKKEDLLAGEVKASWCPVPEHPSIPVAIRKERAPEM LRCLMLGYKDGAFQVSDEWNKLLPDFKFTQPEEFLTEAWARIDAGEKSVFTDY FPSE_00228 MGDHISLDDHHSSTAFRLSEDGSAPAPKRRKLRKGTQSCWECKR RKAKCIYSDHLGICDSCKRRGTDCLSQDVQQSPPPLGSNKHVVDRLGEVEALVQHLLK ASRDGTRSHDALASTESLGSTGQGHHDEGRASGSPTTTTQINIDVEDQDLSTNILPTV ALESDESPSLPSDDEHHVLYDELVAAWPKSNDMKIILNLPVEPSQIIRALTCAPSKNQ TSCLPSPTSLLRLPPRGSHPVLVARKMLILATYLQGVPIASEKHLEKLSVSYETIMDR LVKVAHDKVTCNDDLVSSLEGVECIMLHGLYTNYKGDLRRSWFAARRAVTIAQVMGVN KGTVPFSVRGVAVNVNDLWFRLINFDRYLCMMLGLPQSSPDEPIPLVEELESYAPNRK LQNLCSVACGKLLNRKPSEIYDQSITKEIDKILRDACTSMPAQWWIMPTLASHLELAD RIQETLRFNDHFMQYHLVLQLHMPYILKVGSKEYCGYHKLTAITASREILTRFISIRT IPQTRTYCRGTDLIAFMASTALALGHILCDHRNKRIEDNGYHFIVQQRLSDRGLLEQV LSIAENRMKDSDDDIYKRLATLLHYLLAMEDNVTAGVRYSVSFAEGAMQDGSLGHHVK ANTDGTELEIHLPHYPVIRILRHDPEGKEPLPAMLELPWGNVPVNNSGTRSADDSVTG TSSDIDIGGSLLFTEGHSSEGGIAGDGEVPHILSDSSVTDTWTLEGIDMSFLDAFTDG PAVI FPSE_00229 MSAVASHVVPPKSKLDSILSSGLEHNIDHDPLEFWDKGVFLNEL LKQGIALSTNENGTLDGELVADEGLKKGSYKGTRLALTEIYSILEDAAVSHFDKCGYE PIFPVKRELDLKKRIYQWSDGTDGYPPHLKVDGNDEANLPADERQSKPGSARSEGVGQ IFDMQETAFVAKIAQAVSFIIPKDIDHENTPYKGPTLADVEKFNKAQFPKTDGDASNQ DNLNKAADIMKGRNIGEYDDWYSDARFAQQHFSGVNPSTIETAPQEKIKAYISEAQKQ GLDKVKAILEGGKDILIQDYSYFREATGLSNEQTFQNTVYELKGTTPTGKTTSRYAAA SVVVFQLHEDGRLHPLAITLDYKGSLDSSITIFNRRLSPDDTGDIVEKDDWPWRYAKT VAQTADWARHEVATHLVDTHMIEEAIIVATNRTIPEGELLYEILSPHWFRTLSLNAAA RKLLVPGVIARIAGFGPTSPSLDFKGNNAFKLIDWSYKNFNFQDKYIPNDLKKRGFDI KGDKSGKYKNYPYANDMYLLWGIIRNFVKTVIESQYTSDHVVQKDPYIGNWCKEIQTN GQIPTFPTITTVEQLIDAVTMCIHTASPQHTAVNYLQDYYYSFVPAKPPALCTPLPKD LSALQGYTEKDLTAALPIGTEDMKWKDWLLAAQLPELLSYKVQQDYNLITYAKSLYNV NKNRTITENTKFNCKTIKKAAADFYSHLKSAGVEFENYSKGQTAGTVEYPVLQPETTA ISILI FPSE_00230 MSIQVVPQTTITVGLTNDATDHKSHACLNDVCHKPEPSKDELPQ HLVTAMQGIFGKHPGYRTTHAKGILVEGSFTPTEEAKSLSTAVHFNNASTKVIARFSV GGGLPRVSDVADGATPKGVAIRFQIDDHTHTDLIAHSFNGFATRNGEDFLTFLKLFGM DGYTEAMLKKAQAADGDISKEKKDYDQAHAAFVTFLGNPDHKSALVFVQSEKPNPHNY GTITYYEPNTHVLTNKDGKITNVRYRLVPADGEHLYPNKTPEDKQTLAKLGNDYLEDD LRERFPSKPLVLTIQAHIAGPDDVLDDATKPYTSEEYVTVGRLEINKVSDDNAAQQQH ISFHPNPEKGGIQGIKSSNDPLIQARKGVYYISSDQRRHETQLE FPSE_00231 MGFMKTNCDYVDLMAPFTPLPKYGHYSKITPDYQQAEPEIRKAR EAMNCLPDIPTIRTMMGGDPDAAMPPGGPDRYKDITTELIDIPNRDGTEIELKVYKSH KVNKNAVLMYRMHGGGWCLGRHEVDGIENVYAAINPNIVVVSVDYRKAPEHPFPIPNN DCYDGLLWCKNNSDKLGVDPERIILSGGSAGGQLAASLALECLKHGITGVIAQALHFP ASCHPKFFPTDKYEYGSYVQNCDDAILSARGLETFYDAYTPNVKPDYRHSPLLADSFK GLPPTLIQCAGVDPLRDDAFALAEALKSDGVEVDIYCYAGLPHWFPAVLPHITETSQF LQRYTDFAEKHSKS FPSE_00232 MSGSGTKTLDLKDNYVQIINGKSAPTQKTHQGINPATLEKKPEV PVASQDDLNNAVDAAKKAFKSWSKVPWEERRQKLFALADAVEAQKKEFAQLLTSEQGK PVAQANGEADAAVAWIKGQASLDLTEEVVEDSDTRKVVTRYTPLGIVAAIVPWNFPLM LAASKIAPALLTGNVIIVKPSPFTPYCGLKLVELAQQFFPPGVVQSLSGDDNLGPWIT SHPGIDKISFTGSTHTGKLVMQSAAKTLKRVTLELGGNDAAVVFPDVDIDAVAEKVST LAFMNSGQICLNVKRIYVHESIYEKFRDAVVKHVKNYKLGDGSEEVTSHGPVQNEMQY NRVKTFFEDIEKQGWKVATGGKFDPEPKNGYYITPTVIDNPPEDSRIVVEEPFGPILP LLSWKDEEEVIERANDTRLGLGASVWSADLETAERVAKQLDAGTVWVNTHFDITPMAP FGGHKESGIGAEWGINGLKGMCNVQSLFLSKVAT FPSE_00233 MIRARPDDKSKRDSHAEWTMHTVTQNGFKELAVSFLFDVSDFLK NLGNIWGSADLQSLALTSVLLLAGEQRQDEVQRLLIDTAKMALLMPDLKTFVLWTGDM DIACAFIYTRGERYAEINWRGTWELNIGSDAMNAWNNVAKFHGVQLRTTAHKRITEVI MSHGDSIYHLDLPCEVIQPTSLWQIRMENQRRNPPIRS FPSE_00234 MNTNSSGFLEWASLPSEIRSKILNTIVDEKNPGWAALSSVSQEW HNIIGARNMAKLKIGQSCVNKFGRAVVLQRNLVKHIYLKKVLDILSTWETTGPLTLEI NAVAARDSQHWFKNFRLDDDYDAYDRNSPVYDPQEGITGTWHDSRHGWKNGVQVKPPP FKAWQRISRPIESTYIKFPPNNAVTCFMIR FPSE_00235 MCLPFGRSSNNRRYGGSSGGMMGARPVKEVHHHHHGGMGGGRMG GGLGGMGGGRRMGGGMGGGRMGGGMGGMMGGRRMGGRC FPSE_00236 MSVPSDQRTLFLRLPDELIHEIFKLCYVSDHFNLALTCRRLAAG GNATLERHRAAYREYGVVTDWKYLTIPSVLQKVITDPYVAWNVRTIEIFGTRYSWEQW GDFMSEVPSTVRVFPSPDPEDILSSTFGDGQTYHQFQWCEAFEKPHWSDLSDEDWGDS INYAIWGTTRREQYEESIIGQHLSTYIDLLLEHGHFGLEDYQIERLSYPLRSGNDGIL KALLITLCPRLNVLKTAKSNDETGHNCDWELMEWLSQIITMSWRRDSWAPGLKSLREV SVGIPTGLWFEDRNLLGVHKPRHFRSLMLLPCLKSVYFGNMNLQTITDWGSEDQPPPL AALTGCSTVEHVFLDQFVDRYDMQDQQYTAESVMEFLLIPKKLKTLTVRGGLKLQLSN PANNQALYVLMLHLSKSLEHAIEYNCRLRPSESTWGWFGRTQPIRRLYSEATKVTINA VNVMALDFPQLAARKEEIDEWRGSRYLYQRAHQILLNVEVILVQKSYRDDEMMDPEVM EKLERLLYLLVENTARSGGKLKAIFIQQQPNPNKGNTIADFDYSKLISLGRERDIDIH VKENKNVPFYQYEFPTPPTMSLLQETGTADGMSVFDPFMGCWATS FPSE_00237 MSSALTTPIFMPPFKIYGQNTPTVTVISANPTATTLQIVCLGQE CASTTVNNTVVIGPWADKTVVKGAASTGTFHWMVSVSDFGVSSIECLVSSGTAKACTT AAIADGESLINTATGLEDITEAFELSFSSVPVIITKGQDLLGSSQATATVAKEQTSVE TTGSESTAKDGPTATGSSADAASPQETNGASSSAIRIIGAMMIAAISSAIVQF FPSE_00238 MSLQDEELGQQLYLQMRQRQQIALRAQQMAASQRQQAVNQMGGQ MGNTMNQMNATNIHQMGSPFDDIPVQAPEDNNILYQRNVSPEAASFFSACKAGDMFTV QSIVTSQSRTPVFLHRGLINALESGNVDVARYLLQSGAPISRQTPEIVLKAPADRQIA LFEALAEHGWTVNTPSYYGEVLLTRLIRSNNEHLIDWFLAQGANPNLGRQHDQRDRLG GPDTNSCQALELAASHGSVDLVQKLLNAGAEITNGAPLYYAAGALPEGANPHAGRVMP TREFDISRIPVMQLLAEKGAGINDKLETRHMVPMYPIVNAVWAGAVERVKWLLDQGAD PDQNGPYGSAKDYARRLGSDEMKQALGLP FPSE_00239 MCCFNLFSKHDEKDDLPPPRPTNIGRRTPEATSRLQGSKFSSDA SRRSSTDIHKYGHGSGNGSLGVGGPHGGPHGGIYGGVNGGIYSGVGGGPNGGVNGGVY GGVSGTTSGGGLAPGGMGTSPGGGYGYL FPSE_00240 MEAPVEKPQQHQVACFSCRASRQKCDRQEPCKPDSVEKLLSRIE ESGAKDEIIAALLGTGLPSPNRTVSVRGTPWTQAQSSTDSRATSQPAHPDSPTEDDEY NHPEDLISPLSIVTTAIATNTSIQCERLRSQMPMQPGVREAIMAPIKERLGAYFSSHR AQQKDWDVLAAQAVDCPFKLEKGTCDPLASRLIDDSDATVYFQLFFSTRNPLVGLLDA TLHTVDYVYASSFTLFSVICALGCAMSARPRDRAIYPVLMNLASGNVKWSIAAAVKSL ATIQAIVNMQYWTPVSPTQVDDTSSISLSYAMQLAREMGISRSEVIREYVNAECESSP SENKGRCIRNFERTWLRTLIADKGFGIMNGKLHSVNWKEIPSSAAQWWKAPLAEPTDR MLSGIIEIRRLLLSQVDKRKQANSTTSSIVEWHKESYDALTQLRNERCIKDDSPSAQL LPVLAFYMDHSILVLNAQALRDLAAAEDNTSPSSSEALLDIERKSIEVASRVLDLLSL DKTIIELALGFQNNQYLMICHVMTEVLRAIKRGALTPEENSAAAGKVIGVIPLLDQIV QLLPATSAAHLYFDLARFFACQIDSLMGAPDLQEMRETIDTGMFTDDWFKAMDTGMPD VYTLLDMGYLGMDQPMMDTDDFMALNDFNSIT FPSE_00241 MTTSSQHMVRALFRDPEPLFSLTDFELRRTYAQRTEAHIRKLFH DFRLRTEYVAAMILNDNVYIDDYLSLDPKSYGWRNNPAQLHSTLLSNDAFCKHYMLHL DSENFQDPAWILSLPERLDSHPDAVVDDETLKAEIRALDYYARFETDPHLQDYPYDED EETKCRKRDGEVCAVTGRANPDVFWFFPTTLNATVEQNDITGNLAWSGTFFMGSIFAA KHKPNPFCDKHKLGGSHKAWNMLCIDPILYDYLSTGFCTFKYHGDTKLENGDVEVALQ FYWLPQPKARFGQVFDMEKDWQGLMDDLQEHQDKGFPPPTFWNDDCTTKSGEPLRSGH LIYIVVSEQDVEYFKTAIQVHWSCSVFTSLCGASGRPYLLSGKEYEDPTMQRYQDLGR QGKEGDSPYSQLE FPSE_00242 MAASNEQIIDDICQRLRLRLELNPRIIPQSWELAATPLHEWEEP SKLLNAEEAFIKLEISRDITEMVRKYLDKDFTMSHHLLCTILGCSLEPLKATVKSVKS KVSHGMDDQAYVRTCLEVFLEDLNRMADFCDMFIGLREKKVKAETAAAATTETAPETA PETALKTAPRTTPKTAPGPAAPGPAAPLKKALLKTSAKGKVGPRGPAKASRASAQGSK AGLRKGTRTASGARLAQELSTTELTQLASDVAKPGEPVKEEPVKDEPVKNEPVKDEPA KSDEPVKSGEQAKSVNVEKRRNEKEKTKALERDHKMCIFISTINPDVAHVLPFSTTSS TENTARTNRLWPATVMLLDQKFHDQHLQSLVLGGLDKAYNMHTGDPVQKLDADSGLKW SVRVKFHWFPDLHNKSRYEKEANLEGPVNTVSLLLEDLDNAHAHLLPARRPSKGFVRA FFPHGEWLVSGTISYVNFDNPEDAMAYHGLIKLQWAALRIQMMRAGAEPLDLPTGDDD DDDGYPVYGSLKKSESNSPVAALAAIPPSDLDPSPATGLPSFPTLDLLSAPAPAPAPA SGPDPTPALIIFPTPKLNPAPALAPVPLLTTAPTSSIPPALTAIPAPDLPLSSLPIPT VSANRARPSFIPVRKDARAGSPDLPDLPLTIRKGKARQSEIPPQQKPRMPSAWPRMPS GFSWRPEEATKTSSDKEVGKVVGEATEAKAEQIKLHGDENVKPSA FPSE_00243 MVPLWPFSVIVAILSLQSLAASSSNIINHGFSISVGEKSYYIDP EPQFHLAACGNCNKNEYWASTAGFIRVTIFNAQSTSFSDSDLDDAIDAYLSHDDVFST AFLQGIYIRPAKGLPLNITLSSSQASDRFGTLSIEPLGLGDEIPPGPYMFSAASLGLH VPYLLYTDFQGAFHKGIVSSANGSFKILPVSNAGFSSQTIPVPSRLYYKPTAEKPLDG IRVGVKDLYHVKGIKTGAGSRAYYELYPPAKETAPVVQRLIDAGAIIIGKLKTTQFAA PENARDAIDYQAPFNPRGDGYQEVGSSSSGPGSAVASYEWVDLALGSDTGGSIRVPAE DNGLFGNRPTHGLADLSNVVPLAPQFDTPGFLARDPKLWTKACQVIYSNFTKSYRAYP KKISAMGVPIESTVDLSASDHIIANFVQKLGSFLEAKTTSYNLSKHWTGTRPESSPAN VQDLLSQTWAVLSAQEQIRLVRDPFYRDYAAKFDGRRPYVNPSTNGSWAWADSLPLLI DEAVENKTTFATWFSNIGLPANPETCSESLILYSFKTSQPAYRFTYGEGIGNEGLTGV LLGFNDGFISPMAGNPDFVLPLGEILYNSTVTLREEKMPVSLRIMAAKGCDSMLLSLI NELVDDGIIPIPKTGNGLDRGSYSKTQSFCIISSIPLARPKAESLKITQEEEDAVAKD GPTRHRPQRSGPP FPSE_00244 MSSDGEKVSKRAESPVADSENDGGNTDGLHRRLNNRQVQLLSIG GTVGTGLFIGIGAGLAKGGPGSLLVCTALYAMVLGLTNNSIAEMNTYMPVSGGFIRLA GHWVDDALGFMVGWNFFLYEALLIPFEIVALNLVVSFWSDNVTQAGPTAGFIIAVIIA YGVLNLLAVGVFGEAEFWLALGKVLLIFILFMFTFVTMVGGNPQGDAYGFRYWSNVSD AFATFRSEGDLGRLEGFMGALASAAFFVVGPDYISMVAAEAKHPSRYVKTAFKTVYFR FGLFFIGAALTCGIALSHTDPTLVGVHLGDGSGHGTAAASPWVIAMANLGIEGLPHLV NALLFTTIFSAGNTYTYCATRSLYSLALEGRAPGFLRKTTKNGIPIYCFAVTMVFPLL AFLQLDSSSAEALTILLALITGGGIVDYITMNITFIFYYRACKAQGIDRKTMPYFGYF QPYCAWIALVLHTIVCYTYGYTSLAPFNAKNFFSNYTMQIIAPFSYLGWKLIHRTKIV KPEECDLVWERPAIDAYEERAMIVDPPTGFWEEIIGLVGIKKNKNKSAAEAQV FPSE_00245 MSEYWKSTPKYWCKHCQIYVRDTKLERQNHESTGKHQGALKRFL RDLHRGHEREEREKDRAKQEIARLNGVVSGSSSSSAAGPSRPAPSTQPSAPSEASLKK QREQLAEMGVAMPSAFLPEMAMPGEWTVTNTRVIEKPEEDGEVKVETRAHGVRKREAT EQEKEEEDAMQGLFKKPRRWGRDSKVMPQDDHELDALLSGSTFTPRPVKEEAVKEEED TKDVKKEDNEDNATPVKEEETSAVKTEAPDVEPLIKPEPEEAESGVPTVVFKKRKPKG IRQK FPSE_00246 MASNQPAKCCTEGVRHEGEPTGKMIKLPSGIAAYLATAPEGKAH QGTGIVYVADIFGIWNNSKLMADQFAANGYTTIIPDIFNGDVMPYPPVDIDIMSWITK GANGDNPHTPAQVDPIVVESIKYLQDQGLSKIGSVGYCFGAKYVIRNYKAGIKVGYVA HPSFVEEDELKAIEGPLSIAAAQTDSIFPANLRHRSEEILIETGKPFQINLYSHVEHG FAVRSDLSDKAKVFAKEQAFVQAVQWFDEHLI FPSE_00247 MAASVDTILPRHLPPLLSPSSTPPPSTCADEHTTKLPTIPSDED HSSEEGSSGSVRRIHSSSSSISEPAVEDDQDEQQQNDQPQQQTDDAQKDADLETAVPS GRASRSSTISNTTNPNVRRLSATEMQRLTNSPESLPVAPAPQRRSIDQDSISHLLDQR DAIRQSTMRVDCQQPLEAFPSLQPNSVAVEARSAGSVGLGLWDLQIPRRPSPGARTLS TPPTSRVHTSDSGRSSPRRYSSFNSAGRPTSLNLNIDGAGAGAGATSPINATRPTPAT AIPVQNDGLRPPSPIPPIIPLPPMSLPTHLQLELAAQRPSPLYIHQSHAYDIPYESNA AKFERLKNVLLLPPFLERTLNFGALACLDAWLYNFTILPVRFVLALGVLVRWWGYLVL KEIRWLVDYVWYGLGRLWRRGRIPKPAATEEIPDETRSRSESRPRGRSSDEKKHDFKP HANAHFKPLPSRSQPRSGTFRHRRTKSMPSNLSAFHKADLLQGAVIICSSLALMTLDA SRMYHFIRAQSAIKLYVIYNILEVGDRLLSALGQDILECLFSTETLSRNASGRSKVLL PLGMFMLALAYCCLHSIALYYQVITLNVAVNSYSNALLTLLLSNQFVEIKSTVFKRFE KDNLFQLTCADIVERFQLWIMLFIIGMRNVVEVGGLSVPGAGSETSFDDSSVPLHNPS ILPHSFTVLPSWLMSGEVLSPFLIVIGSEMLVDSIKHAYVTKFNNIKPAFYSRILDIL CKDYYTNAFMTPSLTKRLGLAVIPLSCLFIRASIQTYHMLLSTHLPMPMPIPESTQTS LSSESATPSSPAIVAALNHFDSLIRDSLGRATYGYPYGSPLNSRPWYSWTSDDVIAAV TMVVVFFIAFLVLLILKLLLGMLLLKYSRNRYADMKRKEHQGRAQESFDTPGARRIGG FGQVEVGEERQRWVHADRNEGLKGGKGPGKRVEKTTDKTKKDDFEKVSRYEMVAKRIW FPSE_00248 MDKGKLAQLLEGSQVPNTEQVKAVTAELQKNFYTKPESLLALVE ISLTHGDAGVRQLASVQALRLIPKFWEKTAQDQRQLARNHLLEGVLKESSAGVRHSLA RLIAGIVSADMENGEGEDFLKHILPLSNNDNVIAREVGSFLLYAILEEDPTHFADNTH DLLKLFQSRIEDPQSKEVRINIVRAIGAILMIIEPEEDEVALKAMQGFVPSLVNILKA TVEAEDEESYKIVFEVFHSFIAYDSSLLALHLRDLLSFMIELGGNVNAEEDARSQALA FLIQCVRYRRMKIQGMKDMAAELMVKAMHIVTELDPDDDEEDLSPARTAISLIDTLSN ELPPRQAIVPLLEQFPHFAGNNDPKYRMASMLALGNAAEGAPDFISTQLQPLLPAIIS LLEDADTRVRHASLVGLIHLAEEMADEMSSHHEQIISAVLKNLEAASQGPSDKSNVSI IRCACGALDTFGDGIDTKIMAQYGPNLIGPMVRLLDHEDFGVKAAAASAIGAIASSME KSFEPYFKDVMTSLGKFVSIKEGEESLDLRSSTCDSLGRIAMAVGSEAFQPYVMDLMT ASEEALSLDNPRLKETSFILWSNLSKVYHEQFDHFLPGVFKGLFSSLELEEEEIEIPG IDASQLGDGSIVVGGKRVKVKAPENDDDATIANGGDEDWDDIEDIDDLDDLGAVTAIA LEQEIALDVLGDVISNSCNSSNLETYVEQTIEKVSPFTEHTYEGCRKTAVSTLWRTYA RVFQVWEEGSGVKWEAGIPAKHTPPASLISMAQALEKATMTIWSDDSERTVITDINRN IAATLKACGPAVLACKDGFLQELVSVVGLIITRSHPCQQDLGDEEEEPEVDVGSSEYD WLVIDTALDVVVGLAAALGHSFGELWKIFEKPILRLASSSEDLHRSTAVGTIAEITKY IGEAITPFTESLGQALVRRLTDPDPLAKSNAAYAIGLVVFNSSDTSKTFPMYPHLWEK LEPLLTVNEMRMTDNVAGAVSRMMAKNPNNEFVAQALPAVANVLPLQEDYEENAPIFE NIYKLYQHSNPTVEQLTPQLVGIFEKVLSPPEEQLEPETRQILQQTVQMLYKAKPDLL ANNPGLLKLANIQ FPSE_00249 MSRFSRYDTDEERLPEGMQRVGYDADSQVYTFRDADGSYWESAP GNQYGHLTKVGEARPEGQESESFLVTNPQYNQPRMSWFTEMKPFLNFLMLIILSLLLL FWFLGHKSSPEERIPIPDCPEGTIAYGVKQDDTCYDIAKKAGVSVDDIMKKNKDLDCD ALMIGDRICVPGKKSID FPSE_00250 MSEYPSLEVESQVTRQNETTFTVNLAESYANGTVPHGGYITAMF LRAASIYLAPDNQPDTFAAHWHFLSATHVGPAVIVVEEVRRGRALSIVHITLYQEGLL AQEPWITVKSKKKIAAYVTNNRIEAESGLTLTTGFQLRQPPPPVDLTKLADEKDPNWE RLHMIVMDMAPMMQHVEFYSLKNKTTSPASWDLWLRMSNGERWKTWMLGYVADVAPAL MIEGFRPTDFEAPTPKDRFAFDKIFWMPTVSLSLDVKKALPEEGEEWLRIRIEAKVIK NGRYDVEVIGYDREGDIVSLSNIVALILDVERNTGREMKL FPSE_00251 MAEADPEVIVAVVALLVSLVALAATFMQVMQQYYASAAGYAQCN EKVMGRWALTKTRRFSWDELRFEVEFEAPVIFVSPPDNKNGPIQGAEIYFLDGSDESL DNTWSELDINFRKEYALKTKRERIHTADNERASWTLLLSAVQRMENESEKWQKTQYGE MHKQIGPPNAQEQKTDLPTEPPSLYEAHTMTVALQRKRKSWDTMPATVLRPYATTTIC HLIEMLAALGIYWKEFDRRRDRYRAEGNGFMVLGEKVADLGLMFTFSVYGRSRFEHNR VIPVDQVKELCFGYVSTIYSVTLDQRRLQFPEDDPENLAFLQLATRGEISQTLIKIGC NTNAVRAFQDAGKHTSHLFPVSFEILGMLSRTFHIKDSMFTHIPNPTSDRWDKQSVSL VKVMEAYYELVGVSLSGARRNQVILDKTKKHIENILDHNKGGNMAERMLMLKALHEAI DDCDQILTAKEPAGVVTPFAEQLGIKVHETEVQQKRREMVQDVLRSHIQEVLRLLNDG EDRSSDVQSLHAEWSPAAAHPRPDGQPRVTPLGFEDMHEASPDERQHKFMEVYFHVIR EKVVPRAARSTDRRTSIGGAPPGHGFRRAGTGRTQASSMRGMERGSSPPPPMPAPRLQ TSVENIPLNTMSNGRPSMSSRPGSAVSEAYSLLSETPRGRLEGALYEQEVSHDDAWFG CAVE FPSE_00252 MTPSPATSQALEPRHPKPSQTVSVNISEGSQSQRSRRFQLEVSE CVETKTVTTTTRLTRKFPHVFVRDPVPLENLDTKEYPLAMKPTPPELIEFSYKLPGAL ETDDEGEDEPTETQMTYSNGHSTPGRIKAESPQESNTLVRVPKHRSQSPSEGYPRRSR ASRASPTDSPESAPTSNLRRLPRSNPLNAVSDKLRRSIAHGSSRREGERLSRAPSGFL ATPDTSEVVGSSDRPALRRSSHHERQHSPEASSSAVSPHYEATSPVESDSHTVFSSNV ATPPITDADAEPFADIDGSLQQPLRPFNPRPSIDVVAAQDASLPSPRLSPTLAAAQLH TADAEDEGQSSFQTSNSDPSRWVDESQLTEDDTSTALVPAGHSQDDYALARPDGSPQV VDTQTLLEAFDSMKTEMKTFMMYQFLRRCPRPTLRVVANAVNPALKCDFLRQLPLELG YSVLSHLDHQDLCRAAQVSKHWRNLVDRNETGWKELFDRDGYRLPPGELKKAIVQGWG WQDPVGANGYEKDLSMRSRLTSTEHELTRNLRQETASKSRASKRKRALNTYSAAERSK RRASAQEVVTREESKSQPEVRQHPSEGPLSAASAALNAVPDPQLGLPSLREMHLFKSL YRRHHMIRKSWTSGEVKPGHVAFAAHPRHVITCLQFDEDKIITGSDDTLIHIYDTKTG KLRKKLEGHEGGVWALQYEGNMLVSGSTDRSVRVWDIERGLCQQVFYGHTSTVRCLQI LMPTETGRDSGGQAIMQPEKPLIITGSRDSQLRVWRLPEVGSRRYIQTGPPAHESDCP YFIRVLAGHTHSVRAISAHGDTLVSGSYDSTVRVWRISTGEALHVLHGHLQKVYSVVL DHERNRCISGSMDSLVKIWDLATGACLYTLEGHSLLVGLLDLRDERLVSAAADSTLRI WDPENGKCRNTLMAHTGAITCFQHDGRKVISGSEKTVKMWDVRTGECVQDLLSDLSGV WQVKFDERRCVAAVQRDQLTYVEILDFGAVRDGKPPEELGKRILLNEPEVRAMIEEEA FPSE_00253 MAWLKDFVDKATEEYRKEMQLEKERQQQFAEHQLRKEEQRSLRR GRAGQGASSQQQYGQSTYQQPQSQQYGQPQSPQYQTQPPQYYGQHQSPQYPSPSAPYN PQPNYQTPPQSPETIDRRTQYYADLAKFQAQAQADAAKEQATYQAQAKTQAQQLETQW REAERLAAQYKAEYQAQMRQAEEQMANMDIERNNEAERRRAEEEAASLKLGQEELVKE QKMLFAEIKRQRQQQKRGGDEHEERPPLPPRVSTEDAAPPLPRRQVSVAESGGGSPSS RRSTPYHYSGQKESPLPPQSPQATRAPQAPQTPKTPQTPKTPQAPQSHQSFQAPPPPP PPPQASQGPASSAPPPPPPPPGPPPVLSTGRNQKQGAGQAPQRQVPRPQTKTQEQVVP GRSPFTPPTPESLRSHKLRKSFHTPSPSTESIPSQQPPETAPSSPHPVRSPRQQKSPP STPSKKPPRVRTPEPACRHPSGAKPGAECTGALTSFPQDWFYYPSVPEFVICTRCYVD HIYDTEFRSSFKKTFHSDGEKRKCRFSSRRVKDHLFPAAIKSGSLDQLVEFMHKRITI KDCREQNAVEGELWYKAADIPNGTICQACFEDGLITSSFAKYYALQESQGGTNCDLSM WFLKRKFMEYAKENRWQEFCRQFNDRAQLPDCPKLNVVKASNYTWYKPKSGPEGLQAC GACYHDYFLASEDEDKWNQVVGGDFGTRCNLGQLNHVIPMHQAMDDEDRSLFWNAAKE IDKYPFCNSQGTKGGVWYTPVNDAPWGICAACYEGIVKPVGGSRWFMRDTDVTPDGVY MCGFNFGHPRAFKALETYANARNWGDGRMLLDWAAQWGSVEPCPGIKFSKNRRWWGWG DVAICEECYNSFAKGTALEPQFALTGQKEPEKERMCDLFSPRMRGLYNDACTTGNLEG FLIMAEQRHIVYTQTIMQCEEILNQQKIAAMQAQMLGTQGTFYKSMGMTHDLTVGHTH TVGNAYAGYGHENEYVLQGHMFDRQAREKAAEVMSGGPTMKVQMLEARWKEVE FPSE_00254 MSSISSLCDSLQTSTAHVVDGLRSFVTSYPQARLDLASLSRELA ELQMVARLLHHNAQALDTDADLNLPARLDDALKGVVSEAGELIEEAEDALDLDKEEER TQSWLEHTAERLSAFAKLLESLRSSMSLGLDCVLLVINAQPHDGQRELPGYSSSQILQ EASTLRSKYLGESDSEDYRVESQRTIITEFLEAVHDFISDSSSAVPPPPPADDEESRD VSNSNSSFANPETIASSPHSETEEPPIPDYNAIGITSTTPLRISLRHLAKKELSNHEV IETAYLSRHGACTFATQTLESPTRFYDMKVNQVSCLQNQHGVSMIFSRNGRQWAYLSE EDGKGLNAANHDGVNFKKPVIYLGDYINGRKVPVAKWPGAKPLAFSDDGNWLAVGSTK GRVGLVDCSANINRASVVIPCHLDEVTHAVFTPDSKLLITQSRDGTIRITNVKTRASI AKLETDTWKKPLFLGTSPDGEIIVAVWGDTIFHWNHGTAALESYNLSGRRTREGWPVA VSADCRFLCCRTDDGVDVSDLYSGRVLYTIKFQSGFVTAASFSWDGKYLILGKAASCM GLKVGTSTLDVWELVF FPSE_00255 MPLEQTITVVNNSGKIIKTGKQLFTIFKEAQGSYKDKKAELRAG KSLHRSKTFDAEGRSNFIDDDRSSYYPPRAAPSEAGSRSTRRSRSVHSRHPRDDFDVR SRKALTLDNLERHTEVSSVAPSRAPTQMVHKDPYAETMNYALSPRSMAPSAYGDAMVP RNRSTGELVQSKPRKEIDMDLAYGSIPPDLKDRTDLDPHKVDEKEAMGLVHRVENLLT EAQCIHHSATHTMSELQKNPDHAAAVALTLAELSKIVKKTSPAFLALLKTGSPAVFGL LSSPQFLIGTSIAAGVTVICFGGWKIFQKMAEAKAAREALAYEGVPMDRPAPMRTQTE YAPVEYMDEALILDDDLSSIETWMRGVPAGSVVESVDMELITPTAYDSMGDDFDTKSR RSTRTARTTKTSKTSDTHRSSKSHRTEGSHRSSRSRRDDDTKSRYTESIADSERSHRS SRSKRTDKSDTRSIDSRSSRRDDASEVTMRPKHNRNNSNMLKALFKNKEKKERTLVMA FPSE_00256 MDDDGALPSPLYSESHQRQTASWLGTLPLIELFLKQLSTASLLR RKRISNPGCDWLRPVGAHSARTKKVGATSNKRLDDGDENNEGGDDSEEGDNSDEQNQQ PSKRPRTDGETVNPEMLGFYRRKTHTLGKEERASPRAHVARPMMGVSYLSTIGKSGLD NDLFFIWISPHGHDDFRDERVRSIVNLDLAEPSSLPQQDGMV FPSE_00257 MSFCPNCDYSSGTSRHQWFTITVDKARAGLFTQHTENVSAPIRN GVLIGSIELQISNMLFQHPTMSLRNGTSMRYTWVSGSFGESPNLSAQHRRNELLHLEF RPHS FPSE_00258 MLAPQPDYVAFHPSERKNQRRLFHDNSKFLNCIRSGDGEHCEFL RAAQQKATTTTCACVMANGQGGPNRVGLINVYEEAIIAERLKVEKRKDAIDDSEAIIR VYDSTRTILAEDPTFDDIKDMWVSLIPNKRHQQATSWYQCQASTVTIHDKDLRCIGHC NPPCISTKFSSRPPSALSAPVARSPDIASTQDTQQQIT FPSE_00259 MSPGPASPILSPLEAAEDPATSPDLVHSLSHASTVLALAVSPQH ETIYAGTQDGEIVAWSLETFRQVRRVQAHKRSILSLSLSPDASLLFSSAGDPIINVWD PKTLTRLYEIYGSYDVGDIFCTAYSPQHETLYIGAQNATIQWVGLNDVTARVSPESQQ HPDRRNHRFFDSKAVGGGASTPRRNDDRWGLIPKAHNVLEMHAGCVKNFAHYGYVYCM LMAKGPTVDVGTDDDVLISGAGDGTIKLWSLGHTVEDNEELGGGIQEIMTLGVDDGES VLSMALDSSFLYAGKLDGIVELWDLDTAQRLRVIKAHDCDIMSIQMGWGYLWTAATNG WASKYSTTHYGKYQHAASGAVPQKYQCLLRWEAHQGKVLASAVTNYKNKQYFITGAND DNISVWSIDTEKCGTKEKEVSQDSDNLLLSSLRDFVSYKTVSSRPEFAEDCRKGATYL GALFKRLGGHVELLSTEKHHNPVVYARFSAKKEAAETRKRILFYGHYDVVAADSRKGK WETDPFTMQGTNGYLYGRGVSDNKGPIIAALYAVTDLMENQQLENDVVFLIEGEEEFG SLGFEEAVKKNKELIGEVDYILLANSYWLDDEVPCLTYGLRGVLHTTVCVDAPRPDIH SGVDGSYMMNEPLSDLTQILGKLKGPGNQVQIPGFYDGILPVTPEEDARYDDIARILI RSNPEKGPEERLKQSLMARWREPNLTLHRYKVSGPDGSLVSSHASSHISLRVVPGQEV DVVIEALTKFLEEEFSQLESQNKLTINVDNRAEPWLGDPTNAIFQTLEKAILETWEDC FETSASSGDATPDEKTNGADKSKEEEILSVKTKMGKPRKPLYIREGGSIPAIRFLEKE FGAPAAHLPCGQSSDSAHLDNERLCLLNLLKAREIFAKVFGRL FPSE_00260 MASRWFTLALLLSPALAIKNDFSFYPAGAQKCLYKNADDASCTG ADAKEINYCLCGLGNYNNDFILGSARCIGQDSPNDIDKTYDMMKEACSNSDTPMKTTK KDFQQAAHDGETTTSATGTATSTAATTSDTAASSTTDASNADEDTGLSTGATIGVAVS AAAGGAAVVGMAIWLLLRRRKKNVEESHPMLPNYESNNSPYPPVEPKAAWGTTPGSGW QTPDPNTSGYYAQPKQHAGPVELPPDNLVAAPAPIFEMDGTSSAAIEMPGSTPIDHNN RASDELSRAGLGSSAGDAAMVSPQTQPGTPFRPNGEMHR FPSE_00261 MAVCDPCYNLMHDDEEYRFIYHNDRVYAFREWLQCNKDFTTDAL PRLRGLIHASWKSFCESLDKYCQICWVVWHHIRDSPMTSYRDQTHQGFLLWLDDGYFK TWESGVWELKCSVKLQDSDKIELTFGRTTKQQYEDKLAQAPLEEHTGSETALNTVKGW LSNCDEGHSKGHACVQEMRALNNPTVPTRLIDLHGHDPQTWSLIETKNDPSFSKYVAL SYRWMGHTPRLLKDNFVSFKTGQPDKVVPRNYQDVFVLCRRLDIRYVWIDSLCIFQDS AEDFLCEASTMTEVYPNAFCTLSVCWEPPEGFLRPRDSREIPIIGHSTDDSRKWSDRY VFVLQEQLLSSRVLFLGNDQFYWECDGGVFSEIEPVHLHPTTGDYRRKTAVVDGRNKL DTWYSFVDQFMRLGLSFERDRLLAISGIARFLFSLGDKRLPDGSYAIIDPKIERKFGQ SVEYFAGLNRTHWIAHLLWYPDLGGCTAMKSASTLRQEPDPESFKRCPDDSDPSWSWA ACPGPLQWTFLNYNRPRTGEVPKRDGEPLACLRKNHFEPLGSDAYGLPKSASLDISCL LIQAMYTEFDGPEDVQKRLEKSVDHIKDKCFEWYTDGFILPLPYPRRHSDEQSVIAVP FEPCDSFTATCFVMPLYENRGFDSPMMTGLVVQEKPRADGTAKEFVRIGSFTQYHYPK DRAKDRRSMGVINTLLKNIPIDGGEFEQKLREHAQEWKEDESLGTMLSWAVCQAEQAP STCCL FPSE_00262 MAEKKTFVLITGCSPGGIGHSLAKEFNRQGCHVIATVRNTDMIK DLEGPGMSCFPLEVTDPKSIEACKNQVAELTGGHLDILVNNAGRTHTIPALDMDLDDV RATYEVNVFGPMSMVQIFAPLLIEARGLIINISSTSTLVPYIFGAIYSSSKGAINVWS RALRLELKPFNVRVMNAVTGTVRSNIASRTHRSLPQNSMYKPIEDFFQQRLTFSQRTA TMPTEVYAKKLVTAALKGEGYLGGLIGGTPDWFYAGGMATKVWVLSCLPRWVSETVIG IFFNIGSLSKRIQDARRKLS FPSE_00263 MARLKTFFESRKATVLIGALLFVVAVSAAAPGAAVKRQATKTDM DFKSLTGCHMHGPTQFCMAGSDEYQIVADSKATTTQDPPSSYTDCHNHGSDTYCMNPS GGEVQVLGENASPTQTAAKETGSAKAEKITAVSDCHMHETSLYCMGPSSSEYYVQTTI TNTEEYPAQLTGCHSHGDETYCMSDDGEAPILAAEDIKSGDASPDEEHCHFHAGVEHC VGGSHGSEGGSRSCTRTDRDYNIGIRIGMLFAVLVASSLGVYGPILLSRFTSVQSNIV LTILKQFGTGVIISTAFVHLFTHAQMMFGNECLGTLLYEATTAAIVMAGLFISFLIEF IVYRAMRWQASKKSETDSVSLSPKAVEKAEMANISIMEAGIIFHSLLIGITLVVAGDS FFITLSVVIIFHQLFEGIALGTRIASLGYGQMPIALGHSHSHSPTPSVERTGTSTVPL WKKLVLASGFAIITPIGMAIGIGVLNVFNGNDPSTLIAIGTLDAFSAGILVWVGLVEM WAQDWMMGGELSDAGPWTTALAMLGLVCGMVLMSVLGKWA FPSE_00264 MKTTAFAVALLGLFPTIFAQETLAPSPTESVGCEPHGDHWHCDG ARSTLATEAKVTTDAEHDDDHDHDHDTTGTGSLAPSPTESTGCEPHGDHWHCEAAKTG SSEAKATDDHDHDHEDEDHSHSAGATKSLSPSPTESTGCEPHGDHWHCDAAVTAAATG SGSATASATESDSAAVTDNAAVVQMIPFAGIVAAAVLAL FPSE_00265 MRAHLIALTGLIFSPLPTTADQNIKVDGVAKPDFASIPNLVCLS ILLILGLALLRRTYSPAQDQLTTRLLQSGFLNQAVVPLEEASETPSTPRHVEANKKLT KAMAKGWGNWNAHHPRHRLLDALYGYSRYYERQKAELGRLEGLYKHVSKAQKSLLEHS VQYSKKFTRIDNLLKKNQELCNRIVQQAMHFYGIDHDELNKHIQSLEVSGKLADKISV SQALKHYVRDWTETGDAERKGTFSCLTKTLESLFPERQGGKPVKVLIPGAGLGRLGHD IARLGGFDVTINEWSMYMNVAYRFLEANLSQNSHSLHPFIDGWSHHATESNMVRPLSF PDVTLNSTSVVLVEGDFTTVFSTPGEYDVVVTYFFIDTARNLMSYLDTIKKVLKPGGH WINLGPLLYGTGPFVQLSLEEILVVCEALGFEFLETDESCGEKTFEGRTVRAMEAAYG FDDMALTKSAYNAQFWVARRSMKP FPSE_00266 MTIRHDSNAVEPSKPAFQQPSQAKMFTLDEMIRRRAAELGDTIL MGAPETGVDDFKEHSAIDLDRYADAAVAKFQSMGLKPVDPTLEKAPVVGMLGQSGIHV VVQIIALNRLGYSAFLISTRLACPAITQLLDLASCNVILTTPNFHSVLEQVQQNRQLE ILPMLQSADVYKHDAPRFIRDYNPEAESRKVAVIIHSSGSTGLPKPIYLTNASCIGAF AVHMNMRGFLTSPFFHSHGFYEIFRSIYSGKQIYLTNYGLPITRESVIAQLKATKPEI FHCVPYVIKLLAESEEGIRILASMKVVLYAGSGCPDDLGDRLVEAGVNLCGNYGATET GRLATSQRPEGDKAWNYIRILPPAEPYTIFDEVAPGLFECVALDGLPSKSTTNSDNPP GSFRTRDLFTQHPTRPTLWKFACRLDDRFTLINGEKVLPIPIEGRIRQEEIVKEAIVF GDGRTYPGILIVKADRVADMPDDEFLNAIWPSVEDANSRAESFSRIPKELVVIIPADV SYPRTDKGTFIRVPTYRQFEKEIEAAYSRYEGQDDSAGSLTLKGKELEEYLLEQIKVK CGVELESSEADFFASGVDSLQCIQMWSLIKREIDLGGNQSELGQNVLYETGNVKLLAR QLERLRSGAGDETEDQLKIMENLVAKYSTFRPHVAGSAPQPEKELVLLTGVTGALGAH ALAQLTAQPHVGAVWALIRASSDHMATERMHKSLETRGLSLDDEQRGKILALPCDLSR PDLGLSESNLAELQNKLTTIIHSAWAVNFNISVQSFEDQHIKAVHNLIQLSLGVQTPR PARFFFCSSVSSAGGTPRPGQVLESLVPSPAHAQHTGYARSKYVAEHITGNACKAGAV ARVLRLGQLVGDTKVGEWNTTEGIPLMIQTAVTLGALPALNEEMTWLPVDLAASAILD LSNLTTTTTANRSSNPDLVYHILNPIRFHWTNQMLPSMRAAGFKFETLPTSGWMQRLQ DSDRDPSKNPPIKLLDWFESKYGHKASTKAETGALDYLTDLSREDSVTLRNVPDVTDV GYVKMVLGRLQKRWEQASA FPSE_00267 MASAETAPLLGAPGPSTLPDIDSDTTPSRPANPKRLSAGVARME IVSRNITTTHRVWLFISIFLVGYAYGLESQTRLAYQSYATSSFDLHSYLSTINVLRNV IAVAVQPSAAKIADVFGRFEVIAISTVLYTIGIAIESTAQSVEVFCTGSIIYQTGYTC IVLLLEVLIADFSSMRARVFFSYLPAIPFLINTWISGNITSAVLGSTSWRWGLGMWAI IYPIASLPLLSCLYFLERRTWESEGKESEAVALLSLKTKKGRADLFHQLDVIGLVLLV TAFSLILAPLTVAGGTASHWRSWYIILPLVVGFCLMVCFIAWEQRGAKHPLIPFHLLR DRGVWSALAVRSLLNLAWCTQGNYLYTILIVAFDFSIENATRILSFFSFFGVITGVLA GLVIFKIRRLKFIIVGGTLLFMAAFGVLIMYPGGASISSKDGIIAAQILLGVAGGFFA YPTQASIQASASREHVAILTGLYLSFYNIGSAFGTCLSGAIWTQTLYKSLKHNLAFQP DEGLAKAIYNNPFSIIPDYPVGTEIRDAIISSYSSVQRLLCIAGICVCIPMIIFALAL RNPRLSEQQVQTEDENNHEA FPSE_00268 MSDWTSEEYWTSGSYYDLVSSDRSDSEPDHPTSEAGSWDLEPDT RASKTKAWVPRSAQGDSEPDSRAAETGSWASLGDTRGSEPDGQASETVCWGSEPVNLV VGAEWDDTRADIWVPYKYHRDSEFGRCPSEPDWPPRLNHWSARPAFRRHTRMGRPSTY VFDSNGDTCITLHTSKYRPFSFSGDTLSNVPSNEVSRSEFHVSCDWGEVAHKDCDAAS VDSSDSRHKYHEYGKIPGSSPGQVEIRVLVSGRHLKLGSDYFTELFSSPRAGQLIGDS GLYEIQARGWDPVAHQTVLAILHGYHKDVPKSPSIELLAELTMVVDYYGCHESVEPHA KVWLEHLRSNLPTEYGSDCILSIAISWVFDDQDIFQKMTELVLTHSHGLIKVEDDRLP LPDGLLDEINASRQCCLEFVTTAILDLYHFLTEDHDGCNTCSTMMLGTLTKEMYKLGW KNQDERLSLQHFSIEDCTQLIDSVHVPKVHSYDGCRRGSTLYETLLPALMNANQHVPV FCLAGKKPMDQQEPEAQPEPDPYAHDEW FPSE_00269 MASTTNKQHPQEATQTQAFPLPKLPPELRRLIWSHTLPGPRIHK AVYRRYCGRSTAAETHILSPRPPIALSICKESRDVALEVFKTFAPCQCPGVGQVRFFN PQADILYIDINSPCEEWFAPWCDFSRVSVAVQNNEQDYENLSSMLLLRPIISHVYLTV LEMDEKMMDIFKSKRSRRRHIKYPEILIRATKCVPIQELEVVDGEESQYEELRSMASS LLSSEKHKHLSIDVALARGNGEFSTGLKVVYRTPMKYKDQVFQEINCA FPSE_00270 MKFSTITSLFLANAGFSLAAPTKTLAQATAIEVKTGDNGIETPL PIQPGMVDNCDRFHFVAKNTGCLQIANMYGITFEQFKEWNPTVGSDCRTLWADANVCV RTIGYKYPVSVACYGSKDIIPWGSNKAAALTAARDWCYNGGGGGIYEIYETKTGCVNA PSGAGKFVFEVKTTHGTRIGLTGGRCQTFLNLGINGCKDGAQTNTEGWTMETTFETGK CKA FPSE_00271 MSAPETQPTAEGDEYEKTLLQLRCFDTMFLVDDSEAMQPYWPEI KTLIERIAPICARHDPDGVDLYFVNHRPGGVLAKLPGVQSIRKSGYTHIGGFAGSMLL TSKGKQVGAIFDKVKPAGKCNMGARLGGLLKWYCDKFTAGEEKAALNIIVLTAGAFDD DIKTPLIQAARTLDEAKAPIHQAGVQLFRLGGPDLVRQKTLQHLDDELHKEAGTRDIV DTVTWSGHGTSMSDDELLKIVLGAVIKKIDIRASELHLDEAATTTRADREYDEGNVI FPSE_00272 MAFIRSLSILALALGATAVPYNTFDGPGFPACQDVAKVHDASSV KDIQNTVQGAIKAGQRVRASGKAHMWYDTMCSDDPNTVIIRTEQVNNIYDLDMEAGTV MIEAGVTFLQLAEYLHDHGASAGYTLVNWNITLAGCVAMGAHRSSIREDSMVAAGVLA MDIIDGTGKLRHLERDDSEEWLAASTSLGLLGVIVRMKFKVYPDFKVYADQKTLDEGD VLDGDIYGMIAPYATANLWWWPHKKKFHWRYYDVIPTNQSEQEGFQNTFSITQVEAGA ISALWNTGKGVSLSNLLAEEILFGQWEKPNFREKTTNKPIEKWPVYGWNYDVLIGGLY PDQRPVWEYGIHGYTLELAFPVTQANAMLKRVRQLFDNEAKKLKFMASTYRSGINIKF GRPYFDLLGQVTYGTSDGADWDKGVIMLDFPSYKPSIGDGLRYNEPFYHKLAETLIDE FPCRPHWTKNTREVFERAAKNIDPDHISRFKAVRQKFDPDGIFRSVVGEAIGVY FPSE_00273 MTMTQSSFVLFVITGLAMAGLCKSGSSTVQISEVIVSSLETSSS MVPIETSTVEATSAPTTDTYTSTNGETMTISSTKIDSDTTLTTTVASLSSSETSSELA TATTAAVNNPAECIGSIRIQHDHYIGISGGLKGPLSWNDCAQLCEDTSTCIAFLQSQS GWILLECCSGRCKVRVGKSGLDQWHQRNMPAGLMSLNTNTFVCYNEK FPSE_00274 MVSLLHTANRSGKSWLKTPVNIKRLFIRDVTPTICFDDCRARPW FSPWGLNLSQRLWRPDSKSGPDIATIVGPVVPSVVLLLLVGFLGLRWFKRRRVNKAAT GPTLDEEEPGEYKPQLHSDCIIRPTFELEGSVPTVTDDTVHTKHEAEMAANEPAAHEM SGDKKISRKLVGLQVPGGDQVSEDRRDSTDLAE FPSE_00275 MRWASLFVVAAATFTRGAVTVSDNGQDEIPSIRSYFYVGGGYVS DGAGGEIFRDQMYVERLSPAGGAKKETPIVFIHGQAQTGTNFLNKPDGGRGWASQFIS QGYEVYIVDQTLRGRSAWSPAYGASKPSTYSAEIIEQRFTAGQKFNLWPQAKKHTQWP GTGLRGDPVFDAFYSSNVQFINNATYQQESVQAAGAALLDKIGKPVIILGHSQGGMMP ILIADARPKLTKGLILLEPSGPPFRDAVFGNKTSRAWGLTDISLTYSPAVTDPSTDLI QELQPSRGKDFVECMLQAKKPAPRRLVNLEKKPILIVTGEASYHMPYDYCTAKYLEQA GCSKTKHVELGMEGIHGNGHMMFMEKNSNKIQNLVERWVKAT FPSE_00276 MNDSVALSKFDSLIKKNLVFFDEQQQIVQHNDKGLKFQFILTSA LARKPTFQVNPEKGEEQVDGAIDEVRDGSDINTTGYEMCDIGKTHFLAANKFCFARPH LMLLTSDGNKRQYEPLDQNDWQALNNVLTDLGDDYVAFFNCGQDGGCSRLHKHMQLIP KPKDSFAAFLDIEDGVEPDVPFQWFYHRFESPPLDSNQLLDVYGRLLQKATEAAKGQS EHADSLPAGAAIPHNMLVTNQWMVVLPRRRAAVNKKAGANSIGMMGVIAVATQEEIDN WICIGPVEALKELGVPKII FPSE_00277 MDPERPYPSIYGYYYNTPTHPAPGTGYRSNPRQDNPFLPCSSAG GSAGLDPTQEYGEGEDFSSRPAESYDSNLRPDNPFLPQQSHAGGSVSFHLTQEYGGRG DVQSRPAQSYDSNLRPDNPFLPQQTRAGGSAQFNSTQGYGQYSEPSYSPAQGYSQRSE YDPMGRLQSRFQQETSDPRPETARVYR FPSE_00278 MDTTQDHFQDAALQYLVNPLLDASQISDGIDGSSHDNRPPIPGQ SCEIYTFLDETIVPSTPPKSHPYLLVNIGSGVSFFQVSENNQCQRISGSSFGGSALCG LLLLLTRARTYEDMLEQAEKGNNANVDKLIGDIYGMDYNRIGMKMTAVASTFCKAFSL EHRPEAEAEEQSVEDPADIKSFSDADICHSLVFAVFNNIGQLATLHSRIHGNPDIYFT GPYVQNCQLLIRTLCIAVRYYSQGEKKAHVVVNQGQAGDLTL FPSE_00279 MAAPAPAPAQTNNHNNGPIDHNDLEEWKGRFNDVLARPSEHVNS KSPETSQPWQNSFFGCFSPISLCAITCCVPCVTFGKTHHRLQKNNNLDGYEPVNTSCL LFWASTCVGLHWIPLALQRASLREKHNLQGSCLVDLATACCCGCCDLIQQDKEAEYRE AQSVATGEGYKANEGMAMPA FPSE_00280 MQPILRAMPVEKIVPLLLTVGSATVVVGGVRTQLKPQTQSRSFD RRLASYSTAQSEKTMTTMIYNTWLED FPSE_00281 MAWKDHLKRLKNEFQNLVGEPEAQNQNQNQNQNQYQYQNQNQQY YPPPPPPPQEHQQQPMGGGQNQSQQGHVYWQPQFRTDAPVTSEWDAKIGNGPDGWGNQ ELQHYTADQQNAFHTPDGKLVLRAIANNAESNNEKRYTSARLVSRQTLSRDQGVLTAW LTSPCATGIWPAFWLLPREPFSWPTDGEVDIAETWNGDLENHSCLHWGHHHEPDKHRV LGTKIPDMQYRAVRYDLAWLQPGGQAGQGRMVWYIDGRPVMKGEIPAGTRPMRDMNIL LNVAMGGNVCGGKTPSDGQYDMVVHSLYMGSEPEHGGWHRFESDWAAAPMGNTY FPSE_00282 MRASLLSLASLATSAWGYDQVLGFNNKVVTETRSLDEIHKAALK EGGVVTLWHGGDAVNQQAGLKKAFEKRFPGMHLNITVDLSKYHDARLDQQLAAGGKAV YVDSVILQTLHDYPRWAQEGALLNYAPKGFDQIEAAYKDTTASWYGVYIFYWTFGWNA KKLPGIKAPVDYPDFLRPEFKDKLVLTYPNDDDAVLYAFQLIMQQYGVSWFEKLLKQN PRWVRGTATPASIARASNYTEAAFFATGGAFQNSEPMHYGQPKNGKYVTWAQMAGIPK DAPHPEGAKLLHNFILSPEFQKSVGTWSVRRDIPTPEGYPDLANETTTNPTEFTRWMS DRATVERLRFWFEAQIGSAQGVDPIHDPINMQ FPSE_00283 MDDTRSKMDSSKRDDDNQDVKSVTQTTPINASGHVQELPQNFTL LSLAGVGLVVGNVWPAIGGSILVAIFNGGPPGVLYEFIAVSIFYWAVAASVAELASAI PSSAGVYHWASVTPGPKWGRVLGFYAGWWNYLAWCLGCASMSSILANTLVQMYALNHD GFVAEPWHVFITYIIMTWLSCAAVCLWNSAMPALNKFGVFIILAGFIITVITVTAMPG TGGRPPHASSAFVWKDWTADIGYPDGFVFVAGMLNGAYSVGSVHATTQLAEEIPNPSR NVPIAILFQVATGFITGLCYLIAIMYAINDYDALFESAYPIAEIYRQATGSAAGATGL LSLVMICIALTVVGLYITCGRTLWALARDGATPFPAVFGHVQPSLDMPLWSTIATAVL VSVLGAIYVGSTTAFNAFVGTFILLCSCSFLSCILPNLLSGRKNITYGPFRMYGIWGF LVNGIACAYLLIWSVIYCFPYALPTSPQSMNYTCVIWGGLTFLVSVWWFASARKGYKG PTTTGSVVSGVAVGSV FPSE_00284 MASKATGTPPYSCTTYVLAQNVTVTQNCSGERGPSALFRQRRCR DNPHSPAEGHYPGNDSLQTTPVHMASRLRCTPGLVRSGLVRSGRACPCPIQFVVGSGS KSLLTPVGIGLGLAKARALPPPALSHTSMRKSSQKTATKPAPTSSRRPFDPMSQYEQQ RQQETAMALTIRGNPPRNSSSDDNTRNPRTTSTALTRNRSVSRPPSTRRPDDDGLSTV SEATTAPSEVSTVSSASEASSVSPPPMNRRQDSGRGFMFQPPQLRFRSSHDTRSPEPS PRASYMSDENWDSASELGWGSSSNVSVSTRATTVMSESEDDYSKTPTRSRSIVRQAGT LSRQQSRPGEKRVEFETDSQLERTSGRQVERQQERPKERSRSRGRTFHIHIEPTAQQQ QPLIPQQQQQQVVSQQPQTTQQTTTQQPAAQNGAVIHATTTTTSSSSTYTQHPAGMTQ QPLIPAQQPLIPQQPIMYTGQPITPPVVQPVVQPFQQPLIPQQPQIVSTPQRIPEERQ LPQPERPPSRPRARSRHDVEETMSAELDNLRKELKMLKEKQKETHKDLSDARYRLSNM QTDKDELREERNKAQATRDRLVTEFEEQTRLLERTRTRLDEQRRTLERFEKDRDTSID KVKRDRDQVLDRFRHERDQAIKNVDKIKRERDQAVEQAQKDLDHGISKSKKERDQELQ RLQRERDEMVNRIKRERDSVVDKLQRERDFTAEKAKNDLDAAITKSKRERDSAIAKLQ KERDQAIEQVKREKDQIINQAQKERDMIVSKLTIERDELIKTETAFSNRVKELEEHLT KHRELKAALERNYEDLKKNSLEATQSNTKFEAKAINLERELEDIKAIRKSQETAYVAR IATMEISQESMQFRVNNMEQDLVDKAKELGELTVSRDRFQMESENSKNQVESLSKDKL GLEDDKKNLQQQIADLTGDKSRLQDQLTGLETDKRALEVQVVTLQATIDDLLKDKEAW AKDTESKEAQIAGLDTENGALKSQIESISKEKEAVTRDMEEVESKRRKLKKKYELLHD ERDGLRDQMRGMIHTQDDQSAQVLRLSVEVSGLRSENMALRSDAGSVVSSAMSSISSS LNSVSDVTSVTSEATEKAEPANPPEPASVEDVPETIVEEVIIVEEEITVPEPEAPPAE AAPEPEIEAPPAPEPEPEAPVEVKAADPTPEPAAPALEEQNTELKEKLTTVEEAVAAM NTKHDDLTIKFEATAENAGRLQLSVDMLTAERDEITQKLTTLQEEATALQQKADEVTT DRDDLKTRLTAAEEQIAILIAERDELNKKLETAEREAVILDALRIENNNLMKKLDDAK KAQKSAASKVNSLETQIAALVAQINNGGGGTAPRASSKGRKSIKDPKRAQELVIVRDP REKGLSSIVRRRNLDSAISRSGSRSDGSADS FPSE_00285 MTITYGTRLKDKVCIVTGSSSGFGRAIALGYSLEGALIVCADLQ QGARADVNGEEAISTHELIKQKGGEAIFVQTDVSKNDAVENLVNRAVTEFGRVDVVVN NAGISIESGKPSRRIHDTPEEWWDTTMAVNLKSIFLLSKHSIAQMLKQDKNEAGDRGW IINIASIFGLVGGYALPSYVASKGGAQNLTRSIALDYAKDGIHCNAICPGFAETALLA DAVKIHDKEVIRSKHPLHGLGTAQDIVGAAIFLASAEARWITGNVVKSLIWW FPSE_00286 MTSQPTKPFSVSGKTAIVTGAGSGINFEFAKILLSKNCNVVIAD LSLRPEAQDLVSQYSSSSSPRAVFIKTDVTSWKDLSNAFRTTVQEFGDLDIVCPGAGV YEPNWSHFWHPPGSPESKDDPEGDGYKLLDINLVHPIRATQMAISYWMHGPGAKASPD NPKRVIHISSIAAQVPVFRAPMYGASKFGITGFVRCLANLEQAGIRVNAVAPGVVRTP LWTEDAEKIKYVNETQDAWVTPYECAEAMLECMESEKHLGGTILEVGKDNTRQVQVFN DPGPDFRDPTKGFSTSNWEEGDANVWNWLGEKDIWGCKL FPSE_00287 MRSFSGCETCRSRKLKCDEAKPICRACIKSSRACNYSRRSVFRP FQTRSVKNQNDDPNFGSGDTPHNFEEDHVWLKVPEELHFVYIEDPYSEQYDAIADGIT PVEANSEAPHQGFGSRVDQNSLATDAQTPNQHLVPGETPNYDNYAVDSRLATLYLIKH YKQGPGTWFDIFDTGCYFSGKVPVKAASSPLLKSAICAISAKHLYRLTRNTSHSISSP LSRGPWLSYDWHYESAKHYDDAINHLKRAVDLQTYENDLSEKEDMLAAVAILCIYELM DAPGTAWRAHLSALPLFKPTEDDSNPSPTIVIPRTAIQGPILWSLARQDLLCAFISET QTRLDLKDVRLWQNAGLATAEDGTLMPFSPLCSADIRTSADVEEDTKSNELTWLIGKL SNHLTSGDAINPADYALPNGQRPTVGVTQECLLERWKLLVTEFKKWHESLPRTFMSYA RTPYPLGSQSCFSAFDQVWYELPICAATMQNYYMAMVLLLVNQPQESTFIRSNVSARL SSYRRIQEEVRHYAKEICGISLADPSDPVRANSVQALFVAGQVFHEKEEQDAVLKLLE DVERDLGWTTRFHRAKLVDEWPKDI FPSE_00288 MVSRSILAVAAFAVSFLGAEAGPCRPTTATSLAASETSSTVVSV PESTTLTTLIETTTTAVAETTTTSADEVTTTAEAPVCAETQVIINPGFDDNNSLSPWT GDGTRTTFSVYSEPNAADLEFENGGGSGQFAQNLPTLNGDYVLSYRWSAALAYNLGSG FSCTIQPKIGNDALTAVYPDNLSNWKLESQRWSSRGTALAGAAISFSVSCSGEYDALG IFFDDVTLTRDCGSATPTD FPSE_00289 MTPMFVECTWLLIYGNVPSAGVLSGYWPIASRGHVIITTRNSSV AYRQASSAIEITSWDVTMGSEFLMFLLQREFGNDAKKEGISALELSRWLSGHALGISH MAGLIHKRSWTISEFMNVYLNNPRRVDGKQGSELQALWDFSFQSLDTDVRSFLGVLSF LMPDNIPQSLFEITEGLPNRLDFCSDQFSFSETIEDLLETSLIKRNRNTRAFSIHRMV QTQFRYFLKPSELFDSFEDAVKLVYHQYPKMGEKKGQLYDEWSRCDALLQHVISLKDC FREIRNSDKKFKAIWEFCELLRECQRYLYESNAFKDLEDMCKVNLVAVETLEDQQKIN ELLPHIYSHQANMYEGLGQAKEAIELNKKALEIRLREDPVKHVLCYGLEANLGYTHNT AGDHKLAMNWFEKARSRWLTHVAEDDDHGAYPPVLKKNIARCLLYLGDLQDARILLEA SIEEFKNSKPFNWGMLAFAYQVMGLINRSEGDFKTSEENFIDAQNVWRQGDKARLNPF YGGCAYKAGAVCLDQGKTKAAIKHLRDSMDVTSFYKEDMPVEHARTCFKLSEALFRDN PNDTTEAEDLRSQAETYLKKRDLDAKEFTLESSYDQFVPIFWR FPSE_00290 MDVITVPKPPPCQLPVAQVFDRLSSRQQLYAHYLSRASWHGSRI IMRGDWNNLADENAVNADQISAFLEYAGHFLYNMGNYWSEGDQKFIPDLSHEALRKLV RASRSPTAPDLLERVIEPMLSGPPYVLGYPSERAQSAYYPGDEKISKEEISSVSKAME EHKIEPENTRLQKVMVAGRAEFRILQASTDNFPKKHLCDINGLGSIYIQSGDHVAELG QVCDALNKAKDYTENDIQRQVIEHYLESFKTGTMESFRDAQKSWVQDKGPVVESIMGF VEPYRDPHGVRGEWEGFVGISDPVESHKMRQFVDQSTTFIRLLPWAVSDVNDGKGPFE KHVFVAPDYTNSHSLVFCASYSFEATNVPNYNDIRETCGFKNIVVANRMNASNDLTRP CHYVHDSEAKRYRECTYIVRFVTTAIHELLGHGSGKLLSETKPGQFNFDRKYPPVSPL TNKPITTWYRSGQTWTSTFGAAAPSIEECRAMLVPLYLIDDKQLLSIFGYNETSDITT QDLHYNTYLQIGVEGLQGLAYYNVDERTWGQALKRAHWAILHHLLLDGDGVLAVEYGA QEGTLTVRVDKSKLLSHGKPSLGRFLCRIHIWRCTADVSPCLEYYDKVTAVPGDHEEW RELVAKKPEPRWKFIHANTFLKDGNVTVKEYENSNEGLIQSWVERGI FPSE_00291 MSVAMWMSSILTLGFAAGLSRAGQPDGPRLLRRLPQTYDVPMPI IPITTTVHSGNASVVTPPMNSPFPTSTMQTVQPSISVSDAPSLSSGVGHDFGSVSDAL TETSLDLAHSESADSTLAQPSGDQSVTVSTNPFESTETLPVTSYSTVEFPTSETGVSQ DITTTWSTEGETHVSGSSSNSGEGYTESGASSQMITDSDSLSTTSIAVTTGTEVSLSM SQPTESTGSLSSAVSSETSDAIENSETIRSPSTQSRSLDSAIETSSQTETSFISETWA SMSSDIIVEPSESVIDSTQTYTSSDGPVSQAPSGITGSGDVTSLTVSSTVGQPSGSLE TPSEPAESSLASDQLAQPTKAPTTKEHLAPALTSTGDMVVTGTDGTIATYVPEQDSSY ASSTETITTTDDNGDNIIIFPFGWFWKLDGGKGGAGVVKPPAPTINPGMVNQPDEKEG DEDEDDDKNEDDASTKDGDTSTVDSTVSSTTEWPTTTTTSEPETTTTEECTAMTQPDC TRTVSYMTSDGTKIMTEFGDCPTIVSCATETQATATVTLSELIWAGGVIETPLEIIPE DALTAEVDHDLMDALEDEWAKVFDGDDSVFPRVGNATDTAIEKTATETETLTAETSTD LALTTDLAETITTESGSSFASITPSTLVTRTKVSSNTLEVTRTTGEAVQTYFPCVIHG GPAVETPYCQCSTTIDGQGYYATTTLVDDKCIAYTEFPSEITSAPPSGPITEEPVQEP ITTTTAGTVLVWSAYVLEYINIAGVTRITHSVGVGQPSTVSTPLPSQTAVDNDGGGQC GTADSLSKQGLREACDRAIDQFEDGVVYTGYASRYSRSDKGILMPASFGKAACVAKFE CDHYGIGMAGSDIKAARESANTNDGIGICGHVYLSNSCKVVMDYCTNCKTRG FPSE_00292 MLFPFVWLIVGLLVHVSIAEETSTVTTSAAAPAGSDDIMNIWDL DKSCDDEAESMKAAMEDSLAMVTAALEALEFLRDEKLDPRKDRERFLLLKDKHTSQWK TCQIMLGFVPERKSTHLSQAIDFFKKMKTKMLATNEFEHGFVGRFKMNGIKPKLMCGD EKGADKWTWKPITDLQQHHEFRRYMFKAGAWVYDHRYFFQDFQSPGPLICGQEKHAEA FLDRDLIVFCDKVFDDDYKSRKWPRDLKKTGITEYQSLNTHRDTLPVTMFHELTHWFG GWAEIRDPNERAHADILDQSALTEDGDTIWRKGNRVKTYAVAPDWTEAYNNGLERDVA YGLSHVINLAMCQDKINMNYCGPKWALKNADSLTFFALAMYLDNWDWSYQGRARILGK DYKKRDRSRSPK FPSE_00293 MTFDPGSPCSSGTREQRWKEYWQAQLKKLPVLPNPRPRALTPDP FLGTEANQVPIPRYQESCFWFKVPPNIRRDIIRLAFGDTRLHLFIDWDYLDVPRQPSQ KLHCDIARGPLDDENMAKHRIKRLKDETQPEKWQWWGSRCHRVHPNDDGTLGPMTRGG SQGPWADYCRSGGSADICEAWRHQDGPSACHIGVMGWLLSCRQNYFETIDILYSTNTL ILEDMCVLNSLPKLLLPQRLALVTSLEITWPLKSCKPPDSTQVWEDLDVPNLDLLLDF VSSSQFTALRRLYMYLPLEDYHELFPGDHPDHMRTILNRLDPLVRRMTHLIECSFALP DDLFKFVLRDAKVVYENGTRTKTGWGSYRQVWRDVNGNMTTVKLPYQDSYPGRPYSIV QDETATGYWILEGSEERVYFSEMEELRGRGAVFQMGPEPNSVGTI FPSE_00294 MIPDTSSIAGYTIFREEPNDAAPDLSQIEHTHPLDPLSIDEIRA ATKLIRDHASPKTLKFNCLTLREPKKAEYAAYKARTGPRPARRAFAIVIERGTGHVAE VIANIREGKVEEWKPVTDVGPTLTLEDLDVCEGVARKDPRVIRACEELGITDMDKIFI DAWAIGFDDRWGYERRLQQGLVYYRASPNDNQYAHPLDFSVVLDTEKEEVLAVDVRLV NGERTKIPLASHNYMPEFIGENYIDGTLKPINITQPQGVSFRMRGNEISWAGYKMHIG FNYREGIVLSDVRMEDHHEHRERTLFNRISVVEMVVPYGNPDPPHHRKHAFDVGEYGT GLMTNSLKLGCDCKGAIHYLDAVMATGEGDPAVIKNAICIHEEDNGLLYKHTDYRDGS VISARDRKLIISQIITAANYEYGFYHTFTLDGTYKLEIKLTGMLNTYCMHPSETAAPY GTEVAPSINAHNHQHIFSLRVDPEVDGPNNTVVQNDALPSEEEVGSPENPYGNGFYCK NTPYKTSKDASSNYCHETSRSWAITNPNSINPSAKKPVAYKILNNNCPALLAKPGSVV YNRAAFARKSLWVTPYKDYEIFPAGDYVCQSTGIENHPHNSNIIDWVNRDEPIENTDV VCYIQFGLTHFPRTEDFPVMPAEPVSVMLRASNFYQKNPGLWVPPSALCVDAASKDAF GEKKEEPASCCAGKAGPRL FPSE_00295 MTNDVETNSAIKRQPSTPQAIRDAEDLANFGHDQSLTRKFNMWS MLALAFSVLGTWSTFAQGIDSGLTAGGPIAILWGLVLVFACNICVAVSLGEMCSSMPT AMGQAYWISRLWPTPAGRFTSYLCAWINMTGWITLSASQIAFMSEFLLKMKILFTPSW TGADKGWVLFLVYVGVTGVMTLFNVVACRKDVVLPWFNNFVGISFASLFVIISMAMLI SVGVKEDLQFQSAGFVFGKWINQTGWPDGVTWFIGLVQAAYGLTAFDAAIHLVEEIPS PRTNIPKIIWLSITMGAITGFIFMVVCLFCMVNSDIYLNPTTGLAFMDLLKDTVGLQG GTVLLTLFIVNGFGQGIGIVTTSSRLTWGFARDGGLPFSGYFARVDDFWKVPVRALWL QGGIMALIGILYTFASTVLEAILSVSTIALTISYGMPILTLLLVGRDKLPPGEFSLGR FGPVINWVGVVYCAVTTVFFFFPSAPNPAVADMNYAIAVFGIMLVVTLVFWVIKGRVT YLETEEAQGNIIYAQRAERANYVDIVGKSDTAAPQPQRVGEASGH FPSE_00296 MSLDIQLTAPNGRTYKQPTGLFINNEWVKSSNGEKITSINPTNE EEITSVYAGSSEDVDKAVRAARRALHNPSWRDLPGTERGKLLSRLATLVEENKEILAT IETWDNGKPYTVALNDDVNEVAETLRYYGGFADKVYGQVIETTGDKFGYTIREPIGVC GQIIPWNFPLAMAAWKLGPALACGNAVILKPAEQTPLSILYLANLIVEAGFPPGVVNI INGLGTVAGAALASHMNVDKIAFTGSTPTARSIMKMASSNLKNITLETGGKSPLIVFD DADVDLAAYWAHNGIMYNQGQVCTATSRILVQEGIYDKFMAAFGAQVKNISKVGDPFE ESTFQGPQVTKAQYERILSFVDVGKKEGAKLALGGQPFKVGDGKGYFVEPTVFTDVTP KMRVFQEEVFGPFVVVTKFSSEDEAIHLANDTQYGLGSALFTTNLTRAHQVAKRIEAG MVWVNSSNDSDWRIPFGGVKQSGIGRELGEAGLAAYSNIKAVHVNIAAKL FPSE_00297 MAAQQEIDPETFFKDPRFSQTFQIQADPSNGFPTAIKVKYADYG YRNPSNPSEENVLLFFAPLCASRIIHCAKDELAKKHRVRIVTMDRPGFGGTDPVKLEQ RALLCRKMTLALLKHLQIGKVSVACHSGGTVYALDMLLHHPEILHTGRSYMAIGAPWI LPSRSNVWSISLVKALPVGMMAQADKAIGFFNNTLGPAFTSSVGFSQLFTTPAPPSPT GDSEFARFEESLEPSLFKYVHAESIEGFSDESLFLMQKVNGISGFGDWLDYDDLVPKL ASALRGSGRQLNIDIFYAESDSMIGAPGTAGPEWMNACWTREHTGDTINYNTKTIKKA DHNTIWSIRRGVPEEVFKKLSQ FPSE_00298 MDLLKDLNTTWVDSNSPTRRNSIDFSAPSPPPPDHANDPKAFLK HHAAKSTLSQQPPDPEKGTNDSSTDNDTTQQPQQQQPLSIRQRLHHFTWAWFTLPMSA GGLSLLIHVQPHQFPGLRIIGTVLYSINLLIFTLCCIGMILRFSFNAGDLKRSVTHHR EGFFLPTFFLAIASLITSTQRYAIPKDNPSYAWASQAIFWVYIVATFILAIWQYSFLF AGHSFSLQAMMPGWLLPIFPVMLGGTVATVILDTQTHLNPLPIIFAGLTCQGLGFCVS MMMYAHMIGRLMQSGLPNREHRTALFMNVGPPSFTALALIGMANAWPKTISGIDDLAL NVETVRTVALLCAVFLWALSLWWFCIAAVAVISSPPEAFHLGWWPMVFPNTGFTLATI SIGNEFKSEGLLWTGSAMTILLVITFLIVISFNIRAVFVRDIMHPGKDEDADDH FPSE_00299 MDSTPRKAQQDKRRRQQRKACDICRRRKVRCDIVDRPSGPCSVC EKSGLECRSKWTDERRSSVLSRHSLNDRAASHSPGISHPDPEPGAVQGRNTNTDTRLS VIGPDQNSSQQQKDKTQNLPQPDGQEKLAREGLARFFKHGIGAAAWAVFASTKSFRIA YVGTAVSNLVHLVDLHRSFRQPYSSILGEISGPTGPDTHGSFADSAADGSSTSDRTGG GKQLHYPYPPIRQAHSWKPTPSSWGMSQDLVTEVSSFPAQEVRDALITAYFEHIHPFL PIVSKPEFLARYRTPDNPPPLLLFQSVLMAGAHACSHPLVANDRHAVQNILFRRASML YHMRHESDRMHLLQAAALFTWHIGDGDTVAGGPWYWAGIAVRIGTGLGAHRKSSQLPA AEMAQYRRCWWSAFFCEVFSALETGRPCAVRAEDIDQIPLRQEDMADTATTDPSMVET PGVNPDFLNHLVDLAYIGLDVIALNAPARDRMIDISSIDARLGLWSLRSGISTVAEDD DSWTCHLRMHYNLLLLHLHRNLPSPSSSSICSAAAQAIVTSLEKLSARDELCQCHFTS VSAITAAGIQFAGEIRAAVTSQTFLVAINALERLSRLLRCTILLARHWPNAEAVHSVF EELHREYETLVSQRLQGEQVMVPESPPDWNRLLGAEGVHQFNSFTSDQDWMNINSWTD LL FPSE_00300 MPTIAGSVVLVTAGTSGLGLSAATKIVQLGANVVINYASSKDRA NSAVAHLQELSSDQEPSPKVIAIQADVTKKIEIQRLVAETVEAMGKLDAVVSNAGWTQ FANFMDLDDNVDEDVWDKCYAANVKSHLFLCHAAKKYLENANGSFVMTSSVAGVKPSG SSIAYSVTKAAQIHLAKSLAMVMAPSIRVNAVSPGFMETNWIAGFPQSKIDEARGKTL LKRITQVDDVADQIVLLIKSESITGANVVIDSGFSV FPSE_00301 MPLPHPLSALNTVETNLARDIVKAAHPGALLYFRQSYLFEPPKE EVLKFLELEHSNALHAASPRPDRCAQVFYDIIGGASKTQYYESVVDLTKKTIVDQQVV SPEHQSSLTLYEFDEVVKAVGESELFKEKLAKIKLPPGFEIVVEPWPYGAPDLEDGNT RFFQALIFARDTQNGHPDSNFYAYPVPLIPVMDALTKKIVRVEEPATGGKGDSLTEKT HAPGLLDHCKPAEYVPELVEGGTRKDLKPLMILQPQGPSFSVTEGNLVQWQKWRMRVT FNPREGAVLHDIRYDGRPVLYRLSISDMTVPYADPRAPYHRKQAFDFGDGGLGHCVNS LTLGCDCLGVIKYFDGVLIDSDGTALDTKNAICLHEQDNGIGWKHTDWRTGRAVVTRR RELVIQFIITLANYEYVFNYKFDQAAGIVVEARATGIVSVVNIDPGKTSDWGNVVSPG ALAQNHQHVFCVRIDPSIDGTKNTVVQEESLPLRMDKRTNPNGNLYEVCQKQITTSQG LDAAPFNNRVFKVQNLNKRNPISGKPVGYKITPPPTQLLLADPNSVQAKRALFAKRHM WVTKYKDHELYAGGRYTLQSKLEVGGVADAANRCDDVLNQDLVLWSVFGLTHNPRSED WPVMPVEMLQLHITPSDFFTRNPAIDVPSDKDIGSKLTSGCCKEEGPKL FPSE_03849 MSKNEADILEKQAMDKTADSLNDAPLANEDAQRLAQLGHEQELR RQYSLVSLGALCLCLGATWEALSTVVVTALVNGGAPCVFYNYILSAIFSICTAASLGE IASIYPTAGGTSLFSPTLCSLLTRLSGQYHWVAAMAPSSICSSASFMTGWISIGGQIV LTASAAFAAGLESQSLIIVNDSSYVPERWQGLLFYWAVLVYALILNIWGQRALPWHNH VSGIIHVGGFVIVVIVLGVMAPKNTSSFVFKEVTNQSGWADDGVSWLVGLLSSVYPFL GYDAACHLAEEMPHASRNVPIAMIGSVGINGFLGLVYVIILLYSAGPLETLVKTPTGY PFMQIFLDATKSPVGTTLLSLLIILVAAAATVAGTTSTSRTLWAFARDRSTPWDKQLS KVNKRHEVPVYAVVVTVVLQMLLGFIYLGNTTAFNAFLSMAIIGMYTSYALPIIAMLF YRKHLQSTNAFGPFRLGRVAGPLLNIISLIWITVVVIFSAFPSVMPVTAQNMNYSVVV MAFWVLVGALYYGIWGKKKYQVPNVDMAVLDGARDSGN FPSE_03848 MEKRSTAESSPSSNRRTKRAKYAPIACDTCKKRKLKCIRDEGEH VCRRCAANHGECVFAIPVTALPSNENNEQSTRRSTLEPQSDIASLNQQVAALQNQVSV LTTALQDVSQRLPQLTDPSTTTSVSHGNGSTYRQELRNVRNEPREPQFVGPMRSAYSF QIAENSLSGMGIDRRPSTATSLSASPSESIPDVRSERRSLPPRDIDVLPSLGAVEIQR LLELYREEVVTVYPFLEVDDLSRTVTSVLESPEENTLKEIQAVKLVVATALAVEAHGQ NNLSKRLIDEVEPVICSVSGEAFIDILELQLMIMLSIYWFYCGEELLAWRAIGIAGRE TNEIGLHRRASLMENFKDPRERDLALRCFWCVYILDRRWSYGTSLSFGISDRDIDPQL PEPTHHPYLLCMVSYARLCSKVWEELPLDSSPLSVPKDKVDLFDFLTQKWMHSIPHDL QLVFPRLSQAPQPQPRALQRLRTLLYLRGNHIRSLVLRHHVMSTANLRADIQGAQLVV SLAQDTIQVLVHLNETSDIYARQKPTFNYFLTTALASILLAVCNAPDLFANRCRQDFR DAVKLLENISQQGHVSRRLWRSIRGTINRALSLETSVTSSGNAVVTRTLDNEANAGVQ RDAEHRLREVPAGSLDLGDLTSDGFGLETDLLNLFSAFEEENLLKGPLTHGALDGQSG LGLDGDMTRFNSMM FPSE_03847 MYRLAHPDAVRAPAPYLPTISQALAVARDPSEDFMKILVLNFAD HGNTTQEEATKNALTFLRYLPVFHDTLSRTGSRPAGHIVAQTAIVDDHPSTPAFVADE GPLQLPTPAADDAPAHENRLDPDELSIDDWVFDETEHDGQEHMNFLDTVWDDHFAQHD FPSE_03846 MAEDDDYKHLGAYPQCGICADMIFKHERPNSTKAGLSDMAEANS NLTDLTSVLARGLTTQCNTSRAPANFEASLETACSEPENSISRTFLRAGFQAWFAYFL ASEEGNTDGLERLLLEDLANVASHVARLDLHESVRQLFSHLFRVWRGKKRLGALSKSC LRRECRIARGAESLS FPSE_03845 MISSTAVMAFEWTILVFAYFLVACRVYVRMFLRGVGLHAADYWL IVGLVSCQGLLICDTLTFRMHAMDDFVLANNSIPIKKIRFATNYFFDTGIYFPKFSII AFYFNLVPVTNPTMRRALFGLTGITVAFALITVFCDTFWCGPDPSVNWAEGEQTCTVF TSMTLMRLNWALNFITEVLNLVFPIPLLQGLMTSTRKKIGLAVVFGMGMITIAVSIGR FVTMLHVHNDISIYIWATAEICISVMIVALTALRPLLRKMTNSVSTSSDDRSGNPSRK TGLTRSSVYWPGGNKIPRRHVVEVGSESLAGSETELNTMNKGIMMTKQVSVSVGNAES DVGSSRSQIFN FPSE_03844 MLILIAGITGMVGQPLAREAIAQGHSVRGLSRNADKLDKDISSK LESFVTCRDYFDTNAYSKAVQGVDAVIAALPILPSIVGAGQLSLLLEAEKAGVKVFHA ASWNFDWTKLNMGDHETYDAYISFKRLAEISSGLKPIYGFCGSILEYMFINCKKDGRR PAIDPETKTVSYCGTGEDKMSLISADDLAKYTLAAIADPEIIQRGVYYVESFRCTIPE LGDLYGKVRNIEVKKQPVGGQPELEGMLAQARQFISPLEANKYIDLAYALAILKGLAV ADPSDCKRWDITPIGFEQWLKEHPEV FPSE_03843 MGVRIATQQDIPALKEIILKGLSEDSVFKYCFPSTRSETASNHV ETVLKRIIDPENASWLCFVVDAPKTHDPVSLAICQTIHQNADGQKGVAEQAEQVFGLD ADDNQTKTIKRLSALQQGITETQQKYLVRHTEVIFLHAVITHPHWIRQGFAKTLSKQT LQVARQKGAVVVALASPFTGYVFYSGTSFANCGRTKVETDNDIENLELQIMVCTPPKP LEQRRSSIMDYLGGKKMSPAGSRRESYDQDAAAAGAQEHRRSSFLDMFGFGPKTPTTV DNDTRRKSHA FPSE_03842 MESLGERLELLAHRIYLHGWIFWYAYKILTGCLIYICVMECFLF NWQVPQSLTEFLMLCRAEVSQEEMSWILSHVAFQAIQITILCLMWRGYKMKESKTHVK PQTDFIELVDGLPPFGLLQGMRVLYSNISLAAICFGILIYELRARSLRLLTFLLPLLY VDAYECALSQHMVGQGLEWAPNLLDDYKQKPILAVLSAFLTFGMIFGIPFLAHPFMRV IFFLTPFFSATLLFYFLLYRHIPKYLAEPLPLPQSVDQENRFCQVCQTTILAKLRENS GSVDNAPQSGHHRTKSSLRSSAVAGCRICTTIWDRLTEEVTSVNRYPPSLYFTTYKFS FKAIGIHGKWNYYLCAFRVEKISGKVFRKADQRFPPVSLYFELCTLPESDLGQHTGSD ESLDQAKKWYSGCIREHPDCSTNIGADGFQPSRLLYLGNPKDISIHIRGEYPRDMKYM TLSHCWGNSHFIKLQSNNLDELRQTISWESLPQTFRDAIRVARHLESQYLWIDSLCIM QDSLDDWSHEASEMGEVYRNSMCNIAASSASDSSQGCLYPRNPRIIQPEPLGNYGNEL KDLYLFNRSFPQKPFPLYKRAWVLQEALLAPRTLDCGKSQLYWRCDVAKASEEFPGGY PLGMGVYLSHPAHLSSCPDKSLRAMVAETKRGKDGYGHAEEPRPCTLVKSLALTDAGL VTAVKHWSNIVEAYSDMNLTFEADMPMAIHGAIEAFRPFLGQCYAGMWEYTLPAHLVW MPTWPAIVTTVLHCKRPLVKRAPSWSWMSLVGKIEYKQTCWLHAEYSLLAHVTQVVTS PGEIRLHLQAPIFKATYVGDAKSSIKRALGACLPLPLKRSNITVINKNMDVKWEIMGY YVDEDKNSEEKSTLRACFDVQEEEDTARDIYLVAITEKSGTEGLVLTKRDDGMYSRLG AFYAMGAVRKIFQDGGKSEVILVTPLRDTHDKNDSVICGIISFFPKMMPTHYLLLFLG KGDTLYYQFIFRSQISYDINLTISHRVI FPSE_03841 MLNYKKVPYTTIFLEFPDIAPSLEELGLTSHPSGSGSHVNYTVP AIKHVPTGTHLMDSPAIAKFLETTYPDPPLPFTSELGQEIETNARSAIGPAFRISITP REHLILSPRSQEYFRAKSEKLLGCKLEDLMNGEKEEKAWAGVKEKMNELSELMMTNKD QGSFVLGDHPSYTDFFIAASLQSARTIDESVFQRCVEYPGFKMIYEACQPWMDKRD FPSE_03840 MPPPNPDWVKALTPSGPQGSELIAKERAQSDINVDQLATFLFTK EVLERNARILKILQEDPVFDKSQNYFRGRTDRLEAALARGKHLKRLRDKHNWTEEEHH VANDLISEPTPYGLHSTMFLKTLEEQGTPAQHELFLEKARNYEIIGCYAQTELGHGSN VRGLETTATWNPEDKTFTLHSPHLTASKWWIGSLGKAANHAVVVAQLILNGKSYGPHP FVVPIRDMKTHEPLENIHVGDIGPKFGYNTMDNGFLLFNHVKIPHVNMLNRFSGIDPE TSKYIRPSNPALIYGTLTFIRSSIVFQSGSVLARGVTIATRYCAVRRQFQDRDADAGE VGENQVLNYTMVQHRLLPLLASAYALHFTGRSMINLYNANQKRMAQGRERDNSKRAPG PEELSPGSDHLADLHAISCSLKAFASTTAAEGLEVCRRACGGHGYSAFSGIGSWYADY LPTVTWEGDNYMLTQQVARYLLKSARAVLAGKAPDNGISRIFKEFIRRQDIGAAFDVL DSDQDLVDAFAWRVSFLTFEALKHRDEEKQSWNSLLIDFWRLSTAYAQYQVVKNFHEA LKEESTKSSLDANTLTLLHKLFELFALHHLQSSASEFFTSAATTVRQIQLARTKRTLS LLDEVRPHAVRLVDAWSFPDWQLDSALGRADGKVYEDLFRRASEDNPVNDIVFDSNPA SDILFRKTGPKAKL FPSE_03839 MGDMGYNSPELTKAAVGQFFQLGLELLDGDIGAAQDAIKLLAKD SGLAFIKAVVELHIPHTHTLANFALWESEVKPMFSLVTHPRVVDSAVLEQQVADLFNF LLGVQGRRMTKLFNFILQLLVNSPLPAEADLRGNILELSLNVLSKIIDCNTNNIINPE CGRLVSAFVQLMHQHPGSQDDFSFLQASKYIEYMQQRLDTGKDIPDLVYNQTLPVSRE EFVLKRNGPGTLSAEGRRHDNDHANINKIKIMPTYDEIVSPRAEYLPTKYPSQWHTDG IRGRLDREFRLIREDTVGQLRDVVRDMLEAARDPKQRALQKSKTMRTFNYEDPVTINV DWHRIGGLEMVVRCNQLPVVSKLDAKKRREWWGQSKRLQSGALVCLFDVTGTVLFCVV SDTTMRSKDDKDARKMDTKDKDKNQESDKEVRTLSDDKAVLFVNLKLVDPTTREISQA MRWFRNTRSSPRRYLVEFPGVLLDSFKHTLSALQKMHERPNVPFKNLLAPSKDSDSDT DVGLPHYARRPGFTFDLSCLSTDNSTLIMDPHSPITPEALALKTSLDPTQSAALLNTL TRELSLVQGPPGTGKSYTGEKIIKVLLNARKKATLGPILCVCYTNHALDQLLEHLLDD GIKSIIRMGSRSKSERLQNLNLRTIAKEASLTKSERSSLWEADQGIREDVDEGRKLLR ELAVCQSWTSVKALMSSEYPRQHMEMFGDDRDGWKTVIHQPEMVIHRWLQGGINTGAN PRQLEQLKHVPLQSLSRDERTILHRHWVKSIRDPIITKLARLNKQYNDDVTRKDEIRG DIDLRCLNEADIVGVTTTGLARNLTLLRKLRCKVLLCEEAGEVLEAHNLTALLPSIEH TILIGDHLQLRPQIQNYDLQSTNPRGKQFSLDVSLFERLVEPSHDTAVKIPYSVLETQ RRMHPSIAELVRSTLYPSLKDSENVEEYPQVVGMRRRLFWFDHDHPETANDNESTSTS KSNSFEVDMTASLVSHLSQQGKYGAGDIAVLTPYLGQLQLLRRRMESMFEICVNDRDE DELEAIEEEGTDTKTPNFSALRKTTLLKTVRVATVDNFQGEEAKIIVISLVRSNPQQE CGFLKTSNRINVLLSRAQHGMYIIGNQSSYERVPMWAGVIKILAAGENIGTKLELQCP RHPETPALVSHPEHFSQMSPEGPSLCGEVCPTSAFCQVCATDEIKSVCVDFIMMAEYR DIDLNEEPCIFPSCGHFLTVSSMDGQMGMAAHYTLDANSLPTQILRASEPFSLDGQGI KSCPTCRGSLRSVSRYGRIVRRAMLDEATKKFISWSNDGYHLVASRLVTEQERLAAME PPGVVGRNAGAAVNLTVVGSRQRQLQLLKEFVGKRYDSILQFRKTIVSYAAKVKKEEQ PFQRVANLVKHSNLHRGRQSEFQYDQAVIQSKGALLASALLLKCDILILSDLFGLLLG GKARFSASDVKVDFSCFMIDGDALIKDAKTALYPREEAQGHLFRAQLCVLSRQCASAK AKLTQTNATTSVSEAAAVSESLDQLRDTGLGHIFEARGLLEQNPSALVLKNEIDAAEE GLRGGVYRPVTAEELRQVYAASMGELRGTGHWYMCRNGHPFTINNCGMAMEQATCPEC GAPIGGQNHRSVEGVRHAAEIEEMAEGLRGMRL FPSE_03838 MAASYEIAGSCEDARAEANDIRKRLSKRMLLRYHVRRNWLRYIT GLLLCLSVGELVYIHYLSKNLVRISNDPYDIRFSDLTYKSPELWDDAHQAFLRNADPV PIHSHNDYERHIPLFDALGSGCISVEADVHLRKSNLYVGHSSLGLSSKKTLKSLYLEP LQRMITAQNANLTGHWRGLFDKAPNQTLTLLIDFKSSGAKTFAELNRHLQPLRDLDYL TYWNGTARIMRPLTIVVSGNAPFESVTAMNSTHRDIFWDAKLERLVSMEDNFNTKPPT FRFNRSNSYFASTRFQNAKLFRTEYESSLDVLPGRERDMTSTQIEQAESRGLLARYWD TPAEPPNLRDIAWRVLIDNEIGLLNMDDLGIVRQRAKGWGSLRYEDL FPSE_03837 MSPTSSRRSSRLQALPTEIKLHILHACADTLSIRGMLELCLVNK EFYQMGMEAIFESDILQNAVYWDPVRGTKVRYEKIIEDEDYTYPFFNDGSIASGDDED DELPIYFKPSSTLSENSDNKKLPTDSMDRKFILSNEFCIRYLKARTLGQVKKKPPTQD HIMLKAIAERMKQYRLDHNPSCSTTIEEEIQVVCEVAVKHGYINDRHDFFTGERRYQD SIIPLSCLDETCAVVRDGLVAMSIYLNEPDILRTVLSKKQLVSCLDHFGVQKHIDKSA NWEFEATAPFTPWNPTVVQKGANIKLGFEAPECGRSLVRLANSARMVVQIDNMNCATI LLDSLSGYSPEQDRVRQDIINESKTPERPKWLRFAVACGPSLTKYRVIHPGLQHELDW NGRTYYNSRKSAAIDLSKILDKTTSVDIFQVVYDAILEGFNETEGVWWTKTSYEHSYA AHTLASWGTARIHRAVLDDSMPLVKRLVELGYHLRPTHFSMDLENEDVQTLVDSEKPR DISLQLATSRGDLEMVELLFDIGAERNRKNVRKAMQVAMKQGNLEILQLLVKKGDPKG LLNKRHKKIWRKEFEERGQQDMLPWIELM FPSE_03836 MSVQIPSQQRAAVRQGSGPDARAPIKTIPVPSPGPGQILVKINW TGLCASDKSLLHDEWSGFGACMKDATNGIAGHEGAGSVVAVGQGMEHRWKIGDRAGVK SIASVCGECDFCRAGSDEVHCPEQTTSGLSVPGTFQEYVVADGKYSSKLPDGVTDEEA GPIMCGGVTAYTACKRSGVTPGQWLVIPGAGGGLGHFAIQYAKAMGMRVIAIDGGDEK RELCLKLGAEVFIDFKTTKDIAAQVLKITTYGAHGVIVTAGTRAAYESAPTYLRPNGT VVAVGLPQDPTIIAGAPPMLVATRRLKIVGSVTGSMKDVEEALEFTARGLVHPILSKG KLEDLDDWLHKLATGQVAGRCVLQVAA FPSE_03835 MFGSGKSSSSDNGSKDEIVLLPVQSKAGILRVTEIETKVHDPEG NQVPVIQDASTAFDLPENHPNNNAIEILWLPRGSHSSDDTGEKVRRALNNEKDMTILG CCRQFPKAIFWSLLLFLTVVMEGYDKSLVAGFVAFPAFRERYGELFETPNGPLYEISP LWQTALQVSAIACEVIGLLLHGWITYSIGYKKMMLISLAWMCIAVFPAFFAHNIAVLV ASQALCGISWGVIQTLAATYAAEVVPSAIRACLLSNVNMCWVIGQLLGTGVLRSLVHN ESEWSYRLPFALQWAFAIPLLIAIMFAPESPWWLIRRERKVEARHALQRLTKQSQLDI DDTIAVMEHTNRIERKLNYGGSSYLDLFKGANLRRTEISCMVWSVQALCGWILTGYAP YFLEQAGFDSSKSFSLSTGMYGMALVGGIISWFLLSHIGRRKLYLAGLAAAVVMLTAG GVVSIVLDGKKGLNWALGAILITTTFLYNLSIGPTCYVIVAEIPSTRLRVKTIALARV IYNIFMIINNVVVPQMLNPTAWNMQGKSCFVYAATAFLCFIYCYFRLPETQGLSYLEL DMLFEKGAPTAKFKELQQRLANSAYLTVDRAERMRNAWHGWLTYS FPSE_03834 MFFTNVVVGVLAAQAVSNTAGPRPAQAGKYAALMAVAALPVSGA PLMGLIEPRFSNYKRGRDAERGQGAQNDNQEQDDQDDSETEDEQDDQNEQGGKQKNNQ GNNQGNNNQGDDDQDDDDQGNGQGNGQGDNQNNDNQNGGQNGNDGNGQGNGGNQQGGN GNNGNNMATETLVTETQTDAAATDAAGTDVAATDAASTDAAATDAAGTDAAATDAANA TQDAAVTETASLVVGTGAANGTAAVTTTDAAATAAPTACKAKKGNKNGNNNNGNNDGN GNGNDNGNNNGNNNDNANNGNGNGNDNGNGNGNDNAADNGNGADNADDKNAGDAANNG DAANGDAANGDAAGDDAANDNAGGNNKDDAANNGDAAQGDNAKDNAGNKNGNAGNANG NDDNANDNAGNAGNAADGNNAEDDNAADDNAQDGNAQDGNDAANNGDKAAKDGAKAGG NANGDAGCKAKRGILGDLLQNLQGGAAAGDDAAADCNN FPSE_03833 MPTATEFWGFNAHNMGPLTTTFTAPSSCATGTDHHVFVNASEPV RIFGVPTCGPMTFGDCIPSASLWESIHEQTTEFVQGQYAYFSPGLACPSGWSTVGTLA HGGDGDDKASASGAPESPDWDEFRNPNYLHPTDDYRADAYGQCVSTIGPTESYTYSEM CLTFNRDKMLPVSTWDGSTLTDNRLASFASATDEPMTTLDDLFLTGTAVEKYWAIATY VPAVQLVYKESDKEDSKDDEKESDDKDDEDGNAASTTTPRSGVASVLGITLGLLAGAG MLL FPSE_03832 MSVGGIDAFLYTAPNCPGRFGGQGSYFVCTNLRRNTCCGIDIID SPIQSVGLWNIGDGFTVRMLAYNGGNCTNPVAWRGNSWGGQICISDMAYRYTGCDYSF GLAKRGASRDKVGCQRPDVLVIPDGTEYDLSRLSDDNFEQMAISRSTTDAETVKPVRQ QRDLTNDVSSDCSGASSAPLRSENFDYYIRLGENNFGSVINDATRSLPGTSGSNVVGV DQIRRAIEYRTVGSIGGALGAFNMETWIAVLQLWDEEVGLQYPLLNVHQLLEEIDAAK QDAASPKLSPGINHQYAADIAFLVLAVLSCIKDASAVEVADPAIQELYGTVLVQVHTG HVKREPLVLLLLTAVYSFLVDREVLAWRTTGTVLRLLQELDCEEGNGHDNEIDDRFFW TVYTLDRRWSFGTGLPFALSDTDITRKTKPDDGSISSAYLHQMISYCGIASDVRKSLL SPSPSAASSTSTRDFLEFRVLQWQQNLPERLRFQGPQDIFDSSKETRGEYRLRLLLYL RANQMRIVIHRKFATRSEMDPLGPSSTRTMIGVAQATIHVLLAVARETDIYYAQHKTF NHFLETALSSLLLVLCSPEASNHSSCLTDVFMAMEFIGQLAKRSPISERLKNKLQGIQ QLIFEANGQSLRPSHAQKLARDTTTLEGASQINNAAASMNSEARRSVAHLEQGDMLTN PLSTWPTVHQLPLQQTRSNAVVEPQAETIVPSPTTSGPKPSQPIWINYEGPSSLVVAA DQSSPVQCVSFESVPRFEGLWPLPSTDPSGSQEMDFTSEDLAIFRSPDMAEILKDYDS FFF FPSE_03831 MSTVKITGIGGRQITVHTGLFINNEFVPAQDNATVKTENPFNGK VLADISAAQASDVDKAVAAAEKAFNGTWKSTQPTVRRNLLNRLADLIERDNEELATLE SVDAGILFRESSGIFVAQAVETLRYYAGWADKVDGQSLHIPQGISYSRRVPIGVCAAI VPWNAPLMITMWKLAAALATGNVLIIKTPEDAPLYGQKLGQLIVEAGFPPGVVSILCG LGTVAGSAISAHPAIRKLSFTGSPGVGRQILAASAKSNLKKISLELGGKGPSIVFDDA DFENALQWTAAGITVNNGQICAAGSRIYVHADIYDKFVKAFSEKTKDSVAGDPLLQET TKGPVINAGQKKRIMGYIKIGQDEKVKVLHGTDDSKLPTEGHFVPNTAFVDVDPQATV IREEIFGPVACIAKFSTEEEVVRLANDSSYGLGSAVFTKDINKAIRVSEELESGQVAI NMWGVVNANVSFGGFKESGFGRDCGKEAIDDWTQAKYISVMVPKL FPSE_03830 MGSIDRSKSPETRTQSVFLFALNRTCSHVLCRLLSGQPGWIQSN YHFKPAFDFARESFNWGPLNSVSNEQREGVEKLLQEGFDEIQTELEAAETKNLSMFLK EHTFYIWEPSKLSQHMWGGPPSPSFTVEQERSSVSTDAPKTNPTIFPDKWLLSWRPIF LIRHPALTFESWYRAESGARSIDLADRSWAYYTTYQYSRQLYDWFLSKVDPEYGPVVV DADDILDGDSAIKKLCNSLDMDEKHIVYEWDVIKAPENAGCRELKFMSDYWNSTSIDS SKSSRGFDMDIAYKRWEKDFGPEDAAKLLVIVEDSMADYNYLKENKM FPSE_03829 MSDPQLETFLSNGNSNGTTKVKLKKEPLKLSGLLDGYESFSVTP VIGTEFPNANVVDWLRADNSDELIRELAITISRRGVVFFRAQKDLTNELQMELADRLG RLTGKPESSTLHVHPLQNFNPDEEKHVNTITTDKAANPAEDLWKNRPSDIRNSWHTDA GYEPNPPDYSILKVVKMPPTGGDTMWASSCEIYDKISPSYRKFLEGLTAQFSQRRLPK VAAEKGFELYTQPRGSPNNVGDSLTTTHPVVRTNPVTGWKSLFAVGNHCESINDLTPD ESKRLLDWFLQMIVEEHDCQLRHRWKSPYDLAIWDNRTVYHTAIFDYAGLGARTGHRT VGIGESPYFDAGSKTRREALATE FPSE_03828 MSNPHMNLRGLDSKSHVDVKRRAAQYSRIASFMNADPDEIAFGL STTGLLRTLTNSLRPNLNSDSEIVVSVLCHEAGITAWRALAKSLGIVIKWWAPPKGYG TDPRLTLASLRPLLSPKTRLVACGHVSNVTGTIEPIKDIADLVHTIPGAMVCVDGVAW APHRPIDVKSLDVDFYIFSWYKAFGPHLSQIYARRRVQQRFLSSLNHYFFEPNTLSVK LGLGNHCIELEHSVVPIINYLEDQVGWESIVGHEQAITGYLLDYLTSNPDMYTVYGSH SSDPNDRVSLVSFSVNGLSSDRVAQEVHETSACRLISGDCWSPRTVYDVLGRGDDGII RASLVHYNTMDEMRTFTQVLDQAVRRLRHRDSPPSAVHAAAG FPSE_03827 MQAECNSNTHLQDLLSQLASYFRANESEISASEASKCFLRLPPP DSVALIRLFFLLCPSFRSVLSRQGDVSTPSLPTASRSSNNTDTNRQAESQDCTSQLCD QQITHEADSLGPGSLGQSQDPVSIDNNHPTTAQYASPGGRSTLTQMFQGFDNSNTSPL LQQNTICQQQALNELQDPIIGALVLNPSDTTALPTVFDDDTLVETRLTSCNDIDFTPS LRLLLEACKIDRSLFFHKVEESKAAFPNGQGWRAAIAIKQDNADMRDLLSIYHRFECY NIYRHTVEAGYHTGEHWIRDKRRELAQKLCDDFPERFQDAKSANKCLNWVDQGCRFHE WTRIFSEVSGLGILIALPSEIPRSAYTSRCTKEQMSAAAVKFRALGIHHLVKDLELSE LGNHVAQRLEEMTTGKRLRVDGEPPKVVASMLHYLMSHYCRTGTRSSTFLEVTTIDVI VGNANFGAIGSERNIAWGHNHMRRHSHVGPDRVSLSLTVT FPSE_03826 MTVDVKRIANLKSVSLAGLLTGQADAIDDLVSSCKTYGFFYLDF QDPSTSGILKLVEQLAVIGKTVFKLPLEEKEEYSTEKYLPSRLLGYKRAGCSVGPFSG KKDGYESFSIHNNGIFGEDAMIVPEDIDQNLALFKMFMGEVHGYTDCILSILSEALQL PYDLKDCHRKDKPSSANMAMLNYLPWGSSDERIGNMAHTDMGTLTVVFSKSDGLQAML PGCDDWSFIPPKEGHAVVNVGDSLRFLSNGMLASSLHRVVPPPDSVGQDKFSVIYFLR PEFDAKFKDHDGKEINSVEWHNKKYSLFREASLDAKTHGAMLTGRREYLGATGA FPSE_03825 MPHIQNAKQAEELVRLSRFPPMGDRSYPPAALINKQQHKTPEGQ TTYDVWNNHAAVICQIEDLEGLENIEEICQVPGVDGLFIGTGDLRLCMGMATGSLDGD EPAFVSALERIRDAARKNNLPMMGFGISHSTLESRINMGWNAFIIHGDIDAICKSAIQ ALDSYSAVARSTVSSENKNGEHNNGTKRKWDGE FPSE_03824 MQTKNINFPEDVLFSRLLKLANEYKDQIIVDDHSHDTQFGYRHV LDGTSKLLQTLRSLHGSSPDQDEDLYVGVLAPNGYEFIISVLAVLAFGGVVVPMPTGA LPQEAAFMLEQCNAKYLLASPEHVDLGTRIQAEVEIPLIPIESQGETDALLPIEAYSL DTSIRVAEDRPSILFFTSGTTGAPKGVLHSRKTINKYARMAEAGPNDDICLIPSGAFW SVYFTKVFQMLLTGVRIEIQNFGRNYNLIWEKFRERTATKIVLSPTFWYGMMHYFQEN ISKLPEDVVREYIEGAMHLREATATGAMPSRRLKEFWHDLRGGRSLRVLYGSTESQET LICNGEVEFTENDLGTPLPGVEVKLEGSTGELLVKTPSLFLAYLNRQRDTDERFDSEG FFKTGDLVTRHEGRLIFQGRANMDLFKFYTYKVPRMQVEACLTALPYILEGYILPVAD PHCDTRVASLVRLHHDVGKVDLSRIRSDLSEELPAYQLPTILRVLRQGEEVPRTWSDK LAMKKVVQKFFPQDSDDRLYDESTEVMDVSEFMRAKTTKLWDLSGMRQ FPSE_03823 MGVKSDSHLNHGELHPSCVFSSQDALKAEEDHSAKNYQSLPIVF SRAQGASVWDPEGRHYLDFHAASTALNHGHCHPKLVATLVDQASRLTLTSRAFHNDIY PQFARYVTGLFGYDRVLPSSTGAEASETAIKVARKWAYKVKGVPENQAIVFGAAGNHH GRTLATIALASDNMSRDNYGPLDQNISCTIPGTNRHIEYNNKAALDEAFKAAGFNLAA FIVEPIQGDAGVIVADDDYLRHARSLCDKHNVLLICDEIQTGIGRTGRFLGHYWSGIR PDMILLGKTMTGGMYPFSCVLGDDAVMLTVEPGTHGSTYGGNPLGAAVAMRALQVVEE ENLAENAERLGCVMRDGLKEIQAQTSIIETVRGRGLLNAVVIDQSKTNGHTGIGLCEL MKEKGLLLKSSRTGIIRISPPLVITAEEIHWSLRIMKESIDELMQLPSAS FPSE_03822 MEILGYQVTRHAYGLDTAFEVLSGSEGRTVNFNAEYDALPEIGH ACGHNLIATASVTGFIATSHVLQTFGIAGRVQLLGTPAEEDGGGKIDLLDAGAYDKVD VSLMMHPMSEDDYLDKGALGCAGFSNVASYSVHGTYHGVSAHAGATPWEGVNALDALV SAYVNISMLRQQILPSERIHGAIVEAPKPSSNAIPALTKTEYTARSPTIRGAKELAGR IRQCMEAGALATGCKLDVEEKAGYADLRVNEPLCSSFQQNIAANGIKVLKSSGPVAAA TDQGNVSYVKPTLHAIIGIPVEDGCKNHTPGFTKAAGTSIAFERAVMSGKAMAMTALD VLMDDAFYAQVKQSFEKDKEAR FPSE_03821 MNHVSRQTLRGVTRLNSRSFPFSYHHPYRRWMHSSECRNVNSSH RRSHTLAELRPVQPKSESPAKGAKKSPLSILPLGMVIRSFLITSIYSSFILQDLSLRI LWVIAHSDNALLNPDKNFILNFLLRKSFYAQFCAGETPTQVQRTVKRLKDIGFGGVIL THAKEATHENEAEAAAISDPSVETPHDVTADIIPWVNSIVETINMADPGNYVALKLSG AGRLALYNLSQNRNPSPYLSKSLDEICNLAQQRDVSILVDAEHDAQQEGIDSWTIIYA RRYNTNTAIIYGTYQAYRRVTPTTISRHLAEAQKGGFTLGVKLVRGAYLGSDPRECFY DTKAETDNCYNAISESILTRQWSPVVRGNGNFPDTHLVLATHNAESVHKARAICNRGE AKSGIVFAQLQGMADELGCELIQAGAGGSPVALPAYKYLVWGSTSECMKYLLRRAYEN RDAVQRTHSGRKALWSELVRRFKLALSLPH FPSE_03820 MAPRAAHTHGHAIPLGAKRRFPVSATSSVIDFDEQELLLQPEER DDEDDTKAADEYSPWRHHFRLLLLGYLSFIFLKLSPNMFNTILSQILEGILCRQYHGT SDPTSDPRCKDEDVQGELSIILSMKATFELLPTVIFSIPYGLAADVYGRKPVLIIATL GCVLYGLAGLVICMFPSIFPLRLLWVAPMVSVIGGGPLVPVMMVYAMASDAVPESRRS GVFVVLSTGLVVGTLISGPAIYYLIGSGEWPAIFISLGFQVLGLITTFFIPETLTLKN DQSDAGSIRGQTTFTHKIRNGSRDLLAKSFKSLRDIFWSDSTITLFICSLLFIDVGED IGSIITKQYAAKRFHLSWPEAGVITSVKSFTQLGLCLIALPFAQRVMRRSNVPAITQD VWIARTCVVVLVIAYCLAGFADNLIVFVTAIVLSAVNFCLNPALRSLLLTMAHSAGAG AVLSAVEVLNAIAAVVSGPVMAAGFRLGMEWGGKWLGLHWFIAMLILLPGALIVVCMR FNNVGRRQETPDDIEEA FPSE_03819 MSIPQRQTPPIEELRQFYVGKSINDVPKPTVILDKARIARHCQS MLDSVDALGLDFRAHVKTHKTIEAARLQFGKGNRDVKLIVSTLAEIEHLLPLLKEYKE AGRRLDILYGLPLPRSQIPRLAALGAELGPDTISVLIDHPSQLQPVKAFSQHAKFPAK VFLKVDTGYHRAGLPPLSLNKNGLIESLAQLEASGEAELLGLYSHSSLSYKDSTPEQA MANLEGEIQGCLDAVNAQAHLFAKNKEIIISVGASPQVTAAENLATSDGDLSPAAESL RKAIEVVTTGQPGGLQTKLELHAGVYSILDMQQVSTNSRRNLGSHEEEIAISVIAEVC STYNDGERAQPEALVAVGTLGLGREPCAAYAGWGVVSQRSYEAGTNTPKRLIVDRVSQ EHSILAWEHAHDEDTSGLPPLPLEVGQNVVIFPNHACVTGALYGWYLVVDSSQGDAER IVDVWVRASGW FPSE_03817 MYNHQGKNNKMSDIAIVGYSFKLPQGVEDDDAFWDVLENRRNLM TGWPESRVKTDSIANNKHQKWNGKGGHFINDDVAAFDAPFFSLTAKEASAMDPMQRWT LEATYHAFENAGLPVDSLRGSRTAVFSASMLEDYSRMTAVDPDNLERTAVTGSTVSCI IPNRVSWYFDLRGPSIHVNTACSSSLSAVDMACKALNSGDALCAVVTGANLLLDPSIF QVLANQGFLSPDGVCYSFDERANGYARGEGVIAVVLKPVQAAIESGDMIRAVIRSIGS NQDGHTPILTQPSSQSQEELIRHVYKQAGLSMSDTRYVEAHGTGTPVGDPIEVKAIGR CFQEHRSHSEPLYVGSVKANIGHLEGASALASLVKCICNMTRRSTQGGPKLTLTVILE KGVILPNALLQKMNPAMNADSYSIEVPIQNVQWPVQGLRRVSLNSFGFGGSNSHIVLD DALHYLQDRSLSGIHNTSLIPKPITNGSGVTNGHGAAHTNGANVTEDVANGHSDVELH KLLVWTAADEKAAKRTMEAYGNFHKEKMSGDHKKLDALASTLGSRRSNMLWRASAVVD GSKRQTLSPSKPIRSSEDLGLAFAFTGQGAQYINMGSGLEHYAVYQETLEKISEIYSS FGCSWNLFGNCGENINMPQYSQPLATAVQIALVDLLASFGITPKVVIGHSSGEIAAAY ASGGLSLVSACRVSYFRGLLAGKLRKVNASSPGAMLSINLAPHHVLGYLEKTGVLTVN VACINSPLNVTLSGPEEAIDKIKSQADQDGIFAQKLKTGVAYHSQSMKVIAGEYLAAL EGLTKRKDGTSIPMVSSVTGKSISPETLSTGQYWVDNMLSPVRFAKVVQVIANNNSAR KLGLGNLTDLIEIGPHPALRRPVKDTLSEMSSASKGVRYSYVLHRSHPAIQTTLELAG QLFCEGYPVSILAANQQRTKAKFLVDCPKYPFDRSQRYWAESRLSRDFRLREAVKGEL LGVRVSDWNPLEPRWRNFWSIDSSAWTGDHKISDTVLFPASGMLLMAIEAAQEMVPSD RAVFGYNIEKAEFMNPIIVPETWEDRLETQVRLRVVDKQLAAKFDVSIFTYSHNEWVE CFTANISVEFQDNDSNGERRVNHEHIQRQHQDVAHTCTLPIDPRVFYRDAAAVGLQYG DWFQLMRNIKWDGKASAMARVDLSQARFNIRSLVHPAVLDQAFQVLRASSGQQPAANV PVRLENAWFSSKPWKTPAVLWMSEATPTLHGYGEQGKVTALGDDGEILCCIESAVTSA VSGGITHKEKKLVYSVEWKPQFSMLGSDQLTRLFAANAVPKDDSAVLENHSRLCHTLE LVAARVLKNVDKSKVPADLQRHVGWMEHHVSKLPAEHQAEATKISDEELESRLAEVDS VLPAWKLYTTCARKLPDILFGELDPLQVVFESDQADIFYSDLFRNLCADGQLNYLLDL ASHENPALRVLEVGAGTGGMTGHVISALQERERRTGGLAFSEYTYTDISPAFFETASK RWPDLKSQGRITFKTLDLDRSIDIQGVDPGSYDLVIAASVLHATPYLEATIRNVRKAL KPGGRLILLEVINPDDIATNFMAGLVPGWWVAREEWRPHSAAIPEHLWDKCLKDNGFS GNDLVIRDYQDDQCHIMSVIITTASEPQHKVEEKASRGRLVMLISEDASMKERELADQ VRARIDPNLERRATVVTFSLAPVQRELAKLTTDDAVICFVEAGDKPLLSTLSEGQFSC LQFLISKVSNLVWVTSASISDSMCPDYSVAQGFFRSIRAEQPDTHIVTLAIDGEMAQA SQAGFISEVYKTAFETETPSKEVEYVVRDGVITTGRAVRDISTDTALRSLVSKQLQQK SWGEGPALKLGISQPGSLDSLQFVEDQSHAEELGPSDVEIEAMAWGLTSRDLNIALGH PDKRTEEFGSDCVGVVTRIGESCSTTIRIGDRVAIVSAGCMRKYARANEACVFKIPDS LGFENAASLIIPGLSACHSILNVARVQENDNVLIHSAASLLGQIAVRFAQTMSAPVFA TVSTAAEKQLLIHILSLNAEHIFDSNSPSLTQDVMRVTEEEGVDVLLDCSRDTLHTPL SCVADGGCIVSLGGRNSSVASTMAAEIMSRSLTFSSIDIMRLKPKAFSQLAQTTMQLL AEDKIQPPQLLPAFKISDIRNGFKKLQEDTSERVIVIAEQGDAVPQFVQDRRPWTFDG NSTYLVAGGSGGLGRAIIRWMADSGAKHLIVPSRSGAISEAASQLVAELTSHGVNIVA PKCDVSVREDVAVMLEECSHTMPPIKGCINAAMVLQDAIFQSNMTFQQWDLTIRSKVD TSKNLHELLPKDLDFFILLSSLAGVVGQMASANYAGGCAYQDALAKHRRMHGQSALSL DIGWMSNIGIIAEKEAYQRQRQTSNDMQPINDKELLALLTLCCDPNNPLKLPPLSEGQ VLFGLRTPVDILEEGQQPPALLERPLLSAFSFLAAGSNSTPEQAVDHAENARDVFQKS SDARERQQVVIRAIAAKLARAMSISPDDVEPSKPLSSYGVDSLMAVELRNWINKEFSS TVAVFDIIGSVSIAGVAEVVEARSSI FPSE_03816 MATIDLDGPALAPPKGEVSNLDDPPNQNGLAYTVLILCAVITTI CFLLRAYGRVYLLKKFQTEEILTTLAYGNYWGAAYATFKMVDTPGYFVHQWNVRLKDV IPTNYWVLIFGVCYSFVLPFLKIAILVEWCRLFVPKGTRTRSIFWWGCMVIGFVQATS NTAIVVALNMQCNPHEAIWDFRIPGAKCWDLHKLQVASATIHLCCDIAIFLLPQQVIW KLKMSWKKRMGVSVIFGLGLLACVSAAFRLAVTVKYGKSADALYALAPLVFWATAEMT CGFFIVCVPCIPKILKETGIIRNIKRAFGMSTAPTNPNTADRYAKSGTKGSQLSSTGP KSYYKLDEDGVPLGTLKGSESTEYLRDNVNNGQGITRTTQIKITQDNRSTSDSEGHAA FPASQKPWGV FPSE_03815 MPKQHVYHLHPLGWENDPEEERFKVTTLDYLTVCSYNNYALFFK LEDSEKERAAEILKAGLERTLAQARHYCGTIEKDPGGGHSFTKKRDSTVRFFLQWLDA PEDADKYPSFEDLEKTNFSAVTLGDLEQWSVPPMTYGEKPEAHPDNSPVVSAFKANFI RGGLVFNIHHHHYTNDVMGWAGFVHQLAENCYAAVNGTKHPTWDPLNLDVSRLIKQEP PEDQKIDGPAPPERHPAHQVGVSLLFHLPKSKAAELKAKATPTDGTWISTYDAFSAFI WRNLTRIRAPVFNPDPKSTLYWCEAIDMRRRMHSPKVPPRIQHNVMFAVTSPTAPVTQ PTVAQIMSEWSLSELASYIRRLTNSVTQENLDKTLEMVATIRDKTSLNTRVDAQPPLS ILQTDHRDANITSADFGFAKPATYRHLLDRITEGVIIVYPPRDPLPESDEGCEFAIFY EKRLAQDLINDDEWSEYFEYRGVDAEDASEAKKANGTNGTNGVNGSS FPSE_03814 MTEPLDDLSVAEIPTTFHSFPKLPTEVRLRIWKHACFPRSQTDH GIHYVTVNIVHEDFEEEDTVTLDDNLEGYDEEFTVESDDDGYVTLTAPKLPFDDPAGL SRPNASACLWDAGLSTACHESRLAFAEYHNLKGWRELRKQPINTTETNLWYHQAYPST LVPHKKDKNWCPMTVPLFDIFCIDMSSIKKLPKSLYSMKLLTPFLNTRTFTIRESWNI AIKYDTSWNNKRVPQEYRLKREKTPRGLLANWLDRFKDEIIPEPSVWIIDDSVEWVAR REKTYTPVYRDCDGEYVQIDWEDTRLDGAKGNVTRFFERLSDVLDEDVDYVPFRTKKI VKLLVRKDNEIPWDPNEEF FPSE_03813 MDQKPICQIITPVGMLGYGFDEKIIHQELTRLTSNGVPTAMIMD SGSTDSGPQKLALGSMSCPRSAYAQDLTKLLRLVHTFQVPLIFSSAGGDGTDEHVQIM QDIIREITEEEGNSDYFFNAISLFSSINKTTMLQRFKQGRLTGCGPCVPLADEADIEA SLHVVAQMGYEPFLDAMNANPDFDVIIGGRAYDPSPYAAYCVHQLMRQTTDLSDEQLH SSLGGFLHMGKILECGGQCSTPKSHGAVATIYATGLFDVRPTAPDSKCSPLSVAAHTL YENTRPDILKGPGGSIHLQDSKYEQLSDGRSVRVSGSKYRSSEEDGLPYQFKLEAARI VGYRSMFMGSIKDHILIPQIDKLLARVKLYVAQQHTEPTSEWKLDFHVYGKGQYSPMG LGQLFIVGEALASTQKLANSIASKARVGMIHAPYPGQKATAGNFGFGLGGLMEVELGP CAEFSLYHLMDLEPGEQRLFPANSGKLQTLEGPLLRGTIYKIGKGSSKSRNKNLIEIE TTIDPHLQGAEHIAPTPDRPAQDPKTLSDLCRILRSKNAGPYEITIDAMFSSKTNYDK IKASGILSSSNVAKAIGITEEDIIWIGFFDPAMSFKVTIPRIRMGVRKSAGGFMENDI HGSQEHIGLANMKIPENNFIR FPSE_03812 MSYHDRRDSDPIKPSIHEMETSRSWQQVPQNEEDITSYNPYKSQ TQDFGYSASASAQSENHKDNDDGQMPLRQAIKLYPKVAGYCLAMSIPIIGWGYDLVIV GAIVGTDSFLKDYGEMIHGQMDTPGNWLSLWLGLPPAGAAIGSLLGGWLQNKIGRKFT LMMGTLVSAVAIACMFFSHIPEPLDTKRMLLTAGLTIQGFTVGIIRGVCVTWVSENTP TALRGSAMALFPVFTLLGQLIGLLVTLAINGIEGNSGYLGAFGSQWILAVGPLILSIL MPESPAHLIRTGQEERAIKSATRLYAPKVNPYSQLERIRATIEEEKANTASATYWSCL RGTNLRRTLIVGLASIFPPLFGMELLSNANLFLRSMGMASTPSLVFMAAGVVAGMFGN AIGFWLLSRTGRRNMIIPSMAIAAGLWGAMGITGFWSSEALTWVAGGIMMSVIIICGL GAWPAGFAIVGETSSLQLRSLTQGLASIAEKGFSIALAIVLPMLFSRDKAALGAKTAF VFCGTSVIGAVLAWLFVPEMKGRSAIEIDQMFEMRLPAREFKGLKLQVHQVQESAPLA MQQEYV FPSE_03811 MARVRRRGAIAAKRSDQPKTRQTKLSVPKSSTKSQSTPSRALSD VLLSIKPHHLENIISREKNHEYRKYRLKDGVSRLWLYETGIDGGSSSITHIAIIPPTT RHEPGSVPTEPPGIGNQDFNAGRKESKYGYPILELYELVNPVTLNEMKTRWGMGPPQG RQYVKSDLWEDRWGEDRSGKVNKLY FPSE_03810 MIYQKSLRDTQEALKVHINDYYEMLEYLSRWADIPFRVTFQKDL FSNSKMAEIIRYVVERHNVLGEQLSPRYQKLGIRAACPVAGCFLSEKHGRLNYYKLCE PGKGLVGGNEVQISFQCPYHGRHRVRSSSFTDLRRLEANAPSRNLIRIMSNLLDTETH HIRVTGSDYAGLYQEAFLYRPLAEWSVVTGHAAQRTPHILYSPLVVDWSGAKLSKSLY LQGDSYESIKLFGTYGLVGYCKMGKGTGVDNSVRLHALWLEVGKWFEDPKMLFRAYSV EYFHLIMQGKAQMS FPSE_03809 MIAFLLFLFGTAVATNSKPLATDFGKQDAIPNWDIQQVNKVAGN IKSLSLPNVDTSSWHHAPVSRCTLMACLLAEGTYKLDGRDGLWYSDNLAHFDDSLFHV PWVYRNQFDLATKDKSGDVHYFLQTNGITPAADLYLNGKQIADNVTQSGSYGGHTYDI TPLVDKKNALVIKVYPTDYQYDFAVGFVDWNPYPPDNGTGVWRDITIKKTGNVFMGLV SVLVDMDTPVKKIRNHHAIITVKSQARNLKKSPVKFVAKANINSPNGKTLTVLKKTLT LRAGESTMVELSTKVQNPEIWWPAAWGKQPLYSAQLTYSIGHDTSDASPVTNFGIRTV TSELNSHNDTMFSVNGYPIQITGGGYTSDMFLRWDPRRFEDIARYTLDMGMNTIRLEG KMEQPELYDIADKLGVLLMAGWECCDRWESWPYNHDLSMDPPPLWYDDDYKTVNASMR HEAAMMQHHPSVIAFLVGSDYWPDDRATKIYLDGLHDAGWQVPIIASAAKRGYPKALG PSGMKMEGPYDWVPPVYWSDSEPTDERYGSSFGFGSELGAGVGTPTKGSLGKFLTNKD MEDLWRKPNKGLYHMSQDTSQFHDRSIYNKALFKRYGKPTSLDNYLTSAQMMDYEAIR SEFEGYGSQWSASRPATGIIYWMLNNAWPSLHWNQFDYSLHPAGTYFGTKIASRVEHV TFDYFNNFIWVINRSLKKSGPRSVHIKLVDLKGKEMARQTMRLSTKPNKSQNVGDISS AIKKIEDVGVLRLTLVDDDSDAVLSRNAYWLSKQKDVVNWTSTTWYYSDVKQYADFTA LNKMDQATIALTHSAGKTAGKWNIRVKNTSPVPAVFVQLNLVDKKGNDVVPLTWSDNY FTLLPLEEIEVEVVDRSAKGAVVEMSGKNVKASRTRLH FPSE_03808 MAPATCCCCADSASQAMPDMEPTIFPASEIKEEIQTMISSYSSR LGHDFNQDLTKPCNMFCMAWAVLLERFTGLDNVCFGFRSEHMVTAGSERTGGVASAMQ VHVTADHSVQENLDENAFVSVMIPETKAETLFNTTLSICNLDKQPLCEDEARKIDSCC KLHMSVDPATMKTLLSWDSTFMTREQAETISNTYDKIFREISSQKRTTVSAIHCCSEQ DELKILSWNGSPLNNVQKCIHQAVSWQGAMRPDAEAVCSWDGSFSYAQLLSLSDRLAF HLQKLGVGAETFVPICFDKSKWTIVAMLAILKAGGIFVPLDPTQPLLRLQNLTRKVDA DTILCSPQHQEMIKSIASNVIPVDAQLFESLAEQRGEVDCGLWSSGAYMIFTSGTTGE PKGALIQHGALLSSALAHGPAMMMDNNTRSLHFAASTFDVSITEILTCLILGGCVCIP SEEARLNAIEEAITQLRVNWALLTPTFVKFINPDNVPSLKTLVTGGEAMTQAVIRSWS HINLINCYGPAETSVVSHVHRGMREGKNPLNIGHQVGIHCWVVDRYNHNRLMPVGAVG ELVIEGHTLAREYYKEPEKTSEAFIVDPEWALNQSHHDSPRRMYKTGDLVRYNNDGSF HIAGRKDAQIKFHGQRIELGEIEYHINVGTSIKHGMVVLPKAGFCEGRLLAIVQLSDS LGHDLVPNGQPYELINGPLEQVAVAKVEETKQLLTERLPSYMVPSMWLAVEFIPRLQS GKLDRKRTGKWVEDMSEAIYRKLNPVAVGSLLESLTFSNDTESQLHNIWTHVLNLKPE QLGLSQSFLSVGGDSISAMQVMSECKKRGLGLTVSNIISCKSISALARHVKEIEKPLL LQETTETPFELSPIQRLYFSRSNHDQGHYNQSFLLRVSRRIDESAMRRAIEVVIRKHS MLRARFSQDAGGKWQQRVTDKVESSYRLRSVQLSSNEQLSHALIDSQTCLDYANGPLL AADLLDEEGQDQRLFVVAHHLIIDLVSWRIVLQELEELLLRPELKPDMDRPLPFQTWC QMQREHASAQTPEQALPIHGIPDGDPAYWGMENTPNVYGQMVHEGFEVGSAQTSLLLS KCHDALRTEIPDVLMAAMVYSFGQTFTDRQTPAIFAEGHGRESWDPSIDMSNVVGWFT TIYPVFAGSDATSTLIDTIKMVKDGRRKVPDNGRPYFASRWLTQSGEEAFSRHWPLEI TFNYLGQYQQLEREGALFIPVKGIAGEVSSATDGADVGPLATCISLFEVSAVITKGTL RFSFLFNQNMKHQPKIREWIASCEHNLGLLLESLAVMSPEPTLSDFPLVSLTYDRLRL LTQEKLPEIGIEDMDRVEDIYPCSPMQSGLLVSTTKDSAAYAAYTLHQVKSRSGGTVD VMKLADAWKRVVDYHPMLRTVFVESVTLDESLFDQVLLKEVQVPLVISELETDEEVIR TLDKARHHDEYSQLLHVFEICKTTSGNVFCKLDISHVIMDGTSLSILFRDLSLAYAGI LGPDKGPPYSEYIRSLQHQGLQHGIEYWKSYLMGIEPCHFPVLDDGEVVETRESKCFR VEFDELAQLQRLCDDRGVTIVNAIYAAWALVLRLYTASEEVCFGYLTSARDSQIEGIE DVVGPVINMVTCRANISDSTTLGNTMTVVQNDFLNSLEHRHIPLAQVQHALKLSDVAL FNTALSYRKLPPAPQDAPDVVFEEVRPTYDPDEYDVSINIEAGKNDMMIDLTYWSDTM SDGQATNVASAFTTALSNILHHSDKPVAQLNHLGPQHHRQISQWNNTIPEAVESCVHG LFEEQAVLRPEAPAITSWEIDFTYAELDTASTRLAHYLADLGVSLEQFVLVCFDKSAF AIVAMLAVLKAGGVCVPLDPAHPDAAIRLRAEDTGASIAVVSSSMASRLSNIVEKTVV VDSHLLQTISENATLPQINPHNACFVIYTSGSTGRPKGVVLEHRGIATNAKSSGPKLG YGEESRVLQFASYTFDNSLAEIFTTLALGGCVCVPSEHERFNDLAGAISRYRVTLADI TPTVACLINPLDVPTLKTLALGGEAVTHKCVDIWRDFVSLQCCYGPSECSVNSTYSGE IAQPGKATNIGRAVGSVTWVVDATDHNRLLPIGCIGELLIDGPIVSRGYLNLPEKMAQ SFVAPPSSLGDMCREGNLSRKLYKTGDLVRYNSDGTLTYFGRKDTQVKLHGQRIELEE IEHHLEQNLPQDWTSAVELIQFEGKKSLASFICADLGAVRSASNEKNTVLTMDDSFRS LAKELEISLSNNLPAYMIPSVWFPVSEMPMTSSGKLDRRSLRSMVQSLPASEMTSYKL ALKSGRAPTSDMEKQLASMWAHVLNVDVNTIGVEDHFFRLGGDSIAAMQLVTLARKSN INLTVTGVFQKVSLLDMAQSALPLSRAAVTAVHPFSLLPEVVSLDALKEEIGSYTRIQ VGDVEDIYPCTPLQQGLMALSAKEPGAYVAQLVFRLPNGTDLDNFKMAWRLVIEAEGT LRTRLVQTTDHGILNVVVKGDAPQWSTDRSLLDLQRLRSQLPSQNGGRLTDYAIVEDG SDVSFVWTIHHALYDGWCLPLILDKVKQCYEGIQSSTTPEPIASGPSYSRFIRHLTET DPSQDIKFWESYLSDISTQHFPRLPDPDYKSSASGLIIHKTGFDHSRDSLKSAGLGIT TATMIRSAWALAVSTYAASDDVVFWETMTGRDAPVDGIEEMIGATLATVPTRIALDRS QKVSDLLSSVQAQSAVVRMHQFAGIHRIKRINTDTAFACGAQNLLAINYGPRESTDSF WCDQTNEMAGTNFYSYPLMLSCHVADGKLETVVHFDPEVICESQMHRVMDQFALMLTA VTSKELTDEKLSDLELISTRDYQSLSEMNGQMVPPCDMRVHDVIKASGIAQPSDKLSV CAWDQNLTYEDLDSQSTHLSSVLIEAGVRPNTFVPFCMEKSSMVVVSILAILKSGAAF VPLDYAHPDARISGIITDVEAEFVLSSPQYTERLTKLIPKVISVSKDTIQDSMQLQQH DLSVPTKSPAYCIFTSGTTGRPKGTIIDHSAFCTGALAHGKAMGMNESSRVLQFASHT FDASIMETLSTLIHGGTVCVPSEEERSHDIAGFIRRMSVNWALLTPSVAQLIEPSTVP ELKTLVLGGEAMSRAHLMNAYGPSETSVVATVNSSVTLDSSPANIGRAVGGLCWVVDS TNVDRLLPIGVVGELLVEGPILSQGYLKNPQKNAESFITNPRWCSKFTSETASSERRF YRTGDLVKISKDGSIEFQGRKDNQVKINGQRLELSEIEHHLNTDAIVQACLAFIPTAG PLKSRLVAVLSLHSTFVARGPDEMQLVIDFARSELTSVRDSLTGQLAAYMIPSTWIVV NRIPLLPSGKLDRRRVANWVEAMSPEQYQLAIGAQDESHASGLDREATDIETRLRAAW AKVLGIEADSVPFTRSFIQLGGDSISAMQLVATCRSSNMALSVSQIMQSKSIVKLASF VQAVEDVTQDDEQEDKAFPLSPIQKLYFESMYSGSTHFNQSMVLETTRKITPGDLSSA LEAIVKTHSMLRARFSDVDGAYSQRITSDIAGSYVFESHVGMDQCRVSEVIEKTQKSL NIFQGPLLAAASIEMEDTDKQIVFLAAHHLVVDVVSWNIILQDLEGLLSSSVSNLAKP LSFQTWNTLQLEEARNQTPDRVFHNLPTPAQDLAYWGMQAVPNIHGDVMTETIEIASD VSLQLLGSCHEAFNTNIVDVLLASLLSSFHEAFPDRLSMPTIFNEGHGREPTDNKLDL SLTVGWFTTLCPVYLPELLPAQPDILDIICWVRDFRRRIPGNGRPYFAHQMLSEACQT ESTEWPVELAFNYLGQRQKTESEGSLFQSLDGVLASVGSETDIGVDVPRLALIEISAS FGRNGLSFSFSYNRQMKYQPAIKEWVNNFSVTLQTAVERMSQAKAEPQDLDFSLLPLA FRAASKVDMRLAELGISCRADVEAVYPCSPVQMGILFAQIRNPEFYSYSVTFGVNCIE PSHTVDVQRLRDAWQRVVQRHSTLRTTFVDGLLEEGGINQVVLRNHCAEVSFFECADN DELQMITGRITPKIMSNKPPHHLSIFSGAQGKVTCVLEMSHALSDGTSMPILFRDLAM AYEGSLDPTIVSAYRDYVSYLQCQGSHDIDYWREYLTGAEPCHLPSASRSTEPKVLGY LDQTISCAADLQAFCTGAGVTLSNVLQLAWALVLQAYTGHDDVCFGYLLADRDAPVDN IDNAIGVFINMLVLRVRLGSSQSVGDALGAVQQDLSAAIGHKNISLTDIQRVTGLLNE PLFNTAYSFQRRSISKSMANGSLSFDVREAQDPNEYDLTVNVEVWDQAAELQLCYWTD KISDSQAKSIASTFDKILTSITSCDLSLPTNQLDIVSDDCAQQLMRWNNTEPALLDQC VHNVFERNVQSLPNDTPAIEAWDARFTYSEVDVLSSRLAHRLLSLGILPEMYVPLCFE KSAWTPIAMLAVLKAGAAFVPIDPSHPPERIEFLVQNTSAKLILCSTSLADKFDIGVP FLAVGHETMSTLSALPAASPSILVQPSHPAYIIFTSGTTGLPKGTVVEHAAFTTGGTA HAAAIKMTCSSRVLQFASYTFDASIMEILTTFLVGGCVCVPSDEERMNDLAGAMAKYD VNWALLTPSVAKVLKPGSVPGLKVLVTGGEAMSTDHITKWLGHAALINAYGPSEASVI AASHTKVDENGAILNEEPANIGHAVGCRTWVVDPHNHNHLMPIGSIGELLLEGPILAR GYLKNETKTTDAFIDYPPWRANMSLSGDRVDRMYKTGDLVSQNSDGSLNYVSRKDTQI KLNGQRIELGEIEHHVRANLPAHVQSAVELVVPQSKTSTKTLAAFFTVDDHEVLKETS DPLLPMSSAYMEIGQSLKTAIRVALPTHMVPTMYVPVTKMPWTSAGKLDRQKLKAIVQ SVAPQDIGGYKLVGASNSRAPTTMMQRKLQKIWAGILNIHPSAISIDDSFFRLGGDSI SAMKVVSAARMEEISLTVMDILTSPTLSEMATCCSHSENTTVMEVEPFSLLHDADSPS SLLDEVADCCDVPTSQIQDLYPCSSLQEGLVAASMQQPGAYVARYIFKVPSIIDMERL KMAWQSTSNHVDILRSRIVNARSLKTYQAILEPHAINWKHYSSLEAIADKTIQLPERN GGVLAKYAIIDSSDPDLRYFVWSVHHALYDAWSMPSLLNLVSQFYHEATAQLAPPVPY ANFARYLVDSDAQASDEFWKATFQNASGVSHFPTATPSDEESTYSSLQHTIQCRRDDL GADITIPTIVRAAWALLLGAHTGADDVGFGETLSGRDIALEHVEDILGPTLTTVPWRV QIDRSATVGHFLQSLHKKSAEVIPHQHAGLQHIKRLGGSIAVASDFRNLLVIQASDEA TDHQDLLQPLEENGDHKNFFTYPLVVECAIELDNLVLTIHHNETVLTSWQVERLAHQF DTLVNQLSRLSQEPDRKVAELQFCSAEDLQMIEGWNHGTCDAVRDTIPSLFWQSVATH HDATSIRAWDGHLTYGSLAQHAGRLAKRLIEEGVRAETMVPCCMDKSLWTTAAMLAVV LAGGTIIPLDPAHPRARHAEIARECKASIALCSPDYRDRFVGVVQTVIAIDQALFNEH LCQDHVASEDLPLVADKDAAFVIYTSGSTGKAKGVVIEHGSFVASSRAYTKHMHLTAT SSVFHFTSYAFDIAMGETFGALTNGACLCVPSEEMRVADLPGAMNTLGATWAFLTPSL ANMQDPSMFKTLQTLVCGGEAMTSETVSKWSNKVKLINGYGPAECTVFALANSNVSED QDHSNIGRAMDGCQTWIVDTRDHNKLVPVGCEGELLISGPILSRGYLNDSAKTSQSFI EDPAWIHHFDDKNHQKPVRLYKTGDLVRYRPDGNLTFIGRKDNQVKLHGQRMELGEIE ACLESDPRLRNALVALPKSGVFKGRLVAVLSFKDSDSHNPGLVSSQFSPISESAMKVA RLHLADLQHILSENLPPYMMPSSWLVVEAIPLLLSGKLDRASTQKWLTEMAAETPEFL LDQQLSQDAGATDTTFVGQLLRKIWASVLRIPDESKLSGRSFISLGGDSIMAMQVMSR CRDHSIQLTMRDIMSGKSISDLVTLIEKEGRGKQTVNPEYEDDNSQPFALSPIQQLFF NNSSNKDRGDRFNQSQLFSIIESIDKDTFANAIHALVQRHPMLRARFNKSSTTGQWSQ QIAPDTQDSYGLHFHDVSDASQIARQIAASQESIDISSPVFIVDLFRMPGGFHHVSLV AHHLVVDVVSWINIAQDLETLISSSPSMPSKPLTFRKWNAEQIEHATSMAKNSEDLLP FTVTQQSFSLSDTDIVSLLLGDAHQALRTEPLDLFISALLISFGQCFPDRQLPTLFNE GHGREPWDDSIDLSQTVGWFTSLCPIDVSHNNVDPTDTIDYVRKVKDVRRAIPGNGRP YFAQRYLTDSGKQCIDSHEPMEILLNFLGRSQQSGEDDSILRLSNLSMSDEDMASISD VGPETRRLALFELSISILDEGIQFTFMYNKNMLHQDLIQQWVTTCKEVLSNMAMELST APSCPTISDFPLMSLDYAELGKLATKSLPAAHVRFDEVEDMYPCSPMQMGILLSQLLD PSQYLFYAVLEVSTPSGSAIDAAKLAQACSEVVERHAALRTVFIESVRSGGSFDQVVL RPGKPRIATFKCREIDVMAKLNTRSLGKANKRHGVPILPYQVTICETPQGKVFIKLEM NHAVTDGASTAIVLRDISSAYANSLPSGAPSYKEYINYITKQPSDSSLMYWKSYLSGA RHTEFPALNSDHIAGRSLGSIAVEFDRFSELQSLGLVAGVTFSNMIMVAWALVLRKYT NSQDVCFGYLASGRDADIDGVDEIVGPLINMLVFRFQFTHGMLLKRLFLDTQEDYANS LPHQHFSLARVSHELGQSKRGFFNTAVSIQNAGASSDADFSALKFESVDAFDPSEYAV TLNANTTRGDEGIVFRYWTNILSHSQAKELAIVMSEVLSDMIDHSEEALSHLRVSQDS SLPTNPAQDLHGWTFEHSDTSEQFKTTNSTISSYSTGPGATLFSPATSWGSLPRDKDQ VYNKLSTLWKQHLDVATTDLTYDGSFFEYGGDSIIAMAMVGDARDQDLPLTVADIFKN PSFGTLLNCLRDKSYRDGDMVSSDGNISLSGSKKEGVVMDEHTYEPLSLLPQQNAEQF VREHVCPVVGVSRASITDVLPTTDFQAQAVEGSLLDSRWMLNYFYLDGEGPIDVALLQ ESITNVIASYDILRTVFVPYQATYLQVILRHVQSELVFHDVDDVEQFTLDLESDHLRQ VPSPEKPSLRFILARHEPSERHRLFIRLSHALYDGVCFPTILNALKASYEGEPIATTS SYATYIHGLFSKANTDQHTYWRSLLKGSAPTNLIPRQCRSMRTNPTEVLRKIVATPSL ATVNITTATVIKAAWSVVLAKNTGTRDVVFGHLISGRNSRHVPGIEAIVGPCLNVVPV RVQYQDSWTVLDLLQHIQHQQVDNIPHESLGFRQIIQNCTNWDDDGTNGFSTVVQHQS MAQTGSLDIGDNTYEVGVIASQEDTADFSVVTTPQDSSSTEVCFLYREGGVERTEFAE KLFDCLCSTIGELSTDVKTPLVSLM FPSE_03807 MNSSSCDRSFGPYAEGCRGGFDFTLLFEESILVVPITALLLLAA PFRATYLLRKHSVKVEHSYWLYCKIILCLLLLASQIAFLICWTQSPAVATKASLPAAA LSIVASISLLGLSYVEHVYSYRPSTVLNLFLLFSVLFDATRTRTLWLQGYNRPAAITA LISTVVKILMLSAETIEKRGFLRPEYRELPSEVTSGVFSHWFFSWQLPLFRVGYSHDL EIESLFPLEKHFKSSYLQSLLQTAWTKAPKKGDYDLLLVVFKTLKRPILSIIFPRLCF IGFTFCQPFLISATLSWAEKDADSNDMNQGYGLIGAWFLVFIGLAVTTGQYQHLTVRA TTMVRGQLISMLYDKASDLSITAANPTAALTLMSADIERIDSGWRTAHDVWANLIEIV IAVYLLGRQLGLACLIPVGAAIFSIVGSVIAVSFVMARQAMWLEAIERRISVTSQMLG SMKGVKMCGLSEVLGTRIQAMREEELHISGKFRRLLIWNMVLAYLAPIFAPVLSFMTY SLLAQSQGGRGNLDTNRMFTSLSLFALLQEPLASFVTSLSSFMGSVGSFVRIQAFLNT DARLDGRIVQYNGETEHSLISGVSSSEEKHPVSPIQESMMKTEPLGDGNAFVIRNASF GYDKNETPTLSNIDAVIPSGKLTLIVGPVGSGKSTLMKALLGEVGIMQGSVHASNSTV AYCDQTPWHMNGTVRESIIAFSRPDERWYQKVLEACALKQDLTQLPRGDLSNIGSRGL VLSGGQSQRVSLARAVYAQKSTIILDDVFSGLDAHTETAVFNNLLGSHGILRDLNTTV IVVSSRVNRLPYADHIICLDGTGTGCIQGTFDKLNESDNYVSHSDVSSPDGTRSKAPS SGPASASVPVPESSATALAELDMELMESKKDGAGRRSGDVAIYMYYMNAIGWIPTMVF VLAICAYIFCQSFPTIWLNWWAAANAKEPFTRLGYYLGVYAMLGALSIIFLVLSTWQM IVTMVPLSGNNFHQSLLKTVLNAPMSFFAATDAGTTINRFSQDLQLIDMDLPLSALNT FATFVLCIAEMILIAVGSYYTAIAFPFLLATLWVVQHTYLRTSRQLRFMDLEAKSPLY ALFTETVTGLATLRAFGWRDALEKKHHELLDRSQRPFYLLYAVQRWLTLVLDMIVTVI AVLVVVLVTQLRGKLPAGLIGVALVNIIQFSQHLKLLMTFWTTLETHIGAISRIKSFT SDTASEHEPQEKEQPPSVWPSKGTIVFDQVSAGYKESEDVLKNISLNIEAGQKVGICG RTGSGKSSMVSCLFRMIDLHGGRIMVDDLDISTIPREEIRTRLVGVPQDAFLIDGSSV RLNADPAGGLTDAAIEDALRAVELWDIVTDNGGLDTSIEELHLSHGQRQLFCIGRAIL RPSPIVVLDEATSSVDSRVDELVQRLVRERFSNRTVISIVHKLQSALDDFDMVVVLDA GKLQEIGHPQELLAKGPDASTFASMYQSVATEKKENK FPSE_03806 MSSSPCLDIHHSAKAVIQWQSDTTTHTLAKPDPQVSSITFTCRF DKTCAFFELSIPVKLKGLDTTTAVTLRACASSIVSLDVVKNPTVPVTIQREFDSPTLC LNFTLNHHLNVLVPTPALEPPSPARKHSGAVLDAIRELSNATVLSIFIEAQAAPSSLQ SISDAVTEGLLKSFHSLRYHLASMYGGLGAKLIDLSVQTALPPPAYDDTDPPPPPPID CNYSKKRPRQDSQSPRSSDIALIWDELRSLKETRCRDQVRIEALETENKRLRQENEDL VKGMDTMRERCNALEDGFEVLNKSTEGFMDTYDNELTELRDDIHSLEGMVNFLQEGQV SDETAKRIKDAVVKDITTRLSAD FPSE_03805 MTGSLFGYSNLSGPSKKSRRAEFNYRVDDGKYVFEQLVKDTYGS VSTDYIPTERNRWCRSKWKVGIACVHFPTVVSIDRLAHWGVYIRRDDQKELEVGKVPL ITALVTYSDMLIWQIDFPQDIYRVYGSNWTSESVEALQLEAVWQAKENPEFKQDIQPD EIVFVRRPAGSGHHERDHSTEELYQEQTMYFSWPEFVLAAQFVLQEYVVRPWRLQTEK LLPSDRAKTVRELNTVAAYRLLDNNCQDFSRLLIGLMLKNRWGDPGRLTKTFVLDDLS DEWTSINNSWSREALKAQKQSQRGFLYGIPLLLDRLEKPDKDDLIKMEPEDARRFWER LSSRPGEVPEALLRKLDSCRLAMRLAEAYTCQRSISTRDYIRILDSAEANAMVEYVKR EFPEAMESHGATARAWFASFDHISRTDKAAWDLLTFISWIQPAYIPKKLLPDPESGSL NNTIDKLVRYRLVKIGGANHLEMHPLLHYLTQMGIQQAERGKETILKAIRHVATNFAG RNTMNSDDWEQYGSHLHKLYSNSNGLMNQEDESTFDVAFLIGRGLINNGEPDSAVPFL KEALHWRELRLSKNSPKLLESQLELAKAYAGCKHSHLVFDMLQEVKPLQQFLTKSRLS LSQRLMGKKANIINPEVDDALLLILNLALELAKAFIALHKHKEAAKVFAELGKAEPSG FMEDHPGWTTHRIELLRTLTINGQLKEEIKLRETILDAENHPERLPLQRKLTVALAEA YQENHQYQEAIDLLEKLLPFTTNPKEELELLGTLTTVWQHVDLDKARVLRPRLVELQK EIG FPSE_03804 MSATIVGAFTWSSLERLSPVADAMVRTLWYSSLVLGVGAVALSL QQSVFLTRIGCLPQANAMCRRMLSRDIGLGQRIPRWDQVILWQTAVGLLEFSIYTWLG GFIAFVGDTTYIGLSSPGKGNQVERLEENVNQNVNE FPSE_03803 MSSTDPLLRRVENYRESCDNCAKSKVRCGKEQPWCQRCERRGQV CSYSPSQRSRKRTLDTAHPESDQRNGTPPFTSISSASSVAAVSTGFSSMLSQADSWGT CPDLVELLTSGSSSESLTPDNNHLVWLSDMESIAGDSNISKSMERMDAFPYPKSIASS AAGVVNGSGAGADSTGHRSTCPLQDKQHCEADLISALAKPDLPSLSCWGNPKASQNLG TILTASRATLKCVTTAMSCTCTPNDNVALLATAVLLRILSWYHIVLKNCNGPNDASAA TIDDHTSPTPSNDGKDTERSVPRDTNVPRDGSEPSSLIMPPMTIGAYELDSENRERMI GHIMLSELGKMGNLLSDFSKKFCDPQSTMLGNDNRSQLFLALEMLIRNKHMATVLDVR KKLEVK FPSE_03802 MSSFAAAPYRHVMMPFSPAQDAQAHGNSALTKLTDAIPDLKVYT RSSPHYESLRGVYNKLITAQPLAICRPTSVAQVQAIVKTVSGLDIPLGVRGGGHDVFG RGCIADSVTIDMRELDTQELSQDKKTVKVGGGITSKNLVGFLGSHNLCTSNGFAGEAG WTSWASWGGYGPLGDYVGLGVDNIVGAKIVTASGDVVDAKGDSELLWALRGGGGNFGV IAETDVRVYPMSTIQAGFIVYPWPETADVLLRLQALLDSGVPDKLCLQAGFTKGEWGL GMAITYIWPEAETIGPESEEWLQKLKGLGTCIVDTVGETTFEAFQGSISSAISNPVNV TSRHISISKFTNDTLQQLIGACESMPAEADCSITCTILHGKAAQANALSAFGTRRPHI MFHINAVTEEAAHEHVAIAWADRLVDGVEATGDSIGSTYVSFMESDKDPKGCYGENWE RLKAVKKEVDPDDVFRFVHGRIPAA FPSE_03801 MTDTTDMEKLDRATTPTPTPNEAPPTSEPSESKPEAAEDESKYP HGLKLAAIILSNMVAMFLVALDRTIIATAIPRITDDFNALGDISWYASAYLITSSATQ LLWGRIFTFYPTKTVYLVAIFFFELGSLLCGVAPNSVAFIIGRAIAGAGSAGIYSGST ILITTVTPLSKRAGYVGMMGAVFGIASVIAPLIGGAFTDHVTWRWCFYINLPVGGAAV ACLILLFPQFPVNEPVSIKQQIKQLDPWGNLVFLPGVICLILALQWGGEKYAWDSGRI VALLVLACVLLLVFIGIQIWQQENATVPPRLFKIRNVWLGTIFAFCLGSVLIVFLIAL PIWFQGIRGTDAITSGIDTLPLVLSLVFGAIVSGGVINGVGWFNPVFFSSVIFMSVGG GLITTFVVDTPTRTWIGYQIILGLGIGQGMQLASLGTQVAVKQSDVPTGVSLMFFAQS LGGSVLVCVAQAVFNNELRSRLTSFDGIDVARIIGTGATQLRHVIPADRLAEVLVEYN AALRSYFYVGLAAACFAVLPSLGIEWKNVKGQEFVH FPSE_03800 MTEAKITRGHSCVPCQHRKIRCNGQTPCAYCIRTGKECVRMRVS PSHSRNARLNHRRLTAAQAESPSGDGQVIVSGDQRRYVEDNKLWKSLGDEMQGKDVSP DPERPPLRTRTDTPSTEVNLIFSHQRPTSTSVEYPSAVHSFQLWQVFISNVHPLTKIL HGPTVQKDILETFSEPTLTPGPTEALIFAIYLVAVVSLTDTECRSRFGEPRKDLLARY CHATEVALSKADFLRSTDLRVLQAFTLHLLSLRHICDHDILWLLTGLATRMGQRMGLH RESSLKDLPPFEAELRRRVWWQIVILDGRASQLTGASMNPNMQLYGDTRQPINLSDAD LVPSASTIPQPSPITTDMLFCKVRIEIGVWMIQQKCLLGSESESSAAGKAKFFKAIDE LERHIEEKYLANMDKELPLNLLTAYLARSAVCQLRLSVYHPIHRPERASDLSAEQIDM LLENSLEVIRYDILSHSTPALQCYLWHIANFFPFETFVLLISTLSGRPAGQVVDTAWE VIDQVYEHHPSFVSDTGDPLYWALGNITLKAWDQRVTSARTRGIPVPRELPCIANLVH ARATMARASSQQPSTTDVSGPATPQSLLLAQEAPIDYLGGPGTTNELVSQTDLGMATG EELLGMMKGVDVDWDFWQQLLDGNGHDARARDDQETFYFSSFINKA FPSE_03799 MTPSMMEVFVFGDQSTRFAPPLKDLLLKGNSPYLTHFVKQVHAL LRKEISSLPAVQQKLFPNFADIQELVSKSDWGSGNPALTSALACFYHLCSFIHFYDGQ GRTFPSENSRIIGLCVGSLAAAAVSCSTSLSELVSAGVDAVRVALHVGLQVWRTTSLF DVPDRPSATWSIIVPEAVLSRSTAQDRLDSFIVEMGLARSSVPYISSVAHHNMTISGP PSVLEKFIHSISSSPKDSLPVPIYAPYHASHLYSMDDVDEVLSLAAPTFASESIIPLV SSSSGNVVQPLNYTDLLRGCVTDMLINPLDLTKVSQAVAQLLEDSSSTRAILKPIATS VSNSIVSALEPTLAERCVVDNSMGPKTSTSHSSTEQQTESSSKNSKIAIVAMSGRFPD AADLGEFWDLLYEGRDVHRQIPEDRFNAELHYDATGRRKNTSKVMNGCFIKEPGLFDA RFFNMSPKEAEQSDPGQRMALETAYEALEMAGIVPDRTPSTQRDRVGVFYGMTSDDWR EVNSGQNVDTYFIPGGNRAFTPGRLNYFFKFSGPSASVDTACSSSLAALHLACNSLWR NDCDTAIAGGTNVMTNPDNFAGLDRGHFLSRTGNCNTFDDGADGYCRADGVGTIILKR LEDAEADNDPILGVILGAYTNHSAEAVSITRPHAGAQEYIFSKLLRESGTDPYNVSYI EMHGTGTQAGDATEMTSVLKTFAPTSGVGGRLPHQNLHLGSVKANVGHGESASGIIAL IKTLLMMEKNMIPPHCGIKTKINHHFPTDLTQRNVHIAKVPTSWTRSGQANPRIAFVN NFSAAGGNSAVLLQDAPQQSVATGVVDPRSFHVVTMSARSADSLRKNLANLKDLVEGQ GDPEVDFLGKLSYTTTARRMHHQFRASITAQTRDQLLKGLDSAIERQDVKRIPAATPS VGFVFSGQGAQYRGMGKEYFTSFTVFRSEIMSYDSIAQAQGFPSVLPLIRGEVEADSL SPVEIQLGLTCLQMALAKLWRSFGIEPSFVLGHSLGHYAALHVAGVLSANDTIYLTGI RAQLLVDKCQAGTHSMLAVRASLLQIQQFLDANIHEVACVNGPREVVISGRVADIDEL VGLLSADNIKATRVKVPFAFHSAQVDPILSDLDTAASRVTFHSPQIPVLCALDSSVIS PGNHGVIGPLHLQRHCRETVNFEGALHAAEREKIINKASTLWIEIGPHVVCSTFLKSS LGPSTQTIASLRRNDDCWKVLADGVSSLYSSGLTIDWNEYHRDFKASHQVLRLPSYSW EHKNYWIQYKYDWSLTKGDPPIAPNSSVEAVSALTTPSVQKILQETSLDQVLTIVAET DLASPLLAEVAQGHRVNGVKVCTSSVYADVGLTLGKYILDNYRTDLEGYAVDVHGIEV HKPLLLKEDMNGTPQATPFRIELRYPIQSTTALMSISTTSPNGQHIKHANCELRLEHP SQWEAEWDRQAYLINRSVNYLLQRSAQGLDSMLATGMVYKVFSSLVDYADGYKGLQEV VLHSQELEGTAKVRFQTPSGGFVCNPMWIDSCGQTTGFMMNCHQTTPNDYVYVNHGWK SMRLAKAFREDGTYRTYIRMRPIDGTKFAGDLYILDEDDTVVGVYGDITFQGLPRRVL NTVLPSANAVPVDAPMGRRDMPPSRMDVPPVRTGEGPPTSAPTQQAIALPFAADTSMD SRLRPLLRILSEEIGLGLDVLSDDELDFADHGVDSLLSLTITGRMREELGLDVESTAF MNCPTLGSFKLFLGLSDQDNKSSSGSDGSGRSSPTPGIESGATTPPMSDEDQDKIVSS HSLHQFQASSTLLQGSPSKARSTLFLLPDGSGSATSYASLPPISPDGDVAVYGLNCPW LKDAGYLVEFGLKGLTELYVNEILRRKPQGPYNLGGWSAGGICAYEAALILTRAGHQV DRLILIDSPNPVGLEKLPPRLYDFLNSQNVFGSDNPHSTAGTSVKAPEWLLAHFLAFI DALDAYVAVPWDSGLVGLASPLPAPPQTYMLWAEDGVCKDSDSARPEYRDDDPREMRW LLENRTNFGPNGWEALLGGKEGLFMDRIAEANHFSMLKKGRNAEYVSAFLARALDN FPSE_03798 MSPHSDTQETSQEATVTVQPDTLVCLTITAYKKPSLSEKEYRHH MTKVHAKLVSPLMEEYGIVRYTMTHNTKETRPMLYQLYDPQFSNQSDYDCIVQFIFKD IKDFLRMKADPRFLEKVAPDHVNFADTKRSTMTVGYYEEFVNNGKVVPKD FPSE_03797 MGSISSPSLIIDLANAVSSAAKNLDTQLQSQGLPQPSFEADGPT YVVPKDAPKAAHEARVATAEAALKLFNLVSGPSELLPNMTASYHTIFALQWLHHFDVF SHIPLDGTLSYEKLATKANVPESLLKSVARMAMTSNILAEPTTGQVAHSASSAMFVKF PNMRDWASYMFTASIPTAAAMVQATEKWPGSVKKTETAYNIAFNHDLPFFDHLSQSPV MTKQFSGYMRSVTDGQGMDLSHLVNGFDWASLPDKSLIVDIGGSAGHASYALAAAYPH LRFEVQDLDTVVNGEKAAKEHEEAVSKHVLGTDNRVTFKAHNFFEAQPTKDATVYMLR MIIHDWPDAEAKTILGNLVPALESAKATLLIMDTVLPSPGSIPSVRERVIRTRDLTMR QVFNAKERGVDDWEAILRETDSRLTLKNLRQPEGSNMCLLTISLQDD FPSE_03796 MPNPTVAIVGLGALGLVTLKNLREEGFDAVGLDRNDYVGGLWHF EEGNKLTVMRSTLSNGSKQRGCFTDFPFPEDSPDFIPAEGIDRYLKDYAKHFGLLKHC RLRTSFHGARYDEKKQQWRLSLSTPDAPEPHMEWFDKVVFAMGADQIPSRPKIEGIDK FKGHVEHSMSFKNPEVLAGKRVMILGFGNTAADMATELAPIADQVYLAHRHGAIIVPR WVKGKPVDHVRTYRKYVILNLMNRYTPGLWEKTMNSVIGKLVHNTFDLKPEWRFDPAP SITNQRPLVNDELIPSLEKGSIISTHGLARVIDENTVETSDGKQYEVDAILFCTGFTV DYSVVGMDADPCRATTTDWQKSRGFTGRPLPRLYQNIFSLDHPETLAFIGHLSFMNPA FFMFDLASMAVAQLWKDPSGFPSQAEMNKQVDDQHAWVIDLAKKGPVTPSIVKASEWM EWVDRVIGSGLPEHLGYTMKGWNFWMRDRKFCNIMMDGLLSPHAYRVFPGKRKAWPGA RDAIIAMNADREARFGPMD FPSE_03795 MLTSPRLILLLLAWVFSTLVASALVKKDWTITWEPGAPNGQERN MIKINNQFPGPTILCDEDDDIEVTVHNKMPFNTTVHWHGLEMMGTPWSDGTPGMSQKP IEMGQSFIYRFKASPAGTHWYHSHSRATVLDGLYGPIFIRRKPDAPAPWHLISKEQAD IDAMSRTVIDPKLVMVSDWTRFMSWEYMAAEESSGMAIFCSDSILVNGKGSLYCPDVD MLINHTSTYMKYGLYPRQVNDKGCFPFMRSTQGPYLTTGKPETIPLHLQHGCTPAEGT NETIEVDPADQWASLNFIGGATFKTIVFSVDEHDMWVYEVDGHYIVPQRVNTVHMYAG ERYAVMIKLDKTPKDYTIRVADSGLTQVISAFATLRYKGGIQGSESVGVIDYGGQNST KDGSVITLDRDHLPPYPPNPPARKADAMHVLSTHRWKSAWQYTMSGHGMYEEDRSAYG PLLYDPHSADAMDEGLVIRTKNGSWVDLVLQVGSLPGQPQEFPHMMHKHTGKTWQIGS GLGIWNYSSVEEAIAAEPDNFDLETPKWRDTFVTSFDGSAWIVLRYQVTNPGPWLFHC HIETHLAGGMAIAILDGIDVWPQIPAEYGPDQRGFMPGTLPELESGGKQGTVEKQCPL LAVSPSGGPKKDAGETSASDSRWETLIRGLIQVLQGWLSDEASSHSS FPSE_03794 MSKQKPSLWRALRALSFIISIPLLVQYLVLKWYSTSQNAPTPVF HEPNHETNLTVWEVLSNDDRVSKFVDVIGKLPDIVRGLSAPQARFTVYAPVNEAFDSF YFPPDPPPFFGLFIAGCHMGPGPVPAERLSSMGTVSSFVNGDIFFTYKQRISVQKDKN GLTLNRAARVLPVNATQSIAVNGFIHHIDTVLELPNSTAHALRTRPELSKLRRGLEAT KLSESIYDTNAHVSQTIFAPTNAAFDRLGKTATKFLFSHGGRPYLRALLKYHVVANKT LFSDSYWPHGGAKLMDLSLIPNKDSHQFDLPTLHNNLTLQVESRKMHKKWHLNILKDQ VVEGNSHDSVPVSMPDVILMDGVMHFVDTILLPPAKSEQRKTSWLGRLKSSLGHNKQS IEDLVTLLGPYIDEP FPSE_03793 MLFRFLALLPFLAGTFAEYKVHDDTFKPDYVLEATLDDIKINCV SRSSIVFNGTSPGPTIYLQEEQTTWIRVYNKIPDNNVTVHWHGLSQRAAPFSDGTPLV SQWPIPAGQFFDYEIRPEIGDAGSYFYHSHVGFQIVTAFGALIVRDAGKPEYKYDGDI PLLVGDNYAAEDEVIEEGLLADPFKWSGEPQAITIQGNSGNKSFYEAPDSSCMPHVVN VDPGKTYRLRFISATALSMIKLGIEDHENLTVIEADGSYTKPAKIDHVQVSPGQRYSY LMKTKTSKEVCGGDKSQYWIRYESRDRPKVISGYALLKYRCDKKQQLPKSLPETSPIE LSNSTADYLEYALEGLSEKNNQAFPRLSEVTRTVVIQINQILTTGAYVNGTLNGTVAW AQNGLPWKENVQAERRQVPYLIQIYENGTTPNYTLALEHHGFDPETKAFPAKVGEVLD IVWENNNGPTGGWDYHPMHVHGYHVYDLGSGNGTYNATENEAHFENFTPVLRDTTNLY RYAVKGVPHHTAGWRAWRIRITEENIGAWMMHCHIAQHQVMGMATVWVFGDAEQIRGK FPAPPYTQGYLTYGGSAYGTEDDQPWVNEYYSEKGN FPSE_03792 MTSTGTITNNKPLASSGVLSHQNESIEMSTIRPTETTHSKDNPL VEDEVPDHATSAIPDGGYGWTIVASCFILLFWINGYTTAWGVLQAAIVQSPRLHTNIR TITFVGSLYMACMVAFGLASVRLSRQFGIRYTSLTATILFGAGLIITSFTLEHLAGLF CVAGLLVGLSTSLLYTATNTLPVQWFSSKLGTANGIVKAGGGVGATVLPLATQVMINK VGLQWTFRILGFSILATGIPSALFLKDFIRNSSTSRFDWSQLKSIPFLTLAMAGAVGV FALFVPPFFLPVFARSIGLSASTGAGLVAGFGASTAVGRFAGGWICDRIGAFNALALA ASINSVSMLAIWPVSSSLPPLFVFAIINGCANGSFFVALPTAVATLAPGSAAASISLM TSFWTPGYLMGAPIAGILIDSQHASEASSIEPYRAAIFYAAGVGSLATLLVIISRMTI SRKLIKRL FPSE_03791 MLKSLVTLCLLPVFGLAHSKPAKTLGQLPLGTWLENIAVRSNGD LLATELWPAASVYTVTDPSCSKGLKELVTVPSIQGILGIAELSPAPGKPETFVFVGSN ATGLSQLIPGTFKAFALEFHNKRNQASVKVRKISDMTEKSTFLNGVEAIPGVPAVLVS DSVKGFVGRLDTNTGVFDDAAFMFPEMAPIAANAFGINGIKIRNNYLYFSNSNAVKIY RTAITAAGYPVKGSRPQLVTDFSKTVAFVDDFAFDAQGNIYAASNSDNSVVFIDTKSG KSRIVLGGVDEMTVAGSTAVAFGRTKKDKDTYYVSTGGGLFKPVGGTKTEGAKVVAAK A FPSE_03790 MSTEAQSPEEVSGQSAFWILASLAAAAVVLPSTSSRMSGRDLFG GNIDLVRCIPGVSLLDGICDTILLCMSTYRVLRAPKPVQRARRALPKVSVVAAKLMLS IFTVFPQIIKAISLEGVPATQFCAFVFFYATTTRLLIDLCGLEPEEPFTPPDEGDNSL DIIVLLALPFQAPFQLWIWHRIVLSVKFQLSDTFYQICTWSSLVCSLLLIIQLIIWML YVASRRKFDITASPHVIPVRAFWLFITALAATRKPGRHEDRQAKSQAKIPPPGALDKL VQAAGSMICAILLSILVAKALNLMGRLFALPIETTEASEALTEEGEHPTDDSQQGADA EKDEEKPPVEPEGGRLGRLGGIVDRWLVRFLLMDTTADVSITLTVFNLITTIIYYLVY FDGTGTVNPGWTSVLG FPSE_03789 MNSVAQQLIEIWILYAMGILIIAARVFCRTKLVGYKNYDWDDYL VVLVGFFWTAAVIFGRIFIHDASGRHTSDLDFEQRKNMDRKEYEKWAYGSQMFFVSLI LNVVILWTLKFNMLCLYKRVVRGLCTERFVKPLMAQVLVSFTVIILTLALTCRPFNQL WQVWPDPGHVCIMLIPIPVVLGIQANPLKRFCLCLLFSLGFLCLSAAILRFIVVLKLN QHGGSVIWSLREDCIGIFVGQAPMLRPLFKRRFWVSTNPVSANSVTWGKNGHLQHVQN QLESHEVYWLGRKPNSKMHGPHSITSIRSVTGSQEQIVQGDQGPIATPEPRYDRSSGI VVERRVDIEVAGAGGTYTVFAQKTAVVIGQRQM FPSE_03788 MGANDGKKSSRRSRVTEAAARILSPSGAGKGGGAMHQLYEIPFD AEVFLEAKAARARALGDESESDEIVAETVDYGNVPTVHAHLKGLDKVCRGAEWSKPLQ RFLTRLQLGSNKVNVVYDSNLRKMGIEPPEETESWVDQSEPSKFAGHVYRFVGRQCRS ASTDRHLYILYHPDAEWHADFYELLQDKPLPSNFLGFSDNLDALVAYIRFIRKRLGRR ASSAMFHVLIPSSRPIAIRNALEFPDLGDLAIHGETHNGSNYVWMNLPSYDEYPSTLH LRHVENAIREDSPWKTSATVSTSFVGLTASLAGAAYTLRVKGAPFPSLKTFGAAAVAT TIARRVKGADYYPLAVLGAGSLCTTLAAFAINRVLSKRAPRVIGGTDTPREPREEKEK EKEDSAGSDQEDAKDEEEVIPEPEIPAPAEEPAPKIKDDSDAQSFLSQTSNTKKSSSS KKHRRSERSEREKGERSERKRSHK FPSE_03787 MSKNIFRIFNPGEPKENPVEKRRAQLRRAQQTYRNRKDRYTRSL EEELAKSRSNEAELTRECQQLRSDLENALQQMSRQWIGMAVDGSNPPSESTALSRTTG STSGASPFHFSDFGGTQSRDAIPSPQMISPESFGDFQGITESFQRPPILFGQRGVAYN CRISDVDQVTAGVEFVLKIEEPCLDHLHGDLDKPCEPSNHALTVSAQLIAACDYPSPT ISSPPPISATFNSLPTQMLERLLSLAPDLSSEGEVTPIQAWNIIRCRPDFGGLDTRSL SALARKLKKAVKCHGVTHVHIGEGTLMAKKRQGNVVDQGVTSDYSRITCLTYHYLGTK ISKTPRIKTEDDKSR FPSE_03786 MFSTSILLPALLCLTQKVSSHPACRPEMNNKAAAMAKAVYILTN DETNGVLALPVGNDGTLSKGQVAKTGGAGSIAVDADGNPATPDALVAQSALTVAGNMV FAVNAGSNTLSMLTVSRSDPTKLEMVGKPIDVPGEFPNTVAASAKSNIVCVGMTGAKA GVSCASFSNKGLGAMDELRPFDIKQTTPPKGPTNTVSQVLFSDDDSMLFAMVKGDPAV NNTGFMASFNVEQANGAMAVSKNEARSSPEGTAVLFGSQVIPGTSKVFATDASFGAAI LDVDAKTCEATTVATGAVEGQAATCWVAISPVTKTAFVTDVGRNRVVEMSLEDASVVS ELDLTCNGDPGLIDLAAAGNFIYALSPGNGTTEAAVTVLDVSGGSGSAKMVQHFELKG MAGKTAMGMKVMISSIYSIWIVTSAMASKVSYIKVRASQDDLAQAIGNSFPHSRMCDS SAVAIRIGKLDGCSDANRVIEIIPGEPKPLLTCSTPYRQSSRNNGGDESLELVYMASG GGDATKDIDTEAVVKWIYKPGLRFS FPSE_03785 MVAFFKTALCASLLCIQASAAVDRTNAVISVLEQHKDLTAFYQL FTSTGHGSGIPEPAFEARFNDNSKDDEFTILAPTNEAIAKVPGLAQKLTSPAGYPLLA ALLRTHILPGKLSPQQLYGKSIRAIEGFSIDISAGGVITTNTNMMSLTDSDVRAGMQA KLLKDRRGKLIRIPATNGVVYMVDNIFDPMLTYFGEDAPDHNSLPAIKRSPSKTMKDI LAADPDTLRARELLRMHAPRFLYDRLNTKLAGKDNSKVVFLVPSNEALKLFTKKAEEQ SNADITKFFLAAGYGTMDGKNIKGRAGFTLGVEGGRVMNAKVEKRECGSNGCIWRIGR VIDSVYGLL FPSE_03784 MRSNLLSTVVGLSQFYSVAIAQSSTTITVPTGTPVPGNYNGTYR PQVHFSPPEHFMNDPNGMFRDADGLWHLYYQYNPTALVAGNQHWGHATSKDLYHWVNQ PIALFPPEKDTYVFSGSVVVDENNTSGFFPDQDNGVVAIYTLSSPEVQDQAIAYSRDG GYTFEPYKGNPVIPSTSTQFRDPKVIRYKDSWIMVVAYPQDFAIGIFESPDLKEWTAT SNFSHHGLLGLQYECPNMISIPYYDEDGKKQDDMWLMVISINPGAPLGGSIAEYFPGH FNGTHFEAVDAAARIADFGKDNYAGQWFYGLSEDENPVSIAWASNWQYTQTVPTEDEG WRSAMTLPRENYLTKAKRAGWKLVSKPYDLEPVMGRELASNDSFGNSNITVDYSDVES NAIYWEVNVTGLPDFGIPSTALMNFTFMSPVSGESVKAGYYFGGDAVYFLDRGNTRGF DNIFFTDKTSLGSLPASDGSWNTSGVIDRSIFEGFLNGGVDSVTNTFFATEPLTLMMF STSDLPEEVKVSISVYALDSAWQKMEGKDGLVHGNTTSKAD FPSE_03783 MSYENNNPEDLQRYPTFIFQPTYKEFSLEEHRFADYMLLNRNSD RPDKAVTELLKRNTLKLYAYYLAAITRGITNTDVNRLSGKGVEICVGKTPSEDETWSL PVNLISHHSAYFKAACLWNVKGQINLLGHDPSVFSLFVEWMYNGSYDISAFPRNPSMH AKCWVLGDFILCREFKNYAMGRLFDEHVATAFGIPVAYEDVQYVCNSASSDSKLKLFY TDFVTDQFGDIYRLRGYMADWEKFLEDHPKTRSALLRKLRYGPPKKPRVKSVTKYLEP EEVVSESALRAQVDFAKFAEKKQDEGSKPASQNEVSKPASQDDSERRKRDQFGFNVNG LPSVRGLNWSTAATPQLQFFTTQDIANVPAPNASSQSAVTSTIQFFPPKSIAAPVSNS SSQGTAVPSVPKSSQVTPAPTIQLFRPQIKPFSIAAKSSQSTPAPSAANFSLQGTAAP LVSNASSQVTVIPSVPSSSQGIIASPVPSLSLPGSAAPTVPNASSQERVAPLVPKSSS QDSATVLPVPNSLQGTAAPSGSPHGIVAPPTQSFPLQGSAVPSVPNASPEGIVVPLAP NSSQTTPAPPVPNSSSRDTAAPVDRVEGEQEKESVGDETNTTSIATQDATIKESSPSG STSDDGSETQNTPGSGEE FPSE_03782 MKFSTLIAASGAVAPALALPQFLPTAGAEWTPQEWVAPGANDSR GPCPGLNTLANHGYLPHDGKNIDLKKLADGMLAGFNIEKGDALLLFTQAIRTSPDYPA TRTFDLADLGRHNILEHDISISRSDAFFADPNPFNKTVWAETLTYFPDDMITVEQVAK ARMGRLATSKKTNPQHSLSKLADGFSWGEMASFFEIMADGTTGTVEKKYIEYWFKNER LPTEIGWQRRTTTMRGIERIEFTRKLMEAAGVSRRDITSDAYGRPLEQ FPSE_03781 MKFFAALSLIAGMASAHPTGDVKARQFGSGLGSGLGSGLGSGLG SGGSGLGGGLTGGGLFGGLAGLGGSTRNDLEQGAAGSCPKAIFIFARATTEQGNMGMS TGPAVASKLEAKYGKGGVWVQGVGGPYTADVPGNLMPDGSSPAGINEAVRLYNMAHEK CPDTPVVTGGYSQGTALVAAAISKLDAKVMDQVKGCVLFGYTKNAQNNDAIPNYPKDR TAIYCNVGDAVCTGTLIITAAHFMYQADAAGPAPQFLISKIG FPSE_03780 MASTPEVAALASDAKKPTLDQSQDQSSVIQHVENSEFEENPKVA WSTILAVFFMGLTYIPAIATAFLMPTQIIQQIGRDLGDTDNIAWIPGGWSIGAAVSFS VAGGLSDVFGRRWVLLSGQTIILVGAIVCALAESTLRIVTGTTLVGFGAGVIMVAYPG ISELLPNKYRGIGIGWTEFCITIPWGSLSGLIATQLYIKATWRWCYYITIMYAAVCLV GTFICYFPPSRPQHDYEKTRWQQVKELDYIGLLLFATGLTLFLVGITFLGAAHRSTAL VASCISIGACVFIAAFIYDFTIAKKPLFPLKLFRMYREFTVYLVILFVCGMIWQAMIT LGNQGTLFMFTNDLAEIGYISIPANVSGIIGGWIMPTLVHKIKHIRYQFLFALLLQTA FTASYASVIPHNKNGWMLLPMFGQACFTWLTVLSYVSSGLLVPQEELGVSAGLMGTFR SAGGSLGNAMFSTIVTSIINRDLAKNIAGAAVGAGYSAKDLPSLIPAVIQNAVGVPFA MAKVPGVTESVLHATNIAFKNTYAKAFRTVFLSTIPLGVVAMIAAAFIHDPSHLLNNH VAVHQEKEVLGKKNEVEMTGKEID FPSE_03779 MDSKNTWETVDVRGIASFKSVATLVSVWFLYRLFVALYNISPFH PLSRFPGPKIAAVSYLYEAYYDWWRVGRYGKVIRPIVRINPDELHCSDPYFTDEIYAG PGRVRDKWQHQLNTGGAGPVSVTGFSTVNHEVHRMRKGALSKYFSRSQMLKLEGEVRD FSQMTVEKMLRYAGGEPFDVKEAFNCFTADIISQYAFGESMGFIAQEGWEPNLATWVK SFFQSAYMMRHNVLARKMAQFLPFLSDYLGEDIKAVMRQMNVVIPGYIKAALKNPDGG RVFAQVVESKTLPESEKSLYRLSGEGFNFLLAGTETTAATLTVITYFLLDKPDIYKRL MADLNGLDPENLKWTDLEQRPYLWAVIHEALRVMPGVSHRSARIAREEDLVYQSQDGN TNWVIPRGTPIGMTSMINHWNEELFPKPDEFLPERWLENGKPNYKLQKFLISFGKGSR ACIGENLAYCEVYIMAALMAFRVIPRARLYETTIEDLTYDHDLIVLQTKKGSISVRIA IS FPSE_03778 MSQSNASDSVGGEYLYQPEVSPDIRQLEHKLVFEDPPDIATYKD LMLDHHPANFKWWSGCPEPEERRNIAGLTPLAAAVKQGKVEEARALIEGGARADIYGP TFGSLPHLAVALEYAGIHQIFPLLSLVLEAGADVNAPGPEPNKESLLCLVISTLFLHN YRHAICRYLIEEREVDVNTRCQCGTYPIILAATDADRKLVHYLIQHGADVNVSDDQGL RAVHYARLSPSNRSLGLLIKAGADLLSPDNYGRTPLHFAAGHCDWSFIGNFIDLLPQG YDINVRDDDGWTPLMWACKNSRSEALKIQILIRDYGADVWPVSYDGKWSALKLANYTA WGLEGLWFLSPPKDQRERILEDGTKQIWDPEFHEISPGGHHNFNSCASCFSLTPRPLY NCVDCSEVFILCFKCFQSRRQMHNPDHTFVEHVEPEMPEGSDTDTDCDI FPSE_03777 MKAPDSPRYEFGGPLGATGIVFGLPILMQVLYLGCNDVSGCPAP ALLDPKTLSWAKLKSQIPWPQEGLSGFMSWEVTKWLFAYYFLSLVLYRIMPAQVVLGT KLRESGKPLEYRFNSFSATVVQLTGCAIGTYIYGANFPVWTWITDHYIQLLTTSTVLT YIISIWTYLRSFSIKPGNPELREVAVGGRTGRVIYDYFIGRELNPRVTLPFFGEIDIK AWLEMRPGLTGWILLNCSFIAKQYRTYGFVSDSIVVIALIQAYYVLEGQYSEAGLLGM MDITSDGLGFMLTWGDIVWVPFLYSTQCRYLSVYPVHLGPIGVGAIGTVFCIGLYIFR SSNNQKALFRKDPNNPAFANMTYIQTKRGTKLLTGGWWGMARHVNYLGDWIQSLPFCL PTKAAGYVILPAGTAVAGAEVAKMLDGRLVTPGDAAPWGMLFTYLYSAWFGFLLIHRE RRDDYACSEKYGKDWEEYKNKVRWRVLPGVY FPSE_03776 MAQKTDYQNIFHWAETQKDGSIPSFATRDNDPYEYLSGFNNHHE SEAVPGTIPRGQNNPRVVRFGLYAEQMSDSFGASRQANRNSWLYRSRPAVAHQGFTDM PKIDGTESCFLPLNPQVRISPTQLAWLPFEINEGTDFISGLRTIAGSGDPTLREGLAT HIFSATKSMEKRAFVNSDGDFLIIAQQGALDIQTEFGHLYVQPGEICVIQRGQRFKVN VDGPTRGYILEVWGTHYELPELGPLGSNGLANARDFMYPKASYNVTRDDPWEIVYKLG GKFFKSTQNHCPFDVIAWHGNYVPYKYDLTKFVNVGSISVDHIDPSIFCVLTARGRDE NAPLADFLIFSPRWDVASHTYRPPYYHRNAASELMGLIYGEYAGRSDEFQPGGISFEC GWVPHGVAYEEFKAASAQPPPEMQISKGAVAFMFESCRQFTVTDWAWNSDKKHEHEPK MWDDLVDNFSSHLDEVNEILAKK FPSE_03775 MRSQILVSGLSFIIPSFALVAYQPCLTTTALPLVTVIGGPDGYY NEYTRTYREFGSQGLTKKIYTVTQTCSDIDCEAPPIETAPPPGFTQAIVKCSACGGQG TQVATLTFPTESVEAYSSSGYLVEPLDLAQATMGWVHQVNSPQAVETTTVNSDTGMNA ESNPATPGSSDQTGAEPQAPSNGGSQQDQNGNESLGDTSSHHAATDSTGSTNGDDSSN GQNAGGLDGTSSAKGWQSDETGNGSGSGDTPAGSISQNGPSSPSSSSSSSNDSTGSQD QESNIPGNANDATANNPADAPASGGTSGGGEPVSNNNNQPDDTANITSPSGINAAPGD TSLSPDGNSGPPLSQNNSPPVVDSDSKNNGNGDDSQGPNAPLTVGGASSYKLSITTCI IANAACIFIVWLL FPSE_03774 MWLTNITRYGAFFCLFTNAAARAPEFECSTGERVIQLQPIRVVN GTRTSDATITRTFYVPPNASYITTAVFGDGPPTTYTIPPQGTPPIGTVIVKEPVTTIT SFIPSLTAERTRTIIPTSPGDPTTVIIERPEDKKTDHTGNIHNPQTRIANPDETTVYQ TPDAQAPVTRTVQREDTKTLIIDHTDEQGTVTVRRQDYTTITRVNSVDVPITRTLQPD RPGDVSTVVVEVPATKAHYTTIVQIGSVTGTVTSTQTPSDPDGTGTVIIIIPSSPDQY ATITRTGTGTATVTSTQQPKGSGDVGIVFVDVPPVARPVETITRYGTGTVPITYTLQP DGPSGTVTVVRELPSTSEPYATVSRIGTVTEPVTTTLSPAHPGETGTVVILLPPVNTR LRHTTHYGSVTAPRTTTTFPDGPNESGIVIVELPNPLTTVTRGSDIPAPTTITPDSVQ PGETVTVVVVTPIKYLTTTRTAAGTGSETKTITQKPADPDGTGTVIIELPQNAIPFTT ITSFWTGSTTRTVTQPPANPGQTGTFRVDIPREYITISDFWTGTTTRIVTQNPIVPGE TGTVHVDSPGNGAEYTTVTGYWTGSTTRTSTEDPSEPGKTGTVHIDYPDTLKYVTVSR FWTGSTTRITTDTPAGPGQTGTVHVDFPADSANYVAVSEFWTGSTTRTVIVPPTGPGD TGTIRVDFPTGGIRYVAVSEQWTGTTVRTVTLPPSRPGETGTVRIDVPAMRFATVTQY GSGSETQTLTQTHTGSGMTDTVIVIIPRITSYVTVTSTGTDSTTQTFTQSPSGTGRVG TVVVEVPPSYVTTTVTGTGTATRTITQSPTQPGETGTVIIELPPGATPPGETGTVIIE LPPGAIPYITTTVTGTGTATATRTFTQTPTQPGQTGTVIIEIPPSATPYVTTTVTGTG TAITTRTLTQTPTQAGQTGTVIIEVPPVVIPYITTTVTGTGTVTTTRTLTQTPTQPGQ TGTVIIELPASTTPYVTLTSTGTESFTTTNTYAPTASGQTGTVVIQVPSSAIPFVTTT SYGSITAAYTTTISPTLSGQTGTVVVFRPQSWFTTTRYGSVSVASTTTQSPGKPGDTG TVIIDVPQPYTTIIRYGSVTASSATTQTPRNPGDPGTVIIDLPQPFVTTTRYGSVSIS SITTQIPRNPGETGTVLVDLPQPFVTTTRYGSVSTSTRTTNGPQKPGDTGTVIVDLPQ PFTTVTKFGSVTAATTRTLTGFSQGATGTVIVDMPQPYTTVTSFGAVTSATTRTLTGF SQGATGTVVVDMPQPFTTVTSYGAVTSATTRTLTGFSQGATGTVVVDLPPPYTTIIRY GSVSAPATRTQTPAFPGQTGTVFVDLPQTYTTVTSYGVVTVATTNILTPATAGQTGTI LINLPNPFTTVTSYGTGTVTGTSIFMPATPGQTGTVVIHLPQPFTTTTRFGSVSAPTT STFTPTSPGQTGTVLVDLPQPFITTTRFGSVSVPITSTLTPTSPGQTGTVLVNLPQPY TTTTRFGSASVPTTSTLTPASPGQTGTVLVDLPQPFTTTTRFGSVSVPITSTFTPTSP GQTGTVLVDLPQPFAIFTRFGSVTAFSTTTVMPTSPGQTGTVFIDAPQPYTTIIRYGS ASVSSITTRSPAAPGQTGTVFIDLPDPYVTTTRYGSVTASSMTIKAPTSAGQTGTIII DLPNPYVTSTRYGSVAVSSITTKEPASPGQTGTILVDLPDLYVTTTRYGIVTASSITT QTPASPGQTGTVIVDLPDSYLTTTRYGSITTGRATTTQAPAAAGQTGTVIIDLPNPYL TTTRFGSITIGRSTTTEAPAAPGQTGTVIVDLPDPYITTTRYGSVSESRITTQTPTSP GQTGTVLVDLPFSYLTTTRYGVISDGRSTTTKEPTAGGQTGTVIVDLPDPYETSIRYG IITSGTSTTTKAPSGRGQTGTVIIDLPDPYQTTTRYGVITEGSSMTIQAPASAGQTGT ILIDLPNPYVTTTRWGGITGSSSTTTQSPTVPGETGTIIIDRPDAYTTTTRFGNVAGS STTITITPTRSGETGTVIVDYPQPFMTIARYGRVTASTVTTEEPTEPGQTGTVIIDLP QPFTTTTRFGVASVAQTTTQSFQSGETATVLVDLPDSYTTVSRYGLVTASTITTQSPT AAGETGTVIVDLPQPFTTTTRYGVASVAQTTTQSFQSGETATVLVDLPQSLTTVTRYG SVTIAQTTTETYAPGQTGTVIVDLPQPFTTLTRYGSVTASEMTTQSFNPGETATVVID LPQAFTTVTQYGSVTLGQTTTGLFSPGETATIFADLPQQFTTTTRYGSVTASEMTTQP FNPGETGTVVVDLPQLFITVTRYGSVSVSTMTTQSFNPGETGTVLVDLPQHFTTTTRY GSVTASEITTESFNPGETGTVIMDLPQPFTTITRYGSVSVSEMTTQSFNPGETGTVFV DLPQHLTTTTRYGSVTASEITTQPFSSGETGTVILDLPQPFTTVTRYGSVTVSEMTTQ SFVPGETGTVIVDLPQPYTTTTRYGVFQGSDPNGVTQTETPQAPGETGTVIVDLPQPY TTVTRYGLVDTDHETTTTESPTIAGQTGTVVANLAQRYVTTTRYGSVDQAHETTQTLG PSSPGDNGTVLVDLAQPYVTVTRYGSVDTDHETTTTVSATVPGQTGTVIADLAQRYIT TTRYGIVDQAHETTQTQDPAAPGDNGTVLIDLAQPYTTVTRYGSVDIDHETTMTESVA GPGQTGTVIVDLAQRYVTTTRYGSVDFAHETTQTQVGSAPGDTGTVIVDLAQRYVTTT RYGSVDQAHETTETQAPTSAGETGTVVVDLAQRYVTTTRYGSVDFAHETTQTQDASSP GDTGTVVVDLAQPYVTTTRFGSVDQAHETTQTIAPNSAGETGTVIVDLAQPYVTTTRF GSVDQAHETTQTIAPVTAGDNGTVIVDLAQPYTSTTRYGSVSSGAETTQTQAPAAPGE TGTVIIDLAQPYVTTTRFGSVDQDHETTQTISPSAPGDNGTIIVDLAQPYTSTTRYGM VSIGAETTQTQAPSVPGETGTVIVDLAQPYTTTTRYGSVDISGETTQTQTPAHPGETA TVVVDLAQPYTTTTRYGLVQASQETTQTQSIANPGDTRTVIVDFAQPYSTTTRYGLVD VSGETTHTETPSNAGETGTVYVDLAQPYYTTTRYGQVSAGGETTQTQAPSNPGQTGTV IVDLAQPYLTTTRYGLVDATGETTQTETPSNPGETGTVIVDLAQHYTTTTRYGQVSAG GETTQTQTAGNPGDTATVLVDLAQPYLTTTRYGQVSVGGETTETQTPTSPGETGTVLI DLAQPYITTTRYGQVSVGGETTETQPPTVPGETGTVLVDQPQPYVTTTRYGQVDVGSD TTQTQTPTVAGETGTVLIDRPQPYVTTTRYGIVDVGSDTTQTQTATAPGETGTVIVDH PQPYVTVMRYGMVTASTMTTESPSVAGDTGTVIVDLPESTVTVTELYTGTDTITGPTT ITTIPASGSAPGTVIVATPPTRPVTITRFYTGTETLTGPTTVTTMSGSGTDPDTIIVE TPPATSTRFYTGTEVLTGPRTVTTITPTGTEAGTVIVETPPVTSTRFYTGSETLTGPR TVTTITPTGTEAGTVIVETPPVTITRFYTGTSTLTGPRTATTITPTGTEAGTVIIETP PVTSTRFYTGTETLTGPRTVTTITPTGTEAGIVIVETPPVTSTRRYTGTSSLTGPITA TTITPTGTEAGTVIIETQGPTVTSTRSYTGTSVLGGPTTVTTISPTGTEAATVVVETQ PPQYVTSTRQYTGTTSRASAMTVATVQPSGTVPGTYIVETPTPPPSFSCDEGGYLIQV TTLYRLNLVSGVNSQVATGIGPGGTINPITYNIIDDLIYGIVQIGTSSVPRSQVIRIG STGSYTLMDTIIDGSWNSGDTDDNGNFWISQSGRAWAKIDVDPRRSTYGTILARGTAS PTNGIADWAFVKGGGRYLYGLQSAGTSSSKTGVARFSLDTQQWENLHDLGFISGTNTW GAVYAVGPMLIYASENTSGVIYRFNLATYEKSLVVQGPKTSTNDGARCINASPPGSGS FPSE_03773 MTPEESLRLIKANLAEILNPEIIDNVVLIEKRPLEIYWGTATTG KPHCGYFVPMVKIAELLEAGCRVKILIADVHGLFDSVGVPAQLIPHRCDYYQFVIKSL LRAIGVSTDRLEFVIGSSFQWDRKYTMDRSQMENMTTFSTALKASSEVVKQDDDPIIS GVTYPIMQALDEEYLKVDAELGGIDQRKIFTFALENLPKIGYKKRAHLMNVLVPGLGQ AQKMSSSDPSSKIGLLDTPEEVSKKIRKALCVPRVVEGNGVIAFIEHVIFRILALKGT VEFVVEQRDGDVLVYQGIDKLKQGYEQDILTPQTIKPALIEALNELLEPIREEFKKSE EWQLTDRSGYPPEVKPVKAKTKKI FPSE_03772 MKIMIEKTLALVLLLLFTSTAHSSPASYCDASSKKICYSWAVPS TSSTSNDLYLRLEAPTDYQWIALGTGSRMSGSTMFVIYQDGSGNVTLSTRKGEGHDMP TYNRMRNVKLLSGSGTSNKTMVANIHWSGATGIDLTGSNHWISAWKKGSSLDTSDTSA DINEHDGTDDFSVDLSKASVNGNGNPFANSTSTQKSDNAVSGGGGGENHTGSIHGAIM AVVFLLGFPIGSVLMPFIGKWKIHASWQMIAFIGMWVGVGIGKAAADHSGDSFSDPHI VLGGIVCVLMIVQPVLGWMHHRNYVKFQRRTTISHAHIWYGRGIMIFGIINGGLGLQL SGASATLIIAYSVVGVVVSMIYTGGAIHKMVKQRRKEHQPMSDTSNSALELMHT FPSE_03771 MPLLRNIQNRRPARKHINPHRILFTSLLLPALALADDEPTSSTS QITEPFVDQVTGLTMERFFGSKTSFTFAFALPDANTATNTTAGSFIGRLQFPLANGEG WGAAGLTGDMEGNFILAAWPDGKGGVMSSFRQAIDEDNPAVVKGDFKVRPIPDGTSVN ATSLLYTFLCENCLDSTLGLGPEAASGNAVMGWALSERPPRGDASDPAAFLGFHEKGF GPFTARLAQAKSTGFDAVAAKALDPVGISPKAVATVPNAFQDGGSGDEDSGDEAGDVD NDSGDESDDDD FPSE_03770 MSSSQSSSQELSDMRTSQPLETDQPKAQHSMDPQKAPETTEPSL ELRGGGACDGRLCGIIPCPIPVNCWIIPCPCC FPSE_03769 MVLSQKQGRRETLTVVDNRTNQSYEIPITHNSIPATEFKKIKAE AGNDRPEDETNQGLRVYDPAYMNTAVVQSKITYINGLDGVLRYRGYPIEQLVEKSDFL ESAYLLIYGELPTKSEYDVWHGEVMHHTYIHSDIENMFKSFRYDSHPMSMLTAAFATL GAFAPEANPSLAGQKLYTNAASGNLEALQMLDKQILRILGKAPTLAAASYRMRQGRPF NRPAQDLGYTGNFLYLLDHLSETDYKPHPVLARALDKLFIIHADHEVNCSTATVMQVG SSLVDPYSVVAAGCAALYGPSHGGASESAIRMLIEIGSPENVPAFMQAVEKRERVLVG FGHRVYKNVDPRSTAIRKLAEEVFEVTGRNKLLDTALTLADYARKSEFMRSRNLYPNV DFYSGLIYQAMGFPLDFYPVLFAVPRCVGWLAHWRQMMLNSSGVKIWRPRQLYIGEGE REYVEAKDRKAKADATVFDAPVKVGHGGNSQRTLLATSSKELKSKL FPSE_03768 MAPGSPPPAVLPQRRHDLDVAKQQLVCIVTIVATLFVLCPERYQ LYRNLAVIPILAYVTSRIFDLVISLNEKWDRAPAVVKYFVPVQIPEIAPPSEQIVGLV KNQVATAGDKLHHFSLQLRSMVRALVDWILRQTGSGAFNKDTPGSEAKNPKKPKQDRW VAVLVTTPILEERNPFQIDTDLDSEILELISWDSSELSPNLAIILSSTFKVQLTLCLI PRSIWYSRKTLLEKFTTGLYWSHQPGHSHSQGQQWDEDRIKAISRVQYIGTVNEYKDI VKVNEHFDSLRKGWNDVNTYWNDVDYAILLSFLLVGKSSTEICKKMFDHFANLRVEYA QRNTDLNRSRAGAALLTLLTGGLAAPVTIPMMMGAGDAAMTMSANDRYLWGERNNMCK ELMARHKELEAIIELKDIEINVTKPVTLPPALESSWLADDAW FPSE_03767 MHLSVLLGLGAAAVSSASRNIIYYDQWHTKDLPSKDITSGVTHV MMSFANSSLFTTQPGGKYEPFQPLDKVRSLFDHDIKVCLAVGGWGDNAGFDEGVKTDR SRERFARNVASTLDRLGFDCVDIDWEYPGGNGQDYKQVPNSKKTYEIKAFPKLLKEIK KFIGEKELSIAVPALERDMIAYLPTEAPLINKYVDYVNVMTYDLMNRRDHYTTHHVSI AGATRAIDKYISLGFPPSKLVLGIPFYAKYFMTKKGYTCTEPIGCPTELLENPEDGSD TGKSGSMTFEAANFAAAPTNLTASTDATCGAGTFFKCPAGSCCAASGWCGSTPAHCGT GCQSAFGKCDGVDINNSFHKALKNGRTDTVNGGQWYWDSETRIFWSWDTAELIAQKIA FMAQTRGVKSVMAWALALDSNDWSHLKAMQQGFKDVNA FPSE_03766 MVILVTGGAGFLGSTLVQLLLDQGHEVVVLDSLWTSSDTNLDRF RSNKRLRYIQADVRDPIPWIDGVEQIYHLACPASPVHFETQPIDILQTCFNGASNVLD YAVKQGARVLLASTSEVYGDAEIPCQDEGYRGNVNCFGPRACYDEGKRVMEALGYSYQ LEHGLEVRVARIFNAYGPFMQAEDGRAVPNFITAALKREPIVIYGDGHATRCFQFSQD CVRGLEALMNSDQNGPVNIGSDLEMEISEIADIISRVVAAKTGYDQPVPVRLEPKRED DPVRRKPDTSLAERVLGWKPRVPLEEGVSVTVDWFIQRENGIVSRL FPSE_03765 MLSKLILPALASILFQNTAVQAAGDIDYLITFGDSYSQTWFDIN GEKPSSKNPIGNPPFPGWTASGGPNWVGSIVTEQNNSLVLAYNYAYGGATVDANIIKP YQDTVKSMVDQVKQFSDSIASKPSYAPWNAQNAVAGVWIGVNDVGNSFYLGNMADITE RAVSRYFELLKVMYNAGLRKFVLLSVPPTQLTPTMIKQGPDSNAMLVKSINLYNSKIA SKLQAFKQANSGIKAIVVDTSVSFNKAIKNPTAYGAPDATCFNNNGKSCLWFDDYHPG IAINKLVAEQVATALENNGFGW FPSE_03764 MTFPNDQKIDFKVFQNTINNKTSPTAQTRHGICPSTEEPLWESP VSTQEDVDRAVDAAQTAYPLWRKLSQDERAGYLVKFADAIEAHKHEFIDLLGREAGKP PQAGAFELMLVMEHVRKTPELRLKEEKPEDNDDRTAIVRYVPLGVGVGIVPWNFPMIL GIGKAYPAMLAGNTFIWKPSPYAPYSALKLAEIGAKVLPPGVFQALSGGDDLGPMLTA HPGVAKVSFTGSTETGKKIMASCASTLKRVTLELGGNDAAIVCEDVDIPTVAGKVAFL AYVHSGQICMNIKRIYVHESIYGDFRSAVVGFLDNMKSGGFSDPEAFFGPIQNKMQFD KLQRLYGEIDKQGWKSLTSTNGAAKPEKGYYIQPTLIDNPPEDSEIVQTEPFGPIVPM MKWSDEDDVVARANASNLGLGASVWSKDVPRARRMAEQLEAGSIWINTHFEVAPNVPF GGHKSSGIGMDWGEVGLKGWCNPQAYWVKHSGA FPSE_03763 MFGSEKVDQEGQVLSGEVHDDPGHAHDAVFGKISDDGPNYRNVG WVGTAGLMMKTQLGLGILSIPSVFDTLGMIPGLICLCVVAAITTWSDYIVGIFKLRHR EVYGIDDAGGLMFGRAGREIFGFAFGLYWTFVCGSAMLSVSIGFNAVSNHGTCTAVFV AVAAITGFMFASIRTLGKLSWLAWLGLVCVITSVIILTVAVGIQDRPAAAPKDAVWVS DYHLFKKPSFTNAISAICSLVFAYAGTPAFFSIAAEMRDPRHYTRSLILCQTVISACY IAIGCVVYYFCGSYVASPALGSAGVLIKRVTYGIALPGLIVTTMLTCHLASKHVFVRV LRGSKHLAANTFVHWASWIGCTFGITVVAYVIASGIPVFSGLVSLIGALLATSLSFQP MGCMWLYDNFKRAKQDRSATWYIGVLWSFAIIVLGTFLTVAGTYASVVDIMASYKVSG GSAAWSCADNSGSS FPSE_03762 MSDEKVSAVAPAAHDTDPSKTHPDVIDHARSAANKEQNMTLMQG IKLYPKAVAWSVLISTCIVMEGFDIVLVNNFYAFPQWNRKYGTLQPDGSYQVSAAWQA GLSNGANVGSIIGLFLNGWLSERFGYRYTIIGCLIWLSGCITLFFTAQNVQMLLAAEI LCGLPWGIFQTICVTYASEVCPIALRGYLTTYVNFCWGLGQEIGIGILRSMISRTDQW AYRIPYGLQWIWPLPLIVGLWLAPESPWWLVRKGRVADAKKSLLRLTSLNRETDFDAD ETVAMMVHTTALEEKLTTGSSYIDCFKGVNRRRTEIVCMVWAMQNLSGNSFSNYSTYF LEQAGLSTKHAYSFALGQYAINMIGVFGAWALMSRGIGRRTLYLYGLCGLCTVLCVLG FLGLVPEADREKGALATGSLMIGWAVVYQLTVGSVAYSLVSELPSRRLQIKTVALGRI FYGIVGIINAVLTPYMLNPTAWDWSNYTGFFWGGICFLCIIYTYFRLPEPNGRTFAEL GVLFEKGVSARKFATTKVDVFHESVDEHVLDELNKVDTATVETVEKTAGK FPSE_03761 MNPPLELFVLSWGVYPRRVLIYLAEKGLLNSPLIKITEVTVDNG KLSAPGKPAGTAPVLRLPDGSFIKQSVAILEYFEDVCQNPKEDWEMELAKHAKSSMVG DTAAERGRVRDMLSLAEEITSQFMFACHKGTVLFLTLEVTHPTTAKLIMEFCYKNLRL LDKYYKADGRFDHGTDVEVNIADCVLYSVLHFAKDLCSLDLLSDPELANLRAFYEWFG KRESVQVDDDHFPGNIKELASQWLPVE FPSE_03760 MFGGITTKALLIAGLAFSSTAAPSKNEKRHWVGSWASMPQIVEP ANLAPAPFGGPDLAAQFLNTTLRQTFHMSIGAEKIRIRFSNIFGKTELPINAATIALP AGGKAGVGEIDTKTAKTLKFNGKKSVSVPAGEIVYSDPIDFKLKPLSNLALSVYTEKG QAGNTITGHPGSRTTSWMQNGNHVNAASVSGGSTKHWYFANGVDAWAPADNFAVVLLG DSITDGRGSTDDTNDRWSDALAAHLQASGLKNVAVNNMAAGGNAILQGGLGPPLLQRY KRDALEQPGAKFVVIFEGVNDIGPSATDAATQKKVKNGLIAAYQQIAGDIKKAGMTSI GATITQMLGNQYYAPEREVTRVAINEWILSNGTFDHTVDFASLIGKGDKLLPQYDSGD GLHPNPLAYKEMGTKFPIKFFKKY FPSE_03759 MNDIVAPSAMTIDYEIIDPDGDTWIIISSPTVSPEGTDDTTTTD DPVAVEEVATVGESVAEGPGGIHDHPMALATDETANCQEGNDPVAELWIKVSRKHLSV ASRRARIMFQGDYLETQKSESDGHYHWKMEALFHPEALSIVLRIIHAQNQGLPDSVTL KMLVEIATVVDDLQCSEALYFFTRAWTSRISQSIPSHMCDDLIEWIFITSVFGLDNRL KHAMNVAMMESTRRIDNLGLPILPSIIEMNVLCPRPESPFPDLSLSSAFEAVRSFNSP SLYLPSDSAIRESAFRCSPSLEDSQESVWVLEEDKNQHQMDWFGEVRQTNQTKSTTDT PKQLRAHQCRLQTHLKPGIDRLKAKAKALNLSGAWMGLG FPSE_03758 MVALKPALLVLLTLGNEALAVNSDVLCTSKLGTASIASNKIPRA TTTIKNQVTVIKRIIRKTNVIVVPQPRTTTVTETAKSTVTTDAAPDVEVATSVITSTQ TQRVTSYTTTTSTSTSYTTTTKWSTKTIAAPIGFKYLKSSDSQWVPKKLKVRKNQEVF PIIPPESLHVQRVDCTKRVPSTTIKTVTTTIPGPRKTLAPKTKTKMTTTTEIVTHTNY PPKVTETILETVSPITVVRDTVTRQAPSVSTEIITVETILPAPDFYEACGADAFISSA NGGNDINLALNLGALADGIGGDAANGAYNCCVECLKRPNCLLSMTVGGSDCIHYVPSG TSNVCPNGQAMWAYYYSLPNSPPSNTYANGPCGAMENMGLQ FPSE_03757 MCYQITERYAACHCVYYIHAINPCPSFDRHQPGQGIDKRTIFVG HACSSHSSSYGFVDSAKEKDDVDGGHISDDYDGASVFSQVSAPSTNLTFPDDVKQEAS DMLFQELLNEFSLRHLWPQIVRMSQHKDGATKTIARYLSRFSRDLRAHATSRLNKDAA RFVGMSRRTVASRIVECHISELTSMNKWPSIIQTKGLPLDGYTEEIEETEDLDTDEKN IIYDNIQQFIFEGAPFESLLSALRLFASTNTESSSETSYSIRQSFNYLRCGHKSYDDY LENRTGAIEELQTLLNDYEEMNLELVDNTGPTSVKTTMANLSSIIKSSLPVSLLKKTS GKLPIFNQRQRFDEACHASSATQVSAVDPKHRFLIVCAPFRKLVSKAHQPDICKIHSD RDFFNALRYTYFNSRRAVRWRWLRRVSSIDFVKFEIFLSEIVNIQQCPSLPGSEARIE YDFEQCDTSPPIGPNLLSHLFENPDHAEVLPVLFNRIPKKLGKKLCACPRKGSSVGWG IRFIEGLDPFAVFLCGCVGFLVSL FPSE_03756 MVLASLRNWRAQWLPPKPKFTEQDVLAQNGRVFIVTGGNAGIGY ELVKMLYGTGATIYMTSRSNDRAESAIKTITSVDPRPSNPGTIKPLCLDLNDLESVKN AAETFAKQESRLDVLWNNAGTGGTGVQVGARTKQGLEPMVGMHCVAALLFTQLLIPQL RTAATSSPRPSRVVWTCSFLGEAATPTNGIDFDFLKEGSSDRVQNYAVSKVGNWMLSR EMATRYAEDGIISVAQNPGNLKAGAYDGTPAIAMFFMRFFLHDPKFGAYTELYSGLSL DITSEHNGCYVIPWGRIRSDDKCPRRDIIRAMIPQSAGGLGYTGKFWDWCERQWQPFV GDVFTG FPSE_03755 MFNFTSGISDSELFGLQTLNPAHIDFSHGTKTTTAEKFAPEFNL ICRHVRPLDLFIYARPTSNCSAVEDAKHGLGQKLYQLTNPDSSEHCVDIVAVHGLDGH WRRSWTASNKVFWLQDLLPVEIPCARIFSYSHDSRTRGSETPLNWDVSDHATALLTTL STERRLTEPWRNHFESLAFLGTPHQGGEGIALVEVLCRISSIVSHTNTKILSKMERNS EWLQELQSDYNAISQDIETIFFYETLKMDTGIKSLLIVPKHSAIVSGARNSEEIPLIA DHSSMSKFTTNKDDGFQTLVKRLRIFVKVAKPKVDENWKDWEWRNGLRVIQAQRRRVG HDGKEFALGIVSSIARNPYFTGRKEILRSLSQKLSSPMNSQGLSLVVLYGAGGMGKTQ IATEYVHIHHADYTSVFWIDGSRNYTAAADILSCVHALKRHYEIQGLRDRPWYGHIEE TLAKRFEGVGRKTQQDYPTSLSAAGDETLRTMFLAWLSSEGNCRWLMVIDNVDDLESF DFRDWLPPTLCGSIIMTSRRRDLAIHWNSIEVDGMTIDESIKLLNQSSQVVPVSHAAQ DWNSAFELVQELGYLPLAISQAGDHIAMIETDSPVSNYLSHYRKHPKLSFTSRGTHYP WETTKKTVWTTWEISYEAVREVNPLAAELLLLCGFLSPRFITASLFIGQRSTEFESYQ AFRILSSYSFLKRSDGSQHHQRAYTIHPLIHFWIRHRLDNDSQKVIARQTARLLQTYN KDLNHSEESNTISSGLHVKHLLSIYEELYPCDRHPSDFINIPARPSREVIVRGVPQDY SIASFVEGWILRLRGRMDEFYFSAKAVYQSHEDYGHADYKLLFSLHQDTRGLNADTMD WILCQALSFYPPKHPRILEMIGNYAFSMSKDSRNSDIAVPWYWWLLLARMQILGAGHP ATAEAYLGIGLASTDCEEVLTVQSTACHTGRPALGYNDSLTHNAMRVFAPRFQDCRYS TVAARNMLWPIDGLMELQGPQITMITFCSRFYVLYHLTTTMQCYRA FPSE_03754 MFITLVFGVSLFGASTFAVAIGQMTNPADIWEPEKPPFSMERVR NFLGAAWLCFILAIAVAGFSSSLLTLLKHHANRAGVNGQEMWKTIAITTSVLLWTLLA VAFLFLSLAMVPYAYIPGWIAVASAVLFSIGMACLLFFQVRAHFTNNNG FPSE_03753 MVQGDIALRWAGILLLTSTSYFAIRLYQQRVWFRRMVEKYNIPT LPGHSWLLGNLIAVGKIMVAYPTDVHGQLMPDFLAREYPEIAEFGVCYIDLWPVSWPM MATFHPDIAAQFTQETSRPKHEIIRGQFRPLTGLKDLVLAEGSFWKKWRATFNPGFST QNISALVPEFIEEASIWKKYLQQVAKEDKVVRLEDSVMKATCDIIGRSVLGQSLGIQS GVDDKIYPTLKGAIGLLVTDWSPPNWTRLLDPFRGRRLVSLNQKLRSQLKPLIESQLE NHESIQGPKTVNGLAIRTYLKDTGKEGILKSGVDTEFLDVTIENLKIFLFAGHDTTAS TLCFAYNYLHHHPDVLAKLRKEHDEVLGPDPNSATSIISESPTILNQLVYTTAIIKET LRLEPPIGSCREGSPTFFLRHPETGQQLPTDGFILFSASKAIHRNPKFWSNPDKFLPE RWLEQEFHRTAYRPFELGPRGCIGQELALTELRLHLAMTVRDLEIIPAYKDEADTLYG YQAYQAHMPSELTAHPSKGMPVRVRLRKV FPSE_03752 MDLSKIPDIAQIRALIGNSQRPDITWGVLISTVVYLVYLVVDRI YKLYLHPLAKFPGPPEACLSKKWLLRVSEEGNPERVFEKLHEKYNSKAIRIAPNELHI DDVKMYKEIYNQTATYIKEPDFYAGFGTPHSVFVEHDPNLHKQRRRLLNPYFSRRAIG GLENLLKDKVEQLGKRIDSQNGPYNIFNAVRCTTVDIISHYCTGKPLGQLDNSDENFN GDFLDAFDAVSATLWKFMYQPALRGFMLAIPSGIAKIVSREARLMMKLHRECHKAALN VKQNPSKSSHGIVLSSLSHLDEKEMGAEAVDLLIAGSDTTGYTLAAAVVQICQNNQVK KKLVESLNAGIPDVKNLPSLLGLEQIAYLNATVREALRFAIASPGRLPRVVPRDSKPL VVDDKFIPAGSIIGMSAYTMNFSKELWGKDAAEFNPDRWLGEDGKSLDTNMCSFSKGL RSCLGQNLAFAEMHYILAYLFAKYDIELVDKSDNIEVHDRFTTYVTKHAIMVDMKKHK V FPSE_03751 MPTKKVSDAMRRRCVMACDNCKRRKERCDGNTPCRRCSERRPAA ECHYSGPRTTTTPRPNFRVRSTKLKSITPKTPQTQIVNCEPGDYLGSPFSNVCSLVYD ESGGLVFFGDSANESFLQQIRHLVAQTLGSCPFVDQPIEYHIDPDTAAPSYASLATIS DPPPKPSINEAKYFVKWSMRATNGLLGVCDHNTLEAEILQWLDHSDQPPDLSTALCYL VLANGALQCPGDQTEVAHAYFSYATYLVKIISTDRPNVKSILCHSWIFFYHLNTGRRD AAYHSISVACRGACGMGFHQPNRSPLMSRDSFSFRDRLWKALRMMDTFISGSLGRPVC TTETRNTKTEQGYSSAIDMTAIIDNVLKEVYGTTTISRNFISNMTQEHRLWAKRMACG LEADGIDSADLIEKYDREPNLRLCDIKESYYWSIMLLTRPVLLERVKSQILRTGPHGD QDSATPGSVSDTALVYASVDSALRSIGLLEGLLPRTDLPKRLPFAVHATMTAALTLGL AVFADLDRVFPLRANLKIADKLLQKFELHDGIARYYRQVVEQLQSVCTEYIEQRNSRI VEQKSHLVSDLFGCLSEETQLPNTAGGKKNGWLSVDADELMFQSPVCSTSTGCLTEGS TLGTETDISGGNSSDLSLDFLLGSQLTDMSDFGDMLGTDTLFSSLGWL FPSE_03750 MSAKPTLEFFGTTTFRLKWNGLTIFHDTWLKKPVGLHRYLELDD VTEVDYIVISHAHFDHLPGADQLALRTGATVIANCEAINRLRDAGVPETQLIPVSGGE RVPLFTKDILNKAVEGTIDQAPAPPGAPHRPHVKYATMAVHVWPSLHSLIPGVTPHGL PEHFDTDHQYTGETTPYDCSLDITRLMQYGLFRMKEIATDDQMDDGTRAFADYVQDRK THVMSHCDGGQLMYNFVAGDKAILFNTHLGVYEGIASCVTPKPTVAILGAGGRANLNG RPFQGSAAEFLVKQTKWLGEPNNVFFCLHDVNIIKPFTVDITGAKRLIEQETPGKAID TQPGQVYTLDV FPSE_03749 MSKLNGTNGVNGYISDNWVPAKDCPLGNPRKLKVVCVGAGYAGL MLSYQYKYGDQSLDRFMDLKIYEKNADVGGTWFVNKYPGVACDVPAHIYTFPFEPNPS WSCFYASGPEIWRYIKKTSDKYELAKPVEFNSELVSSTWDDEAGKWRLEIRQQNGSAL IKDEADILINATGFLSKWNWPTIPGLDKFKGKLMHTADWDTSLDWSGKTVGIIGNGSS AVQLLPQMQRTAAKIVNLVRSPLWVSSTFVAEFTPEGKNFTYTVEEIQTFKDKPEKLL ELRKGIESSMNKLFRVLVKDSPEQVAAFQFFKDKMEKGLNYDPYLCAKLVPTFEVGCR RLSPGDNYLEAIQKDNVTLEFDPIKEITERGITTLSKSHEFDIIIYATRFEVSFSPGW KVVGRNGASLAEQWKDAPEAYLGVMAGNMPNYFTINGPNTPLANGSLIAAMYSTVDYI ARLIRKMSTQDIKSIQVRQDALDDYNCYIQESLKRTVWTGNCRSWFKNGKVDGRVTAM YPGSVLHFQEMLQEFRTEDFDFEHTAKNRFQFMGNGLTFRETNDGDLSWYMLK FPSE_03748 MSNFNVIIVGSGLAGPLLASGLLRKGIKVNVYERLEEHAKRDGY TIRVAQPCLQAFETCLDADAFGQIRQRMGHFEGNQETTPIWYDYKMKPLLNMVRISTS FHGSSPMDRIVLRDTIMQKPVKEGVVHHEKSFSRYEVVKTESGQEKVRVWFQDGTSAD GDVLVAADGSHSRVNKQVGLNHIKQLDAISFIAKVKLSKEMLTALPKCCLVSPIITFS HRKTYFAIAYLPKARRQEVKDDDENLDFDSQIASFTFNMSLPTADCPPDILERDTNEI WKFLSESIPDWHQDFRDIIEVVRGNELYIFRPRAAVRPSLNWRKNVRSPQEPLRGHPR VWLMGDAMHAMMPNRGMGGNQAMLDAKVMIPFLERLNYLAKETGSVSEDAIGAACDEY EREMIPRSFDWVEASGGTSPIPFDTYSFFGWVSVWCLIVSFKIKDGFNALFGKS FPSE_03747 MISDYLQQPKGYEILILVLTPILLHVIGTTLYNVFFHPLAALPG PLACKISRIWQYLSELGGDGANTLAALHKQYGPLVRIGPNEVSIRDREAFVTINKQGT RFLKHSTFYDAFSSHHNNLFNFSNVDEHSKRKRLMSPSFSRASTEQHDAIIQATIKPV MEEIAVSVRKGESIDLYPAMRRFAIKSIISFCYGNNSISPDYVESVIPNLFRVLNESP RDLLALQHFPLLRKSLKRLALIFPQLFPESIRFLESVGMELLHSSRKSSSTAQPGLFK EMQKLLKVKGQSLTDNEIIAESSTMFFAGTDTTATTISVGLWHLFHQPDDYARLQDEL KTIMPDVNSKPSLRELESLPFLEACIKESLRLACPPRGRLPRVVPSEGLEVNGFRIPA GCVVSSCISYMTYDEEVFPEPWKFRPARWLQENSKELDAFFYPFSRGTRSCIGQSLSL AEQRIAISQMVRRFSPNKGMQFREIVGKEYITYVVEDDLPVMLEEAK FPSE_03746 MVSSTFHPFPRLPLELRQQIWEEACLPLGPFQRGVQYLDITVDG LVAPPCNLLETSEHGSSNISAYMIDGGLWMACKESREIIIKHTHFEEWIQLQNQAIDE GHRLMSYEADWDSDESDGHPATIAIKEGNQEWHVLLYPAKDIVCIRPHVEIPMHQEYG ETCIDISFVQDPENELCQSMPVDNIAFEFDQSWMEGCGLEMSYYDMKNEQSARGCWAS LIREAIQRAAPRRSLWIVDKNAKWFNRSVTSERIVYRDCEGEYVEGSWDDVPESTGDG VSFSASAFLGSFFCANSMELREVFAGPGSYYPCAYESDEEAHEFELKDRIRLLVRREN QVQETTWRCREKCERIGWCICQDDEGNWWDDED FPSE_03745 MDENQITGSVQYAFGPDGVLVTGPVLMDDPATGKIICACCRVPK AEHSFLTMGPYNFKSQFCLDCERPRPEQPPPSTLGKRVLDTESEPEHTVSEPEVTKKS KGKETDEQTESSGS FPSE_03744 MRLFHGTIACLAALPLASAALDKNASSILGTLPNCASKCLVTNV LTSACDLEDIQCTCDNASLQKEIETCVLATCTIREALSTKNATMILCNAPVRDVRPNF IRINTVMGIISGVFVFIRFATKITYSISMGLDDLLIAITMLLAAFCICINAFGSAPNG IGTDIWTLTPEQITNFGYWFYVIVLTYFILQTTMKLSLLFFYLRIFPSKGVRRLLWGT VAFICANGTIFALVATFQCRPISHFWTKWDGNHKGWCANVNGVAWSNGAINIASDFLI LSIPLFQLRKLNLDLNKKIGIGLMFSVGAFVTFMSIFRLYACIVAGVSHTDNQSWDYL AMSKWSTVEVNVGIWCACMPTLRIMLMRLFGQSKRYISYGSNKSGQEISREDPNRPRT KSYPLERMPGASASANAVKGSRGEIQPVGITCDTIVEVEYGAHDDETNLVHMKTFDRS KSYRSFSQSEASV FPSE_06841 MPSPPSQPSQGAASADPSENFDHVSVGSHDFLPSEYLANDSTEG CDTPSSSDFTPTKRFQFRPHLTVNVYNTGGGGSSSGTSDASTIHAERSTERDEVITKL KSQLAALSRRVDELSQEQKPSPVVIDSGTWSTIKVRSWENPQVHTKGRVSFSKDFKTA PTVSVSISAADVSKDANFRVKVYATAVDATGFTAHADSWANTKMHSCQISWIAIGS FPSE_06842 MFRTSPLFRQRLLLAAKAVAGVSITGAGTFHIVTRKCYFEPFGL ENGQSLFKQPLLKQINPWNKPGSSDSCVREVPFDKLDKALIEDAKNGGTKLVERFIAG IWGGFGYGIQRRIMALFKDDLNKHDLWTKKELLESTYEPGTYFTNHFLVLSKTPTCIT MRGCFDPHQSPPSPMDIDNMVEVRAELDEAKQVAILKLRVITFDGRKEANDKEDPFGG VGGWLHRRYSTLLVESGARNCTN FPSE_06843 MQYSTLIFALLASVASAIPLEENPFPGAKEYTPGQHVENGDVIV PVKGTAYVVKEDVYLAKLKAQGIKIGAPKLDPKWVRRNVDVTNLPKRDEAAIEHEKRD NCDGTHYIVTDNTVTFVDWDVQMSPVVCAVGDMDISVSSGYNVANSVGGSASTDLTFI ADRVGASFGVDYSKTWTTLTSVVTKGTIKDGNCGVMITKPLTTRRSGRQFNGCIGSGT EVGTWYADSHEEGSYNGITWIEGAISVCSKPGNNPPMSRCNGQGNFR FPSE_06844 MQLSIFTFALMAISGVQAATHKAAACVSNRISAPSGGSGWSVSY NWKTTYEVLPGATKCACDFYAARNKGSEWWNTCPDCKFDGTICNSPAGHIGGDEMEYY CTELCGAQGSEADSG FPSE_06845 MANFSLSDAQRQLAQDASAFARKELSPARDKYKSLSTQRDRFLA IKPFYEATVQAGYLKAFVPTTDGGTGGSFLDMSLIVEEFYTVDSSVNVALVGTALGLM PLILGGSEEQKKRFLKPFISGNGDYIASLAHSEPGGTANYLEKGGQGFGVTAKKEGDY YIVDGEKLWTTNSGGWDHKGAELTCLCVRYSEDGGPEKAENNPRDNIMILLVTRDIIA QNDPEAYTILDEPELMGHTGASGPHTRYTNFRVPADHLLCAKGDATVSIIEMAFSFTA ALVGAMACGIMRTAFEAALKFAKEDNRGGSVPIIQRQSVADLLINCKIKADTSRLLVR NALDAFDKGRGDATSRLEACLQAKIYSGEAAVQAVWEVMQAVGMRSYLEETGFGRLLN DATVLSLFDGGNVGVRRRQYERLLQSPDYSGWNVSF FPSE_06846 MVLLKSFFLIAILALSQLATANPAQQCQKETCKKAKCQNTKGGK GPIPRVHQISSNFLADYGGKPVPYARPLKPRADFLVGEDSRKEWKSQEYPFNIIKEIR FGRKVCTGTVVGRRHLMTARHCVPKEIVPAKIKYGKDRVSHATDVIIVIPEQEICRET DDFAILIFDKPVFEKDGYFGAKSFNCKKRNKPIYKHAGYPLIDKKIQRLRQDHIKVTA CNVCDQALQIATDTDVDGGQSGGPLYNMDNGGAWFYGVLSGYHRKIGSIFTSGPNFVN AIAYAREKYP FPSE_06847 MAQNNRDEKADIKRDAEPHIFQDEHPEKPVPTYEKTDYSGAHEK TDPKEIALVKKLDRWMMPMLWSMYWLNYLDRNAIALARLNDLEEDLNLQGTEYQTCVS ILFVGYILGQIPSNMFLTRTRPSRYMGVMMMLWAVVSALTAVAKDYKGLLLTRFFLGL TEAPYYPGAVYLLSIFYTRKEVATRIAILYTGNILATAFAGLIAAGIFHGLDDVAGIA GWKWLFILQGAVTFVIAVVGYFILPDFPLTTRWLTEEERQLAYNRMELDTVGNKGETS TMEGLKQAAKDPLVWIFCAMAHLHLAANGFKNFFPTVVETLGFDRTITLVLTCPPYLI AGASTILVSWMSGRYNERTWHITASKAVAVVGFAAAAATMNTAGRYVCMVIFTIGTYA VNSLILGWCGSVCGQTKEKKAVAISMVTMIMNVSFIWTPYLWPKTDAPRYAIAMGSSA GFSIGTAALAWLAKFLLMRRNRKLRAQENETMVYYVY FPSE_06848 MEFAMTLDERLSLRQELLDADDKFILDIPKVELHVHIEGTLTPE LRWELAKRNNQTLKLERTGTVYRSLEQLRESYTIIQPRPGHRIDNTEESFTFFEAYYG GFEVLVTEQDFYDLAMNYFERAAAMNVKYCEPFFDPQGHTRRGVAFHTIMNGFRRAQK EAEARLNLKSKWIMCFLRDESVKSAMETYESVLPYKDMVVGIGLDSDENDRPPLMFAE VYNRARKDGFRITAHCDVGQKDTHQNIRQVASDISSTGADRIDHGLNAAQDPELIRVI KERGIGMTITPWGYLRHEPVDEIFPRIRTLFDAGIPLSIGSDDPAYMEDCWILHDLLL VKKMCDFSDEDMVRLTSHSVDMCWADEKVKDEIRQELDEVLAKHSK FPSE_06849 MEPTSYHATACVNCRRRGRKCDRTLPECLSCEKRGTACEGYVTK WPGVAARGKLAGRSIPVADGSVIIAGAHSKARQRQLNRDACTTPTSSFTVNPVPSDEV DKFIQYYIADLSTIFFLGNGPSENPMFHYVLPLVDSVPPIRFALAGSASCHIAAKTSD ELLERKSLRLRLHATHLLREMLQSPNAATDQTILASILMLAQLDMCSGDCVEFQTHLK AAVAVIRNPGYDGSANKYYFEQRLAWLDVMSSTTSQSSPNLTMAEVRVTIGRFTSNGQ RQWSYNVFPCPIDLFEIIIEATFLFKAPNLDLEQVKSQVEDLERRVHDWKCPTMSGPR KHMVEIWRLGISAYLHRLFPDMTHRELEEPLSDQVLGLAEHISPASSWSYALLWPIFQ AVVTFGDNAEKEKDRIRSRLRIALKTIGCRHHSNALETLEIVWARSQEFDHFTISIPG RTIMLV FPSE_06850 MASPVVLIIGAGSNVGVSVAKAFLAKGHKVALASRSQNPETSTE TELHIPTECNDPSSVVNAFAKTKSEFGIPTVVVYNTSASQFNDADDIFQVPLETFQSH AVVNIVSVYAAAQEAVKGWADLPASSKPTFILTGNCANVTPLQIIMTLSVGKTASAHL IEIASKSYKNKGYRFYYADERLEDGAPMWNGVSAEGHAQFYLELAEDEPREWYQTFVS GLGYRKLPAPKAERPT FPSE_06851 MKFSIVFAGLFASTAIATPQGNVTPNTMGALEKRASFPIPASKG SVTYKKVEYISGTFDGGMKTYGRGVKCTGQAEGGDSDAVFILKNGATLKNAIIGADQI EGVHCEGSCTIENVWWKKVCEDALSLKGDGNALIKGGGATGAADKVIQHNGLGTVTID GFTVVDFGKLYRSCGNCKKMGTRNVVVKNVKAYNGKVLTGINSNKGDISTITGTCASS VKEICVEFQGTTPGNEPKKIGSGPSKACKYSSVNSC FPSE_06852 MTLDHKPDDVDSDAGDLRTLFDEHPIPVISPKTVSLISFKTHDE LEQASIVVDAFNVALANNDAIALQTCFFPAQGYWKDSLALTYHLRTFRRPKVIAKSLL ETKEARRCKEAWKLEGAVFVPATPVLQFIDVSLSFRTESPAASCSARVLLLPTENNNG EIEWKIWILSTNLENLDIHPEDTLLLQNPSRSLDGMKTIETGVFIIGGGNSAAALSAR LKAFGVESIMAERNANIGDNWALRYDCMKFHVPTSFAEMPYMNYQDEFQGDHLLSKDE LADHLRRFVSRFNLNIITSAKIQSTTYNKPSKKWKINVETPTKIITVTAKHVVQATGI GSQKPHVPIIANAHDYKGIAIHSSDYRNGQLLANQGVKSVLIIGSANTAFDILEDCHA AELEATMIVRSPTYVVPLEYIKNKRSLGAYDFGVAAADRMFLTLPTEISGQLARNLFR MLASQEPDRYAALQKAGFPVLDSAEPRQALHSNLVERAGGHYVDTGGTELLAQGRAGV KAGVEPVAFTGTGLIFSDGSTTDADAVIWCTGYADHDVRNMAVEILRGDEGVHDDSTL GPQEIAARVDATWGVDSEGEIRGMWKRHPRLENYWIMGGFTQQHRWYSRVLALQIKAA LEGILPEAYRES FPSE_06853 MKQFLSAHLTSRQLPQLSRSEAPLLEQASKPLLPPPETISTSVE ETTTVSKTNVEVVIPPIPTVTITRTLSVSTVHLYRPNQKREASLDTVLASLEKKYSKS AVTAGCS FPSE_06854 MSRSIALAALLYALPIAAKTDIDGCTSFTSTVTVRPEPGYGNTY EKVIWYVSDSLEICEGVDCGGGRAPHRSVPGCPLYKGTETVTPRFLESDPMGSPTPPV VISSSGSVLLSGVWYSDSGETATGDYTGSALPEETASENWSLSFGTDSDETLTRDYTG IGLPTITSYKLTAITSAPAVSTHKSNGTAATTGAAGTDSDGAAKPTAVDVDNAGAGVM VKAAGVLAAVAGAVVLAL FPSE_06855 MGQYGYLIPDRYVREVPNEVDMNTASIFWGFSLCAAVFTLAKGT QQSWRAWKRRHRATAYVGMIWVVWLSSMVLGCLAWGFQRQYIAPSFGFYFSVALFWAL QVQFLLQIIINRIGLLMVSKARAIRLKWTVFLIILLVNISVFVIWMPARLQINDRWIR LNSIWDRCEKVIFAVVDGALNGYFIYLVRSRLIENGLTKYIPLYRMNLGLIGLSLSLD IVLVGLMSLPSSLVYLSFHPVAYLLKLQIEMKMAELITKIVRSSGTRGSDEGYYHSSN ITNTNQRPMTSTAKAKPDRPLTGMHGAMRGRNTTLIEGGDLGELESSLESARNGRDNM SGIVRTIETTVNSLPAHVVEPSSPTEAKHTRDYQTVSLEFIRHLQRQVTDVDAFPLQK KPTFSQITKSIKMAGYNNNRGALRGGRGRGDSRRSRRHHPYSNNNQPSRAFPRPGSKA EATEKKAYWRQQAAIHHQKMEEQKQLFRNACKKIAEWEMKEKEAEKAEKEEDDKKDQ FPSE_06856 MRATTILTALFAVAVNAAALPDDSEAADVHVDVDAHVGTISTAL EKRGNCDHGYFDCVTSQQIFCNFLGICGSGVPAIACTQQCIQRINEDCRRWCN FPSE_06857 MRLTSKILPVLSLWCTAVMATLPTSYDVVWDKPGVNGSADSMPL GGGDIGLNTWYENGTILMYVAKSGTFDENNSLLKLGRVRLSFDPNPFDSDFEQRLVLN DGYVKYTGKDNATAKVWVDVFNPVVHIEVDSPEKISVNVAYENWRYEDRPIVNEERNQ GSWGIYTSKIANGTTYADKILFHENGVLMSHRNEKLDLWNFQLKQQGLEKYSDEMYNP MRNNEFGIFVHSEQLKPADITDGHYVNTTYKAWNLKSKAAGKSFKVSLSMYQAQTKNH DEWYKGLKKVIMSAVKNTHDATLTWWHEYWARSYIIINEDKGEKDAGFQVGKNYQIWR FLMGCNAKGEWPTKFNGGLWTFDPVFVNPYRPYTPDYRRWGGGTFTAQNQRLLYWPLL RSGDFETMTQQFDFYKRITPNAVLRGKVYQNIDAAYFLEQIDNTGLSNVFEYNAQWYD DDANTPRPDFFPDGELWNVWLNHMQDTANEFADMILQANIYSGYDVTPYLEFIEYQLA WFDKYYTREMLKRNPWPLTGISGNESLVIYPGSAAETYKEAYNPVSTLAGLRQVIKDL MIVDEFSLQNKTYYQKYLDKIPGNTLRQQQGHTCIAPAEAYTRVQNSEVPQLYTVFPW PEYGLGLPNLTHAINTYLYDTETVIYHGNNGWKQDVIWLARMGFTANATSMTEERYTP SKVCKFPVFKGPNFDWTPDLNHYGAAAIGLQEQLIQTFAGDDIRLLAAWPESWDVRFK VWAPHNTTVEGTVTKGDVEKLVVLPKSRTKDVVAGQN FPSE_06858 MSESRQQVYKALKTSREKSLRPILTLLNGDASWLMSFPRPKAER ASTGKAYFHVVYEPWLQGDTSLFYSWFFNIALSDKAAVTDVQGIEDIIAEIEQAASCH MPTDHSQTTTEDDGYQGNIGVIILAFHYLDHVHEPTLRTFDPNIPIVATPEAAAVIRP WNHFKTISLSHDLDSSAKTWRSPELHPDHLPDWLTIIRLPGHTILNFCTALVWTHEDV HETILLSPHGTHLDQGPLDAFLKAEPETEILTLLHGLKEKKLGVARAGF FPSE_06859 MGSKMTPEAIKPVMLIDGQLVEASDGNSFPLFNPATGDKVADVP EATKDDTNRAVAAAQRAFPEWSAMDPAKRGSYLKKLASLIKEHNEELALLEAKSMGRP LAEFFEGHVAASSYEHYAEAWPHIQGQASLNTPGYVTMTLRQPFGVVAAIIPWNVSLL FFAGKSAPALITGNTVVLKSSEKAPLGAAKLAELIHKAGFPPGVFNVLSGHGNPSGVT LASHMDVRAISFTGSSPTGRAIQEAAAKSNLKKVILELGGKSPVIIFDDADLEQAAKD TMHSIQWNSGQACIANSRVYVQDSIAHNFVQACKKALSATKSGDPTEKGINHGPQADK IQYEKVMSYINEGKQSGTLELGGNGNLDKTGGFFVEPTIFLNTPEDAKVMKEEIFGPV VNINTIKTEEEAIEKANDTEYGLYAAVYTKNVDRAMRVTQKLNSGYVGINCTSPTTAR DLPFGGYKSSGQGREGWLYSLDNFLEVKSVMMKVDSGSKL FPSE_06860 MSDKIIEAAGEWLVNLCSVKSSIDDRDQVLTPLEQLKLDEFFTL HGQSPIFKRYHVEAIRRCLSRDIRPEYQLARALMERDDTCHLFQDENDVLQVAIKSAK IKPGLLGRSPLESNPELLEMVKLLMAHGAGVNCLDGDEYSPLYYTCVLGYADLFHFLV SSGADISTTQKRNVPKQVTKLRENPENASHDKEETVNLLQVTLDALISPQNIVDLTWV GWPPGVDYDRPLWAMDIDLTWGGIILCLLQQGLVFAKDDLGLILLLHIACNQGSQDLV QELLDYGVMADVPGPRIVDGGQGEGSTCGTAMHAAAAGRQLDIVKTLISRGENPGLRR HCIFNRHSTNAQVAPIEVAIATGEYEDDENLQKFLQAFITEAKDLEKTDLKTVLNWCV TSNAVGFAKDLLQRGVRLDEVPRGVRGVEMAQLLIAHDIKPNPEALQKDALNNNRLDL LCWCVDEYGPLLPQDQVSWGKMARRLVSGRTYMKTVEYIIAEYPGLHIDSVLIAPTSN RNGEEKTMETSWLHLAIVECNTKLIRLLLEAGADANCPGLPVDAATAMKRLKQYGVRN IRDRLEVIKMIQERLSAGGKWDVPSLDETSSLAAEDIAAERKAWDEKVRRLVQNRQEV PQPIQPERRGSPSAAVHVTSTMDHYKPLTSSSSFRLLELLPSDNRADPLAGRLVDSDI TFQPEYEALSYVWGDVNPARYISIGDNDISITPNLHSALTHLRSADSVRVIWVDALCI NQSFHDERNQQVRIMGDIYKSAKQVIVWLGDATDDSHLVFDHLKDDDIANSFPNYPKQ PEAKRKAWNAIVKRPWFFRTWVIQELALARRAVIMCGEDTTLWRNIEESWKPDFSGGA KGLSTIRSFPGNEPDHPISGFNPDRHVWRLRLLDTESDPMSILRYSGVCGATEVRDRI YGILGLFKPGFIDVDYSLPVESIFQQFAEAVIQSTGRLDMLKHAGESQNYPNLPSWVP DFNEASTRIISGYGWFAPWRVDAPDEYEIRCADGKQISIPRKHLAQKYLPGLAFSADG ALVIKGKMVDTIQTVGVELPCGTSHAPGTEAFDLVMKEWESLATTLIPEWKSSISSVS SAFAATISATRGSQIYSVDMGFTQWYRHCGAKILEKADPSMFIRDHEFYLWWCDAGKP EDSDGEEYGGLGYHIRDFSDKMMAASYGRCLYTTEDGSMGLAGSRVKAGDRIVYFPGS SDPFVLREREDGKGWTLVGECYLYGFEIDDLFYGKEQVVDDFSIY FPSE_06861 MATCQDDIPSSTNCVPRPFRQGQQGKPYIQEHLEGLQCSTSLIP TVISAAWAVLVGHYTASNEMSFKRTLMTNGKISYLARAEDVCIIVPWDKPVEQFLKQL SNQHPDSTDSFDAAQEHTMILHHPSKQNDDVLLECCLQNNTVKLQLQLNSDSFDEASG TRLLRQLKHAVQLLCAKENQEIPVAKISTVTEKDLDEMWERNASVPSTNDKFSCIHGI VMDWAIKQPDRPAICAWDGQLSYGQLDKYSTTLAKHLLTMGVCTDTIVPLCFEKSMWM PIAMLGVMKAGGACVAMDITQPEERLRTIVDEVKPALILSSATNRDLATAVSDCQVIV VDLNCFESSLPRTEDHELPMVGPQNTIYVSFTSGSTGKPKGAVINHGNVFAAVRFQGP SLGFTKSSRVFDLAPYCFDVAWSNVLHTLCAGGCLCVPSGINAMTGISTSINSLDANL VNITPSLLRILDPNDVPSLKTVLLSGEPPDHATCLRWIPRARLVNTYGPTECTFKSSH TDLTLSTSWPPNIGAGIGSNLWVVNCHNADQLSCIGAIGELWLEGPLVGQGYLYNDQM TEKSFVKDPAWLLEGSATYAGRPGRLYRTGDLVRSNGDGTVTFIGRKDTQVKIRGQRV ELDEIEYHVRDCLAQQPEPRVVVEVVSPDQGYRQVLMAFIETSKMSLSSQDNIEAITG TLQEALTKVLPSYMVPQVYVPLHVIPLSPTGKTDRRLLRDMGLSILDDASSATGSSVG EEQRELTDIESRFVNLWKVVLGVDTISVRDNFFRVGGDSISAIRLVEAASKEGISITV ADVFKRPCLQELALAAKLESQETFATISPLSLIQGSVGHDDLRNEVAGLCNVPREIIQ DIFPCTPLQEGLIAMTAENESSYVAQNILQIAPSVCLEKLKEAWDLTVSTTPILRTRI VDMGGDSLVQVIIDEQVPWEYGDDLDTYLKHHSHPTMGLGKPLMHQAIVNDLDNNRRF FIYTIHHALYDGWSASLLLDRLHQAYHSKTLSPSPPFQRFVQRMLQTDSDAKQSFWNQ ELASSKARVFPQLPSPLYRPRADKTMHHTIHDIHWPTDGATASSIIRAAWSVLIARHT ASEDVIFGVTVTGRQSAYPGIGELIAPTFATVPLRINVPWKHTVEEFLQQVQTQAVDM IEYEQTGLQYIRRISAELDRASQFQTLLVLQPNMKDYKREENHHRALFESDEQGVINR ATDDLSVFNSSALMLECQIESEGVGIQFSFDSSVIDKGQVKRLSQQLDNTIRQICMAN QATTLLDIAKVSEQDLADIWNWNSSVPSAVDACVHDLVAEMATKYPKRPALSAWDGDL DYGQLRKYSTIAARNLVKLGVEPGIIVPLYLAKSVWTTVAMLAVMKTGAAFVLVPATD PLLRLHAIIAETSSPFVVVSQDQGRGLDGCRGVIFQDLLNYEFQSNEYYKPRSLDTSS TAVILFTSGSTGTPKGIVWNHRALSTTATRLGEAFQLQRTSRTFQFASYSFDVSILET FATLIMGGVVCIPSESERLNDTARAILKRQANWLCITPSAAKALLPEVVSSLKTIVFA GEQLSHSDVSRWNGNTTVYNWYGPAEASFSACCRVDEGKWSNGTIGAAFANVCWVVDP ENGDTLLPIGAEGELVVEGNAIAEGYLRQSKDSSSVFYNDPPWLLQGSKDHPGRRGRL YKTGDIVSYNADGTLKYRRRNDRQVKIHGQRVELEAIEHCLQEILAPDLAVETVVDIV TPAQSDDPILLAFLSFNSPNQSKDNDFISAMSNAAAILEDRAPSLLPEHMNPSIYFPI SKVPFTLNGKIDRRSLRAAAERLTLPQLTELLPTKEERLKPTTDVERALRQVWAKVLT VEPDAIATNDSFRRLGGDSIKTVIMARLINQQFGVKLSVRDVLRQRALSDLAQEIGRQ QNPLPGPSLQETDLGDLVEDAKALSNSLQLYTPDTFEDADEMPSRVFLTGATGYLGTT ILHGLLQRPEISQVFVLVRASSTEHAINRIIKSATISGWWHESYLSRIQPWLGDLEKP QFALSDFRWNQLFGHYDSTKCIDGIVHNGAAVNWYSSYDDLRATNVISSQQLLQIAAT NPHLKRYVLISTAPQRDIGCGVDSEKHFRKFLADADGYGKSKLVAEQVMLWASNKQGF PRQRLAVVKPGFIIGNATSGVANVDDFLWRVVAGCVTIGSFPKASSEVFIHIATTDHI AMAVTTCLHAKSDQKPIRRRVDDGLPVRDFWSAVNSALPIALDEQPFESWYAELEKKV NENLNHPCFPVLHLIAHGNPVIGSEKHRSGTSCTMDRRLELEAATARNVKYLLEVGYF SWDWSSENVSVFQRQDKVG FPSE_06862 MEGPSRDPQRSDILQEVRDEKPAADGGLVAWLGVVGAWLMFFIT WGPAGSFGVFEAYYKSQMLSSYSESTIAWIDQYQLYNKKADCSWNNNNGRGIVFPILF NALEPKVGFGWTIRVFGFLQLVFGLAALGLLLQMPQKSTKPRKLFEWRAFTEIPYTTF CVGCFCQYLSYWIPLFYLVTYGRSVLGIRQSAGFIVFCVLFGISSGTFVAANPVATLH PTITPSLTIAGERLGMNWLISGLGTLLGAPIAGTLSRPESGQFLHAQIFTGCIMVGAA LFMSVPLLVTFSYDRKEKRRLSAGVVESSSHLE FPSE_06863 MEYRFLGRSGLQVSAISLGSWLTYGGHVDKDRAFACMKAAYDCG INFFDCAEGYADGDGERIINWGGSYGEIAANNYGLSRKHIIEGLDASLERMGLSYVDL VYAHRPDRKTPIEEIVRAFNHVINMGKAFYWGTSQWSADEIATAWRYADKLGLIGPTM EQPEYNMLTRTKVESEFVHLYREVGTGLTVFSPLAMGLLTGKYNDGIPDDSRLGVSDD KFVKLTKSEFGNEEGKKMLRKIARLKPVAESLGTSQAILALAWVLKNKNVSSAITGAS RPEQIQESVKVFSILPKLTDEIMQEIDNILENRPTPITSRFI FPSE_06864 MAPNKKTILLFGDQTDSWVDGIDRLYQDAASTPWLQSFLDDLAH AFKTHTVGMDAVLRNSLGDFATLQELAEKYRHTTDDVGMAQAFLIYAVRAGILLKWAK HEPSLLSTDENRPEWVGISGGLISLSVLAVAETFEKLYEACLEVAGLLARLCRFTSVK SRSMEDRSGAWGWTVLGIGANELRSALDQYQQSMGIPPIKRAQVAVTGHRWNTIVGPP SILQLIVKECPAIRSLPKNELNIHALQHTVVTSRADLDYIVGDSTLLSQHLSLPFTLW GMDDPRAHYSTWGDMLRAICSQALSRPLDITHVVNQLSSKLRSFPQLDVKSIGPCSHL SYLTNALKSAGRTVSVADDHPPSTPPKQLPGRIAIVGMAGRGPGSDNVEEFWNVIMSK LDLCEEIPEDRFNLAEFYRSKQDSGCTTTTKFGCFMDKPGHFDNRFFHISPREALLMD PGHRQFLMTTYEALEMAGYSDGATRAVDPARIATFFGQCNDDWHDVSHHTLGCDAYTL QGVQRAFGAGRIAFQFKWEGPTYSLDSACASTASSIHLACTSLLAKETDMAVAGAANV VGYPHSWTSLSKSGVLSDTGNCKTFRDDADGYCRADFVGTVVLKRLEDAIAHNDNILA VVAASGRNHSGNSSSITTSDAKAQEKLYRKMMHNARVSPNDISYVEMHGTGTKVGDPA EMGALASLFSHRRTPKPVVVGGVKANVGHSESAAGVASLLKCIMMFQKNILPPQAGMP HALNPNFPPLSEINIEIPSEPSTFESPVSQPRRILLNNFDAAGGNACILLEDFANNVA KNSDPRVHHTVVTSSRTQASYHGNKAKLLQWLRENPGARIEDVAYTTTARRTHHPIRF AVTASSTQELISKLEADTADSPAAQKSPVVFVFTGQGSHYAGMGSELYETSPVFRETV NLCATICEEQNFPPFLDLITQSDSEISDKTTLEVQLAVLTLEIGLAALWRSIGIQPSV VIGHSLGEYAALHVSGVLSLADVLYLVGQRAFLILQRCEINTSAMLSVAMPVTDTHAF LEAQADPSCEIACVNSTNASVISGSIENITELQAGLKARSKMLSVPYGFHSSQMDPIL ADYAALAGGVTFLEPKVPVASTLLASLVDTSGTFHAGYMARQCRQPVNFVGALEAIQS NYSDPVWLEIGPSQVCSSFVRATLSPSPSKILSTLDKGTNAWLSLGKCMSSLYKNGAT IDWLALHQPYVDNLKLLNLPTYAWDLKDFWIRYTETKDQLPTSIANGHETFKANISTC AQQVVEHISPPNMKVTFRASLSDPGFKALIDGHRLRDRSVCPGSVFSEAGLAAVSHVL QLHPSKSLKNPALVLRNLSLKRPLTYDLVGPDGELITVVAPEGSSNDTFKVAWKASKG NVSYSLGDCMVAACDGQLIQARWDKVSYFIRSRVDEIVASSKSGISHRLQPQILYGLF ANTVKYDAAFKCIQEAYISSDFQEAAAVIVLNSDPVGTKFAASPYWGESLVHLAGFVV NSNPSRQNQDTTFMMDGFESFEQTVVPEPGKPYHTYVRVTGTESASIVCDVYIFDEGK LIMHCAGLHFHEVENAILDQLLGGTNTSNTTRDQPAPIMPRKEVPKPVHTAEKAEAVN NDSQSDAGVLDSILKVISKETGSDLADFQDDTLIADLGVDSIMAIEIASQVTEETGLD LLPSFIIDYPAIGDLRRAFAPKSTHTSLDNDLSRPSLVDDTSQAMQSSGSESFDQPPT SVTSTSDSGSIVKIDLGPDDDSPAPKIKITLLQGRPGNGRTPFYLIADGTGTIATYIH LPQFKSQMPIYGIDSPFLRCPTRFTTDVGITGAARFITEALMKAQPEGDFVLGGFSGG AMLAYEVCRQLAAANRKVDSLMLIDMCSPRSKTVEDKNDIGWAIFESISRQNGLWRST DMTRQHLQAIFAAVATYHPQPLNASERPKRTAIIWAEKGMIDRCAGDSELMQKLAKRG IPTEPYPKFMEDSELGPVAWGLPHKTKKDLGPNGWERYIGDALCLSMPADHLEMPMPG HVHLLHEKMTRAFEFFSETK FPSE_06865 MSVDNKQVPGPVAIVGLACRFPGDATSPSKFWDLLKSGKDAYSE TTDRYNAQAFYHPNSKRQNVLPVTGGHFLKQDPHVFDAAFFNITAAEAISLDPKQRIA LEVAYEAFENAGKPLKQVAGTTTACFVGSSMSDYRDAVVRDFAHNPKYHVLGTCEEMI ANRISHFFDIHGPSATVHTACSSSLVAIHLACQSLLSGDAEMALAGGVGMILTPDGTM QLNNLGFLNPEGHSRSFDKDAGGYGRGEGCGILVLKKLDKAIQDGDNIRAVIRASGVN SDGWTQGVTMPSSEAQAALIKHVYETRGLDYGATQYVEAHGTGTKAGDPVETGAIHRT IGQGASKNRKLWVGSVKPNIGHLEAAAGVASVIKGVLAMENSLIPPNIHFASPNPEIP LDEWNMAVPTKLTPWPAARTKRMSVSGFGMGGTNGHVVLEAFNSTPQSILYGDTQHQP VHNGKRLFTFSSHDQAGLDRVSKSLVDHLDSLGPAGARPEYLADLGYSLSVGKSGLSW KTAHVAESLTELREKLSSPQSEYAVREPRSQPKIGFVFTGQGAQWARMGVEMLHRPVF KESVQRSTDYLQQLGCEWTPIVELSRAQKESRLTLPEISQPICSVLQIALVDELRSWG VAPVSVVGHSSGEIAAAYCIEALSHKDAIAVAYFRGKVSAGLNHLNGGMMAVGCSRAE AETLIDESDLQGGHVTVACVNSPSNVTLSGDVAPLDQLKSILEKRGIFARRLRVEVAY HSTHMNSVFADYTASIADIDPQSSPCNQPIMVSSVTNNQVDPALLGSYYWGRNLISPV LFSDTIKEMVSPADGNGEKAVDLLVEIGPHGALGGPIEQILSHFDIENVGYQSMLTRG QNAVETSLELATSLFLQGVAIDIQKVNGDSGCRLLTNLPPYPWNHSKKFRAESRLQRE LITQSAPTRSIIGAPVPKMNESQRVWRGFIRLDDEPWIRGHTVGTTVLFPGAGMVSIV LEAAQQMVDPGKIARAFRLRDVSFSAAMALPEDQATEVIIQMKPQLVATSGSTPATWW EFTVSSCAGTDQLRDNCRGLITIDYEGNTSQQMAHEDSQVVSGRITDYHQILEECPAT YAKDRFYKHMMKAAWRYGETFQGVENCHPGDGKTVFDVKLIDIGETFSKGQLDRPFLI HGATLDAVFQGWLGSTYKNGTFEFDKPFVPTKIGEMEISVDIPSEAGYMMPGLCRSHR SGFNELSADTIMFDKDLSRVILSVIDFRTSELEMDGAATEETAVEVDPADITSKVLWD YSLSLMEPSDLKQAMGSIAAQNRLTDFVRMLLHDNPAANIVEFIPRSDGVPDTYTSKL PPGTILPTQIRYAIVDETENVRDENAASSMLTIDALVDSVSTSGTTADIVVIPQGFQF QDTHARILEALVKISKPDTAIVVASDTSDTTVPLKAKGFQLLHSIQGAPSLEVFAGLT GEQEKPTNGIHKEEVVLLLPSTVSTVTKEFAEEVQLDLEGQGFSVSTESLADSIDDST FDGKTCVSLLEVERPLLDSLSESDFQLIRKVVLTSQRILWVTHGESPSLALVDGFSRC IMSEIEGVKFQVLHLSEPTGLHHGPRLASKVIASKASDNEFRDKDGLLQVARIFKGLT ENENIRHHLHDDVRVARLSNQERPLRLTIGKPGLLDTLYFVDDERVLAPLADHEVEIQ VKATGLNFRDVMASMALVPVKGLGQEASGIVLRTGRDATHLKPGDRVSTLDMGTHATV MRADHRVTVKIPDAMSFEEAAAVPVVHTTAYYALVRLAKLQRGQSVLIHAAAGGVGQA ALQLANHLGLVVYATVGSDDKRKLLTDRYQVSEDHIFNSRDASFAKGIMRVTGGRGVD CVLNSLSGELLRVSWSCLATFGTFVEIGLRDITNNMLLDMRPFSKSTTFSFINMYTLF EEDPSALGDILEEVFKLLGGGILQTPSPMTVYPINQVEDAFRIMQQGRHRGKIVLSFP DDVQAPVLHVAKNSMKLDSQATYLFVGGLGGLGRSLAKEFVSCGAKNIAFISRSGDST SEAKATIKEITSRGANVKAYAADISDEKAFLNVMKECSREFPPIKGVVQMAMVLRDVV FEKMTYEEWKLPLKPKVQGSWNLHKYFDHERPLDFMVICSSSSGIYGYPSQAQYAAGN TYQDALAHYRRAQGLKAVSVNLGIMRDVGVLAEQGTSGNIKLWEEVLGIREPAFHALM KSLIKGQTDNNSEFPAQICTGLGTADIMATHGLAKPTYFQDPRFGPLAVTSLSSDASG DKQSTAMSISSQLSEASSKAKATDIITNALVGKVADILQMPQSEVDPGQPLYRYGVDS LVALEVRNWITREMKVNVALLEILAAVPMESFAGKLASTSKLVTVS FPSE_06866 MKLSPSRCLPVLLGTSSLTIANPSADCKCFPGDDCWPSAAEWKA LNTSVSGNLIKTVPLGAPCHDPTFKGDVCQSLREQWQNATIHFASSSSVMAPFFANQS CDPFQPRIRPCELGNYVSYAIAAKTTSDVQKAIAFARAKHIRLVIRNTGHDYLGRSTG TGALGVWTHHLKNIEFVDWGDDTYTGNAVKLGAGVQGFEVLEAAKSRGLVVVGGECPT VGIAGGYSQGGGHSALSTSFGLSVDNVLSWEVVTAKGELLTANKDENSDLFWALRGGG GGTFGVVISMTVKAHPGAITSGASLSFSTDTNSEETFWAGIQVFQDTLEDMVEAGTMV IHIITNTSFIIAPLTAYNKTEVQVKAIMKPFVSSLISKHVDFDVTYKESKTYYDHYNE FLGPLPYGKIRVGFEQYGGRLIPRSVVPNFTETLRQVTNKGVTWVGVATDVGPYGTRA TTSVHPAWRSTLVHALLSTPWDFTKPWHDMIKLQDLMTNVIMPKVEAVTPGSGAYANE ADFRQPNYQDVFWGDNYKDLLKVKQKWDPDHFFFVPKGVGSEIWSIAEDGRMCKSAL FPSE_06867 MQSTESFRPLPTRSDVSESVEDPNERRKIQNRIAQKKHRQKMKR RIEELETKVNNQCQTSNWTNHAPTDSCQEQQFLDNADFGLMIDDDLLYRELSASLDGA GLTAVAQMHDSPRPNQQQRLSVSGMHSSPTSSSSVAQRGLAINADQSSAPNPLSSLSL VPGSTEGSLPTRQQDNLCSQDLRDMVPEERMSRILKVIQDAGYKDMDSFMTEYYVRDF DASSHVSAVQRQSRSRRLRGFLEQLRVGAESWSDYEAHDYQHEISKSAEAIYARELDV FSTTTLGENASFQGLASLYRVLQNTVGSDIENHLRHEQSMMQRQVSGIVHRLVYTFNP AQC FPSE_06868 MAADDSPTFEEHFNRLSIDHKSGDSQSKRLPKTKIPQSQPVPGP AQPKCLLPVKGPVVADRVAAPTPHQDQDTNSLSPSSPQKPPTKSSSPLATKSATLYKH LHLGMFEIGRPMGKGKFGRVYLARERSSGFICALKVLYKTELRQSRMESQVRREIEIQ TNLRHPNIVQLYSYFHDSKRIILVLEFAAKGELYKQLQKETRFTERKAARFIAQVVSA LRYLHRKNIIHRDIKPENILVGMHDELKMSDFGWSVHSPSKRRETMCGTLDYLPPEMI KLGAVDAGRFYDEKVDVWSLGVLTYEFLVGVPPFEDTPVRTQRRIARADMQIPDFISS KAKHLIKTLDSRPRETIATRSNCRASLDFTTLFQQQHRDFQMKGVCLQQDIVFSVDEH DMRVYEVGGH FPSE_06869 MDSDAPAKRPRAPTITVDTAAVDTTHSPGKAPVRSPARSLSTNS LSSITTSPPKRTTTNNSPGIDSINASPSPLDDTNTLAVPTSKSRAESWASSSPSTKIG TDHEQISRDVEALRKGDQQEILKADPGEEAHFIVENNPFAFSPGQLSKLINPKNLAAF VALGGLPGLEKGLRTDSKAGLSPDEGKLQNPVSFEEATATKEGFKASPTADVIPSTEA HDETHATAKDAFPDRKRVYGANRLPEPKAKSFFQLAWIALQDHVLILLCIAAVVSLAL GLYQTFGATHHEGAKVEWVEGVAIIVAITIVVVVGAANDWQKERQFQKLNQKKEDRIV KVTRAGKPQNISIHDVLVGDVMLLEPGDVIPVDGVFISGHNLSCDESSATGESDLIKK VGADQVLHALLNEPTPQLKKLDPFIVSGAKVLDGVGTFLVTAVGEQSSYGKTMMSLRD DPGLTPLQAKLNLLAGYIAKLGSAAGLLLFVVLLIIFLAGLPNNDDSGEQKGQSFLQI LITSITVIVVAVPEGLPLAVTLSLAFATKKMTRENNLVRHLQSCETMGNATVICSDKT GTLTENVMTVVAGALGLRGRFAFGDSSVDKSETSSPSTPTVEGAEKSETIPLNQFSDK LDPEYKELLKTAVTVNTTAFESDEGFVGTKTETALLDWARRYLGLGPLAIERSNHPIT QMFPFNSQRKCMGAVVQIPGPTKDKPKHRLFIKGASEIVLGECTTILGDPTQGPSTES LSDSHKDGIKSVITSYATNSLRTIGLAYRDFESWPPVLTLRPEDEANTDIDLTDLVHN LTWMGVVGIQDPVRKGVPEAVIDCGIASVNVKMVTGDNVETARAIALNCGILTEANMS EPNAVMQGVDFRKLTEAERSTVVKQLRVLARSSPEDKRVLVKTLRSLGEIVAVTGDGT NDAPALKAADVGFSMGITGTEVAKEASDIILMDDNFSSIVVALGWGRAINDSVKKFLQ FQLTVNITAVGVTFVSAVSDDEQKSILNAVQLLWVNLIMDTFAALALATDPPTGSLLH RTPESRTAPLITTTMWKMIIGQSIYQLIVCFVLWFGRDPILGYSETEVRSLIFNIFVF MQIFKLINSRRIDNKLNIFEGLHRNHLFMLMMTIMAAGQVIIIFFGGDAFVVTRLNGV QWGISLVLGFMSIPVGVLIRLFPDEWFAAMVDALVKLWPSWIRFSRKKKDESEEEGQL ATEKQLEGYDMDTALLGIRDDLEFLKRVRGGRMTALSDAMERSREKMREKMRRKRSDS RPRSKLRSRRGSSRSSNRPPISPMMSVVGMPGIVAASVAGLQPGQMGNGNDNPDTRQA FPSE_06870 MDVLIATRRTIPVKFNVVRHLLCEFEAEVSPQPLDPSTMVCGFC PALAVTSSSFKDLARRNGLTLRSIPFQHTIQVFASPTAAIASVPPLSLPGENSGKESD IPQCANKIPCALEAFNSGGSNQTVQDVCKNKSMKTRVTYCVNKVCSLKEGLEFEGAVA SICNVSTKDDRQMFRYIIIVFASFSFTFVFLRVASRLIVKTPWGPDDTWAMIAFQRNW QATPSPQEKGLALTILQHMFIWQMTSISGLAAAKNSILFFYLRIFPDDKFRIMVWLTI AFNSVSTIVIVVLNLTLGNSVGKIWAGGADLTTSFQTYNVNFKIGLANTAVSFVLDTW MLILPMTQLYNIGLRQRQKIKVISMFGMGIFLTVVSLVRLVMQLKILPIPRVTACGPP TNQLVQYILPRMRQGTEASSDASSGPVFVDRSLVPINKSDIAQTSGTDGGLTATTMSS TARDIIVGIAQYLGSK FPSE_06871 MGLTQHHPFDPLTGDEISVAVDVIRKYQTGQLLFNAVTLHEPRK ADMLRWLEHPSEGNKPARIADVTVILPDGAVHDALVDLKTRKVQKWEKLDGLQPIITA EELILVEAIIREDPKVIEQCEISGIPKEDMHKVYCDPWTIGYDERFGSNIRLQQALMY YRPDPDCFQYQYPLDFCPIYDAAKKAIIHIDIPSVRRPLSKQKPIDYIPRYINENGGY RKDIKPINITQPEGVSFTMNGRVLSWQNFKFHIGFNYKEGIVLNHITFTDKGIERPIF YRLSLSEMVVPYGAPEHPHQRKHAFDLGEYGAGYMANSLALGCDCKGVIHYLDAEFAA RDGSIRTIKNAICIHEEDNGILFKHTDFRDDSVTVTRARKLIVQQIFTAANYEYACQW VFHQDGTIQPEIKLTGILNTYALNEGEDAGPWGTEVYPQVNAHNHQHLFCLRVNPMID GVNNTVNMVDTVASEAPVGSPQNKYGNAFYAKKTKLRTSGEAKTDYNGATSRTWEMVN ENKLHPYSKKPASYKLVSREVPGLLPKEGSLVWKRAGFARHAVHVTPYRDDELWAAGR HVPQTSGEPSLGLPEWIAEGTSSTENTDIVLWHTFGVTHIPAPEDFPIMPVEPMTLLL RPRNFFTNNPCMDVPPSYSITPTQVAEKKGALDQHDKVSQLAFGGKSCCSGGNAAARL FPSE_06872 MTMLEAEIWTWYGLSWVIVVARLISRRMLLGSVKKLQVEDYLMI IAMFTDTLLMVGMSIISQTSSNLIDPNEHVVLDAAEIATREYGSKWVLVVEQMQILTI WLMKYCLLLMYNRLTMSLSQNLAVKFVAAYVTAGFVVMEILYLGVWCRPFNQYWAVPP DNTQCSAATNHLITNAVINITSDVMIILIPMPIFLKSQLPLKRKAVLIGVFALGAFTI LSAILNKFYSFNEPFGSNWTFWYIRESSTAIITANLPYIWTLLRRIFKLGSFSGSTYG KSTNNPSKAYRSNFTNHRSGVRSQIRADHTLHQVDSEEEINNSYALPLKIYAKREIQI TSEDAGPEDRRGPVGCIPDELMSHSKDSVRTEDMETSSERSAAGVVKVYHGV FPSE_06873 MVHHIASNDELQKLLSSTTYVVVDFFADWCPPCKAIAPVYEQLS TKHSVPDVLAFAKVNVDHVQDAAQQYGITAMPTFMFFKEGKQVAVNGQAVIKGADPRT LGAAAEKLGGLAQKRVAGA FPSE_06874 MANLYSFPEQTQRRPRPSLTPSSDPQTQGAQVRQSISEPTFSPT GLLGDRYDLLRQEMEERSRAATQRPRDSPFNGGLLGALDEYENRQMEVPRGQRTSSDT WGVAAGLSRTPSRRSYRGFDDLQYPNRPRRTSNGCHSATSAGYVIHGTTKTTLMAWDE WSWRGSLYSPAFIDPRGELEKFMEHFHVAEQKPFDGLIIMYAFY FPSE_06875 MRSYIQYENFFPAWDQIIKEQLLDERCIEPLSNYRNRSDPTRQL GYSVIDCILDTMPEFRKAELASAAVILGLAPTMLQLMSASYLDTAMLAYRRPVLASLL SMSSSGVRPLTATEYDDFITKMGTDPFHTNFGRPQSVWAPTIVSMLEYTVASAAVANN AHLAYQLSTLAVCTFSPAEDFLPAAWTAAAVLIHLVGNLAARLKISVKDAEGEKNNRD KLSHRVWAELTPTPWQNKLEVKTNHKHNGWFLVLVSALYIGDALQAFCGTLILSSLVF ISVRDSAIIVIRLMASALFARGILIYELAGFRTERDESDASEKPQYSAVETQ FPSE_06876 MISSKAVMSFEWSLMTIAYVLVAARVYVRVWLRNAKIYSADYLL FAGLAACQGLLICDTMTYRMNAMDDFTISNVALKKIRFATNYFFDFGIYFPKFSIIAF YFNLVPFTHPGMRALLYALAGLTASFSLVTLFSDTFWCGPDPSVNWLDTDEEHCQAFM SMKLMRINWAMNFTSEVLNVLYPLPLLKELRVNSRRKKAGLTVIFGLGLITIFVSIGR FIQTTFVSNDISIYIWATAEICISVMVVASTALRPLLRKMSNMSMLSSLERTRHHQAG PIRPAQNMGVGTIGSGTYWNRRRRKDSLSGSETEINTTNGIVLTRQVMVSRETIVAIP EVHEVQEEFKV FPSE_02003 MSTTITQTQEPIELTTLQGRGKDTMEEQLSLPPDDVPPPYAQSQ AQRWNYPRGNMPKLGFAFLSFIIAGMNDAAVGALIPYLEKYYDLSYTIISLIFLTPFA GYSVAAFTNARIHMRFGQRGVAIMAPICHLITFVALALHPPYPVLVVCNIFSGFGNGL TDACFCAWVGAMDKANTVQGFLHASYSLGALFSPLIATSMVVSYDLPWYTFYYLMIGI AVVEWVGLTVSFWQKTGAAYQLEHVNETENSGAGTREALKSKVTWLCSFFFFAYMGVE VGLGGWIVTFMLRVRKASAYASGASGTGFWAGMALGRACLGFVTERFGERLCLSIYLV ICIGLQLLFWLVPQFIVSAVAVAFLGFFLGPMFPGAVMVTAKLLPAKIHVSAIGFAMA IGGTGGTIFPFAIGAIANSKGVGVLQPIILALITVVAGVWLSFPRVQKKD FPSE_02002 MAKPIVLQLGDDIKWNHDLHSTFKSHFDIKRSHSMPRAEFIQAL KNKTFGDFFAIYRPFWNTGGEMGNWDEELISLLPASCKIYASAGAGFDWVDTATLAKR GITYCNAAAACTESVADAAIWLIISVFRQLSWSSIAARSGSSEQFLDAQRNLAPVSRN PRGFSLGIIGFGRIGQRIAEKAYKALDMKILYNDVVQMPKAIEEPVGAVYHKSADTLL AEADCVLVATPFAGEALLNKQGLAKMKKGAKLVNIARGKLINEADLVEALQSGHLSGA GLDVFEHEPVISPELIKMKNVELLSHNAGASLDSHVGFEKLGMENILSFHQTGKAISP VNAHLIKQSKL FPSE_02001 MRSFLFLAGLIGTALAAPFQSTSLLRRHDTTPEGFLRVNPGKIQ VSDDNLLNGTYANNKTRVLTHRAEPASLPLKLVNNFSGGNVRAYISGLDSDGTVVFIG ADGSLVYPKSGGSKEPVEIKDNIAIPLPPQGQTMDFTIPISMSSGRVYFANDDLHFFV VDIGSGDGLVQPSVTNLQDPSAGVDWGFVELTYTNGILYANISYVDFVGIPLGMGLAL KDGSEQSCVGLESGAVSKICDDLVKQKDSDGRAWTFMCIANAQGKPVRVLSPGNQYDL EPITFGDYWDKYVNDVWAKYTNQDLIINTQSEAGEVKCRVTGEELTCDGDNRGYAKPN TKDIWGCNSGPFTVQAGDNGVHAAVVPRLCAAFVRSTLLEGGGDIQPKLGQDMYYKSD PTSHYSRIVHSYEVDNKGYAFPYDDVNPDGNENASGVVSGEPQTLTIYVGGPSA FPSE_02000 MAELAAIALVGNILQFAEVGIKLTKTAQRAYKSTSGLIQEDEEF LTDTERLKKLVGLIDQSQPNPEDARQTSIDENLRQTAKACDEYASQLETLLGFLRRRP GELRALEALRTVMLRRLRNTEIQALEGKLINVQMKLLLALTTSSHSRQSSALVAIREL KQQNAVLEANTTTKLESIESLIRGIDLKEEGTRNAAKMVISHLDNLVVEAQRVRKYHD FLKSLRFREIKQRHSAIQDHHKATFKWVFAKGQTGFREWLEDGRGFFWVKGKAGSGKS TLMKFIATHDDTQSLLRTWGGDRRVITASHFFWNAGLSMQKSLTGLFQTILYQVLREC PELIDTIDSPRQESSAESSLYDSWDDKTLFRAFEQLSSQKSLPLRFCFFIDGLDEYTA GAHRYTGTFEELLSPLRVLASSNSIKICVSSRPWDAFDREFSHVKWKLQLEDLTREDI RRYVKEELGVHPKFQELSEMDPRCSEIKDTIVKRAQGVFLWVYLVVNSLKRGLLKDDN YADLQSRLNELPDDLQRYFEHMLQSIEAIYWDSTTRIFRTVIAAEQSLPLLAFELLDR EMEDPDYALTMQASPLTDVESEAICKRSNTRLNARCGDLLYVTVNPTETGLFKSQVDF LHRTVRDFFLDTGVIDDMIQKRPTEKFDPHLSLCRIMLGLNKVITRDENSSQVYNQLY IIADGLMHYARNVEETFKRLKEDGMAEHDTASLEQVFTILDELDRTNCEGLNHLGVHW TNIKDPPQGTFREGRNKTFLAATIQARLALYTADRLKKNPAEIRQKGGRPLLDYALRP TMVTPLPLQIIHLEQGPVLPIVELLLDLGADPNAGIYIYENRTPWELFLEMCHQHSKQ EIKPEHLLDDIGRAMELMVQGGARTDGLFQVSYGLSMKLMVITGRLGLPQHCMNKIEE VVENKEQGRSFLSRMFRWN FPSE_01999 MFRTKGSTTPLVRTSRWSQNDTPPEEAGFYNEVRQMSGRLEEVP LSQDERIWIRVPVSTFMFLIYNPMSLLFRPFRPIFTTPHGLVGLLIVFLLVVIPLFVT SGCLASITKPYPHNHSK FPSE_01998 MPGVPSYKGCDACRKQKKKCDKAQPRCARCERLDIPCVNVGKQR YKFKIQTLNRESSSSSTPQIVDTDGETSSSSASRKSVSPFLFQQALIKVPSNKTTVVT HTLVSRLEITDLRYDITCYGDFLRHIPARLGRNEALDASADALATTFSTLHMPQGYQT IDALTKYGRAINSLRLCLDNPAKARMPETMCAVYLIMICQGWLGRDDDPCTSHGQGLA YLLKAAARENWKAGFETDMVLTFCVPVIIESISNPKVKLEKWFWDMLDNFKKNNPPST AETRQAKQEGQDSGGIPSLSIRNLGRLPDFINNPETHRMEITCAYHRLCRDLRKVAEI VRAVTWEPGYSPTPMQLRLSRSYNSAYSVLLTVLVIMNCLMQAFDPYNLALVGEAALC SSEVVSMARNISQYRPLGASHVPLALAIAWAATEEEGLKTQLYDLLKEYQQDWMVTRW DKVGYWWMDKFGELRERLAPRVISPDDEDILTFERTGVSLSYRPKQPAEECCVM FPSE_01997 MSGHPGSTRRDISHNAFNDHTRIHQGDVHNHVHYSSPRPTPHAG VIRVIPYPRNEDLVPRRNLIDKLDELLPSTPESYSAALWGLGGSGKTQIALNYAYRRC DTDQECCVFWVHAENEATFSADYKTIGKTLGVDDQLNGPHLLDAVRTAIEAKPKWLMI IDNADNLGLFGVGRQAKAEEEIEQQGQNLRGYIPCAPQGSVLWTSRDGHVAGTLVGAR RGIKVQSMAPYEARHLLGNILHNTSTAPETLRGAGTDALLEELQYLPLAISQAGAYMK RSSMTAEEYLNSLNRGKTRWEVLKVSNTDRHRRLEVSNSVLETWKISTEQIRTESKIS YHILHVIAYVDNQNIPEELLVAAASSFVIDEDKTQDVSELGAKEAVARLQEFSFLNLR GTYDGERRYEMHKLVQEAIRYDLRIRSLSENASCNGLEELEAFYSAKALHVVNGLFPT ERIRVSRVQCDKYAAHAIRVGEWAELSNTKVLTAHLLQRVASFFKYRGIWREAKSLFE RVLGLRREVFGENHLATISARQDIAMMYSLESQYAKALPIHLEVLEFRRQVLGEKHPV TLSSMQRLGSAYMNLGQYDEAEVLIEKALKLQRGVFGEKHLEAIRSMRHLGYTYLRQG RLSEAQRIATEALEIAREVLGGSDLHTINVMKLLGLVYNNQGHYKEGEVILAEALSTG KEVFGVMHPEIIGVMGDLAWVYGCQGRYNDAESLYQIVLDLRQQVHGDNHPTTIATMK NLAIIREKSQRHCRRTESVEVLALAEAEGGKQGYRSP FPSE_01996 MRELLNKVLYGSSSPQGVTSNDGSQSLIVRPHPNDDNLLFITPA SSPKDAPPLYTISKRLSNPNFILHRGFPAPENTVAVASMHISTSTVDLSVYNQPMVIK NSSMTGSWSFDTHMGKFKWKVNQYTGTGFELYDRQGNKIAKYRNAGLMNFGEKQLSIY VPGDEFFTAMVLLSAVASKELAKIIEEVVGEVAGAVVGA FPSE_01995 MAATIKDPQRIVEIRESEIPVPWCDDFGKMISGMNLDIGSSPAL NDYKLGVKRKLRAFNNEDIPDDATLSSLKMKRMALAKQMIGKMGKGVNIEPPFFVIWG CNTFIGNGVYMNRGVSIHDNALVSIGDNVLIGPEVCICTPTHATDVKGRREAQGTSYS LPIRIESDCWIGARATILAGVTIGRGSTVAAGAVVHKDVEPETLVGGVPARFIRSLKD VPKDPDVESIDGEL FPSE_01994 MSYVFDGVKRKTSNGEYYYLDDDMPTVRVPISSNCHGDRPMKPE ELNNVFGVREETMPSLPGYFTVPDLYHKWGGKYGRDKGSSDWYYIEGDMVVYRPIEWV PSVVRIKTIPKNELQTTITKEEVVDTTIKLYARADLSIELSAGGSGLGMAAKATATAE IGAEFETKSSKTDIRKQEGKLGGTAMNELAVGMLLKVEEVYRRSIYVWVDDRKKGDSM GWGGGPGWYEINVKDKHLRSVQKLQFYNIRTPGGGSTQHLYIQTLPVFDENKKLKDLH IALSNATWEDWYAYVVGKKGPSQGTKLLAYRGFHPTITIMPA FPSE_01993 MHEVQYPDYKLFPAAFEAWLRAKFDDPTITVETEREEKLAVGCP GKDARRYSFVPFATMNTHDQLMQHCSKSLDYPCNLQEPCQPRRTLDGYRKMLIKSEED LFDSEKEEITIIESGERAYKCDQETFTRPAGLQKHLLQNRFNGFDALDKPADDLVQIP RLGRSGCVHGTQYLLRSVEQSTGPMGETAWNIRQMAVHHHLMQETIKEAMLDDPSLSP TPAEGLSKSFAATLLTHLIHLQWCDESWRLCINDFEERIRTVLSKAKTARVHQQSGIH TTVKRALTSKSNTNVNDKSEKHTAFRNTRLQLNKNGWEALTSYLCLTPITGNTSEPVP REKEMVDEGVSNRLEALMVLDTFSINEAQKLHDIGEHLENFRQIKISCKAELASFSRH VERIRKNLEIRVTQIESLIAWLKEGKVLVSHMFTESSHIQSEKMKKIAYKTEKETISM HVIMCVTLAFLPGTFVAGVHQSGLPREPVFNILSKSAITTTPATGGDTTTAAGDATTV ATA FPSE_01992 MEQPQHVNSPSHMPTWHENKSEKATAMPSRPQFSSSSKCLEPLD ADRMDLRYIFANRLMPVAFALPWVASMVISCIPFTRISLIDAPDFLILAALISIVEVL SIVAVFIYASLRSDTDTNSTFKEKCLRVWFWFLFISPFVTGTMVVLMASDISCDPEDD LDMHQPICQVGIRLIKATALCRAGIIASFLFATSSWLERRPRQPTISYYDPLEEEP FPSE_01991 MSQSLVTGSKVLRADFDSNKALPMTPEEVTSEWLSEALGIEVKA SEITSMIHGTASKLFVHLTFGDGVKTDIPNNVVVKGGFNPAIRVAYPEMWATYRREAE FYQHVAPQTKMLLPSIWFAGTDVVNGQGIVIMSDISKEATFGTMLEPWNHKMVGEALK QLASLHASSWNSKEEAYPWLFGKDGAKLENPVRNIVLALLEPAPWGARFDEKVRPPVA KELLDPDRVRKAFQSLWKFADADQKYYSLIHGDDHIGNTLITRDGTPGFIDWQGLQYG PSILDVTYFLTGAMTVEDRRQHEKELIEVYLEALHKEGGPKLSREDIWGDYQRYTLQG FLWAMTPQAMQPDEAVFAMGERYSAAIMDYNTLELLGV FPSE_01990 MDPNVNIYNTSVIPPPHGQISNFDRSLSGVQLATIVVFAVTFFL ATFTLALRYVTSVLVVKEWELDVVLITLSWGTALGYFISVSFMMKYGWGSHAWDVSLA DLANYNKYLSPTTLTYMWSPTLTKLSILSVLYRISPARPHQYAVYIIAGSLLVYTITF TVLLSGPCNPRFVGSGVCLNNLAISQVVLNIASDLSIIVLPLPTLYHLQIRFRQKLVV AGILSVGSAVLVASIVRAPYVQIFATNPDFTLKQAEAGIWSLVELNMGIVCNNLMRLK PFLNRYLPQLLTFLGMSGGKSKQKMTNSVDINGNWKPKGSQGYQLHSIGKGDKNESFN KKAGMQDTVFEDSTKETIGGNGSTDSILRV FPSE_01989 MSYSVKGRFAIVTGGGSGIGHAIVKLLLGAGCSVMVADLRLRPE ATATLGEYPHSTPDPSKPSAVFCETDISDWSQISALWKTTLERFGRIDIVVNSAGVYE PPSSSFWHPPGISPLAKDAEDAQVGQYQTFAINTVGPIRLSQIAIDYWQQNPDFQGNM LFIASMGGYLHSMQTPLYFASKAALVSMVKSLSGLKRVLGIRNAAICPAAVFTPIFEQ DYCRDRLGPDSVALEPEHVAELTLQVLQEPQYGDGNIMEIMMIGSKEEPSVNVREVQL ETLYPTMGSSVMGERAIAEELKFFDQVKEKGMRS FPSE_01988 MAAADLINYLRDLRPSIAIVASLVLFLATWTALSTWRQYIRLRQ FKGPTLAALSKWWLVKKVGGGRAYLDFWEVTQKYGTIARVGPNDLLTDDPDLMKHILN VRTEYRRSDWYDGMRFNPGNNNILSHRDEDEHFKLRSKMSAGYGGREVENLEPKIDKN ILSFIALLAKYSEAKKPMDLGRRAQFFTLDVISDLAFGEPFGFLETDSDVYKYIQITE ETLPAVMVTTVIPWLVKILSSPLFKSLLPSEKDRLGFGKLMGIAKKVTAERFGPDKKV RKDMLGSFVAHGLTQKEAESEILLQIVAGSDTTATAIRSTMLHIITHPNVYSKLRAEI AGTHYSEPIIPDSIGRDLPYLQAVIKEGLRIFPPVAGLMSKQVPPQGDTWKGQFIPGG TKVGYCAWGIFRREDIWGSDAGEFRPERWLESEPEKLKEMEGALELIFSYGRWQCLGR PVALMELNKIYVELLRRFDFSICDPTKPWKVFNCGIFSQSELMVRVTERE FPSE_01987 MTITTEAERPTDLDPDLKAAATATATATTTTERHAPTTEESDLQ AESEGDFRDDDSALGSEQERLDLQHHLFTLTLGGKLYLSPLQRDDKPLGRVLDAGTGT GVWAIDFADTHPKTQVLGIDLSPIQPNFLPTNLEFQVDDLEDEWTYSYKFDFVFGRML TGSIGDWPKFFQQSFDSLNPGGWVECQDITFPCESDDGTLLKGSPIDQWCELMTEAAN NFGRSAVSAKLYKQQMIDAGFINVTEVVYKWPSNRWPADPYYKELGFWCNHNIAGELS GLSMALFTKGLGWSVEEVEVFLTKVRIDMRDRRIHAWWPM FPSE_01986 MSNSQTPQPDSLIEAEKCKQYWETVDSSDNGMLGGVLSIMPSVS RIDLQGSRTFLARLNIGVKTGRQRIPRVLEGGAGIGRITEGLLLKLADQVDVVEPVVK FTDVLKGKPGVGEIHNVGLEQWRPSEGASYDLIWIQWCIGHLNDAEVVEFLERCKSVL DAEHGIIIFKENLSTWGQDKFDELDGSVTREDEKFQQLFKRAGLKIIKSDMQRGFPVV KNRQLLPLKMYALRPDSTTET FPSE_01985 MPSLSSVVRIAALAASYASAHVIMVEPHPFNLDTEPLYQTWPLS ADLPFPCQGRTQHAEQVTKVTAGKTQTVKFWGSAVHGGGSCQFSVAYGEEAPDDPKKW HTIYSIIGGCPAEAEGNIPTTGKDPHGRENGPECGNDTGKECTKQFDIPIPKDMKNGP AIFAWTWFNKIGNREMYMVCSPIEVIGGTDDSTFVDSLPPVFRANIPGECTTGASGSV INFPEPGDFGKVIEQGTPGSEGTCEKGVEPNFKDDGAAPEKPVPSAPVASGTPSSTQP SSTVSVQPSDPAVPSTPVSSIIQSPTPSSFVTSTTDIPPVLPTSITAPINTTNPEPPV ASGRPFWADDNYEACPAEIQSGMLYCFSETTWGICNVGWAYVFDVAPGTKCNNGLIS FPSE_01984 MPDSGSDSPEAGPKGGSPTPVRRFTADIDTRWGDLLLLVCFFVA GLVDSAAFNMYGCFVSMQTGNTIFVGLGVSHQPENLPGKAWSRCLVAIVCFGVGALFF STVHRHFGPQKRWVLMLSFFIQAMLTGLVAILATTGAVWNNPHGRSTTHEGDWIIERV QNSFPASDYAAIAILAFQSAGQIVASRALKYNSMPTVVLTSLYCDMMSDAKLFTAPIT DNADRNRRAVGAVLLFLGAVCGGFLSKSWVGFAGALWIAAFLKLCITFAWVLWKAKPA NK FPSE_01983 MATPTLYSYEPVKPHQLRLLKFVDYGTSVSAVLKTFSLHQPLPA YDSLSYTWTTNGDVLSKSWNLVINKQQLPVLDTLRPFIDVLESKGQLLDDRWWWIDSI CINQSDVEEKAQQIQHMQHIYSQASRVICWLGEESSDSNIAMEFVKHLDKMSREKYHI DKLRAILEADEYRAKWAALGNLLSRRWWSRIWTVQEFVLPASISFWCGTRSVSRVAMC RSISTADKCPSVGIKETHGFIKANNRRRARGLHKYTSSEGVNVTLTLAALADYFSCMD VTDDRDRLYGLMGLSTDKWLPDVNYLLNTEEVCLRFAQAFITHYKSLDIIAFVSTQKS PCSSWPSWVPSWRNDKALVVPLMVSQSSRTQIGNLRTPAALEVDTSVAYSASGASEAI FKFEGSTLVAHGVVLDTVDGLAGSRRFQMAQCSEWEPEKGMGISSSPTDILTSLCRCL VLGRKDRYMRCPMPTVEFLRDFLQLLAPLITETNIEPSLEIKEWFSWTRSLLIQGRSF ESMLREMIHAGIDVQGPAPNRDEYYHYTFFGRFFDTVIRMSLRLVVTRHRYLDR FPSE_01982 MLMAISDDPNLGPLYNQQRRILELWERFNEIYPDGSQPGQMKTI PTIQTLYQAIDQAQTAWHDKQARGLRPIRDKFFNFAETMSEYSYLFSIIPNGDKYTSL ITGVVSSVVKVLCCVRSVEVAEGFSEALSDVSDHLRSVKKISKGADSHDMRLHVIKLY CEVFELLCHALTWFTSKRKRIGAAFKKNFYDDTVVSLLNRIQKIVTAIDREANYISHG QVREIYERVLDTKLEDRLRSVGIQSRRDGETAIEGKLGRVREAVDCSDDTASRLEVEE AVSPAALVETSSSDEPMTSESEDSLVESIDSEDDLDYCSRFEVERFTSHLGTYREFIR MMPSSTRGEVVKSLPDEVLLRVKGWIDAPESKTIWIQGIPSSQQDSVVSRTALRIYEV STEARLPCIVFFCQPRYSFAKEKNLTQKDASLIAFLYGIVNQLVHLLPTQFQATEELV QEKFDRLDGSKQSIAAALDLVRVLLDHAPSPLIWVIDGLQLVEDRTSMPFLQTFLGIL KEQKTKRISKVCFTTQGNCAVLARGLDFRERVDATRTIFSRPEGSVAMLAARPFATAS KGRMY FPSE_01981 MAGNGDTPTTDASQSEVTKSTGTSDVEEGIKDESKKEETTEGEK RNKKPTELEFHWPSKTNLWCSSTGRSHAHLWDFGVDICPRCQQDLEPTSPVPVEEKAR DESKSAKPKAKSILHAVEYRDNGNNTIGSEPWEGEFDLDSLGLADDPAFELVTVLLTS IQANDDRRDWEAERLIESNILKKPNMRAKIVSKRLTVHSEPLLKIIRCYVSYYPSATV DSSALWLEEPFALVAHHHKELQQHMDANKDKVVNESADTEIENIKKDEDEEVTGLRHL GWLLHFFKKAVLDDMINEEQRHKNDMCTFRMLWLLFKPGKTVYHETDGHLGAYVLQSV DTDPGILSIVPPMRPKSYTIKVWSLDFDGKYVGRVGKTITIAPFEGERPIRSLQLVPC DIIDQDDGGKWREKLRDNGRKWYQLLPGGQVHYSGPVLGIQKRQLDGRVYIDHASYIT YLSEKPIEELVQEYNYDSDSSSASYDSRNRRRRRFPLRIGPKLGNVRDMGGKGLSKCQ CVDCRGLRPHPPSGFPWLDYDILDPAIEKDLTIPGAKEGPGHRYLLCGRLLRGFDLKT RTWVQLDVAYCNPPRANIRAIDTLVMPTQRKDMIKALIQKFTTNDLDKTRQQAWRADY IENKGDGRIFLLHGSPGVGKTYTAECIAEYTERPLLSLTCGDIGTDEVKMEAQLSKWF QLAEKWGAVMLIDEADVYLERRQVTDLKRNSLVAVFLRCIEYYRGILFLTTNRVGQFD DAFISRIHVIIHYEKLSPEGQKKVWEQFFDKLDYDREDFKVTRRAKDYILEDGAISEM EWNGREIRNAFQTAVALADYRFQQGSANQKQPTLDQRDFEQVCEMMRQFKSYLVNVHN MDEGQRAFNAGSRALAEF FPSE_01980 MVLHQYDYIFALGTIFSFLDAWNIGANDVANSWATSVSSRSIGY IQAMTLGSILEFAGSVGVGARVADTIRTKVVDLDQFESDPALLMLGMMCAIAASSIYL TFATRIGLPVSTTHSIMGGVIGMGVALVGAEGIHWAELDKGISSGVISVFLAWIIAPG LSGAFAAIVFLITKYGVMLRSQPVWKGLFLTPIYFGITASLLTMLIVWKGGSIKIDFT DAETVGMIIGVGAAWGLIVSIFLVPWLYRIVICDDWQLRWWHIAQGPLLLRRPAPPAQ PEGAEGGIKDFYEGHLTKEELSELRRAGREGSDEFVRAQNQTSNEGKEGSTENKVMSP PSEGTDDVAEPVEAKPRRSLVGPKPEGSLVSGAVLFWYLKKAFLSGVDQDIIAMQKKK SILTGDLDEIHSTVAHYDNRAEYLYTFMQVMTACTASFTHGANDVANAIGPYATIYQI WRTGTIEGSKSEVPVWILCFGGAAIALGIWTYGYNIMRNLGNRLTLHSPSRGFSMELG ACITVILATRLKLPVSTTQCITGATVGVGLCSGTWRSINWRMVAWIYMGWMITLPVAG IISGCICGIIINAPRWGYSG FPSE_01979 MKLLYPTSLKLDIQSLEGFSVDLHSYDVKKPIPEEHVDAEILVT WTNTADNLKDAAKRLTKLQWIQSLAAGPNDVLGAGFDASKIKITTGSGLHDHTVAEHA LGLLLNAARRFYEMRDYQLQGKWPGHLGGPQPDRPKDAFTTLRDARVLIWGFGNIAKS LTPQLVGLGSQVRGIARQKGVRNGIEIYTEDDLDKLLPETDALVMILPGSDSTRHVLN AERLKQLPKHAWVVNVGRGTSVDEDALVDALEKGEIGGAALDVFETEPLPEPSKIWKA PNTIVSPHAAGGRPQGAEGLIADNLRRFRAKQDLKNII FPSE_01978 MTLVQDIHKNANAPNSCQFTLPTRRGEYLIQVSWPLCWSKERAP PEDDTSTISTVYVVDGNAYFFTATDIARRLELTHHTRVVIVAIGYPNKTCVFDKRRNG DLTPEASDGTYSVPPGPDGKPSPGPFGGASDFLDIIDTQIRPYIEETLLPKVPLGSAP KALFGHSYGGLFTLNALFTQPDFFDTFIAASPSIWFNNKSIVHNQEREFHAREPPNAQ TPRLLVMFGGAEQTLIKLPGESDEKFEKKQKGAWERKMNDNAMELVDRMKKSKKLRDV WMWEFEGEDHGGAAPCALQRGLFRFLIDQRTK FPSE_01977 MAAAAAADLALLPPLPNIEGPKLAAFTQDIENHDYELLEFLGAG AHSAVMRMRIDNDIYVVKFFRTHWLDKPEFEMDPIEEHYLMDRTPNFNAAVDEPQPQA VMDALVDQVTPFFAECRVYGRLKELDREDLAIKAHGYLRLYLTPKFQQQWNDAIAEYF PNDRAAQQSHEADAILEHYDLAQPAYAIVKDWVKDHRSPDATPLPNPVKKSLIKQIPK MLRDLQQLHKCGIVVRDLKEQQYYQGQIGDFSHAWTVPHILAPGNGLRPAWAWKSMAA WDLRCFQKQIINPWIRVANRSQPPIKPPTLIAWRTVEHRYPTRSRQIVQEGPSLPLLK YDDNRNFDMIHDPPFDPADFNWKALEAKKTAQGVVSGRVVKRRAAGQATGGKGAKKAK TKIKIVVKPRPGANP FPSE_01976 MAKPLLHESDGLLNPNSNMDRFVGNDSKESTKKMDDWKVTLISG SCACTAVFVVNLCFTIWSSVSLKDIEDSTGTSRRIMYEGSCSTTRNMSLVIHLIINVF GSVLLSASNYGMQCLSTPTRADVDRAHAKGKWVDIGIPSFRNLWKVSLWRAVLWWLLV LSSVPLHLLLNSVVYSSLSAYSYETFIFDRNLNLVETNNGLRCRLNFDISLASIVLVV NLVKAIVLAFIVIRPPKEPLFVLGDAIQSFMTRPDENPRGSCLASARTVRNGLLDKPY LVHTAPKRRGVAVTYTRWFFSLVMYGVAFGVSCWLLAYGINHINGPTDFKSLWGLGFG TANQLTLIQGDTGFANKLQEETQNIFLKVLVSNLPQLIFSILYFQYNSLYTSMAAAKE WSDFGHKRRPLRVSSSPRGQQRSRYFLQLPYRYSIPLMLVSILVHWLLSQCIFIVIVE EYKKVATEFKFRGTTSTCGYSPIAIICVVITSGLMAAAVIITALRRLPTGMPVAASCS LAIAAACRQPDGALHSEEASILPLQWGVMTIEKEWSAGEIIKHHCGFSHEPVRKPECG RIYW FPSE_01975 MATPEGTDPVPSNRRKACDLCFKKKIKCDMLKPDCSNCILYNTT CRTSPIGRKPSSTKGRIAKQQSENNRSEGLEARLKRIEEHLEQVLNAAKDAHAAKPPT CSPESHSSIGDASDSVPSKGGIDEDLAKLGVYSWKFDPVRPVVYEGPQPNSLDLPPLE EVLPIANHYFSTFNTVIPLFQQPVFMKLLRSWYNQPNTRNRARWAAIQCVLAIGYRTP QLSLTDSQVAQVEKADQCLRNVQSVVSELVTREDDLLGVQVLLGIVMLFQNSRDPKPA GVIIGTALRLAHRLRLHSQEAAMSFPAAEAEHRSRVFWIAYVLDKDISLRGNTPSCQN DEDIDIPLPTIAPADSAGLVWTQNGQIHFNYHRRRVELAYIEGKVYDLLHSNRASRIT PQERQIRIARLQSMLDQWYERIPQAFHIEHVAATVGPSQLVQMTKMHHAFLLTEVMIH GIYSYNKDWQKRISSFGRDTISGGDQNGISKEQAPLPEGWNQCVDVSRGCMKLFQEAT PTECLIWQCSYSHFSALIVLLANMILNPGHKSIHTDQHISIKALDLFDKLLKIIDDEA FRALRSVVGDLSQRAQEAVAAHRQYLKSLGKDIYEPIDVEEALVQELDYLPTDNGLEN MDGPFAGLESGVDFDVFGFSQDGTGQGFVDGDTNTWFLPG FPSE_01974 MATQAAYGVEKIVGHSDNAITAQDVTSYQETGDHSQTMKALAWM GKNKVQMVDAPKPKVIEDRDVILRVTGSTVCGSDLHLLHGTVIQLSEGDILGHEFCGV VDEVGAGVTGIDVGGRYVASFQIACGDCFFCKQKLSSQCEKTNSNTTERAMYGGRTAG MFGYSHFTGGFAGGQAEYVRVPLGDVNLLKIPDDVPDEKALYLSDVLATSYNAVKDTA VYPNDSVAVFGAGPIGQMAGIFALKEGASKVIFVDTEPRLSFVRERWPAEHKDKLELV DFKQLSHGVTNKPTVVSRLKELTGNRGPDCAIECVAGEYPKGWMHTIELAIGAETDTS EIINEMIEGVRNYGRCGVTGVYVGYTNHFNIGSLMERGIRLIGNGQAPVHKYWGELLE MIQRGELDPAQMLSHRVRLEDLDKVYYKFEKKEDGMQKVFVETKFSFPRDPSTPALTT Y FPSE_01973 MSQEYKLKGLSSLSLSPGSKQEVEVEGIEGGKVLLVNTGGTTQA LGAKCTHYGAPMAKGVLTSDGRITCPWHGACFNAKTGDIEDAPALDHLPVFKVAERDG AVYVTGEESAIKSSKRQPNVKCAGSSSAQDEHVVVVGGGSGTLGVVESLREKGWKGGI TIVSNEGNYPIDRTKLSKALLTDLDKLTWRNKDFYESGSIKFVDGHVNNVDFSGRFVT TEGGEKISYTKLVLATGGTPRRLPLDGFKNLDNIFTLRTVNDTRKIVDQIGNKGKKIV VVGSSFIGMECAVATASDNDVTVVGMENVPLERVLGEKVGAGLQKALEGKGVKFYMGA SVDKAEPSSSDSSKVGAVCLKDGTRLEADLVVLGVGVAPATQYLQNNSSITLEKDGSV KVNDDYSVAGLKDVYAIGDIATFPYHGPGGEGKPVRIEHWNVAQKAGRIAANHIANPG GKTEHFIPIFWSALGAQLRYCGNTMASGWDDLVLDGSPAENQFVAYYCKGETVVAMAS MGRDPAMAQSAELMRVNKMPTKTELQEGISVI FPSE_01972 MERPDLDLGQEPQLAEMTCCCGRIDCALLKRNCTILETVEKDVH TAAQLGQALLARHEAYMADAERDRLSLSSRIEHLEMAKQELEAENALKIEENRNLLDQ LELLNNTVSESDTRIKTLEASLLSSQQAVRKLESAALRAEDAERHIHLLELEQDDLYH ELRSTKEDARSHAQRCKEAQRGIMDMQDQLERMEEEAREERERHAEVVGRMERQRRVE KQLDTAAGRLKGAAASKTLQEPKPGNPVVNHFVRDLLQDNANLQLGMAELREMLLNSN DEIQCLREQLIDHQPASDSRNSTLKDELEAYEPPTTPRYSQELHIHHHYHVTPKADKA KVRRKRASLNSSVFSPSPASGTSTPRSSARWSLGPALPVASINTKEPNSVISMPKQRW SLLSEQPSDFASSVPSSPRSNQRNSLFDSAFGDSDYPMSPATSFDPMSPSWRTHRKGP SDVSAFSLQAPSLQLDPGTPPPNPRQFNDNVIHEEDEDEKEESTSRAVTPDLGNTPSI IDESSVVESSEDNRDDYMPRPRIHRALSHESIMSLSGGLDIHTLKARPSQLTLRPLGG AEAVLTGVTAQPTLYRGAAKRSTAALRDNFAGLPTSRTTSNPLNRPGSNRSLSPAPSD TTQGSAGGGGGIGKWVGWRPWASTTASENIPSKSAEKSRDKGFSRSPGINQPGAIPGF HQYWAAQKRKGAPAQVTTVAVDHEALVEGLEE FPSE_01971 MDEISAKRRKVRKGTRSCWECRRRKIRCQFTNEDDPVCVGCTQR ETNCVSQEYVPAPSAAPQDKRLAQRLGRLEELMEKFVDNGSESRKRASIPSPSDSEEA PTTLARFTQHTVDVFESSVADNAAQMTLNQSDASSPTVIIRQTVRYPSRSAKAANVSA QLHALFPSQKILYAISQQSPGARFVLNSFYSQQDQLDGKPEPLSALAQIPPITSHPSI LAKRLLQLAVCLQQMPTFFDGSTLGLSKSPRETMEEWVAVTGRLVTSEDDFVGCLEGL ESLILHSFYQSDAGHLRKAWLTCRRALNMAQLMGVDRKNSKPIRSADPAFNEKHRPSP PVIWFRINCNDRYLSLILGLSIGSKDNWFAEDEALVSDAPSDKLGKMYAVIGGKIADR NDLQGSAAYVLTQSIDLDLEKTHSQMDEMWWKTPDMAICCGSSDATGDGMGVIMLQVR HYTLVLMLHLPYLLRDRGQRRYEYNRSSCMQASREILIRFLEYRSCFTKAVSGRHVDY SALVAAMTLLLGYLGWRWPGYETDKEQDRDLAERTMERMQEMGSLNNDTLCVEAAETI HQLLPIVQRQPGASGENLHLHVPFLGTVNIHPGPTPPASTTSMMASNSTTPSGNSVGD AMSQFPLSFNPPSFAQDQCELPTTCPFEGTSPDVVNFNWPCFTADPEDWALQGVDTTY WNMLNSNIVG FPSE_01970 MPFSYKDLTTRDKTAEKIPTKGKGLGAFTSPSGYAVILCFPITL WQSRRNTKKTVEGLKEARRQKAERRKAAHMENFQEQQKRREMRDKSGANRVGLGPQGP PRQKQVPEVEPLDPLLERDPENPRNCDSTCGNALKGSCSIHDRKQSSRPTSPGLLAI FPSE_01969 MALSRGQALSTYVSGIKMSPGTSPASVVFRNTLYIFYAGSSSDG IWYTSTADGVNWAPVINVNKKGAGALNMAEGTSPSAVVFRDALYLLYNPAAGYFTSFT KFDGSSWSAVATTNLTAAGLGYRPKTSPSAVVYRDILYSFHCADYEFDVILQWNSFNG IKWNASNGNQSFYVGPRGSRAGPLDPVLVAPGTSPSGLVYDDELYCYFTGMGNTGTCY VTVQEGKILAPVSLSKLNTGMPFQPQISPIPLVLLESYAVRWFWVEKASQTLWYSDYR SDGGDWSPLKKLSCDGDVPKLAINTNITAVQFLNKPYILWNSGTGISFCAGFVWEISD TTSAKPMQLLRESDSFTVSTSDSTLVTFLQSELGNGPTAREFIPVSPRPATVTGEVFY VCPRPAEVVSDFTKSVYSKFDVTSSTPLATKISITTTLLANAFKLSYIIILQFQQQKA TLRFYRYRRSLLCFLYDD FPSE_01968 MEKHKGFLHSVAEENSALATEIDQLAAQSQTLSQDEFDVAIKQC LLKIYDAIIKMSQHRGHPRTPRSKVFDAWESLLQPIKALTQLPFGDFLTARMLLYLAM GLRAQKALDLKTSRALSLKPDFPLNQIDIAMDNTLLQALGRIWTDSDSSETFDWAFGE YRIGHWRVEDREKEDVKRHYLTAQALTPTEFASLDSIGGKRFVFAKKNELDDTDDDWW APFKGSVPGDNYERSRSKVHIPDDDEVEADEWVCKTVGTDGPIREPINCSIFDERENR NYCSGLDYFPRSCQFITDARRIGKPLSTIKGRILAERGLSHAGIPPELRAAIFSYLDR PFWHAYLSKVDIVKAYAPFPNIESCSECDQDKQTCPVKSMYIWNVPLRTFFVFHRTKS NVRLLCKYGTNCQGHHKDNSWKISKEKDFQEYVEKIVKDRCGSTTSLSQVGFAPATDF TLRDREEDEKRSERLFHDRGPIEDSTKEQRFNGGLWGLVASMMHNKVLLGSWQGIDES STCSTAAEWALARCLADKECAETAIKKMHSRCNLC FPSE_01967 MESSSRSGDADQALARLGYKAELPRNLSMLSVLGLSFAIMAVPF GLSTTMYITLTNGQAVTVLWGWVLVSLISLCIAASLAEICAVFPTAGGVYYWSAMLST PRYAPIVSFVDGWLTLVGNWTVTLSINFSGAQLILSAITIFNEDFVANAWQTVLCFWA VMLVCALVNAFGSRYLDLINKVCIYWTGASVIIIIVTILTMAPEKRSAEFVFTHYDAS ASGWPGGWSFFVGLLQGAYVLTGYGMVASMCEEVQNPEREVPKAIVLSVAAAGVTGII YLIPILFVLPDVKMLLSVANSQPIGTLFKVVTGSAAGGFGLLFLILGILMFAGIGALT AASRCTYAFARDGAIPGYKLWSKVNTRFDMPVNALILSTIVDCILGCIYFGSTAAFNS FTGVATICLASSYGVPVGVNLLRGRKIVKHSPFPLGKFGPLINGICVVWIAFSIVIFC MPVSLPVDAVTMNYASVVFAGFAAIAIIWYLAYARKNFTGPPVHDDDGFVPGVEVLKG QEGTSPAHKDTIDAPEKDSN FPSE_01966 MPSSRPNAQSPIIEADPALDNNIDTIRIPEPHGTYLQTRGARAA RRIYKAMGVWPWDIVPEAPPKMWAINLLEDLALVADHVARNPGDTLQDLQSELRKSLA RDRKPSYAGKLMAQDVHAAKKRFVRTLPQSPDAHEDTGDDGGTIERRSGRRRRTANYS APDSPIDPSRSRRHTSSEESEEHMASSITVASEFPPSPPVPRSVRQLKRPATTIPTPI EKRIRHSSPEGSRLSAAQSLMMFSSPMATYQMASSFGPSVTANATRSLEPNNLQEALQ PATNVFVAAIDAHVRTIRSSLAIAQQEIFPHRTTHQEALSKLRAAQDRVQAILNDIAE SQATLHRLIEERATEDAVAPQLLALQENNMSLPPEIAQAIRSYETRRAAKGEEIKTQE TAISVKRDELKRATADLDVAEKSSVSLESDIRGLQAAVTRGTEAARFSNMFSVMVQLG PEGLASIEQIYPEIGSLLEQLLASKNNPSSQHDNHQGQHHNNSVATDGDETNGM FPSE_01965 MTYPRPDFERNPLRWESLNGQWDFLFDDGDAGLSENWQRSGLPE EVKVTTSTTSRKEAGQDSVVQKIMGEAHSLIQNNVFTSSTQTSNSKTKINVPYVFQSP ASGINDRGVHEVLWYERAISDLRSAQEKEKNHRLVLRFGAVDYEATVWINGEYVGGHR GGHVPFEIDITDAVNAAKDATTHRVTIRVYDSAYDLTQLRGKQYWAAKPETIFYTPSG GIWQSVWLEVVPSARIADSSFGTVIKSNDIHSGQLRNTVVTKGRRVGKDYSVDIEASF AGIPVSKSEKKALPRESNSVGVELNVRLSEEQRSKLPKSVLENAPLENDFAWRDGVAL WSPEHPQLYDLVIRLYDDTDTIIDEVQTTTGMRSINWTTGDGTWRLNDKPYFQALCLD QGYWPETFMTPPSSESLKLDIELAKKMGLNGCRKHQKVEDPLFYYWADKLGFLVWGEI ANAYAFSAEYIDRFNAEWTEAVKLVINRPSVVTWTPVNESWAYPSLEDNVDQRNHIRQ LYYLTKNLDDTRSINDNCGWQHVLTDLTTFHDYSDGPEIEKTCSTLEGIISPKADGRG VFLEPIPGVDEGSAHKPGAPVMNTEFGGVNIAPAKKEEGKDLDWGYTTATNPDDLVKR IERLVNGIVLGGHCCAFVYTQLADIEQEVNGLYTFNREEKLDASKVKAVLDKAIGQYY NRVR FPSE_01964 MNHPTTSEKNSMLNADRDEDSSTSVPASSSMLDLPPSYDTTQPQ PAPQLQHDQESQPSEDLPTLIIDDCRIYSAYAPTRTLYQLSNPVADANRTVYGIEKFR YRVNDTSTESKLKHVEDHIYDISREVQLRALPTIHIKGFTSSKRTYKSVNIVDATKVG GGYKVEHDNAEKEELLRTERPFKDLLNQGRGNTVEWKDASGQVIAVETKLQRDDNKNI VEPPRLAIKASINDKLYDLLVTSWCAVIWREAKHDLKEPFTWQKFKDRTTNLPRKAPG LWHGYGS FPSE_01963 MSGQAVGPMSPPGTSSTPSKAPLDGRYTSLIRLEPSHAEPSWKH LGGERNGHLWTYMFGGPYTNHQQWKETVEGFTKTEDPFFYTVLSGPRDDPSSEPVGQM SYLNIVPDHRRIEIGNIIFGTALKQTRAATEAFYLIIKHAFEDLGYMRVEWKANHLNK PSLAAAERLGFVFEGIFRKHMIIKGRRRDTAWFSITDEEWPVVKKGLETWLSEENFDE NGKQIRSLKDIRRGFTEGS FPSE_01962 MDDNSTHTGQYRSACAECHRRKQKCNREWPCNHCQKRKVADKCR FIQPNAASSPSDSLTNGSEKKRSRSREDGGEPDVSEPEDDDDGDVELAAMGYAAGPLL ESLTIDSKKYKKPLGELTWVHPSTCPQLKHAIDVLPSRPQMDALVQSFFNTVNYHYYI IYPPTFLQEYQTWWDRRSRNQTLSLQWTILLVMVCACATQHLDVGIKPMVEIELSEPS DKLTVDYHSAATELGRVIPLGHCHLLNIQWMLHSIYWYKAEARFVEAWHVIGAAVREA HELGLHRTAIAEGLSEFEREMRRRVWCILDCWDWQFASGLGRPTIIDHTDINVEPPSL TLEDFSPSPLLHMKLQSELVTSLAQRWGAPKNITSAQDIQEYKSALEEWIRCFPAVYD VDNPDTSKDYKHNWIVFHRYYIHTMAYLMILNPMRSFMAQDFTKDSPEDLLAIREDAV HYSLRNLDTTNRWANHVSHRDGRFHFIIFSLFDTAAVLSTAIIKDHDETIPRKDEIID AVDSSIKLLKRLKAVSKTAKTSHDILVKMVNKMPRKKPLPRENVLRKKQRRVPASPAA PVNVSTLEPTPVFKTEPVYQQESGQESQESSPSYYASSEGAPSGSTPQSNYSSYDNSG YVQAPVDGYQKPHTYTPVNAVHAQVPQQVPSPSAGIDGIIQGGGLYSMPQVDPNIAAM VPQPYVDYQHQDLNVDYGLGNITDVDLGDFSQLWDWRSLNLDFIQSST FPSE_01961 MSEDDTDVLGPDGQPPVRVDRDRRAPRFSWTPAYEATFFRSLCE SVQLGLRENSSFKAEAWERAAIALQESHGAYPAKSHLINKSDNARKRFRLWRGLREDP EFVYNPESRTVTATEEAWAAHIEREPLSRALRGRPFDHEDFMEVLYPDVIGSGGAPKR IMKPRRRTDGPISDDPDMPGTGILNLQTDPSPPRPPGLESPNARPILTQTPTTSSAGS APQQRPTSTTIPPRGPPTVANASALTPPDESITQSRKRQLPSTSTPVMFEPSPPTTTI PMGQSAAPESPGKRRRTSSNDGSRALTASVLNSSMLPMAVRDGPSAVSPSQADSQGLS NGNGPVMEELVEAVRSRNVLRWQEEALDIFFRDFADEDLDLQVKMSEGVLINECKAMV FCKMPSRVRQHWVKRFKENPRM FPSE_01960 MFFKSLVIPALFATVRAAEDGVRYEYRTATVTQCFTRDALAAPT PVIIGATAIVHEPAVTGEPIIVEVDAPKCESCGCPTCVHTVEYTTKFQCFCSTGLCDQ EYSIKEKYSGMKDKPAMDSHSIPIGFTCDVQTCTTCGPEPVTATITYPIKDRPYMNDV AHPTAAPPSGGKGDDYKPESGSKGQDYDNGSKYDNEPNYPDAPVPVKKPEGEPMPVPA PMPKPNESNGFYSSVKPTSDYGAQESGYPGAGDEHPVIVSAAAGRQVGIIGAGVAFVM ALLSL FPSE_01959 MTPKKLSPLLLLCFTTPVSGFRKVDHLPETFCITYLSTYLVAIS GVQTDQYSSAETSFSLSSVVDDFTSDTQDIETSYSLTLDDPLSTSTTDVSATTSFLTS IETSTPDTTAIPGPNGRAVVFRVIPSSEDSNRRFYRRALGGFVGSQTDLCEDAVVYNL SQDRLYEDGFPIYYNGESYKELRGQAGPLPRGAVTTTFLDDNGFVEFVSSSLPGGRAG FCQVSESGQVYLTFGSSPPDCAPVRLSVIGVEECSNATSTSDIQSTRTSITGPVEDLT TTTSSPVETSIQPVFTEATSIDASLTEDLSQTKSFVTIVRPSNSSTTSINSPVDPTRS SALSLITIDTTSTDSTISISPSDPILPTDTDIVSSLTDAASIDNTSTESIVPRGETST SVSSDVFDVTSSSETDIVSVSETSTSDDMFSSETTAATSLSVIPIPNTELDTTVTTDT TIDLATEAASLTETSATDDTSTALETSSVAEAPVDTTETTIADTSATSGTEDVTLTET STAVDTSTTLETSSTAESSVAETTETTAAGDTTADATTAEMTTDSDINTTDTTTADDT TAADTTAADTTAADTTAAAGTTAGGATGARIIAADDTAATEMITFDAP FPSE_01958 MATLTEYVEVPKKHVVSEATRERETFRQELAFIPVPQSQLVTCS DVILTALARLAASQTGAAKAMISLFDQTHQYIIAEASATTPLIPSLGYDSHQETLWHC GTAIPRAHSACENTLCSDDPPEAGPDGFKAGHQLPVIVVEDVTTHPEYSAKPYCRPGG AARFYAAVPIRTDRGVNIGVICVIDTKPALPWGYRMSRMMRELSKAVTEHLETISLKS NQRRSEKKATGLTAFVEGDAQSVPPAERPQGNEKRLNGDPEPQRPKIEDIQSALGEMD IKSSTVASRDPQELTGGRAKDTDAVEPAPDGFTVFHDPNRVLETPREIFSKAARIVKH SIEIDGCMFLNADMLEFSTTGVSNEWMDDDLQPRRPSTFSYHDSEDTYTTGSSEDRSR PSCQVLGSSEKTTLQGQRASISLPQTLLARMIRRYPKGHIFNLDANGNLQPDELSDES NHRALHNGQDTSHTSGDAHSKQENRLRIQRREANILREAFPGSRSVAFVPIWDLRKKR WSAGGFIYSFNIKRSFTIDLDLSYLRALGMLAAAEAFRLETLAADKAKTDALGSLSHE LRSPLHGAVLSVELLNDTDLSIPQQNIVRTIETCCRTLSDTIDHLLEYSKVNKPPPQE GTQGNRADKYGLSRAPTIGRNPNSSRKVVQLDVLAEEVLESVYAGFNFQHVSLAQAAQ STNPPLRRPGHTSIRRMDTMQAIEELRTPVKDRGCVETKIGDVEIFLVIDSRPSWGFY TQPGPVRRIIMNLFGNSLKYTTKGLIRVSLEQTGALGADDNDCWVTITVSDTGVGISD DFLQNGLFKPFSQENHLSPGTGLGLSFVKQITAQFGGQISVKSRVGTGTTVTVRLPMK LGDDCHKGVCEVRQREEEFEAQTDKLRGLRVKVIDFENNESDSSGTKMESPCQLVRNM CNEWLGMQVNTGFETPCLLPDLAIWSEQGFEKPYDQQDAMPDVPNIVLCANALTVHQY ACGTKTIPRPGVFEFISQPLGPRKLAKACSLALYRWTVAQTSSDSPTTAPREQGSLTV PDNTASSIPHPASPFPQSAEATPTQTAQSYFRNPEFLLVDDNFINLKILSSYMKKLKQ PYQTASDGLQAVTAYEADPGRYTCVLMDISMPVMDGFEATRRIRAFETQQGLRPALIL ALTGLASEEAQREAEVSGLDLFLTKPVRLKELGPILRAKGVLEEESNLG FPSE_01957 MAFPISLSQASLAAAILGSVYGNYIALSPPNPSHHAAPSTGDSV RGLLLTKKHSTKVALAPWGLLALQSAALALHYPDIPASVIRHGGENGLNMNLITWSPA TVIPLALIFGAGIPLRLIPYASLGKNFTFALKTPDQLKTTGIYQYVQHPSYTGVIILV LSNVALLTRLDGPLSCWIPPQYYNTLYWMLGLMGPCTAVFFYGTWKRVREEEKMLKAA FGKEWENWHAKTARFIPYIF FPSE_01956 MDLDNIEEYDGTHDFGTNSFSVFDSFHSDTEMSFEVDPGVYPPS DKPIHDEDMIDADVEDEYMAREMEKIKSGPKPSLVCSNLSGSSYEILVHKRVNHSFAI PNAKCLDIDPSILSQYIHHVQPKSNSEIIEQDLDDSTGLQLAACKFLGHRRPDMSSAR GASEESRIYHKAVRVKRGSRYQSHITSMKVMQKELGSEFLYNTILKMSENEELGLDGF SRIKLKQEMGIANWHRRHQG FPSE_01955 MGDLPHISDKIGRFNLSSIHPADPAYTPLLYRLREETFQNRTTQ LRHGSYLRRFTRDDSLNIPTAIKESSGIDLDQFMRRYGLTGCVVVQNNAIRLEEYRHG NSKASRNDVQSITKSVVSTALVIAQQEGKLSVNDPVSRHVEELKGTAWADVPLLALSC MSSGVVEQSEDERPADVPNPMYATELYPQTDPDVVLNWLKTARKVAEPWEEFHYYNPN YYVLSTAISRATKEPLDEYISRTIWEPAGMQYDGYIRTTAAGHVDGHGGLSATLTDMA RFGCFILDGMKEEGKGPNVPPGWFKDVSDAKFSVGPRALSPNNFVSGFGYESGWWTTG RGDPDKYQMGDDGAFAGIGMYGQAIYIVPGLDAVIAVQSGYPEHDFDLFAKNAELATE VLKVLRESQSK FPSE_01954 MLEVRCSGTPYEIGHQHGAAAKHKVNGSISFYEDLFQETCSMNW EAVRQEARKYIEPLQNISPRHVEEMHGLADGAGVDILDIIALNVRTEITFSLYTDDPT TPIQTDGCTGVAYRQPNGQMLLAQNWDWQPKQAPNLLICHISQPGTDMPNISMVTEAG VIGKIGINSAGVGTVLNAIRARGVDNTKLPIHIALRTALESRSAREAANKLYQMGTAG SGHILVSDPCEALGLECTSIGIKEINLNNNGTLVHTNHLLLEHPGVDEPGWLPDSKVR FARMSELVQDKLASANINHDSLFELFKDEQGYPASINRDVTAHRNGTTTLFNINMDLA KGKAINIAYNSALRQSKSLAAELQNLNTKSQASPSEIGNVSASIATFTKTLDDYQSLA RQEIVPKKQEEAFARVKRFRENLSDFRGQIDSLKKAREDAQHQTNRTELLGRRPYNAT PENPYANATTTNTHSTFQPRHPPQSNGPLTTGSPDEMREAHAFREQNFFSNTNQALDD YIARGQAVLGDLGQQREMLKSTQKRLYSVANTLGVSGDTIRMVERRAKEDKWIFAAGV VIFFLFCWLVLHFLR FPSE_01953 MASKTFEWRPAKLLQDYNIGQDFALLILNQPLKNGVNLRKLWKN SSVRVAADGGANRLHKLSSFHGKYSNLQLIIGDLDSLTPTVRDFYSSQPSPAQIIHDA DQESTDFSKAVNWIRKEHSGIDIVALGGIGGRVDQGLSQLHHLYLFQTDPEYASGRVF LLSGSSLTFLLKAGTHHIQVREDGEEDAFGKHIGIIPLKEAANITTKGFEWDVEDWHT EIGGKLSTSNHILPESQVVTVTTDKDVLFTVALKEGDGDDE FPSE_01952 MRSASRPVIASLRSSTIRAAPRRFASTAPADKPRSFKGSLARLG LAFGAVYYYNTSPIFADEAISKTVPAPAAFSDDDLPTVDSIVEEKRKQIKAKSEEAAA SSKTPDSQQSNPQTAAADGSPAALEEEAGQQGAFNPETGEINWDCPCLGGMADGPCGE EFKTAFSCFVFSQEEPKGMDCIDKFQGMQECFKKYPDIYGAELADDEDGAPTPDFGDE QPSGEPTTAEVKSNGEPARETIDKATADATKSDDSQKPAESKTPAKTSTSTDSAQKPA VDAHRDAESKPDAETASSGSRMVQDVAIPIEKPVNDKYWQDMHKSEVPKRDITAGITQ AHDATAANEEIKHIERQEAAKKNAEKKQ FPSE_01951 MDYSLENHKSFIGKPISGLPTPALVVNLPVLKKNIDSLHQDVEK LGIGFRPHVKTLKTLEVTRMMLAEGKYKGMIASTIPEIKGALPLVEEGLVEECLYGIP VYPGVLPRLIELRKSLRLQLMVDNEQQVSFLEESASSQEPWDIFIKLDVGSHRAGVEA NSDALNRLVKRAEKSSAVNIYGFYCHAGHSYGGRSRKEAEETLNIEVSSVLAAAKLLP SDRRLIISVGSTPTAHVVESLKASMPENVILELHAGNFPCNDLQQVSTGLVTESQQAV SVAAEVCSVYPERNEALVNAGVIALSREASAFSGFGRVVGSPSWGLVRLSQEHGILGT SEGRKVDDDFKVGQRVEIWCNHSCIAAAAFHVYYVVDEEVSYADIAAKGPKQSPEDAA APQPPQIMTDESASTASLVDVDMPSVHTVPNDFLEQEIQTETQAARIELEEEAKQEKR KRDSAASKAKQTDNWLVQQFSKLSDGEATGLTVANLATVVSLGAFLGYKGWGLYEKGR LDWKAVSYGVGILASAAAAQGAVGRYLYKGKKGGS FPSE_01950 MSATTSDHQHHKDGIESIHTLPPCQDDEKQQPDIDTEVDKPYSS FTIWQKRLIVLAAATTALLSPMTAQIYFPALPAIAKDLGVTTSQINLTVTTYMIFQGI TPMFIGSLADSGGRRPAYVVCFTIYIAANIGLALAPSYGALLGLRCLQSAGSASTVAL CFAVVADVVTSAERGQYIGITAVPSVLGPSLGPVIGGLLAQYLGWRSIFWFLAIFSGV GLVLIVIFYPETCRHVVRDGSINPPLIYRSVLQILKLRRSRSESDKAGLSRQVSTAST SKKFKFKPPNVLESLLMLFEKETGLLLGFSSICFAGFYCVAAAMPSLFEDLYGFNEVQ NGLMFLPIAAGSIIAAFIVGTFTNRNYKRHCDKLGIPYERSKQQDLSSFPIERARLEI GFPLLILAAVMVIAWGWAVDAKTNVAVPCVISGLMGVGIVGFNNTVNSLLIDIHPSKA GTASAANNLTRCLVGAGASAAIMPMTDAMGVGWAFTLVGGLYILGCPILIVLMIWGKK WREELRVEREKKDKAKQEL FPSE_01949 MAYLGKTLHRRYGPVVRVGPNEVWFNTKEAFHAIYSSGSGYEKS DFYLATALNKPYIDWHLNPEFPDTLDLLSERDVRRYRIQRRLIGPVYQTSSLIQYEAA VDEVLARTVAKLKSLKGAQVELNEWMHIIAVECLGAIVLSWSPSMLKNGTDNGSGTHA YHGWRRKSVFGLFPLAAKLEFLSKSTGRLFSTIWGVNFQPPKDFRPFFPDVGKRASRR INAATKSKHHKDDRQDLLKDLIDLHKSKPEFTVNYLRKMVITNFGAGHETMASTLTSI IAMLGSNDAIQEQVSREILETHNPADYSTAARLPETQSLIKEAKRLYPVISMSLPRTV PTGGLHLHNYYFPPNTTVGCNPVALHRNPEIFGSDCDEFKPDRWLTADSDTARNMERV SLSWGGGARTCPGRHLAELVVFKVIPALVKELSIETVVPPEDENRSYFLSMLTGVKVR FIERTTTHS FPSE_01948 MLQPIVTENALINGSRVAYGIYGKGKPVVLLHGTPSSSLIWRNI VPKLVVAGYKVHLFDLLGFGLSERPWNLAVDTSMTGQVSVLGGLLELWGLQKTHIVAH DIGGGIAQRFSIFSPERVLSLTLIDVVSFDSYPSKQTKKQMQNGLETLIKTDDNEHRA HFREWLLSAVENRKQFEESSLDTYLDYISGPIGQPSLFEHQVRHYDPKHTMEIAPRLG ELSKLPVQLIWGADDAWQVVGWAHKLHGAIPGSELTILDDAGHFSPEDQPEKISELLV SFLGKHLSQ FPSE_01947 MSVSSLQYDSEFAEALALIKSGRPSIPPETAVDIRRNNHALFDK LFPKPPSSDIIQQKDYSVVSHDGARILLRRYVKPDILEVKEPQPAILAIHGGGFVSGS VEDCGGLNAEMALQTSRPVFAVEYRLAPEHPFPAAVEDSFAALKYLSDHAAELNIDSK RICVQGASAGGGIAVGIVLMARDRKLTPPVAKLVALTPELDDRTCHSEDTEFLKFTTW TPHHNRLAWKAYVGQDKAGKAEADVSPYAAPARAESYKGFPPTYVDVGTLDLFRDEDL GFVKRLMEDNVEVEFHLWPGVPHVFEYLGPGTRWPQRAKEARIDALMRL FPSE_01946 MAPLVDNPQIKSAELLRPLSFYLHAYIWPFTIVWPVFFAFYLSP ELYGKYIGAEEWTVVWVGTIITFQSLTWLSTHWSVDLEGKFTASKAKDVEDALLIKVI PIANAGTAEICKLIRDKAGGKLNTSFLFQKRRFLYDTDTKTFHTLKYDIDTEPKPSIG HFQTSKGHQTQTELSRVEQHYGTNTFDIPVPTFTELFKEHAVAPFFVFQIFCVGLWML DEYWYYSLFTLFMLVVFESTVVWQRQRTLNEFRGMSIKPYDMWVFRLGKWTEVQSDQL IPGDLVSVNRTKEDSGVACDMLLVEGTAIVNEAMLSGESTPLLKDSIQLRPADVPLDS EGLDKNAFLWGGTKVLQITHGNPDQEKPKLASGVPTPPDHGAMAIVMKTGFETSQGNL VRTMIYSTERVSANNAEALFFILFLLVFAIAAAWYVWDEGVRKDRKRSKLMLDCVLIV TSVVPPELPMELSLAVNTSLAALAKLAIFCTEPFRIPYAGRVDVACFDKTGTLTGEDL VVEGIAGLALGHEDEIKDTKEADGAHSTMTAVTDASLETKLVLATAHALVKLDEGDIV GDPMEKATLTSLGWTLGRNDTLMSTNKAGTTHGTVQIKRRFQFSSALKRQSSVAMVHG NDIKTGRKIKGTFAGVKGAPETIQKMLKVVPEDYEETYKYFTRKGSRVLALAYKQLTV DTELGSGKINDLKREKVESELTFAGFLVLHCPLKDDAKEAVQMLNESSHRVVMITGDN PLTAVHVAREVEIVDREVLILDAPEDNSNGDQLIWRSVDDKVSIKVDPTKHIDPEIIR SKDICVTGYALAKFKGQVAWNDILRYTWVYARVSPKQKEDILLGLKDMGYYTLMAGDG TNDVGALKQAHIGIALLNGTPEDLTRIAEHSRNTKMKEMYQKQVDLMKRFNQPAPPVP AMIAHLYPPGPQNPQFQKAIEREAAKKGMTPEEYAKSQGHDSFETITSPGAQALMDAG PNNRQAEAQKKAAGFADKLASGMMEAELGDDEPPTLKLGDASVAAPFTSKLRNVVAVP NIIRQGRCTLVATIQMYKILALNCLITAYSLSVLYLEGIKFGDTQYTISGMLMSVCFL SISRARVVEGLSKERPQPNIFNVYIIGSILGQFAVHIATLIYIARLCDRLAPRSDDVD LEAEFSPSLLNSAVYLLQLIQQISTFAINYQGRPFRESLSENKGMFYGIVGVSGLAFA CALELFPDINEGMKLVPFSEEFKTNMTAVMVIDYAACWIIEVSLKKFFSDYRPRDIAE RRPEQLEREAVRRAVVQKKKEEEEEKKRLEKVAEFERKVEERRRKIEEWRAGRT FPSE_01945 MFAASRIQRRAFSATARDLSKVTVLGAAGGIGQPLSLLLKMNPR VTDLALYDIRGGPGVAADISHVNTKSSVKGYEPNAAGLKEALSGAEVVLIPAGVPRKP GMTRDDLFNTNASIVRDLAKAAAEAAPKAKLLIISNPVNSTVPIVKEVYKAAGVYNPK TLFGVTTLDVVRASRFVSEIKGTDPKDENITVVGGHSGVTIVPLFSQSNHPDLSSNAE LVKRVQFGGDEVVKAKDGAGSATLSMAMAGARMADSVLRAVQGEKGVKEPAFVESPLY KDQGIEFFSSQVELGPEGVEKIHPLGKLDANEEKLVDAALVDLKKNIEKGVAFVASNP PK FPSE_01944 MSIAQLSQLLPLPDEELKQVLDYASTLSTAEAADHFGNLLGDSP QAIEFISSFNSRRQTSAPGSSSYSNVTAPPEPESQNVDAVPKAKRQPKKKKANIHTPQ ARQVGEYAAPAGTTYSKKDLSLDYIPQRPSAPSSNNASRSTTPKPDPKPVAKPPPKQH ASAGYLIGDGPSKAKAKSNPTSRSSTPKPATTTKISIAGGLPMAGASTAISDLDAAIR ALEISTNPTLDNSKARKCNCVATRHPLQGAAPNCLSCGKVICMKEGLGPCSYCGTPLL TSDEVQAMVRELKDERGRERQAANRDANRRADVAKTPAPFTQPRGNDGPSLSDAEAKA RAHRDKLLNFQAQNAKRTTVRDEAADFDVGGALTGTGSMWATPEERARELKRQQKVLR EMEWSARPDYEKRKQVVSIDVVGGKVVRRMAAVERPVTPESEDEVIDNAVNDGTLGDT SGNKGRGRTGGAFSGNPLLGSLIKPVFDAKGKGAEVEGRESRRKKGWQRVQHDLDNNE GVILDGGVYGHAGGDEPACG FPSE_01943 MSPNQPLHEIAINNNRIAPPPPFKTVDSRLADATAQTETARQGG DTAVPAPLPTPADYDALSRASHPIASITPTTSTTAAPVDGAAAAHVNSTARTTTAHAV VAAAIAKMQRKPSTDRDDGSTQSHPFSNTASQDTTITIPTDLAFTPPASDASNCAPGP SSQDSQLFQLSEIAAAQDRIGTINSSTRKRMADGEVKSRTESQSPVKGHARTPSAVSR TSAGGHIGELSNELRTRLSYAMVKVNNGWQSNSLEEVENMASQAASPVSSTSTIHRRQ GSSASPRIPMSKPPSSSAPLPQSSTTERRKSHTPPEHIWHKPSLAPPAPIRPGALSAP QVNPRRNSHPHRTPGMLSHSNSDSPHTPGQQATFQHGPKFRVTGDTVLMSPHQNVREQ DAIETLLFMSSPGNSANLKHAFSPPSSSAPAGGMNRPQARHALPSGPRKPLPSQRQTQ QVRKSPYDNSSMPPPPGSPMDLDSPQQQHYAPQNRSMPRRRTIGGRSQLRGTLSLPSG IGIGNGKTRKTLRDEDIERMLDQASAETGDSSDDEEILIPSRRPVAGVMRS FPSE_01942 MKSFRTHRSKSLPSTKTTKKSLFSKISRRLSLSTRRRTDSVRLY DDSDDDTIQVIPQELQQPPPQSRFARRASRFWSVSSANQFEEDCSSPQSPAYPSYGGA YVPRHAASDFSKTATNRLTMMPEADETTLCSYNYRTDTATRSFSMTDSEPDVDHREQA LRDLTATRSSTLSSQTSNDYTFFSVDATASVDARHRRSAAAWAELEQRSAAVNYRMSC TDHLIPRQGRTHSTYLGLPGSSYDSSRPASSVAPSLRCEMTGIIGQALKAGVLCNIGV QIWLGIQEHEAWTASNHNKRNSADT FPSE_01941 MTGSEYLNVFKGPESVRNYFDPEQSPPLPLVEIPDSLNPYRQDG VRIYAKMMTMHPATNVKVMPAMNLLENGVEPGKTKTIVESSSGSTVISMAMVARAFHG IDDVHAYLSNKTSETKLRMMQFFGLNVTLFGGPAQPTPIDERGGIRSAARKDAESESV CSPNQYINDDNWKSHVRWTGPQIYRQLPEINVIAASMGTSGTMTGLGTYFKEAKPSVY RIAVCTNAGERVPGPREYSLMREVEFPYLTSHDALEEVGAPDSYSLSLDLTRQGIVCG PSSGFTLKGLFQRLEKLKQEGKLSDIAGPDGEIHCVFMCCDLPFQYLNEYFSNLGPEK FSPLRNERLRNVDLYRYDDAWELNSLNALSNYFTIHPLGTHDTVLSLADQIGKALTPS TNTQILDFRRSSDYDAFHLPNSVNIPLDALQNGPDSGSPFSNPADECAMLEQVWLELE SLFSVKDKNGNRNASAEALMSMLRGKKVLTLCYDGDSSRVANSVLRAKGVEAECVRGG YGALAKLQMPCNATCEVVSIPVSVEV FPSE_01940 MISPILFTTLALASLGAAKNIKVEETHVLPNGWEKVNQAVDPSH VLRLSIALRQPDIDNLKTGLRKRDAASGQYIQRHLCQQEALALRTPDKKDVDKVLTWL KSKGAAAKATPDKDWIHVKTTVKDAQDLLDAKIGFYQFADQEPVLRTRDYSLPESLVD SISFIHPIANFMRPVKELTTPDPDFTPSMLKSLQLDKRASIPCGRAIKPDCLREQFNI TYPAYNGTSPIRFGIAGFLEEYANYEDAQDFLRIYAKPLYEARYNFSVQLINGANNSQ DLFDSGNEAALDVQYAMALGYPTNITYYLADGRGPTLDDDGEQVSEEYNDNEPYIEFL DYLLDLSDDEVPHVLSVSYGDNEVSVPRKYAERVCSMFGLLTARGTTILAASGDGGAK GSSNSTCRTNDGSHKDVTMAVFPATCPWVTSVGGVAAGAAPFEGAEFSGGGFSQYFPR EKWQESSIKSYVKTLDGHLDGNYNASNRGVPDISMPATSYITRLKGQQVALRGTSAST PVMAAMIALINDARVRKGKDVLGWINEVLYSEKVQAALSDVTAGESRPCDFEKGHSPA GWPAAKGWDAITGLGVPADFQKLFDVLVAI FPSE_01939 MPTTHVAPESQDELRDIANGLLKARKVIVVTGAGISTNSGIPDF RSENGLYSLISTQLDAAQQARLQENTANDEPSDSDSRPAPKRRKILRDEYLKPEQDLG ETKDEIEVQLEDPDPVEEKVADSIQVEGDHEDEEQPEDPDAVPSINPRTTRSTIAVAQ PPTSPLSSPPPEDFRITPPSVFRRARRSHLHDSEIPPSSSPLSSPPPVLFEPFSSHAS EEDGPGSRSSTSPSEVDDTPPSLPPNLSQTNLGTGKNTLPNMKGKDMFDASIWADPLR TSVFYTFATTLRQKVRDVEPTSSHRFISHLRDRGKLVRCYTQNIDQIEEKVGLSTCLT DGPGSRGRFSRKSTANLNQLNRMVDEVNAMTEANSDRSQPPSDNEASQQSQPSQPRPD IMMASQAESDTGAPEDAMTTVQNLRRDLPKSGVECVFLHGSLELLRCFLCGRVCSWDD DGRQLETMSGQQPECPHCVGATVAREERGKRPLGVGKLRPDIVLYGEEHPNAHLISPI VTHDLALCPDLLLILGTSLRVHGLKVMVREFAKAVHAKGGKVVFVNYTKPPESSWGDV IDYWVEWDCDAWVSDLQEKVPKLWQTPDPPKLKKKRDSGGVGEEGEKMDAKRCTAANP IALRDTKATGAYWVPKIIRDLHKITRHCPLDPREVVTPVIVAAPIETPAAPAEPEPVE VLQNKPIAIPKRSKRSRKSAPGTLERSKKPPSTLNPNHGRTLRSTETAEPPKDEETEI PFSHILRPERFIKESSIIDSVKGRVRKRRRIDGEEVELPSVGRRQSSQKPAEIDNSLR LPPMNPQPPTPHNSPPFERLAPMEPPHCETPPKYFINAQLQPVSPVAAPNPGPLKQVS HNTSRRVTRSQRSSMGLEEAPAPFVAGYPPPERALGWCYSHQGSYSLVRKTDYSPAET GAIAALSMLKQGEARNFVLSPQSRVQTRSSSRLRNEGV FPSE_01938 MGSVGKDYPQELPLRGKTGAAVFGSSMKKDFMLDPEWRNMNHGS FGTYPKAVQAKFREYQDASEARPDPFIRYEYPKLLDESRAAVAKIINAPVDTVVFVPN ATTGVNTVFRNLKWNEDGKDVIISFSTIYEACGKVADYLVDYYDEKVTHREVQLEYPL DDAEIIKKFEDAVKKIEDDGKRARICTFDVVSSRPGVVFPWKEMIKTCRKLNVLSMVD GAQGIGMVKLDMASTDPDFFVSNCHKWLHVPRGCAVFYVPLRNQELLPTTLGTSHGYI PRLVHRTTPLPPSKKSRFVTNFEFVGTLDNSPYLCVKDAIKWREEALGGEDAILEYLW DLNKKGSELVAKKLGTTYMENSTGTMRNCAMANIALPMWSAQEGKEGEFVVSAEETQT AFQWILNTLISDYKTFVSLFLHGGRFWIRTSAQVYLGIEDYEWLGGVLKELCERVAKK EYLK FPSE_01937 MGNTPASPSTSDSTEKKSLYRRYKDSKAPVPLSDEDIKKYTGKT REELGTWADSTPGVGKNQLAGRAMIGEASGLAGVAMADGYGGWGPSAEPNDVNRGMKF PPKPAEAPPNEVIEVEAKEKK FPSE_01936 MSPPAAISPTSRSAELATSTTKLPVHAVKNINTKTVEEMLGNWD DFKFAPIRESQVSRAMTRRYFQDLDNYAESDIVIIGAGSCGLSAAYILGKKRPDLKIA IIEASVSPGGGAWLGGQLFSAMIMRKPADAFLREVGVPYEDEGNYVVVKHAALFTSTI MSKVLQMPNIKLFNATCVEDLITRPSDEGVRIAGVVTNWTLVSMHHDDQSCMDPNTIN APLIISTTGHDGPMGAFCVKRLVSMQRIEKLGGMRGLDMNLAEDAIVKGTREIVPGLI VGGMELSEVDGANRMGPTFGAMALSGLKAAEEALKIFDTRKKQNEQ FPSE_01935 MATPSSDAGFMMSDGPSRAAATPRRNYGVPSSSASRPRGPPSEN MGGAPSDEEGDGFADDQVPRGLRINNTAEISRVEDRIGLLVQEHFESFIENFQEDPIS APAPSAPTPSAVTTDKYYVAQITGMRTYSLSTFYVDYRHLAAYENGSLADGVMRQYYR FLPFLTAALHNMIAKYQPEYFREHRQPTTSSNLTTSAASHFGSSGQTESTHRQNEHQQ TDKLFSIAFYNLPLVSRVRALRATNIGQLLSISGTVTRTSEVRPELSMATFNCEACRT VVPNVEQTFRYTEPTQCPNSTCQNRVAWQLDIRRSTFVDWQKVRIQENSSEIPTGSMP RTMDVILRGEIVDRAKAGEKCIFTGALIVVPDVSQLGLPGLRPTAIRDDRNAPRGGDA GGTGISGLKALGVRDLTYRLAFLACMVNPDTAASGQSAASSAADVVNALTTNTASDIE QSVEDAQAAVLASMNPSEIDDLRAMVHGDHIYSRLVQSIAPMVYGHEVVKKGLLLQLM SGVHKSTAEGMQLRGDINICIVGDPSTSKSQFLKYVCSFAPRAVYTSGKASSAAGLTA AVVKDEETGEFTIEAGALMLADNGVCAIDEFDKMDIADQVAIHEAMEQQTISIAKAGI QATLNARTSILAAANPVGGRYNRKTTLRANINMSAPIMSRFDLFFVVLDECNEQVDRH LAEHIVGIHQLRDEAVEPEFSTEQLQRYIRFAKTFRPEFTDEAKEVLVEKYKELRADD AQGGVGKNSYRITVRQLESMIRLSEAIAKVNCVEEISIDMVVEAYNLLRQSIISVEHD DVEVYDEEPIEDTETLLRAADAAHGRDQEGDAVMEEDEQPGRSSVAPERKKQTITYDN YVKMVNMFVQRIYEGEAANAEGIAGEELVNWYLEQKEDELEGEEDYHREKALANMVLK KMVKDNYLMALRGEGLDGEASSASEQIVYIVHPNCSVEEH FPSE_01934 MHSQVYIASGARPSYRNNKPALSARIERNLQGSFVHSWIWYPLF WQQRQAFFHNIHRDKTGSQDANGWDGSDDDDRDVRASRI FPSE_01933 MSTSKFQCPPYKLFEPDYHPQKPIIVGVDDRLESPDTIALRYEE AARAENGGGDLRGDSPPRLLEMATYTKAQLPSMHAGYDVARYQDASYEQYPAQNYPTQ QQSDKFAQLNQQSFASNNAAVAYGMAPSMATPNVLSCQPPTGVFGTKVYVKLSSQYDL FLLSTPMPTWSLLFGSEKCSVQDVSRDMQDSTGFIYSCSGDAPQFLVTNCASSNVPLS LVLDGPSGEEISRVPVGTFQYLEGSGDDITRSTKMPKHEDTAPAPSMDQPSTSPKGEP QLSAESGTNTYEYPPQQGQYASAFPQANNEMISTYSRSTSFTDPHYHRRPTAGWSPYP STLGSTGRGPSGLDASLVGRPPLTPLGMSSPSHPSGAPQLVRTSTIAANPGNNSNYHP ISLYSGKAILKVSGKLETMAENWTSEEWANRRRIVLFRKTQKGSTVNATCQSVSVNDR PPNSICISCIWWAEKGECYVTSVDTIYLLEQLVAAPARFSVEEKNRIRRNLEGFHPQT VSKAKPDSEEFFKIIMGFPNPKPRNIEKDVKVFPWKILESALKKIIGKYSVSPSSTVA PPSMISHPNGHYAPLPTPPGQSMAPTHPDPHTQYSLPQHHDSIPSPRSLSGSQPSWTP YTTAPPGYSAASRTLSPGLRHHSPQQPPLRINTTPLPAVSSYDSRGGYGASGLHTPLS HHPPTATPPRWDPTPATYPEGYPSLTSQTAQPVYGATSYGEPAPRV FPSE_01932 MNKGQGLESAVHTKSQVYGRASLFLVSGCKEALYRYVLESHSLV ICVHTTISSLVGKGSN FPSE_01931 MATEAAVDKAADATASQSQPAPDAHNVDPVRSNLNGSAGNDDIE NKHITSVEDQLTSADASASGGSDTETSRADGSKSKDEDKGHGRAGSTVKKPATFKAVS VNKTFLATKAAVNSASAKAAEKQPAGSSTPPTSSATLSSSRPRLIAKTGSSTRDSSPR FSGGVNGGKPGSAPDASAVWNKNRPVPPPEPKKFTDEELKKYGIHMASRLNEDDAQGQ NKWADIDDDDDDWAPEAITWGDGTKTTLPHPDEHPPPPPSDSGSVASKGKTLDKPRSP APPISVSSPLTKSSNLAQGKGLVLKSGSQEKPTLVAKPPAPPVPVKSPWATLPPVEKA SPIPEPATLARQPFNKGMTPPPPKEIAADDFNRHAWRDGPSHGNRELYNSHSGRYEPV QDNRRGSMRMEQHPRNHPSLLQRPPQPDYPAEPSGAFQTSRATQEPPFGRRRGSSNVS GGSGSFMQRMNKGGDGSLPPPELHDVRRPSFVGSVDNPVSPGLPASAHGPPRGQHQSN WMPPRASPSPAVAQPHHSEPLPDQVAGPPPPVHPVGDELDFQKKHMRERIELARKRRS EEEAREEAERRERIQKKLDAMGPAPEKKTERKESADKSDNVSHPTHIQQRESSGASNQ SLAVQNDGDHSAGQQQPQDSESQIANSSQGQGAAARRVSHSQEAKPTELWGAAAASRQ DRAVSTWGTGSQPVARNVWGSPNNDRGLGNGTFSTDIGRLPLDSRPVSQGGKGPAPIA PPNVAQQPSQGRQPPAPIGSGPARYGSSGPRPTPGSDVANKWINAVSENDKQMSASRQ AERLERDRQLAERGLTLEEAQPVIKDTWRQVHAPGDGTRRSADPQDAQPTGPWRTAGD EATEDFNNAIGAGGAVGPGATSQGRASRFFPTRDSYDRINENEPSRSKSPTPPPPTME GHPAYEGNVQRPHVSLPPPQPVVRLPPSMTASQPSASRVQFGWAQPPTFKDVVRGPVS PNRLPVAAGESSQEKWQNRINSLLGSSRSPPQRSIGVDPASKSALDQVAHHDSATVSL PGNNAPTHFTKGRPSPITKPMAEECFEEQEMGSLPQIRIPHKAPEAAWQPALAQNKPL PKKFAVQPTAMEPYYFAAEVVGGGNAMRIRLPEMKDTKIVTVPFSATRGGRGSSTRGG PRSRGSGYERRGGKRDVSSSRGEHPTSTSSRGGRGSYRGRGSDWGRNTSAPLTT FPSE_01930 MSFVTRRALSTLIPPKVASPKAIGAAPDAIRMQRVVSFYEKLPR GAAPEVKAKGLLGRYQAKHFGKNPTGKPIIHLIVFLVGIGYAQNYYFHLRHHKNNAH FPSE_01929 MAALRSQSAARMLRSAAVPRVALSAAPRRFQSNITSATGTITGP VANEPDYNIQADKATSTYTPVPRSIQDGSEEILPAAIMSGAPMELQARTVRIYQEAKP ATQSGDWRGRRWRMDWDILPKGHRWENPLMGWQSSGDFMQGTHINFESKEDAIHFAEK QGYEYFVQEPNSRKFAPKAYANNFLYSARKLKHIRTK FPSE_01928 MVSFIVGKPSFSPSFAPQHDFELRVEEVKSPKSDINALILDYLT MEGYPNAAANFSKEANLVPHQETPSIIARQEIQKCIHSGNIQTAIETLNDFDPEILDE DKALHFSLLRLQLVELIRTCNTPGGDIGPALKFATEQLGPRAPTNNKFLGDLEKTMAL LMFPSDSLEPELAALLKPELRLEVADNVNRAILERQSQRRESAIRQLVRMRVWAENTA RDKGKSLPEYLELGLYNDVPDLGRLPPQTRNGHDPMITT FPSE_01927 MSASAIPEMNGGLESHVTVQKRAYYSPPWADVSIIGVAGSSGSG KSTLSQAIVKKLNLPWDSFYKTLTPEQSKMAFANEHDFDSPDAIDFDVLVEKLRDLKA GRRAEIPVYSFAKHARLEHTTSIYSPHVLVLEGIFALYDPRVIELLDMGIYCEADADT CLSRRLVRDVRERGRDIEGIIKQWFGFVKPNFEKFVEPQRKVADLIVPRGIENRVALD MMVQFVEKKLFEKSRHHREALSRLEAASKDSALSDRVVVLNDTRQLRFMNTILQDIDT DPEDFIFYFDRLASLIIEQALNNAHFEAKKIITPQGYEYKGLVPTGEVCAVIVLRGGS AFEPALRKTIPDCRTGRLLIQSDYSTGEPELHYLRLPDDIASQQSVLLLDTQMATGGA ALMAVQVLVDHGVQQDRIVLATYSAGKVGLHRLTSVFPEITVVVCNMLDYQQERWVEK RYFRC FPSE_01926 MPVPTMMAARLARAGQTRAINSSRFIKPSLTPRPLYSSQSRSFV KLTGASPSITKGSLVPNRVTRQLPSQFFVRNLSGKPLPQRKSWILNFCYRAAAWVGIS ISVIGVGVVGFFIYDASTYSEHPNQSDIDVSKIALQPRSGGEKNLPIAEVYIDDDDTE EHRRLKDKPRLVILGGGWGGVALLKELNPEDYHVTVISPTNYFLFTPMLPSATVGTLE LRSLVEPIRRILSRVNGHFIRAKAEDVDFSHKMVEVSQVDASGKDIRFYVPYDKLVIA VGSTTNPHGVKGLENAFFLKDINDARKIRNQVIQNFELANLPTCSDEERKRLLSFCVS GGGPTGVEFAAELFDLLNEDLTRHFPRLLRNEISVHLIQSRSHILNTYDETVSRYAED RFARDQVDVQTNSRVKEVLPDKIIFTQKQEGGGTITKELPIGFCLWSTGVSQTQFCQT LAAKLRKSQTNRHALETDTHLRLNGSPMGDVYAIGDCSTVQNNVADHVVTFLRSLAWK RGKDPETLQLSFADWRSVADDVKKRFPQAVGHLKRVDKLFAEFDKDKSGTLDFDELTQ LLRNVDNKLTSLPATAQRAHQQGQYLARKFNRMTRLHEGLDANDIRDGDVDAAVYKAF EYKHLGSLAYVGNSAIFDWGEGRSIAGGLWAVYAWRSVYFAQSVSLRTRLLMAMDWTK RGLFGRDLMSF FPSE_01925 MPVLESKNAGNGSAPKQHNQPSRKGKKAWRKNVDVTDVQEGLQE LNKEIIQGGVVKERPSEQLFTLDIKGDAGLEKKFNKHIKKGLKADDIINARSPVPAVS MRKRPGDKTTNGILPTKRQRTDWVSHKELSRLKRVADGQHENTVQVQDATYDIWDIPA AAPKEKETANFLEEEVKPKVPKSMKKEPLSLLESGKQIPAVLKPTGGYSYNPMFTDYE ERLAEESEKALEAERQRLAHEEREKEKQEAAARSAAEAEAAEARANLSEWEEDSEWEG FQSGAEDSKPSVKRPQRKTQTQRNRIARRKEEERLAKQKAAIKAQRRQEQRIREIAEE IEEKDRNKALAALNEEPVDPIDELRQEKLRRKQLGKYKLPERDLELVLPDELQDSLRR LKPEGNLLRDRYRSMMVRGKVESRRHIPFHKQAKGKFTEKWTYKDFKI FPSE_01924 MAQAGGSYNNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNMYQ ATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAKSF QNTKKWIDDVRAERGNDVIIVLVGNKTDLNEKREVTTQQGEEEAKKNNLMFVETSAKL GHNVKNLFKRIAQALPGMEGSDAAAQASNQMIDVKTNNTQQSQEGCAC FPSE_01923 MSSSANLVESAKKLAAYQAVNDHLDASYKFVGIGSGSTVVYVVD AIVSKGPEFYKGMTFIPTGSQSKGLIRAAGLNLVNLDERPMVDGLPVPLDVAFDGADE VDEDLNLIKGGGACLFQEKLVAVAAKKFIAVADYRKQSPKLCTTWKTIPIEVLPLSAP DVLVRLRAMGSPKPAIRSGLPAKAGECVTDNGMWLIDAPFSPLLLPKDINSENKGRGE NGAWEVNALAEELVRTPGIVEIGLFHGFNGNEAVKLGKESQAQKPIAAYFGLANGEVQ VQNAA FPSE_01922 MSRLTRSLRLPRPKSLFGITSLQTGTELISLALVFNKITGVYGL LAILTGYQLSLLQLSTYVYSIAVLVGLAILTPHVRRQSPFECLALAWLYIIDTVVNAA YTAAFGLDWYFSTQLNETIESKKTDLPNFVAEGMQGLRKEAAMHGKVVPQETAASMLL IVGATLIRVYFSFVVMAYAKQVLQKYMQLMILEGPGVDDQEGPFAEDLPDGEGRRGRL GRLMVSCGRAYWLDTRESDEWAPSLGGSNKPTGAGTLSGEV FPSE_01921 MDAATQHQVKTPEEAVARIAYLSSDVIVSVQPAIGTDSEFSSHL NRYAGRKDSSLVAQSADTVPEIIPVRHNTDPLLSVYTPTRAGKLVSVTTTSTILLPSV SHLYKLANYPVVLHVSLQPRTFPDYSVITAIRNSGFTFVQSETLQEAQDLALTAHALA IRTGKGVIHFFAPSTSAKDKPIGVEDASVVRDVLDIESVRRYQAGSAPSSQTGIYADD GHIAVSSDHDAPATAGAASGNLTVPPSANASKAPSAGTSVKSSQDSESSTPVAPSSVA TTVESAPPQVTSEDIYKSAGRIWAHLKTSVGREYSAFEYSGPPNAENCLFIFGSDAGA FAQAIDEANAQEIFANTAILTPRLYRPWLGSRLVEAIPRSVKRIAVLEQIHRKTTKWG PLLIDVLTSVKSGPGGVEAIVGHQLGYIAPEVVVQALRGVLQNLTAEKPIQNLEVGKK EAWKEPTGYDLKAPQLETAYTKILDQLFGQRAYVANAIKSSTTGISPTVSASPEFGFG SLLARKQRRGQFVSQVKDAATNGSFTTDAPKSWLARWAMNADDASKSTEIADDVIARL ETDGSPLAAQLLTAKGLFRKESLWLTGSDAWSYDLGNSGVHHVLASGENVNMLIIDST PYSERAAADANRRKKDIGLYAMNFGNVYVASTAVYSSYTQVLQALLEADKFEGPSVVL AYLPYFGETDSPLTVLQETKKAVDTGYWPLYRWNPENEKKGEPNFSLDSELIKQELKT FLARDNQLTQLAAKEPKFAAALVKDFGSEVRAQQKRKAKDAYNQLLEGLLGAPLTVLY ASDNGNSTTLAKRLASRGRARGLKTTVLAMEDYPLEDLPTEENIVFITSTAGQGEFPQ NGLPFWDAIKDNTDLDLAAVNYSIFGLGDSHYWPRKEDKIYYNKPAKDLDRVLTNLGG KHLIDIGLGDDQDPDSFQTGYKEWEPKLWAALGVDKVDGLPEEPPPITNEDIKVASNY LRGTIVEGLNDTSTLAISAADQQLTKFHGTYMQDDRDIRDERKAQGLEPAYSFMIRCR LPGGISTPQQWIQMDDIANELGNETMKLTTRQTFQFHGVVKSKLKPAMQAINRALMTT IAACGDVNRNVMCSSLPTQSKYHREVFACSQLISDHLLPSTSAYHEIWLTDDDNKKTQ VAGGAVQDFEPLYGPTYLPRKFKITIAIPPHNDTDVYAHDIGLIAIKGEDGKLAGFNV LAGGGMGATHNNKKTYPQTGRMFGFCKTEDVHIVCEKIMLVQRDNGDRKNRKHARLKY TIDDMTVPVFRSKVEELWGKKFENERPFEFKSNVDTFGWQKDERGLNHFTFFIENGRI EDTPEFQMKTGLREIAKSLKGESEFRLTGNQHLILSNVADEHLADVKELMKKYKLDNV QFSALRLSSSACVAFPTCGLAMAESERYLPVLISKLEGCIEENGLRQDSIVMRMTGCP NGCARPWLAEVAFVGKAFGAYNMYLGGGYHGQRLNKLYRQSIKEDEILDIMKPLLKRY ALERNEGERFGDFCVRSGVIVATTDGQNFHDNVADEEEDDE FPSE_01920 MDPNMSRPPDYSLPPYDDEDLHTPTGYSPAAVRLLTSEEHYDPH PHTYVPPSSPSQDQIPIQTSPTRPAPSNSPSPSASVSPSPLKSTPSPLNPPVEIPPPL FASQTDLNMSSEAGERAFRPEPGQQDNTQANIQQEQPSPNPPSYSRSVAESQSLLPNT PVDGGPAAKLQNRNRNSVHVAFADLPTDLPEIPEGISERRRVHKEHQHLGLEANPTVP PRPVSRLKNANSHDQIPSIRSPRNLNYQPSVRSSRSGSIFDDAPSLGPPGGGSYMSYD TREYGSPQRPWTPSSRMSDFTRSDLSRPPPNNDMYEPSDLNGSPRPGTPSTRYGGSPR RPLPPAPLFHGSRQAVPPFADDATVSIPLHDPMDDDVFGPESDLSDARPHPVDRSSYM SAESQDTLNEGDPDDYDKFEHYGPAPSGAQERRGVRAPQMARKEVQLINGELVLECKI PTILYSFLPRRGEVEFTHMRYTAVTCDPDDFVERGYTLRQTFGKTVRETELFICVTMY NEDEIGFTRTMHAVMKNISHFCSRTRSRTWGETGWQKIVVCIVSDGREKIHPRTLDAL AAMGVYQHGIAKNFVNNRAVQAHVYEYTTQVSLDSDLKFKGAEKGIVPCQMIFCLKEK NQRKLNSHRWFFNAFGQALNPNVCILLDVGTRPSGTSLYHLWKAFDTDSNVAGACGEI KAMKGKYGRNLLNPLVASQNFEYKMSNILDKPLESVFGYITVLPGALSAYRYHALQND ETGHGPLSQYFKGETLHGQHADVFTANMYLAEDRILCWELVAKRNERWVLKYVKGCTG ETDVPDTVPEFISQRRRWLNGAFFAAVYSLVHFKQIWFTDHTFARKILLHVEFLYQFI QLMFTFFSLANFYLTFYFVAGGLTDPKIDPFGHNIATVIFHILRYSCVLLISTQFILS LGNRPQGSRKLYLASMIIYSIIMMYTTFATFYIIIRQLTSKDDDIKMGDNVFTNMIVS ILSTIGMYFIMSMLYLDPWHMITSSAQYFILLPSYICTLQVYAFCNTHDVTWGTKGDN VMKTDLGGAVGKGETVELEMPSEQLDIDSGYDEALRNLRDRLEVPEPPPSDSQLQEDY YKSVRTYLVLTWMIGNGILGMAVSEIYSARGIGDNYYLRFLLWSVAVLAVFRAVGSTT FAILNVINMIIEGRVRLTLKAPRWFGGVKDRVSDKMSSVSSNLRN FPSE_01919 MDEKAQLPPYSSISLPAPVGQQQHRSRRALRRSRGIRLFALACL GFIAFAQWRQISPRKETTLSIQKLNENLQTCKKLRVKPEDPVGLGRDKNARYIDGGKP TLIKNATIWIGEPVEGTDQEDARSGKGWEWVQGDVFLEKGLIQRVEKDIKSASLPDNT IIYEAHGRRLTTGIVDTHSHAGVYPLPSLQGNSDGNEMSDNITPWARAIDSLLPLDPQ IEVIKSGGVTTSLILPGSGNNIGGEAYLIKHAVGKPDGRKEISAQDLLADPDRNWRYM KMACGENAKNVHGRVGNRPFSRMGESYEFRHAFEQARDLIQKQDDWCAKAESHGVESL DEYLPGEIAWESLSAALRGQVHINTHCYTIPDLEAMVDHTNEFKFAVRAFHHAHQTYL VPEILKRTWGGRAPASALFADNMFYKTEAYIGSEYAGKILNENNLTVVYVSDNPVINA QHVLFEAAKAHHYGLPYHVAMASVTSAPAELLGMGKRLGKVKPGFDADVVVWDSDPLS VGATPVQVWIDGTPQFESPVELSKQEEAPVAIEKPAGIVEEPSKLDNVLFTGVTKVLL EEGKTYDSQGQPVNVVVTKGKLSCVGKCSYEFDTATATGAKTIALKNGYLTRAFTAVG GTLGLSEIEAEDVTNNGPNPLVFSRAIDGLALDSKKLNVAARYGVTRAISAPIFVGAA THFGTSVGFSTSALTTIEEGAVFAPDLAVHYTLDVNVRGTTSYSAAFGGLRNKLLAAV TSNQQPVVNPFSEEAYLKKVVNGERVLALTINSADGIATALRIKSEIESLFETSSATG EAQRLKVAIIGGAESHMVAKELGEAGVGVILAPLQSIGDSWDSRRVLTGAPLTNGTVV DALLDAGVTVAIGLHEDWELRDLGFAAGTAYKNGGGRLKEKEAVDLVSTNIYKILGAD EKVTKDPGHFMVTEGSPLEIGSRLKAVGHGRDQVSVFI FPSE_01918 MSKVAPVIALSHGGGPMPVLGDPKHKDIVYSLKNRVPKILKLGT PDQPRAIILVTAHWSTDKPTISSSASHKLLYDYYGFPKESYALKYPAPGHPEIAHEIA DALKAEGLTPELDDERGWDHGVFIPLLLVNPKADIPVIQVSVLESEDPEEHLRMGAAL GKLRANNIAVIGSGFASFHNIRAMMMLGGSGPEQQKQFRVLSDQWSNVLTDAAMKKEK EERWKALSGWRAFPYADMMHPPRGGEHFMPLLVCAGAAGDGEEAMKYSDEYVGVEIST FYWGSEEVSKL FPSE_01917 MGQHYKPTIDSDVVKVFVLETDTPHPNTQTERGSFGEILHHHFS KAGKQHHPPLGVETEQVFVVTEEGGRMPKVEEFDDYDGLLITGSMYDAHGDNPWIHEL LDLLKQIWTKRPDFHFTGVCFGHQVLTRLLGGKVGPSPSNDWELGHNAITLTPVGKRL FRTHDDKVYLHQMHQDQVLEGPSVESSNGLLPPDTDVHIWGKSNHTPIQGLYIPNRLF TSQAHLAFDEDMVKRQIQMRIDSGGIKDLEHADRAAETADLEHDGELVASAILRLFRY DDDGMKWD FPSE_01916 MTLSSSTFIPAKKDKLHTEVCVARMKQMRLGEEMRLQLHSRASR CRVTPQGNNHFLEQVLDLFLIVSQQRLTILIGCYRLSGCNVQLLSKLSFFDTPSNQRR YRTLVPLPMSQLCAGAGHASNDDSVSLKPNLELYYIVWPHTHEPKAPYGSMGDNWAPP CPQEIACVASKELSNHALLPEHQRLHLFMMLRRYSTFTTHSLSTLHYAIYKSA FPSE_01915 MATCSLNSLRRPSLTFMSEAKRRSFRFNPVISSKAATRSLATVT AQTTQTPPPPPMMMEDHNTRLINMGYNYNYIEHVENLEQYCHGGFHPVALGETINNRY LVLNKLGHGGYSTVWLSWDILKQKYAALKIVLADFGEDSTEVDVLQKLEAKAGKKHPG RSYIRHINDNFHFDGPNGRHTCLVNDPAMMSLRLAKDASFSKLFQPRTARAIAAQVVQ SIAYLHEGGVVHGDLHLDNILLQLPERIRRLSPDQLHERHSHPTTVPVTRLDGLPLDE NAPRNAVLPIWLGQKSEDVALADAKILLGDFGESFLPSEEKRNYSNAPIRYRAPETQF PDVCPSLSFSSDIWSLGCLLWNVVGQRPLFDAWTLSEDDILQDQTDLLGEIPEEWSAY SSKRSEYWIEDASAEESEIPMQNNGCTWESRFESSVQQGRKESGMKPMSKDEKDAFID MMKTMMTFRPEERASAKELLESKWMKQWALPELRKLAAS FPSE_01914 MPHRVANFIRTSSGNIAQIKKVARSRTSSPYTSDGEDRPQIHAV KMAELADAVKKHHRISLPFGKSHKDHPSDVSIDWSIESPPVVFHGNTEESTGALITGQ MFLDVKDEIVEVGSFAASLKLHVFQKRPYQSHCTDCQNQYTELKSWQFLAQPTTLRKG RHAFPFSILLDGHLPATMDTPVVAISYEFKADAYVTKRVHSASGSVTPIRFERTVPVK RSLPENEIPHHSVRVFPPTNIKASAHYNNVIHPTGTNNVSLRLDGLMSRNEKAKTIDF WRLKKVTWRLEETIKSLAPACERHAPASTEDAEKKTLPRQEVRVLGEKHLHDGWKSDF TGTDGKVEMEFDYFANQYKSHTKELKFACDTKSLEGVEVTHSLLIELVVSREYAPEGK PQLATQTGTGRILRMHYGVVMTAHAGMGVSWDNEAPPVYQDVPPSPPAYPAIESPIQY DSLEHIDAHRLSSLSNSPLVLSEDEGQ FPSE_01913 MVSKVRVQLYNASLVPEDHASNSNRTVLLLLHKRLEGPLTIEPT CSTCHLEALAATREGRHQNVQSRIAPAQRASMSRLPELDAALVSGGCFSQAELVEVAI TCTIVDSSCRIKRYSRSSL FPSE_01912 MISITPARYKKFPSLTNPVLSKTPEHQQILRNLKKIYDDHSKDW DEGTRYTIIDYVLNGDTEVTERIARCPGRHRLMQRKMTFAIWIVLRATYGFERLTRVQ YRFTEEFPGIDPASLVSPVLANTTQEEGEDDEDADAPHPLSIRPPPSSPRAPSIFGAV DVSKFTYYQQQSDSQKRKRLDVASLQPWKILKKTVKREPDTESFSTALRPPAFPQVYL LPTEENRNAPSLDNNAPLPSIESPNGPSDSGQGYSLSQQDKENCIPPLRPTIQSTAQI SRSNQSIESGNIDTNSPLSSSQIPSLVDQCQKFDVRIRELQTDVDEMRKEQQEERQSF IETITSIRDEIRADMDRSRNSTISTQTAKVNTALTGFNNAVCTLRASLSTLAAELGEQ PEQDKLSLDLKTSVDDLRKFMTVVGTHFEVHQQQFRGQVKALDEIVDQS FPSE_01911 EREEEEREEEEREEEEREEEEREEEEEEEEEEAYS FPSE_08609 MECLKEKFVGGAILNGRYQTISPLNHGSFGMVFKAHDLRTNEPV AIKCLTKKSACPDGNWDFAVDDKSEEQALHSRLGAHENIVNLVDSFETESHIYLVLEF CGQGDLYEAIRKGHGPLETEHVRQFMLELIDAVDYMHFKGVYHRDIKPENIFLTSDGA MKLGDFGLATTEKWSYEMTVGSDRYMAPEQFDSAGAGYSPAEADVWAIGICLLNILFS RNPFTTPTEADPLFLDYSRDKQSLFDVFPSMSQDTYEVLVQCMNLDPRRRSLEGAREA LLRVVTFTTDDEDDDEYCGAESPNVATANREPLRTPSIQSPAVDTGAFPWAKALHGTT HLGRQLSVIPDDESYTEELFPKSEATNTDWCSANTQTPMSSLYDSHLGASMKSLAIKP TARFNRAAATAGSLPINMAKPMPAMSMVFGRRQEAVSKSWSDMWDEDEEEEEMEQQRQ MLQELNARTWSQESKEEEKEVEKIDMVETQPQPTSHAHDIKGDIDDDLVADGFFFQEA PAPKTHHITITPNYSHSPTSKERSSKDKWAALGERRRAQAATFDSIKSVELSKPRRHN FGFGYNKSYEAGVWDHSNYHNKFGAAKERSSIKECPWNKGRDWNWRRDRRTDLGDVEW VGGW FPSE_08610 MTLNLNDFDVLVFDIEGTVCPISFVKDVLFPYALEALPKVLEQE WDSPEFAKYRDAFPEEYRNSPSDFEAHVHDLVKRDVKITYLKSLQGYLWLQGYESGNI VAPLFPDVETFFNEATQSGKKIIIYSSGSVPAQKLLFAHTNSEKSDMTPLITDYFDTT NAGPKTEVDSYSKIISHHPEHKDLNRWLFLSDNIDEVKAAVGAGMRSLPVVRPGNAPL PPNEPLSKVAITEFKHSEVASLGV FPSE_08611 MVSKKQTRVKSLSNGRPPTVKSSRSMSRKASRTLINTHHQLEKQ RRQAAAKGDTSTETRIASEIAKLGGLDHYQKASLQGQSLDRGGDTSKVLLEWLPVTDL KKRAQPLHMLEVGSLSTRNACSTSGIFAMKHIDLNSQEPGITKQDFMERPLPKDDSEV FDIISLSLVLNFVPEAEGRGQMLLRTLSFLRPASDPPTSSDELFPCLFVVLPRSCVDN SRYFTEARLDELMTMLGYVCTKTKMTQKLAYSLWKRTGAAPAKRPDFTKKEVNPGRTR NNFVMTLKASTSHSK FPSE_08612 MAAKDTKGKKGGNKAAQAAKAALKGTNSHKKVKARYSTSFHRPK TLITSRAPKYPRRSIPHQPRLDEHKVVIHPLNTESAMKKMEENNTLVFIVDVKANKAQ IKLALKKLYDIDCLKINTLIRPDGSKKAYARLTPDVDALDIAANKLSLV FPSE_08613 MSAQQLNIDNADLEKLNDKDRTELRQFLANEQQRSQIQAQTHSL TQMCWSKCVPGTVKNPKLDKSEETCLANCVERFLDVNYLTMKHLNGMRN FPSE_08614 MSDHEDSSSIPRSGYDTPVPELDDHRHQSVSTRVERPRRGTFDS LYGARQLEPEPSSPPAIRVRDFEEAIIDEETGDASPTARRSRRPTVDSTDRSISPPNS VKAFAQARRRERDMSFSEPNKPDMEDVASRAMSVSSKRSHRSKPHTVIDNDAASFSTN KTAEEDVCFPVKESYHKDHLYIDFDYLENFINEQAAERAAERAAERNAAAAAAAAKKS FEDMRAQQNESRLQRMVTVDGDILEPVSDDSMTREKTNQTVGSHNEDAVAEKQPVPID PNRFSFFSSAWESTIHAADFGDLVLPGEDLRGLFELPDEEEDGVWWLNVNAATKEEVQ SICKSFGIHPLTIEDIITQEAREKIELFPSYYFACFRSFSVVEEDDGIEYEPFNTYVI VFREGTLSFSFEPNSHAAQVRRRITALKDYVSLSSDWICYALIDDIVDSFGPVIRQLE LEADAIEDEVFVMREDDSNSFLRRIGRIRRNCLALYRLLGGKADVLRGFTKRCNENYK VTPRMDIGMYLGDIQDHVVTMATNLGHFEKILSRAHSNYLATVSIKSIEQGTHTNEVL SKITMLASVLVPLNLVSGVFGMNVPVPFATVAATNLAPFFSIIGFMIFMCCVFMALAR WKRYI FPSE_08615 MADQALDQIRDVVDGQIDFEGQKLVEFLATALLIVSGLISFVVG YILQDIKLAVYLGLGGTALTFLVVVPAWPFYNKHPVKWLPAGYAYDLASKGNQ FPSE_08616 MADVEMSDAPVAKKAEGSNSKSVEGKKKFEVKKWNAVALWAWDI VVDNCAICRNHIMDLCIECQANQASATSEECTVAWGICNHAFHFHCISRWLKARSVCP LDNRDWEFQKYGR FPSE_08617 MSETPSQPTTTPARRRNGRNGRPAAQKAYASENDVATIDPARHD RAPRTPQKGAGTDTPMSHTNSKQRTRNSSHNNNNNKQRGKSGPHSPDFARPGRVTPPN QSSSMKSISAFAGATFHASPAPSALPLPSFVSRPATESPSVTRTPREIIQEPSPPTDT EAPTPLRQGSSGVQESPLDFMFRAHRQEKERQRSGSTSSFLASRPVSESPSVQSPFGP GSVPQPATLPQTARSQARFQSVGIDSGEFDGTPGRPVGPAFSTPYQERIRAARTNSAR SPADLSAHQQKANPEPEDPTEALKKFLFSGNGTSVSQSVPNGFAPAPPAHQYDRARNI PNAPAPYNGHSNNIQAMENDLRRILKLDLGSPSPSNANQRLFS FPSE_08618 MSAARNPTNWDDYDGGGSPSESVTESMLDREQALVDDDDNNDGG DQGGLRRRRRSSITYQLAAIADIGGVNSFRSFARSWQRAAGFHEVIPRRPSFVLAPEG EAQDLEYSRSHVQGSQPQSGLLRQHLEASSSQANGESSSAIDSSPRPMRMSRRDDETK PLLDVEAGDSSIVGSPRSSIFAVPPHLAAPDIVGSYGSFRDSSPFGTMDRGTRHRISF SEGSGWGVADEDEVEGEDAAHGEHQPILVKEVKQGNKVVLAVEGQSTLPQSVFNSINA LIGVGLLSLPLAFQMTGWITGLFLLTFTAAVTSYTGKLLAKCMDFDPSLITYSDLAYV SFGTRARVIVSALFSLELIAACVALVILFADSLSLLLPGLATVNTWKVVASCLVLVLN ALPLRLLSYTSVVGIFSTFCIVVIVIIDGLYKPNYPGSLREPATTYLLPENWLAVPLA YGLLASPWGAHSVFPSIYRDMRHPYKWGKAVNITFSFSYLVDTCLAVIGMLMFGDGIK DAITSNILKSKGYPDALKIIMCIFIAIIPLTKIPLNARPIITTLDVICGVHEQHHHHH DTPHSQPTRSSVLVTKAVRMLVRVFVVILLLFISIVFPAFDSVCAFLGAALCTLISII LPISFYLKLYWQDVTFREKTVSFILLVVFSILGTLGTIWTFLPKHLIGAD FPSE_08619 MTTPPDTALLTSTSESLAPLLPILDGFAHRHKNQHSSSHWWSSF SIFRRAVRKLDQDLVVARPKKKTKSSSNNATSDSHPALVRAKWMMRHIVPGAFVAFSQ LAADNQHAPLGLLLLSVLASTHTLLSHLVPSENEHESPSTDDINAASTVSDTPGPLTS TVQSIEAEAPSTETDMGVAVSREELLLSKKKTAKSIPKVDVPSKDIQVKERKPKTVNT DAPKRSSKDDTKDKPKKKKKKGGDALSSLFGSL FPSE_08620 MGGGPQANSTRLNELLDQIRVEFESQMRTAENYEHQIAAQVSEM QMVREKVYQMEQTHLGLKQKYEDEISALRHQLDAARKGGMQGGIPGPPQHAAPSQPPS IAPGNGLFSGIMAGGSQGGLAPPQQGQQQSQQGQPQGAQQPQQSQQGHAPPQEQPLGP QHQMSQGPPGLPVPPHPSAQQAPYSQNYPPGPVSNGMGPQPQSTASPGPGRRGIGRPP GAVGPATPQINTPTPYPGTAASPQVSHPTPDHARMAGPHASAVGNALGDLEVDNVAPH NKKTGNDWYAIFNPQVQRVLDVDLVHSLNHESVVCCVRFSHDGKYVATGCNRSAQIFD VQSGEKVCVLEDHSASDMSADLYIRSVCFSPDGRYLATGAEDKLIRVWDIQTRTIRNH FSGHEQDIYSLDFARDGRTIASGSGDRTVRLWDIEQGTNTLTLTIEDGVTTVAISPDT QFVAAGSLDKSVRVWDLHSGFLVERLEGPDGHKDSVYSVAFSPSGKDLVSGSLDRTIK MWELSAPRQGNNPGPKGGKCVKTFEGHRDFVLSVALTPDTNWVLSGSKDRGVQFWDPR TGTTQLMLQGHKNSVISVAPSPQGRFFATGSGDMKARIWSYRPYT FPSE_08621 MSHKTGQSDETCHFGGGATLFSIALVAASQRSTNGPQLARRSLP ELETAACGGKGLLYRQLDPRHINYNNHVLSLRSRGFCDYGKTVEKVHVKSFKKSLF FPSE_08622 MDVVLEVVDTFIADYAYAYFYPKRLAPYDFPSPSNTTDTSAKAF STWSYKPATQFIMLEPPEQAYMSSWDRDNPLRQALTLYLITWIFGLLVYFIVATLSYI FIFDKRTFEHPRFIKNQVRMEIVAANKAMPVMAIITAPFFLLEVRGYGKLYDTTEDGP GLWYDFLQFPLFLLFTDFCIYWAHRWLHHRLVYKYLHKLHHKWIMPTPFASHAFHPLD GFTQSLPYHIFPFIFPLQKMAYVALFVFVNLWSVMIHDGEYLTNNPVVNGAACHSLHH SRFEVNYGQFFTAFDRMGGTYRMPEQWMFERDMKMSEGRWKKEIEKVDELIEEIEGSD NRTYTDSAPIMKKTQ FPSE_08623 MSYILYSVSLFTIVLATILFFTRAHWIPHVQHMRPRLPGADYIY SRLPNSFAGDIEAGLSSSNFNLSENVESGDSRAGLDDAAKSEVLAIMKKRRMNFDQAR KVYMENRFKANGIGADGLPRDPKFVSFS FPSE_08624 MSTAQELSNISSDLIWEIVRDNNCFSAKSKKNGGVQFSRDPLNL TNKSSRKHAGFVNDKAVGIAAGEKGAVVVTTKKAQPNKPAQNITTTSYSGSKSNRKTY QAVANQVAKNSYRADLRSAAVERASAIKKSHKPVKPEPEQKLRGNKAKKAAAAAEEN FPSE_08625 MVKAVVAGASGGIGQPLSLLLKTSPHIDELALYDVVNTPGVATD LSHISSRAKTTGYLPANDGAKAAFKDADIIVIPAGIPRKPGMTRDDLFNINAGIVKGL IEVAAEVAPKAFILVISNPVNSTVPISAEVLKAKGVFNPQRLFGVTTLDIVRAETFVA EITGKANPQELTIPVIGGHSGETIVPLFSKASPSVQIPDDKYDALVNRIQFGGDEVVK AKDGAGSATLSMAYAGFRFAEKVLRAVKGEKGLVEPSYVYLPGVPGGEAIAKETGCDF FSVPIELGPNGAEKATNPFEGITEKEKALLAKATEGLKGNITKGVSFVHNPPQK FPSE_08626 MSFEPVMPPFNSSDPSANFLSSSCLDFLLIELVPLAYRVTHDRD AITSEQNSTTADAASTSHAVSSSAAGIMAGAATRKDEEEDLDAVHYRLEMLGYRVGQG LVERFSRDRPRFNDTLDVIKFLCKDLWTLVFGKNIDNLKTNHRKLVVKQLSALNRSCG FHAVS FPSE_08627 MADLRILLIGNGGREHALAWKLSQSSRVEKIFAVPGNGGTAGCP KTSNVTSVKAEDFAGLIKFSQENGVNLVVPGPEAPLVDGVEGWFRNAGIPCFGPSKEA ARLEGSKTYSKDFMKKYNVPTAAYENFSDYTKACAYVDSVDHDVVIKATGLAAGKGVI LPETKEEAKAALKQIMVDKEFGDAGDEVVIEELLIGDELSVLTFCDGYAIRSLPLAQD HKRIFDGDQGPNTGGMGCYAPTNIATKELTELIDREILEPTISGMRREQQPFRGVLFT GLMITSKGPKVLEYNVRFGDPETQTVLPLLSADTDLAEIMLACANGYLDNCKLTIENK FSATVVLASGGYPGSYPKGKAMTVQTPPPGCNIFHAGTTLDSTGLKTSGGRVIAINAV GDSLRAAVDAAYAALDNKAIEFEGAFYRKDIAHRAFRSSEQASMTYAQAGVDIQAGND FVEKIKKAVASTKRPGADAEIGGFGGEVDLSKAGYPQAPIIVGAIDGVGTKLMIAQAM KKHDTVGIDLVAMNVNDLVVQGATPVMFLDYYGCSKLDLSTAADFVQGVADGCRQAGC ALVGGETAEMPGMYQKDDYDAAGCAVGTVTAEAKLPRKDDMAQDDVLLGLGSAGVHSN GFSLARRIVSHAGLSYTDAAPWDQSTTVGESLLTPTRIYVKALLPILSEIKGLAHITG GGLVENVPRMIPESLAAEIEFGSWEIPPVFKWLREAGNVEPLEMCRTFNSGVGMVIAV EASKADAVAQTLTDGGEKVYRIGRLTRRDQGEACLIHNVDSWKAETTVPSKRKDIEA FPSE_08628 MASSGLGSITHSTSSQGGGTLRQEAAALARQVNRLLNRQLSSVC QVNGVKSTGIKAELQGRIHNLIQEAINANDPVRLQQIRQSVHNSISNSHASSSPSRTT ISHPHGHASTAPFGLSSMSFPTTHSSLSNGQRFGGSQAVTLTFKSSPFYQIEAHVGDV KICEVMSQHRNTVSYPIRLEDHPYLQKCVDDPSYRVMIFCAGESSGMQEVAFPHQSEL KVNGGEVKANLRGLKNKPGSTRPVDITKALRLRPKYTNNVDFTYALTSKAGPSQKFYL LVNICKITSVEELASRISNGKRISIDSVKQELNAKAQDPDVVATSQVLSLKCPLSYMR LALPCRGLSCTHLQCFDATSYLQLQEQGPQWQCPICYKSATFDQLAVDGYVKDILAKT SKSQETVTIEPNGDWHTKSSEDGNQGQTNGNSSYQGTYDDDEDDDDLVISEVNPIGHR RLETPKNGTPSINTPGTAGRDSSSAGPRGLASTSAKRPVAAIIDLTLSDDDDDEPAPP PKRQNTSTNGYSGSNGLSGSSPVSPDGLGYL FPSE_08629 MAKSSRASTKKANNRRLVKNVFGPAEAARNERLSAKLLEVAKQP KPESSDVNMNTQEEEANESNEDAQEEETTMDVDSVKKPSSGRIEKKRPDKRKQQSCKI TFKSYGSRSKGKGKKKSA FPSE_08630 MPFTANARSPTVTMPRIKSMTTGSIPSFVDLILNFDEGDTSSTL ASQDTWLAQIKAHGMGKLLMYGDDTWLKLFPNTFDREDGTTSFFVADFTEVDHNVTRN IAPELENNDWGLMVLHYLGLDHIGHKAGPKSPNMVPKQEEMDSIVETLFEAMKTKPHL DSTLLVLCGDHGMNDAGNHGASSPGETSPALVFMSPKLKSISSKLPAPAQPKDEFDFY SMVEQSDLAPTIAALLGFPVSKNNLGAFIPDFLPFWDKTSDQIQILVRNARQILNIVT AAFGSELFDSESSVDPCALEQTEINELACQWRKINREAHVVAAGDKLDQKWLDEMSQW LRRAQDVMSSMASNYDMPKLYIGQAIAAIAAVASAMVVVSLGAHRDGQILPFTLLTLA YGAMMYASSYVEEEQHFWYWASSIWLVVQGVLHVRRKTSTEEVAWVFVALAALRITRG WNQTGQKFAGDPDIVKSFVVTHPQLLWGIITLGYMMLSFRLLARLKSLPSLASTSITS VLLMSAYSFKLDFTSEDAPELVVGFAKSLNDLLVGQSLLWRARTAFILLGILFGYGIY RSFTGGRNGQMQSAYLFHHLYTIFGMTQSRATNIPLFHLSDILFHALQATDLSVTGIT ITTILFQYTTFFAFGGSNAISSVDLSSAYNGISGFNFFAVGFLTLVSNWAGPIFWTSA ANLLLLRKYHEGQRNAFWQYIALQTLFVSATVALVMAACTSLRTHLFIWTVFSPKYLY CMAWSLGQHLLINVGFGGLLFWLGSRN FPSE_08631 MPARTAARSSRTSVARSSVASRASSVPEVVDTPDNALRTKVCAI FRDAQRTTATHRKLVVNLRKIHESCAYEPTNPDQSQVDEFDEDAFNREFIRCVGKIMP IKKSESVGEKSIRFTGLFLQHASAKDNELLGDIDEDASVMPETPSTRLIAQLLEAILP LLTAKDKVVRFRSTQLISHIINSLDAIDDDLFQKLRHGLLKRIRDKEAMVRSQAVLGL GRLAGNQTEGCTNSDDSDDDDTGLLEKLLEVLQHDPNADVRRSLLVNLPILPETLSVL LERARDQDAATRRAVYSRLLPALGDFRHLSVKMREKLLRWGIRDRDENVRKAASRLFR ERWIEDCAGAPPPEDGTAAEPAPPNFDGLCELLERIDVVNTGVENGVALEAMKGFWEG RPDYRETVEFDDNFWETLSAESVFMARSFNEFCRLEGNGKYLNLLEEKLPEVTKLAFF LERYLHVLVAAVKRVAEADEDDEEEDTVEQEFIVEQMLQIALTLDYSDEVGRRKMFTL LRQAISIPDLPDEVTKLAVEVLQGICSPDAAGEREFISIVLESVADVHDTIVDDPPPD DAEDSFHSARSEVSGPSTPTKSKRGGSPEISEEEAQEKAVREIVVNMKCLHIVQCMLT HVSSNLQDNTDLVAMLNNLVVPAVRSHEAPVRERGLVCLGLCALLDRSLADENLSLFM HFFSKGHTALQITALHILTDILNVHGAQLLSSTPGLLKVYVKAVKGGNKAPEVQAAAT VAASKLLLGRIVSEEEACQDLLKSLVVAYFDPASSHNQTVRQALNYFLPVFCFSRAEN QELMRSIALDAIHALFNVRESLEDDDVDVDEDMVSMTTIGACLVDWTDPRKVFSPTEL LETEKKAVNGDVHLDLAMDILEKLQGNITREEKKILASLLGKLYVSPGSSKEKLREAY QEVSIAVEEGLLSDATSRNQLYKIHVGLGKIVNALDEQQQQPTYRRASRSTSILTDRQ TAEGDTSITDEPSIKEEDEDSDGTVVPKEDRESLVDSLLSDGDDVEMTDV FPSE_08632 MGATSNDRKRPHATEEIDPASFEDRDNGDVKSKKRARTEDRRSL FVRSLPPGATSESLTDFFSQHYPVKHATVVVDQKTKESRGYGFVTLADAEDAVEAKKA LDKQDWNGRRIRIDVAEPRQRNNTTGELPAHKIRKEEIQRPNKLIIRNLPWSIKKAEQ LEHLFRSYGKVKFADLPQTKGKLKGFGFVTLRGRPNAERALEAINGKEIDGRTLAVDW AVDKDTWDKQQQPEEEDKKQKKGSKKQPVEEDDDDQTSSEGSDDEDEDEEEDKGDDVE GGAEVNRDDQLDADLKNFFKNHMENLEDEDEDEEDEDDDDDDDEIRKEVQALEPKKLM TDNSSTVFVRNLPFTTTDEQLKGFFGHFGKIRYARVVMDKVTEKPAGTGFVCFIDADE AKSCIKGAPRTQAPAATAKTSILQDESADPDGKYTLDGRLLSVTQAVNKQEATSLAEN SLAKRNEKDKRKLFLLGEGVIDKNNPLFNLLTEPEHRMRQASAAQRRKLVQGNPSLHL SLTRLALRNIPRNMESKDLKELARKAVVGFARDVKAGLRQPLSKEENARDGKDAKEKE HERKAKGKGIIRQAKIVFESGQGQKMQEKDGGKSRGYGFIEYTSHHWALMGLRYLNGF QLENEVGKKQRLVVEFAIENAQVIQRRRANEERSRQLNPEHNKGGKTEAQLKTLAQHT KDNKKRGRNDDRGDRGGKPGRDGRPGRDGRPGRDGKSGKFGKPGRDAPRKQSEEDGGR KPETEAELKHRLIARTRVMRKKKTLSRGGK FPSE_08633 MLAPLDLGFGWSSERGMAAIAYSSYSPLALRDMPQPKPNDAPSD IDPSIFTFEDAFEDLLAVSQGQPLPDIRSRYEQRKLLRSMFPTGEPGYFWLRRLRSQG LMEESTPTRLMKSAAENNWERFHQELDRSAHAVWRAVLGEDEGQDSFSAHEQQHNDNK RDVALSSQQRNRERIRFNPDNPAHHDVELLRDELHEGRSRRQEPEHFDDLFSAIQSSF ATGQSAWDSFVKMLNENPAPPLNQERKQLQKPASDEKQIVTKDEHVDRFGYLHTKTLV KTLDENGNEIGSHSHYTVRLAPKDNENDQIEEQGKDNQESGFKVNGSLETKKSWLWK FPSE_08634 MRHADVEPPWPSMVIDPWAQNNYSNPSHHGDSRKPGDKIQEWSS LIGIVTAIVGNVLIALALNVQRYAHIRLHKQRNRNRRRAKEATKHNQNGDHGGSYGTI GGDSNGAGYHNGHGADEQNHANGSRETDPLTGSFHSEASESSGSKQDEDDTSASYLKS PYWWLGQVLITLGEMGNFLAYGFAPASIVSPLGVVALISNCIIAPAMFHEKFRHRDFW GVVIAVGGVVTVVLSAKQEETKLNPHDVWDAITTLAFEIYLAVTIFLIICLMWASPRY GKRTILIDLGLVGLFGGYTALATKGVSSMLSSTLWRAFATPVTYVLIAILLGTAIMQI RYVNRALQRFDSTQVIPIQFVMFTLCVIIGSAVLYRDFERTNTEQAAKFVGGCLLTFF GVFLITSGREQSHDDDEVLSEAGDFEDTIGLANQDGNGASNYQDVQGFSTPKSQSRRS SHLSNLNLSDAHRALVAVVTPQHENIVPSAKVMNSQRSPGQETLASGFPWNDYGGPST PPGRGVRAYSTDSVLVAPGATSAGAFASHPTTPMREPMASDGSLLPRALASPTKPSGP GSRSRTFISPSPLSSTVTAVVKDAFLRASDDPRVRQSSMRRIRSTIRAGLFFNEDGEN ADAENTAQSNILSTSAAVPASVENLPSTLEEDEGPTAEGDQTRRRSRSVSDTLGDFFG VKRKKRYDSYFAGDVEDGTL FPSE_08635 MHSLALHMETLYRIDCDAHHSVAVAVAYLPAYNCCKPREFTRIC AWETRIAMSHRLTLQFWFVRSGGRMKFGVVQSVVAYSKRKDECQVREAQQSTTADSGQ RADGTALRAEDGIHNVGFGVPFWYDRPAALLALLYYGIRKSSRDMFLRTMLVAIRARC EGINQLGFYILVPGPWSVLYKVQSLLLLWMMLLGSTGGQSSAKLEAAYGDLGLAAWQP GHSVASFPLDWLLAEFEGLFEIARPKESTTTTTTTTT FPSE_08636 MWAANMPYYSEYQSDRSRRDTQAKLSPQANSGADMTSYYDVSPP ASQSPLDLDEYLVPAVEGPPSPERIRQLSKQMKHASHLNRGQRTASTGSSILMTGERG WEQGFENMSITRHSSQRSTTTSGSSSRDRPDSVQVLGKNIFHRRAKSSKSGRRRESSA QSSAASSIYSTEVGSETSLATFKDAIMPTIFARRKSSRDDVALQKKLQISGPFNFQHV THTPRDQANNSASRTPAQELRMPNFSASTEHVPEPQVSPRSARASALPRRYIKQARSQ EQLRTSPPRPIRPPRSPPIEELHSPTLGAPIPPPRSSSRQPRESDSLAMTGRDRPLTS GGFRHPRPFSPKDTMERASAVPQGFGVVMDQEPLPVDDHRFSHAITTPDDAAWPLPAT TNYEPPLPDVPEEEEMMRSPKNTTSTRSSLRASQSVPLLRGPQGSENAAAQRSAGHYK GSVGHIPSIRESWEDDIDYCYEHEADANFEYEWERPSMDTGRETAPGFRLDMFGDDEL RELPSVGTAESSPGMLSASRFDMPALSPASHASPESTSETASPNMGVAVTNNFSLPRG EKGRRPPQLNHTRNDSRASSFRESYGFTLSPSLLIPGDYHQQMLLNETEKQSYLCEDE LVHGIVDHDHIYENASNAASHNSLQLSNQRASTSTTATNSTSLSDSTGERHVSTNSTW TNLTRLTSSTSLNKWVEQNDGVRESLIIKSHDDSEEEDTTPPASIEKDTVPELTPFPS VPVSKKPHHKSHASESIVREEVPVNKSVEAIKTRRPRARTTSLSAQAPPVGQYALFPR TYAKGSGDRI FPSE_08637 MIAPAPPGQFPHQQPISSPNRTSRNTPLRPESTEIETHRHANHP PALPVLGMQLPVPGTGAGTVHESSRAQSRAPLNLDLDLDLHAPSHPSHLSHGAPHEQE HAHEIQRHGAHSAQSSAGLPPTGFASHLPPASSGPMSLGWNMYHVPPNLHLNANQFNF EVPGHMDVSGHPTHLEHSSTNPNSFHYEHHIVSPSSIHPSTAHFEGEVPSQWDDSLGH GASTPKVRTPSHHVSSNPWAEINEPAGGENLAPVTRPRKSARARRQKKERRKLSDASQ GARSSSTGGTAHSVSDAASPSSTSQQSRASLASKSASMTSAASTASSRQSKLRSASRT SKNTLDKPNDTAEDRRTRASHNLVEKQYRNRLNAQFESLLHALPEQIRHGNNGGGNGN VDKESEQANDLDRRVSKGEVLEMARRHIEALERERNQLELENLELHGNIRKLKGSGSE NMSASGQESSVESDVIKKEAKTGDEDEDENP FPSE_08638 MTAIESFDNIYLDLSKESGKCRFAETGFGWKPVGGGDTFTLDHN NIASAQWSRAAKGYEIKIVQRSKSGIIQLDGFQQEDYDRLAKVFKNWYSTVLESKEHA LRGWNWGKAEFSKSELTFSVQNRPAFELPYSEIGNTNLAGRNEVAVEMALPESGANAQ LGGARSKGSKAAAGRDQLVEMRFYIPGVTTRKEAEGEDAGSDAGNDEQEKNAATLFYE TLIDKAEIGETAGDTIATFLDVLHLTPRGRFDIDMYEASFRLRGKTYDYKIQYEAIKK FMVLPKPDEVHYMLVMGLDPPLRQGQTRYPFVVMQFKKDEEVTIDLNLNEEELKSKYQ DKLEPHYEEPLHQVVAKIFRGLGNRKISSPAKDFITHRNQYGIKCSIKASEGFLYCLE KAFMFVPKPATYIAYEQTQSVTFSRVSGAVSALSTFDITVLLKNGAGSSQFSNISRED LKALESFFKLKGLRVKNEIDEDANLLAAAMNQQMDDSEDEVAAKADRGSADEDEESVD EDFRTDSESDVAEEYDSAHESDGSGSDESNVDDDEQDDDDDDGGEDEEERPKKKKKTG FPSE_08639 MTTNRRSRLSGFRCASTARVTATLLLSFLAFSPSSASSDFNDRF HDDIILPPGPVLPSAPEIPEPAEHTFSLRHIYHHGTHLHPSLHRKRDVVHDQSRVYLA AEDDFNEYDILRLKAKSRPEPIHRLADRRPSVVDPMVAESRQRGYAAVLDASAWTMDQ VSSPDIKDKDTVLTLALMTANAYVEHDTDADWEDVGERWNRSADFGWESDGLRGHVFV DDTNSTIVIGLKGTTTAVFDGEGTTTNDKVNDNLFFSCCCAQQGQWTWHQVCDCATGT YSCNNTCVVQALREENRYYGAARELYSNVTELYPDAQVWLTGHSLGGAVTSMLGMTYG LPVVTFEAVPEALPASRLGLPVPPGVSAEYPQMRENTGTFHFGHTADPVYIGTCNGAT ASCTYGGYAMESTCHAGYECVYDVVADKGWRVGIGTHRIRSVIDDVIKKYDGVPECKR TPECRDCAQWKMYESNGTETTTTSLPSTTSTTRTRTRTSTCETPGWWGCLDKTTPITT TTSTTSSSTSTCKTPGWFGCKDKTTSEISTTTTTEASSTTTCETPGRFWGCRDEVEAT TTAEPGQVTNVPVTAAPTGTTDDSLSTALPETQRCLARNWFGICKEWSIDDLEFTTDE M FPSE_08640 MESTDIPIWGPMSPLSSIVSSLDDLTPADSDIASDADSDAEVQK GKSTSKDVDSQVNSEGTVEWLATTRERRSTAGNRMKSMLANEEPDSDLELLFAEDEND QGFSEVDENGSDVQMDSSDDEDDNGNNDDDLEGEKELEKQAKERRSVQRKRKAQEAIP AKFRKKVRIDPTTRTPAAPMRPAPRPKKKSERTSWLPSAADLPTRASSRQTTRLSKEQ LHQQMVEREERRIKQLAQMQKKAAKLEAMKKPPMTQEERLREAAIVEKRNSKSLNRWE EAEKQREEERRAKLAALHNRTLKGPVITFWSGIGEWMGRHMVIEEPAKEKRKRGEKAK GKDMEKAATVEEQKPKVQTALGDATSNTKEQLAQSQPPVTASPAPPVASESKETKASG PIKGDDCISKPQDSSSTAKDGTTMLKTEDANPSLAMPPPPHPPPTPPNGLAAPTLPPS KIISPLSPPASGLAAPSPPPPTPVGSTTTISFAAPTAGLSRPPDSKPSGVLAAPILAP PLGIGGNGAVPPMMGFGNPKSNVLAPPNTSQSAMPPTLSATAPTKNASSTIPSSQANP STTATPASSTTNLQPTVNSSTLQVTSSTPNNSNIAQAPESQPQPSTGARNAIVFQSFD ENALKDRSIQTQVIFGRKMNRLSKPSPAPLCAITNHPARYCDPRTGLHYYNAYAYQEI QRLTRGEYQWSHILDAWVGCGTYAARGVPERFLNPDAKGPEKKPISHEGVKQNEATID TQNRSPGIKAPEATSEIRTSGEKMTGIGQGSSTPPNIEPSKATQPSTASPAVIGSANA TNPAAATNPTPVLTNSTTIDP FPSE_08641 MGFQYELDGSVETHDPALPSTTACPYLPGQTVNTLIVPVEQALR QRSASLTSIHTADLKTMNPESKYTTPRPAPSAPVNYIPYRIGSSPALHHKSSSRSLSP APAAWKRLFGRKTNSDGERGRSPAPHDTHEDADYRCTTPSEGTRTRDISPESLRRFLS DDSPRPGSSLSERPSIMIPDDIVEDNENDDDDNFATSAASDGQPYVTHLSPPPFKRSL SSESVRADMLHSSSLRPSSAKSSKQSWLETTSVPASAVIPSRSRLESLRPRINPATSF FLSPVTPNFPEDEGINFFDLTDDEDDVASNNNSEILSFQPAPGMLSTTDSFECYSLPE SQGHGPKTAESQSPYPKVNSPSLLPQGDSGFAVGGNNFLGAPIDTGLDDFAAELGWMA DIIGSKVN FPSE_08642 MGNDSSILADGSAMVAPGTSFNHTLFETALPPLPIFSLEVQPDL LSWVSDFWLNLLLPVVVYWALSLTFHAIDVLNLFPQYRLHTPEEITKRNHVSRYEVAR DVIVQQVIQVVTGALLALSEPPEMVGKGEYDVAVWATRIRIAQRALPTLLSLVGLNAT AISKGLLDSHPLLAGAIAGGYYPSLVNANSEPAFASWEITFAKIIYNFMIPAIQYFIG AAIIDTWQYFLHRLMHVNKWLYAHFHSRHHRLYVPYAYGALYNHPVEGFLLDTLGAAV AFKVTRMTLRQGILFFSMSTIKTVDDHCGYAFPWDPLQLVTSNNAEYHDIHHQHYGIK TNFAQPFFTFWDTLLDTKYKGSRSNKPSEKKAKQEAKAQ FPSE_08643 MLIFKDILNDDELISDSYDLKEVDGIVYEADCAMIEEGGVEVDI GANASAEEAAEDLDDAKVKVNNIVSSFRLQSTTFDKKSYLAYLKGYMKAIKAALVEKN APAEEIKAFETGAQKFVKETLLPKFKDFEFFTGESMDPDGMVVLLNYRDDGVTPYTIF WKHGLKEMKV FPSE_08644 MSKYKDIMKNGWHPEKSGTSLRGQVKGLVGRGKSDDAERGDHVS VPISQLKDPSSFAPPPKRTGTGLIPAAPRRDTASPLNHQDPYAPGSQEHGYTESQDQA EEPPKPRGPYRVDTTGLSTDNLPKPPGRRDGADGRSAQPPSYQSAMTGVGGGRAAPPS LPPRLPPRTNSASTVESSPAASPTPIGSGLLNQGAVSRLGAAGINVPGFGIGRSSPAN AASPSPPPPPRPGVAAPPPTQTPSHMSELQNRFSKLGSSSPNANAAAPPPPSEGTTWA QKQAALKTASSFQKDPSSVSFSDARAAASTANNFRQRHGEQVAAGAKTANNFNQKYGL MDKAQSSYAKFQGNQAQDHDSAAGVSSPGLAGAAGKKKPPPPPPPKKKPALVSAPAPP ADDEPPPIPMATRPQF FPSE_08645 MAAQQNSDYELLTEHFGYPPASLLDDIINTVNVLADRALDSVER LLLSIPPQALGFSSKHAPKDGSSALPPDEAAKLEIEHGTHQLETLLNASIDKNFDLFE LYTMRNILTVKPDDQPYMLLGHYEGLDFAGLEGQDRPTAESVTALRRRLHASQRLHTA LESERTRNDALLGKLRSLLGVVPGVKAEEGQATPEPPAPDGSAFGFLREKASLQTAGT DKPIATTTEFTLSQLQALRALSTSLRTLLPDLGPADEDTSSDHATSSSRTWRRERLEY VEGASRKYLETARGLELGEQGEVRDGEWQGEGRKITRGDVEGLEQIASVLGQKSTTTG EASGDGEPMDES FPSE_08646 MYASRSVCSRCSSQLRSTPAPASAKRLGAAVSYSTAPDAWSPAS AAQRPNNTRRDSRTQYPGQRKGVNAFNETRQPDEDPALALFKEVVCPREENDTPPGKT YVRTSVTTPPPTLNELEITESIKNIMSGGLPVEKMYRVFQHEIWPSIRALEGHIPKPV YSAALQLLAKQREYMLQEGDYHGSVDVAEKYKALGNFDPSIRNDLIINICCRAILVKN GSKERSEIRGEIFNLWRHVSQLKRPSEFGKMLRFALPSEAEVMRDTEYIRTDGSMSQG AQATRALASIFLQLPPPQAQEIIPALLATISFASDKRHAGASYSIKLSPLFLLVKPVL SKYKMTKADVKDAFSKPSAIPPARFAELKEYVVEQWPAALGMIKEARQATNKFHHDPE AQRYLINFHKQLKTAYKARDPGGVGSIWHSMTAKLQENPAFKAELAEDPAFLDFWVFV WCAVRRPARVQETVNLMKLLKLEPTIRTYTSMMHGWKMCKDIAKIEMLWDQLVKSGTK LDSVIWTERVSALIELGHHQKGLEALSELLDNWRQAVKKGTQDQAVEPSIETVNAAFK GLLHADPKAAHELLAWAGKQGIEPNTRTYNILIRETIRMGLHDEIHQLLRSMQAHNIE PDSATFTILLETVIGSMHDASAEEQVRAIKSVFSDIEQAGLKPNLETYGKMLYALDGL PNCSDDVIAALQKHMRESGFGSYLTPHMITILIERALRHDPPDMNKIRSLLEKNNLKT VSSGDQTLWERVMTAYAISGDIKEAMYVFDNLAASGRPVTSLPCLTELIQALLERGDR AAAQRVVNIVVEYKLNNKDDKAANQRYWKHHFWYMAQHNGLVDGQKLRQYARG FPSE_08647 MASNELPNVKLFWLEKSRSQRILWLLQELKLPYELEIFHRNKQT FLAPPELQKIHPLGKSPVIQLTPAGAEAGAEPITLAESGFITQYLTENVAEGKRLMPS RWKEGMEGKIGGETEMWMRYQYYLHYCEGTLMPPLVMGLVIGMLKSPMVPFFIRPIST MLANRVISSFIAPNLQVNLKMIEGHLATSGGDYICGTSLTSADILLSFPLIAAEPELD KFGSFEGEESWKTVFPKVAAYVERIKNEEGYKKSVEKIIEIDGKFKASL FPSE_08648 MVLFKRKPVRFLPAAEIEDENAEVWHIPQTGEVFTSYDSYLDRM DFYKQRRFNDQITGHSGLTFFEALKSELAGGKEVEASFPEALKGPILRKVQFQTVSRL DNLVDQIYDEFKHDYYPGEEVTVTMKGGDRAHGLVRDKTTFGPRALPDGSHSLPTTRY LVDLKDSDEETIVTDEHICRDRGIFTKAMLRSFIKKTVTRDAWNGAPWLVKHDYASQY HIDTRVPPHLRYDTKVMERKQLQAQKRAHTHHDTNSHDLIPALQSGPVRLPELKPAPK SKAKPGLHGSGSKGLKWPLNMPVHGGNPFSSPSDYGIQAPAQREPTPPPPPPPPPKYP IEDLQLEPRDDRKRPPLKFLCRDPPAKVENDDAIQDDFSDNINMESIGPLLETWDTLN VYCEVFKLDSFTFDDYVEALCVASEKVPIQLIDEIHCSVLKILVDSEADGGKVRINLP EVEEEDSEEEEDEDASTLPTPEPEVKPGRRATRSSLAKAEAERLAAEAAAAEKESEEE TKHRAEQLLEDYDWIEHLRKRDFSNGGWERILVGLLHQLSENERQHDVCEELLHLLVP AGIDATQETVKQRYAELDVNQRVKILQIICMLTTETKAMRGYMEDCSETMTAYRKERI EWQRQRKQAIEDLRQLNEQKKILLPDNMPPSPPKEEDGDVKMAEPDDSIMSREADGEE TEEDPSTRKRRRPLTAKQRKQEEEQALKKEKEKVAKMAKTPKPPAQSKQFIKLLKDIQ KKEDIMKNCEEEIAVIENDLREADCPRTRVLGKDRFWNRYYWFERNGMPYGGLPDSST ASADYANGLIWVQGPDELEREGYIDLPADLQEEYKAKFNMTVPERKAMEESNTSVFNA HQWGYISEPEHVDELIKWLDPRGFNELKLRKELLAFKEKIAKHMQSRKTYLSAEEEND KKEENQKRMSTRIRDKTPDTSNYRSLQWENTTALEELGHLHSDPPPPPRSRKQTRKRE AVNDAPAPAPAAKTRRR FPSE_08649 MSEHYPTLAQTALVAAAFKILLFPAYKSTDFEVHRNWLAITNSL PLEKWYVEKTSEWTLDYPPFFAYFEWILAHVARLVDPLMVKVYNLDYDSWQTVYFQRT SVIITELVLVWALQTFIETAPLKSRRAAQTVALSIILSPGLLIIDHIHFQYNGFMYGI LVMSLVLARQKSELLSSGLIFAALLCFKHIYLYLAPAYFVYLLRAYCLSSKSIFRIRF LNSIKLGLGIGAIFGAAFGPFAAMDQIPQLLSRLFPFSRGLCHAYWAPNVWALYSFAD RILIHVAPRLGWAVNKSALQSVTRGLVGDTAFAVLPEISPRTCFVLTLFFQVVPLIKL FFQPTWETFIGAVTLCGYSSFLFGWHVHEKAILLVIIPFSLIALRDRRHLGAFRPLVV AGYVSLFPLLFTPAEFPIKTIYTIVWLVVFLLAFSRLAPASNKPRIFLLDRFSTLYIA ISIPLILYCSLLHHLIFGKAYEFIPLMFTSSYTAIGVVGSWVGYMVVYFTAIMTLGHT GHLVNTVIQR FPSE_08650 MKVSFSLAALLAASLSQAQYLISELSFGHAGRISPAESRGQIPN FAVQGNPNTPEILSNRIILTPLAPGNQRGAIWGQQPLLRTQWIADVDFRANGPDRGRG NLNIWLVRNGPATIGAGSIYTVGKFDGLALVIDTSGGSSGMVRGFLNDGNTDYSRQNN VDELSFGHCPYNYRNLGRPSQVKLRQTARSFRVELDGKLCFESDKISIPTGYQFGVTA ATPDNPDSFEVFKMVVMADNSDAGAVRNDPPKQQNQNQNQNQARGQPAKANSNNNNNR NDGEYADEDPDIFSTSKTQFMDLHNRLQNTNHQLSSLQRTSSRHQQQDEKRHEDLTSL IGQLRADMRKLDDITALNSRISELEKDIQGLRKELTRKLQSTERTFKTTLSDHHSTLS EVVMNKTPGHKFLIFSIIGTQCILVAAYVVYKRRRASMPKKYL FPSE_08651 MTPPKPICGEEKPQARERTSVSYEFVLEDEFDALCVEVKRNDGD SSGKSSSGNKYPAKLHARKVVKELGVTDGLIYLPGEPTRLYEDSDQSPPFKQRRYFYY MSGANFPDCVLTYDIATDRLILWIPYAEPRQVLYHGPTPDAAEAMRKYDVDDVRYTAQ LQKFLHSQLRPERTLYVIHTDQAPKLHDRPRGQTKINCSKLRPAMDQARVIKTEYEVA LIRRAARISAAAHRAVAERLLTMRNEQDVAAVILAACTSRGAHVQAYPIIAGAGVNAS ILHYESNDQPLEGNQLMVVDAGCEYQCYASDITRTLPISGSFSKQASAIYSIVQRMQE ECIARVKPGTIYYELQIHASDVALQGLRKLGLLRGSFDEIQKAGTVAAFFPHGLGHHL GLEVHDVTGDERLLMRDNFHVEGGKREMVTAAALVAMHRLASTTGPIKPRQALQPNMI VTIEPGIYFCRPFIEGYFLNNSTHAKFINRDLLETYYPVGGVRIEDDILVTYGGYENL SSGAPKGDEMLDVINGNFEKI FPSE_08652 MPLDAAANDGGQSSHDWHDDAPSPSASIKSDIELDDDGSGQPGA SSQPIQKRRRVTRACDECRRKKIKCDGKQPCTHCSVYSYECTYDKPSNRRRNPAPQYI EALESRLQRAESLLSKFMPDVDLADPNLDPAIQQEFRNRENARSQTSKIRQPPPSHHS HQSHQSNQSDPNDGHLTSMIDSVGQLDLDEKGSWDFHGISSGAVFLKRMKEHFRGMLG PSSKAPFLPRAERPAGLDSPSLSSVGTPFSIAPSYPELPPKDITRKLCYYSLSCATCL IRIVHVPTFYEQMDKIYERPLDNLTKEETQYLGLLFSVMALGCMYNNLDDTDPRSMAY QDATEEGLKYYNAARSVLHDVTDCRDLISLQALVFIILFLQATSNLSSCYSFVGIALR SSLRLGLHRHLEHEKIDPIEQEVRKRVFYVVRQMDIYVSTLLGFPLLLNVDDIDQPFP LEVDDEFITHTGILRPPPAAPSFFQAFNAHSELMEILAKVTKYVYPTKGLGQGLSKDN KPASTYLISYGRIKEIEAELHSWFERLPQHWRPSGEGPIETVRIRHLLRFAYAHVQLV LYRPFLHYVSPRLSQGTKVDELSYACAAAAISVSRNIVHIGLEIRKQRVLSGPYWFML YTEFFAVLSLVFYATENPEKPGSSEVLADARAGRDMIAALSEKSMSAERVTGALTALF DELPEQLDAGSIRQVPSKKRSAPTGRPSSGSSHHVPIPASMSSIGTVDFTRASQVSTS SYPTTMSQGTMDMQGGGITSFQDNSFATNFGDFMSPDMQRSTPESTTSTATSAQRFPY GGQPIGMHNVNKLDSLMFPSEDPFAYPNQPMMELGFPSKTDPTTSIADQGQDMQLFLT GTFDDVESQIFGQPPPYMMHQQSQGMMGVSPQPMYANPANLMAMQQPSQPPTMQQRRP VSQTHAIHQGHSMAHRRAHRQQHQERQIQQMFTEQGMQADWGGFFGSGRGGFQGM FPSE_08653 MSESNSLLAFFSQQSNTGGIIVREQPKLDLDLYISNYTGRTRID RLIQIGKSSVPLCIDALKLAIVEAKAGSDLTQYAEAWNCLRVAAPQEPEAQLETEWVD RVERENKAETTRLESQLKQYRHNLIKESIRMGNEDLGQHFEKTGNLEAAAEAYNRMRQ DVTTTKHIIDCGIHLVNVYIAKRDWTMVLNNLGKIVGVQSGDEERMYQPYTKLVSGIA LLGLKHYKDAANNFLQVDFALPPAQYNHIASPNDIAVYGGLLALATMERNELQARVLD NQSFRSFLENESHIRKAISLFVNGRYSSCLAILESVRNDYLLDVYLQRHISTLYSQIR NKCIVQYFIPFSCVTIESLNKAFASEGESVETELVTMIREGDLKAHLDAKNKLLIAVQ PNPRLVMQKQALDVSRQYEEEAKDRLRRMNIIAAGLEIVGKRQQHSGPAGRGIDEQWY DDARASGQQSQIEGV FPSE_08654 MDFAALMNKELSKSKKGGSGKDEKKYLKRSEIEAQRKEAYIAEQ KALEAERQAKAAAKRKREEDAAAENAVREEKRRKLAEDMRKRREEQEAEEERVRRKRL GLPELIKAKSEDNEDGVHASEDIPEEELVEKLRALGEPVALFGESHAARVRRYRRLTI VITKGPIPTTLELVDEKDMKVDSELPRDNGGRKWLFRQLASYFTMVLTEYERAMEAEK RDTTAGKTAYNAMVQTRENMKPLFRKFEQGELDDDILKPVIEIVQALQERRYVDANDG YLRLSIGNAAWPIGVTMVGIHERSAREKLHDGEKGHVMGDEVTRKYLQSIKRCLTFAQ VRWPPTDLRQLMG FPSE_08655 MTSPVPKPLRENKTPFLLQLFYRTGALHRTDEFEAQSLPPHISV YTWSDCTLQELALDLAATKPSAFPSPSVGCRLVFQLVFPDLRNTIAVANSPPRFGVKD LGSVVIGGGSSSDTSGDTSMDATAKDSDDKHKTLSGARFVVGDYITCAILPPLPDGSV APASSVRREQASGSHERGKGSFGRGGSKGSRGDWKSELGGDFYMGEWKRGERLPDVSG GRPRSRGRW FPSE_08656 MAHLNVKPDPAYLKYAAMMKSRHHYFRWTPRTAKITLIYVGVIP AIMGYIAYKTDGLWDFRAKRKGDLVYEK FPSE_08657 MQPPPPPPGWGNNPPPPPPPSQAPPPPPSLPSQATPAFHTAKFA HKKKEWIKSQRNRFGEKRKGGFVQTQKADMPPEHLRKIVKDIGDVSQKKYTNDKRSYL GALKFMPHAVLKLLENMPMPWESAREVKVLYHVNGCLTLVNEIPRVIEPVFFAQWAMM WTFMRKEKADRRLFKRMRFPPFDDEEPPLSWAENLEDVEPLEPIQMELDEEDDEAVYE WFYDHRPLLDTPHVNGPSYKSWNLTLPQMATLFRLSRPLVSDVVDKNYFYLFDLKSLL SAKALNVALPGGPRFEPLYKDIDPNDEDFGEFNAIDRIIFRNPIRTEFRVAYPYLYNS LPRSVHLSWHSHPQVVFNRADDPDLPTFHFDRRINPISSRTVAPKNVEVSHEDEIFGA GNIEEPEEDAFELPVGVEPFLADEDIENDDTSSAVDLWWAPYPFDRRSGRMVRAQDVP LVKQWYLEHPPSDRPPVKVRVSYQKLLKNFVLNELHKKKPKAQNKQNLMRSLKQTKFF QQTTIDWVEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRF GNAFHLMREILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYKL MHQIRTCKDLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLERWLGNLL SRQFEGRHSKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNKVNTVLQHLS EAWRCWKSNIPWKVPGLPAPIENIILRYVKSKADWWVSVAHYNRERIRRGATVDKTIA KKNVGRLTRLWLKAEQERQHNHMKDGPYVSSEEAVAIYTTTVHWLESRKFSPIPFPSV SYKHDTKILILALERLREAYSVKGRLNQSQREELGLIEQAYDSPGTALESIKRALLTQ RAFKEVKIDMNDNYSTINPVYDIEPIEKITDAYLDQYLWYQADQRHLFPAWIKPSDSE VPPLLVYKWAQGINNLDGVWQTENGECNVMIETELSKVYEKMELTLLNSLLRLIMDHN LADYITAKNNVQLTYKDMNHVNSYGMIRGLQFSAFVFQFYGLVLDLLLLGPQRASEIA GPPQSPNDFLQFRDRETESSHPIRLYTRYVDKIWIMLRFTAEESRDLIQRFLTEQPDP NFENVIGYKSKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSVTTIDWDDSFVSVY SRDNPNLLFSMCGFEVRILPKIRNQNEEFPVKDSVWSLVDNTTKERTAHAFLQVTEED IQKFNNRIRQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTVDLLDTIVKCETK IQTRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPASDKRWSKQTDTGVTH YRAGMTHDEETLIPNIFRYIIPWEAEFIDSQRVWTEYSQKRLEANQQNRRLTLEDLED SWDRGLPRINTLFQKDRSTLSFDKGFRARAEFKIYQLMKNNPFWWTSQRHDGKLWNLN AYRTDVIQALGGVETILEHTLFKATGFPSWEGLFWEKASGFEESMKFKKLTNAQRSGL NQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQK IHESVVMDLCQVFDQELESLGIETVQKETIHPRKSYKMNSSCADILLFSNHKWNVTRP SLLYDTKDVIEQTTTNKFWVDVQLRYGDYDSHDIERYTRAKYLDYTTDSASIYPSATG LMVGIDLAYNLYSAYGMYFPGLKVLVQQAMAKIMKANPALYVLRERIRKGLQLYASES NQEFLNSQNYSELFSNQTQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQ LFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLLDPLEV HLLDFPNISIRASELQLPFQAAMKVEKLGDMILRAKQPQMVLFNLYDEWLKSISSYTA FSRLVLILRALHVNPDKTKLVLRPDKTVITHEHHIWPSLSDEDWIKVETQLRDLILND YGKKNNVNVSSLTTSEVRDIILGMEISAPSMQRQQAAEIEKQQQEQAQLTAVTTKTQN VHGEEIIVTTTSQFEQQTFASKTEWRTRAIATSNLRTRAKNIYVSSVDNDLDDITYVM PNNILKRFITIADLRVQVAGYLYGSSAPDNDQVKEIKCIVMIPQIGGLRDVRLAQQLP QSEFLEGMEPLGVIHTVSGSELPYMSAVDVTGHSKLLDAHNEWDKTNTVTVSVAFTPG SVSLSAWGLTPDGYKWGAENKDTQSDQPQGFATTMGEKRKLLLSPRFRGFFLVPDDGK WNYSFMGNAFDGLEKKPVHVKLDTPLSFYSDQHRAVHFDSFAELEDIWVDRTDNFA FPSE_08658 MAQHHQHPSPQMVMDPSQMSHHRLGPPQFGAGQVMAPMYQTLST PTPPNHPQHSVPGSGTKRPRPSDLDLGSMGDLDHSDLSGMQQTPLGAAYAHAAAVAAS QSQHQPIHHHHHLPDSEPPSKMMRRDDGLGGAPSVVGQVGMPDPAPRPRGPKLKFTPE DDQLLIELKENKNLTWKQIADFFPGRSSGTLQVRYCTKLKAKTTNWTDETDQKLRTAL QDYENEKWRIVANKVGTGFTPAACRERAEQLIVEDPATLAALSQSLSPAVVSNAESEE PLYTHHQLQ FPSE_08659 MYSQRPSWLEVCCSDSRPTPTLFAWNVSNLASYDGSDLSTSTAS GDSDKRIYILGIGNLGRLFASSLAQAPNKPPITLVVHRKELLEQWAEGQGIEILRNGK LEKNKDFDIEWWTEAEPDIGPIREVAEGDKLKNLIITTKASASLPQVDRVRGYLDRNS TVLFVQNGMSKLWPPYGSLYVSHRYHSSHEPNYLACVTTHGVTSQGTFKSLHASQADV AVGAVLPNSLSVDRTAYLVKQLLEAPHLEARSVPRGELWILQLEKLVVNAIINPLTAI LGCKNGALFNEDNEILARVIDQLLGEASQVLQLLVAHESSAEIIGQQERRLPAEPEAN IDLSPKSLHQRFSHPQLKDMIYQVGHKVRDNTSSMLQDVRAGRSTEIRDFNGWLVEMA AFLDPELDVTCHGTLVELVEAGRTLDVDQLGSHFSCSVTDTGKS FPSE_08660 MAAQDAGSENHEVDKAALLDQMVAVAQESVSQNEGQEEQPNTEG LNEEDALRNLTGTVRDQNDLERDITLQANAALNEAEDKKDKNRIAKLQESKHRLQLQL DKEKKNLERVAHSNVYQSRNIQKKIAKLDDEIRQMTSDISDFQSRISKRREDHPVEDP KKSKSAKLTGETNREFLIRTGKITPFAKIGGPRPAGIQGQLAETILDAEEEAAAEQLG EELEGPASHQRLRRPGFADEIEPETAPPQPAAAISESEFSLRPRKKTRLTQKERSPSA DFEPEASGNESHDDDVWQQGNEDDLIREQRRQAKAKAKVADQEQIDLSKIDDGNESHY KTRLKDWVTRRSRARKARRLTSEVPTDGNESDEEEKEWFKPAPDFPDYDFGDGLKLPG DIHPSLFGYQKTGVQWLAELYKQNVGGIIGDEMGLGKTVQLIAFIAALHYSKKLRRPV IVVAPATLLRQWVSEFHRWWPPLRVSILHASGSGMMNPKFEDEYDLDHYKPLATKSQN AASRIVNGVAKSGHVLVTTYTGLQTYADTLLPVEWDYAVLDEGHKIRNPNAEITVTCK ELNTPNRVILSGTPVQNNLTELWSLFDFIYPMRLGTLVNFRAQFEIPIRQGGYANASN LQVMTAEKCAEALKETIGEYLLQRLKVDVAADLPEKTEQVLFCKLTEGQHKAYETFIK SDEVSAILNRRRQSLYGIDILRKICNHPDLLDKSLGKKAGYDFGNPKLSAKLQLTKDL LQKVMIPNGHKTLLFSQGKQMLDIIEKCIGECGISYVRMDGETPVDRRQTMIDKFNES PDIHVFLMTTRTGGLGTNLTGADRIIIFDPDWNPSTDLQARERAWRLGQKKPVKIYRL MTEGTIEEKIYHRQIFKQFMTNKVLKDPKQRSSYDLSDLYDLFSFNTGKDATANRSEI FKKAQVSLANGDEDGNGHLDPKHVGSPDREKDTESMELKQMGLVAAMEDVREEKSSHD EKRMLEGIFAKSVNNAYDHEAIVNGPQKPKADISILQDEANLVARQAAAHLRQAGAEA RRVPIGTVTWTGEVGQTGRPGANRRRGGPSSAGIMSNLADRQGLDTGSGRSSRSGTPG VDKNLKSKDFVAMIKTFINRHNGRVPSKMLVDHFNPYCPGKKQSDEFKAALDKVAVMN KTGGAGRGIWTLKPGAK FPSE_08661 MPPKKTATRAPQENISLGPSVRDGELVFGVARIFASFNDTFVHV TDLSGRETITRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGINALHIKIRATGG NGTKTPGPGAQSALRALARAGMKIGRIEDVTPTPSDSTRRKGGRRGRRL FPSE_08662 MGATVPTNDQILVPETLLKKRKSQEKARAERTDAVEKKKAANKE KRQVIFKRAEKYVQEYRDAEREKVRLHRVAKSEDSAYVPAEANLIFVVRIKGINKMPP KPRKILQLLRLLQINNGVFVKVTKAITEMLKVVEPWIAYGYPNLKTVKELVYKRGYGK VNKQRTALTDNSIVEENLGKYGIVCVEDLIHEIFTVGPNFKQASNFLWPFKLSNPTGG FRPRKFKHFIEGGDLGNREHAINALVRQMN FPSE_08663 MARVHCANAQSTVNSFMQLARRSYTIASAQSSTPLEFLVPRCTP STGLRTSRLTINRSRTYAHSRRSWSYKLQEAATRQFSASAIRQKTRAILNPQQDEDGN EMMLEITDRAAKRLNKIMEKDGNPNLALRIQVESGGCHGFQYLMSLVTLPAKDAAEWS SIVNEDDTIFQYISEDADPATASEDGPKIILDEPSLDLLKGSKVDFTMELIGSQFKIT DNPLATSSCGCGTSFDIKM FPSE_08664 MSRFFRGGDDSSSDSSSEEEELYSEEEEEEEKQDSQADSSENDD SDDSDSDDEGGKGINKFLKDASSDSDDSDDEVRAKVKSAKDKRLDELESSIKQIENGQ KNGDWTLIAAEYEKLNRQVAKMPGSRPPKPYIRILAELEDFMNESLAKQKVTPKKMNA IQNRSLNAVKQKVKKTNKEYQSQIDAYRADKDAFMDSSDNEPVVPVSKPKKVSLQVDA APAEEGDDDGFATVGKGGRTLQYTPESIFKHLRTIMESRGKKNTDRTEQIKTMEKLSE IANTPYQKIRVLLTLVSTRFDIGSGGASAMTVENWKAAEKELSSLLQVLEENHDYVVV ENAEEWDDDDKPPTLQEGEKHIKVPGSIVSYIERLDDELVRSLQSIDPHTSEYIERLQ DEGALYNIIFRGLLYYEYLRKDDSLEIPQDSINRIVMRRLEHVYFKPAQVVKTFEENC WKPVGDSVESVITPRDQAQNAGNLVNVLCNYLFSNSEGIIRARAMLCQIYFLALHGEY YKARDMMLMSHLQENIPNFDVQSQILYNRTLVQVGLCAFRKGLVYEAQNTLQEICGSG RQKELLAQGVMMQRYNQVSPEQERLEKQRQLPFHMHINLELLECVYLTCSMLLEIPLL AQTGSSPDVKKRVISKTYRRMLEYHERQIFTGPPENTRDHVMQASKALAAGEWKKSTD FIHSIKIWELMPGAEEIKAMLSKQIQEEGLRTYLFTYAPFYDTLSTETLSAMFELDSA KVAAVISKMISHEELAASLDQVTSTVIFRKGVELSRLQSLALALSDKASALIESNERT LEQKTQGTSNAFERQGGRGRGGRGRGGGQGRGGARAGGNTQRQAGGTQFTGGALGAAV RG FPSE_08665 MSEPRAEDASKPVETKTEELPPLSDHDFKAYNRLAVHMNYFHDN FRRSWNLLWNACTNNRRPQSMSLKQFIMEGLQFAEHLTVHHNIEETYIFPILAKKMPE FRGGRAELLRQHKQIHAGLDGFEEYLKKCRTGDEEFELSVLKTKMESWGEVLWTHLDQ EVETLGANNMRKYWTKEEIARIPM FPSE_08666 MTETKTMTTVESPAEAIIIPSAEPVVADSLPASELEKSRTKADN TNVKKSSPKSSPLAQLLKSPSALDGFILHLSRVIQTRQGTDTVLLFTTYAARLVGAIL EILGRTTLRHSAQKVVEMAFKLPPSSSVVLSTVTAPPLATLALNLSKNIQGFTNMMGE WRTMNRFWGVIGTYFEARDLILRLRGEMVDEKGEKVPAPNRVNIAFMTVQILCNFIYN FGEGACWLTCKGATNLSEKTSAKLGLLAARSWSVWVALELVHLLVERARRTPSGDITT EEEWKMDWKANFLGTLPWMPLSAHWGTEQGLMPEIAVAAIATWPATVMMKNLWRKTA FPSE_08667 MSDIKRPGFGLPLNYIMVKEPFPVLTGEEDSFTEWSAVTLTVRE TCMLKVIEDLTNKPEWWLKVKDEEIATKWMKEAMEMPWEDYRPHGDFTQKMANACIKE LRIKADLYEKTGLIPVMDYTSAAFKSDSLIPKDLCKALKDAVAPMENVPEDQKDWHPG SDGKVLDIVHPSLWPLYYGHSRILPDKCINVEEALAHCGTGVVVPENPESDTKAFGIG EEDFDKFLPGRYFSKRFQWLPCDVNVTEDHPRIVSYINNAHPVKDASLYLVVERFIEK SLPAWDAIYRWHNDFAVQRIVTNATGFQCQVPESCHSVSWSSACRPMSRPLDEGEPKR PDWPASAYWNSDHHKRDQEWFDRTHPQGRPEPRDEQDQLVKIKPDDVKTSGWFDNASR VQVIVKLANIHLTPEKPTYDGGSWHIEGQLNEHICATALYYYDCDNVTESRLDFRTTA NKEDMMGELDYEQGDFDGIEDVFAIRAADDTIQDIGSVVTKQDRMLFFPNVYQHHVSP FQLADKSRPGHRKILALFLVDPLIPIISTANVPPQQRHWFEDALLQDQVGKLPPELTR MVVENMDFPIGLDRANDIRKELMEERTSQQKGLDENLRNLEWNFCEH FPSE_08668 MDAIKSRSHVIWQKHDHLILSPQTSLFGKHACQKLDYKLNMPKQ GVGKIGHEKHKRQNYQGQIDQGPTHQRSIPPEVTYITMSFVNEIPPEPTAPPILNLHG RAEASDQIILSIAPDSICGFISERAGASRACPVDNRCYFFPPLSQEHGGVICCSKTTC QYHATCINSREYFQSTPIPARPTAILSHGEEIPLTIGAMISTSKPHRALLLPTRAKRR HRIFFTVDQDDISSIESQMSGARTAGTALGGPKSTSESTSGSTATVTETNANDGGSSK TPVAAIVGGTVSSVAVLAATGLGVFFFLRRKKKKQSKAASLPGYQQPPENKSPWSPGQ QPVHAFSS FPSE_08669 MAANWSFKAQPGVFIELTDIAHNYPGEKVTTQPNLGLIPSQSYP SDDPDASDQRDWVRLARYVTWLNKNSSNNVVYKILYLTRHGLGVHNKMHAQVGSEAWN TRVSFENGDGKETWFDASLTEVGEQQAKDLNTFWTDLIKVQGAPHPQTFYTSPLARCL QTTNLVFSSLMATQTPPQQPIVKELLRERITRHTCDYRRPRTWIVENYPSYQIEDGFE EEDQFTNRVDPETDEEHVVRKKRALEDIFNETSKDCEVISLTVHSYAIRAIQGAVGAG VCRTREGTSVALLVRGERDVEANGIDGLDINDYTSADPDAVGSLRRFSM FPSE_08670 MKFTVLIAAALAAAPIPEAAAHPGMGETIKEIERIAARSWGNGW GSNKWPSKNTGGNTWSSGGGQSSGGNAWGNGGGQSGGDQGNGDDSWSDSGSQSGGNSW SNGQSQNNGGGSSSWPGQSNGGSSWSSGGGQSGGQGGWTGDSFNAHSLIGDLSTVSDK SLTSVGRDIKKILQGNGNPTSRERYFGCPSMNTPQCKRDTCCVWQYISNELQERFRGE AGRCTRWARYAVRIGFHDAGTWSLKTASQGGGADGSIILANELTRGENKGLEQIGAYY QTIYDKYHNSYGFTQVTMADLIQMGSNIAAVTCPLGPRVRSFVGRKDSTKANLGGLLP KVTSDALTLINLFKDKTIGPDDLVALIGAHTTSQQHHTNIDRDGDPQDSTPGVWDILF YQQTLDQNAPKRVYKFPSDEVLSQHPLTKPAFEAFASGSSGQAAWNEDYARAYVRLSL LGVNNINSLTECTKVLPQPVESYRHKDKGRFNKWLQSDDNSYTSKTVSKDIDDGYEIS IPEDKIPSRRGPWKKWTTLFKWW FPSE_08671 MVVLWNLGEGIQKCIADTLSGSNKRPPTTKPRALAKHRPCANFN LLDLPNELIYAVGVAAERRDARALSTTCRRLRENLASVVWSRVKLSIGLCETSSDIDT FTTYLGEHCEKFGLIKEATIHFDGCRTESPPCCADELLSVPKAAYIINAMRSLESLSL DVQNLSAKQIKTLIDELCFGSNVEILELKCEKNSRCLMECVGEATDHGSSESIAAPEI SSHLGKRFPQLKSLVVREPLFDGYQLTDSHFTADEIPRFVCTIHFTNPIDLVPHLSRF RLFISAVAANHPALDEMVIILSRMYLICWKRESNHISLRECYGPWLFRPMPIFEQTVL ESLLLNTVPLESAE FPSE_08672 MVDTSYLAQQVNNSIGQLHGLFDEIGVPDHEREARESELFSALS EALNSQVRLVNSEKKEMVDEAKKIITIIHQMEASLDDQNRRRSNEDDELTITYPLTRC LQKLKEKHTIISRIHKERFEQVKKLVVALESYSSHLEPTFVQIPLPPTDPDQTIPANF DLSSTYVDKLDSEFTRVYEEYSRRIATVQALADQTIGLWAELGIPQAQQDAAIVKYYR DAPEQLGLHEEDISRLRAKRDRLSDEKKNREKKLSQLRAAVEALWIKLGVDESETKSF LNANRGCGVRQINEFEDELSRLNELKRQNLHLFVEDTRVKLQELWDALYFSEDEMLEF TPAFSDVYSDALLEAHEREVARLEALREERAPTLALIDRRKALIKERNDLAASSQDAS RLMGRGQKGEKRDPGKLLREEKMRKRIAKELPKITAELRRILSDWEEEYSRPFLVCGE CYLDEIEAEEDSKKNTLPPRSKTPAGPPPSTAKPPKSVPSRINTKSIPPRSMTKTPTT AGPPKRPGHQTHVSTSSIPHSPVRSPSRIPARVPLSNMKYGNNSPERPRAESCADNYR PGQSMMRAPPPKMRDIMAQAEFEHNMNPYKSSGLACSIVRPVEPEDVYDDRPPTARSN SNHSQYSYPSNSSHLSSHPSFSEESFQDPYATIRSQGYRPAAPPPRHISGESMASTNI SGSENWETYDDDNSEPEVDVSDAYYARLRATRSKQFSPDQGRPISSQSKRIRGVPPAG SYNGPVMIDQDGNRIISSSEWTDEDAY FPSE_08673 MGDHHSSPGQKTSYSLADNESISSVNINRTIMGCRVCRARKVKC DGRPNGCRNCERLQLECVDDDGSKSGSRRGSVPVSLRKIRTYRSCTSCRVSKTKCDGD RPRCSRCCARNLECQYDGGSAPRWARNLSKAPTSVSNEEELESSIDASSVTGDSFRSP SLLQSRDTDVAASHRSDTRATSAVDPPIDFSDDTELSIYSWLVSSDLPSANNIRTVVD HYFANIHPLRCFAFVHRPSFTRQLDKGFESEDERALLHIICAQGAKFYALSVNVEDHD AKAGLIRAAGNSWAQKAELLVLTNFGKISVQRLMTCILLYDFHFRLGEYNQALMLSGL AVRMAHALQLNVEFSPDILCAEANESSPPAVEKESRRRLMWACYILDAWTGSGVDQLT LLREADIKIQLPCNERNFRLRIPCVTETLGVGHVLQFLPPAIVPRRPAANMGIMAYYI RIVTLWKRVVRYVKHLNTSPPPWLPESDFAALDADLRAWGRHLPEFISYSTDTIYARL ESDQLGSLVLLHCTYHHNLLDLYRISMPELFKLIKPFYFPPNQEEFLQSLQADCFYHA QQIATILAEAVQHGARYLADSLLPCFAYDSSRVMLYYIARLLDLSRPDAETIVVDAIN AVESNSKILRTMAALFPLADSLATTTERWLAKIRKTFAQDEHMSDRPMQGDEESSPIL SGSPVIGSPGETLGALGLARLAQSESGDIKPMDRDAWNKTGNASPPSSKIGAGSVSGP PPNSQPEETPARSKLQHEQPVSQRTPPVATTSHMDHQAVDLSDLQNYLSWDMYGIMEM SEKGLKAGIEGNGMPSWSAM FPSE_08674 MSDPVAEVTEATVKLVLDEVTGEQVTRNELKKRTQKRAKKAAAQ ASREEKAKNQEANPKPAAPKPKAQEPTQLDPDAMFKQGFLADVYKERPVKPVVTRFPP EPNGYLHLGHAKAIAIDFGFARYHGGKTILRFDDTNPDEEEEIYFEWILKIIRWLGFE PSAITHSSDNFQKLFDLAKELIKKDKAYVCHCNEAEIKLQRGGKEGKEGPRYRCAHAE QDVETNLQKFQDMHDGKYAPQTAFLRMKQDITNGNPQMWDLAAYRIPKNQKVHHRAPE WKIFPTYDFTHCLCDSFEGITHSLCTTEFILSRESYEWLNKSLEVYEPMQREFGRLNV SGTIMSKRALKKLVEGGYVRAWDDPRLYTLIAIKRRGIPPGALLAFINELGVTTAKTI IQIARFEQTVRRYLENTVPRLMLVLDPVPVTIEDHEETAELEVPYSPKDPKFGTHKVR FTKTVYIDRSDFREEDIKGYFRLAPGKTVGLLNAPHPIKATSFEKDESGKVTSIKAVF DKESKPKTYIQWVPEGSIKLEARVQSPLFKSDDPTAVEGGFLNDINPDSEVVYPEALV EEGFNEVRQRAPWPVTAGETNEASGPESVRFQAMRVAYFAMDSDSTDDKIVLNRIVSL KEDREKN FPSE_08675 MASGVEVAGSIVSLIDAALRIVNEARKARHRVLGIPTTLDNVSK QLDNIEQTLTLVRGERNLQSLAIEQQLQTIAQVAEELRQFYDRLSLVQQRSSTSKSVR SLRMGDREEKELEGILKRLDMAKGDLTVRILVAHVGVVGNFHDGFRVAYDVLAGTNLN VQRVLGVNMALLELVENRDRTLGQYDTFDLCEHL FPSE_08676 MSGHRTIAESQFGDSARIHLGDNNYNCSHDDKKRCLLDLRITDP KIDKARIVQSKGGLREESYCWIFENEDFKQWKDSESDSRFLWIKGDPGKGKTMLLAGI IDKLNTEPGNFDQTAYFFCQGTDSRINNATAVLRGLIYMLVKRQESLLTHVWKEYEHA GKQLFEDCNAFTALSRILHAILADSNMNETVLVIDALDECVTDLHLLLDLLVDLSQQN VRWIVSSRNWPEIDVLHDAAQKLVLRLELNDASISQAVKLFINYKVRTISTRKGLNAG EKLAIQKYMESNCNETFLWVALACQLIKDPKLPDWKILTELSETPAGLDNIYRRMLDY TMNSHCKEDCKQILNLALIAYRPLMLDELLSL FPSE_08677 MTPVAAHTTGASDAAAALRGATLAFNKNKKPAPPPPPQKNNGAL TAATSAGRPRSPVKNIGAQSTGGSTIDAEQYGQNGGSMSARLKQLGASPGQLHPSAAA GRADPKSASFIAATLAASRSASPSPKARTPRRRTSIGGSSSSGDIVDAGSIPPTGSLI TMFEQSRTGDPVKRDSPKRTPIKPRGLDRNISEERITHTEGGSPLDLKPKPKPKPKPK PRPFTPEPRTPSRPAPEVLSPKPSRPPKPVLRPKTPPQIITRHATQVLSPPSPDPPKR SPKPRVEPKQRPPTPPQPRGASKAKVESKSVLQDKPLAVTQKRPAPQLEARLSRPRPT PPTPTGARPTSALTVSSDDTFVSASSVQSPARSPTRESPPIYHLRRHFTGSNSPSPTR DFRRSHLKSSSVTSLPLESLTSAIVAGSLASARLTPHNTGGSLAPPVPRRQKSPRMMQ TLRQPPKPFEEDTDRHKKKGLKLHKGKHAHHEGARKKWREEVTPRERKRYEAVWASNR GVLLDLPNAPVEVMKGDSSDHVLNTVVREIWKRSRLPVDELAEVWDLVDRQGEGVLGR QEFVVGMWLIDQRLRGRKIPTKVSDSVWGSANGDMTVHSKRYGHKHKHKH FPSE_08678 MRFSVAAASTALLALAEARITGIQVPKEIKAGEAFEAIVVRENY IQSVFDSSIVFGYSANPYPGTIGQVLDSTPLGKAESNKIDNLKVKLTVPESAQKGEGV VSAALLSVYGASGSPTLSEYNVTVTIGDKTSTEYGSSS FPSE_08679 MSNLKRKDAPGGNPPAKSAKNTKEARPTTTEKVGKDAKPASKTS AEAPEKKAPVVSILKEDEPMFPRGGASILTPLEQKKINIEAKADAMRDEEFNTATKDQ KKKKRKTALKGGDKKVDKKTGDEEQAIRVESLSFKNLVKGSLVLAQVTRINNLDVEVA LPNNLTGHISIVAVSQQLTERLENETADKDDDEEEEAEDDEGIDLKSIFAVGQYLRAY VVSTVEESTTGKGKRRIELSLRPSEANTGLEKDDVVPNATVMASVASVQDRGFVMDTG IENLGAFLSRSEVDKTIDEKRLQPGAVFLCQVISKGANGKIAQLSLQQKKIGNPKNLP TDATTIKTFLPGTMTDVLVSNTDRRGLAGKIMGHLDVTADIIHSGVGPAGVSLDTAYK IGSRAKARVICNFPGAREPKLGISLLPHITTLEKKRSTKSADSKKNPTQVLPISSLVE TCTVRHVEADIGLFVDIGIPGLSGFVHISRVKDGKVEALYESSGPYKAGSEHKGRVVG YNEIDGLFQISFEKSVLEQEYLRLEDVPLGAVVTCEIEKVVVDERGVSGLIVKVAEGI SGFVPEQHLSDVRLQHPEKKFRQGMKVKARVLSTNLDKKQMRLTLKKTIVNSEAPVVK SYDEVTVGMQIPGTIIKVLSSGAIIQFFGTVQGFLPISEMSEAYIKDPKEHFRIGQVV SIHVLDVQPEDKRLVVSCKDPSAFGLEKQNALKKLQLGDVVSAKVTQKTEDQVFVELA DSQLKATISVGHLTDKSNSKNQYAFKRIAVGQTLSDLVIIEKDDNRRVIVLSHKPSLL DASKNRTLLTSFEMAKEGKIVAGFVRNITVTAVFVQFAGNLTALLPKARLPADVQDQP DFGMHKHQSIEVKIVSVIPDHQRIVVAPADFDESAELAKKGKASDKPAATDDIELGTI TNAKITSVKDTQLNVQLANSKVQGRIDVSQVFDKWEDILDPKDPLDKYNKKQNISVRV MGVHSAKDHKFLPFSHRSLHSVLELTAKPSDIEAKTLKPLSLEDVKVGDTHVAFVNNS SPQHLWVNLSPSVRGRINAMEASDDLSQLNDLEANFPIGSALKVRVTAVDVRNNRLDL SARSSNSSDAVTWSVLKQNMVLPGRVTKVNERQVLVKLSEAVSGPVHLPDMTDDFDTI NTLNQRKGDIVRVSVVELDSSNKRLRLSTRPSRIMSSTLPVKDKEISDVSQLDAGDIV RGFVKNVSDKGLFVLLGGQVTALVKISNLSDRYIKEWKDHFQVDQLVKGRVVAVDKLT RHVEMSLKSSVVDEDYTPPTTYNDIQEGQVVTGKVRKVEEFGAFILIDGSDNVSGLCH RSQMAEQAVKDATKLYKEGDAVKARVLSVDAEKRRVAFGLKPSLFEDVDSDMDNSDAG VGLGEEDSDDDDDDDDVDMEDASTILKILGTDNAGDSDDDEEDEDDEEEDDSDVEMED QPVKKSKGLGAGKKSEWSADPFDEPESESEEQVKDAEKPKKKRRTRDEVQIDRTAELD TNGPQTSSDYERLLLGQPDSSELWIAYLAFQMQVAELSKAREVAERAIKTINIREETE KLNVWVAYLNLEVAYGTKQTVEEVFKRACQYNDQQEIHERLASVYIQSERLKDADALF ETMVKKFGAKSPSVWLNYAHFLHATRNKPDGARALLPRATQQLGKSHHTNLMTRFAAL EFRSPNGEPERGRTMFAGLLEAFPKKGDIWSQRLDLELGLSEPDPVIIRDVFKERTRV QGLKPLQAEKWFRRWTDWETDSKKKSEAMAAAQKWAADFKAKKEAAAAAAEEADEMEE FPSE_08680 MSKDDANTLVSTSTIQTPTSQSQAPKASPATESEQGNNQKVPSR QHTMSDSRSAERRISKDVQRPRSWYGSWPRAPKASASTSVAKENILGGTVKSKKSPDL SRYEAKKNDSDDASILSTTGTIKTMPNIKQNKSDVTMTDEVAQKESASQIKPDVESSR EPTQVTESQETAKDAGTAETTTHETQESETGDAQSKSQADMRPAASASQNEGQQLPTS SGWLGWWSRTPFTETQATPTLDTSTQPMIEIEATKEADTPRPVTPPAQVELSEQMTSP KNDQDPRPTSWFRYWYPSAEPAKAPEDKPDIQQPQIETEPPSEDVVTKDAEPPSRQNE PPPKSGSTWAFWSKESPKTKEPQPLPESGEVAVIGEGSEAHPQPMAECDVSPTKDSKI EDSKAKDKPASAKSRWMTKNKRVRPRSMDLDHTSSPPVSGTSTPTRTATPTQDTDRAS AKIAPSDQSIAESETSAKVSGNLLLPSFSSTYRMKDNPSIVKQLTQFLLRTQQTPPNH VFRADKPPNIKKAIAIGVHGLFPATYLRPMIGQPTGTSLRFAALGAEGIRRWAEAHGC GDCKIEKVALEGEGKIQDRVDNLWKLMLNWIDHIRNADFVLIACHSQGVPVSIMLLEK LIDLGIITNAKVGVCAMAGVALGPFPDYKSSLLMGSAAELWDFGNAESDNSKHFEHAL KRVVDYGARVTFIGSIDDQLVPMESAVYSPANHPYIYRAVFIDGRVHAPDFIAHLVGF ALKLRNLGVSDHGLIRELSVPLAGSLYSGAGHSRLYYDDAVYDLAITHALETAPAGPS PAPCTISPRGGSLTSQNPYVLPWIMRGLLEEDFVRTELSAEADELLKQFDDWKPTNKA LKDVKYRLEAVRSKL FPSE_08681 MLRQFSAGLPKARASCSKVLGQASKFSMARRSMATVKVKGIEKD PTELDKVTTLPNGLRVASEALPGSFAGVGVYIEGGSRFENDSLRGVSHIMDRLAFKST SKRSADDMLEQVEALGGNIQCASSRESMMYQAATFNNAVPQTIELLAETIRDPQITDL EVAEQIETARYEIREIWSKPELILPELVHTAAFKDNTLGNPLLCPEERLGSIDKNTVM AYRDLFYRPERMVVAYAGVEHSEAVRLTEKFFGDMQKGAQQVTEATGSETSESELSDS EASASSASSSPQQSSGLLSRFFKNTPSAPQNLNNLPSQADIIRPSKYTGGFSWLPAQP PNLSGLPTFTHIHLAFEGLPVSSDDIYALATLQTLLGGGGSFSAGGPGKGMYSRLYTN VLNQHGWVESCMAFNHSYTDSGLFGISASCLPGRTAAMLDVMCQELRALTLTTGFSRL QETEVARAKNQLRSSLLMNLESRMVELEDLGRSIQVHGRKIPVKDMCRRIENLTVADL RRVATMIVGGHVKNPGGGSGAPTVVLQEAQAYGVSSHTMSWDQIQDRIDSWKLGSLS FPSE_08682 MFTQPVVSTPTGPSQSSSTSTSRPSRLRGLSYLRNYTQNHILSR DSHHNSSSGSGPGTGTASARSTSPNRHGSSLTRSLSHSPTTSGPSTTGAQNQNTNHLT LVRTTPDPVRILTSAATTTSIPALPPTSVPASATATSPVAANSPCASSTAPASGILAS SDVDDPANTAPEPSTAAADNTQTATADMNQDQPTTRSRSGTTGEGGTSTPETTPSIRF SAYYDPRSTRPSLTFPPISRTLPTGSEKIRVGRYSERDSHSMSNMPGNQPSAAPVGFK SKVVSRRHCEFWYEDGKWYIKDVKSSSGTFLNHIRLSPPSQESKAFPVNDGDIVQLGI DFKGGEEMIFRCVKMRLELNRGWQNKLNTFNMAAHKRLQTMASSGAAAGTNSQDCSIC LSSIAPCQSLFVAPCSHTWHFKCVRSLLNSPQYPIFICPNCRAGADLEADVDELAEEW QQMKNEAEAEASTPAQVDTEPETELEPEPEPAAPVAGSGTSTPERSNTVDNEHMDVTV SITPETPQRNEVNHAISEPLPIRTVSGARRSNQLGDSRTPSPPGGNEGPITPRNNVGP WVFDGSAGSTVSATEGEMTSIDAAAQPDTNENNTTARQ FPSE_08683 MDTLHPRADWESVGDRWFRKTQQYTAVFDQDLDLDNYVVAGAPY AGALALWRDDTKLLAYQPGRSAKPAIDIYSLAGKKLRSIPWDNGTIKGLGWSEDETLL VVTADGNVRCYDLQGEFTQFSLGHGADNYSVESCRFYDHGMVALLGNNTLITVSSYTE PRPKALAQTPEGEINAWSIISPTHTLSRSVEVLLGVGKTVYVVDATDCEDRFLDIGPF SHISVSPDGRYVNLYAANGKAHVISSDFQERLFEHDSNSQTPPLYVEWCGSDALIAWE DEVHIIGPGDSSSSYIYDSTRVHVVSEHDGARLITNDFCEFLERVPRDALEVFGQSSD SSPASILLDAVGQLEVQSPKADDYIQLIRPNLTGAVDTCVNAAGREYDTHWQKRLLKA ASFGKSVLDIYNSDEFVDMCETLRVLNAVRYYEVGMPLSFEQYHRLTPEALIRRLLNR HEYLLALKIAGYLKLPTDRIYVHWASSKVRVGGEDDDTICRLIVERLSGKPGISFEEI ARAAYQEGKGRLATELLNHEPRGGKQVPLLLSMEEDELALDKAVESGDTDLILSVLLQ LRKKLPLAAFFRVINARPAATALVEALAMEEGDNTLLKDLYYQDDRRTDGANIFIRES LKQPDARTSSDKLTLAAKLLADSKESSFEVHALKEATTLLRMQEAFDRDLTDTFTGLS VNETMFKLIRLGYHGRAKKIQSEFKVPEKVAWWIRLRALVAKRDWNEIEEISKTRKSP IGWEPFFNLTLQAGNPRLASVFVPKCTGIEAGETITMYEKCGMRVKAAQEAVRLKDSE SWARLLEDAGKGSQEGREIERLGQTAFKK FPSE_08684 MPNWRDQYLSGIKDAELNNPVNMELVQTCSQMADRISALEAEKN GLETLVTTNGKTTARPAEPSTNDPAVAQLKQDLAEALRSKGVAEKRLRSSEEELLQLR SKHKTNTRSIRDLTADRNSLTTRLKDREYELREKRKFIEQVQDEMIALNLQMSMAEKE RDKVKKENKELVDRWMKRMAQEAEAMNLANEPIFKKGR FPSE_08685 MRLFGGKNNKFKVDPPKIRIEKVVVDRPAQKPKPKPNPPLSGSA SRSSSSHRPSPKPLARQASHSPYPSSCDEKRLERKRKAPSVSRKSPASDRIEFDKDSD GEDDGWMTLDTKRQRKGTEDSGFVDPNRKLRSVRAFEERMDSRKFIHAVDVASLEHKC VPVMGAQKDEVAIRLQYPSLQPREKYELVWGKDKIDAVEASIKVVRHVAETYLTEEEA EPFTNPNGGIIRRLEKASNRNIQDLMGFKAALREYNEKLRALVDDGVIAKNLDKMHEL PQHLVAFILDQIYDRTVALKVELLSKYENGTDYVYGELLHPFISKVLVEQTRMTSGQV FVDLGSGVGNVVLQAALEIGCESWGCEMMENACNLAEEQKKEFDARCMLWGVRPGKVH LERGDFRKNAPIHEALKRADVVLVNNKAFTSQLNDDLVRMFLDLKSGCKVVSLKSFVA EKSNNHNINDVGSTILEVEECIYPEGYVSWTNAGGSYFISTRK FPSE_08686 MAVTRSMAVTRSMAVTRSMAVTRSMAVVHSTPKSTGPAPAGPSS PTTSIRSKSPLQTPKLPAAPLKRKRSRDVNDSPIPLKLIKKEETAPQETSCPQSFAGS DGTAVEADGYEDEQCPPFSSKLFKQDPMARDWSKEGRHETLKYFQEFPHDRGSTLCVE HFLILAEKWYWERKPTVTSQLNAMPRDTTSKSDLPNLALPICEETWTVKDVQSFKDEL SGKISQTRVEYIMEYYRVARLTGHRPKCEIFDATSGTAFCSMCAKFPDFKASDYSTHN SWFDMQASEVFKVKAEKMVDSRRSCCPHERPITILGADNGFSYTECEDCRYRQKAFSS QIHTTKHAIDIAGVRFPVNIAPAKPMAMNSKVVHIDVGGIHVVVDHCKSPLIRFRTKT YEAIWMDYANTKGIETTNARDN FPSE_08687 MEPRTSLAGSAGEMADLRTYNIEAFTLLGIAMLVTALRCGVRIS TVGCKNLWADDYLVILAAGIYAIETGLAYSVGNVAFGLANNSITDERRASLQPDDPEY QIRVLGSKIQLALWATYSSLLWILKASMCTFYYRLTKDLEGYRTRVMVGFGLIITSFV VVQMNLLLSCSPFTQWWQIFPDPGAFCHAAISPSLIWTGLSFNLITDFYLIMIPMPML WKAAMPWPQKAGLITLFSCGLFVTMAAVLRVVLLVSDPLNGPQLAASWAVRETFVAIM TTNIPMLFPSFKKWAVPIVERAGSSLSLNRSPLTTITDSRFSGALSLDVWKRRTRETF RFSTSGPTSPTIRKSDITVGFPYAMWDSPVTTPEKSKTRSSVMIQPLACHPVRTMVCV EAIRADQRLSTTSETSEASTVSWAETLVNSPRPERPETILPRVHQDGYSKFV FPSE_08688 MPVVTPDKLASLQRQSSDIRNICILAHVDHGKTSLTDALLATNG IISPKLAGKIRYLDSRPDEQTRGITMESSAISLYFAMRRKASADAEPEDKEYLVNLID SPGHIDFSSEVSTASRLCDGAVVLVDAVEGVCSQTVTVLRQTWTEKLKPLLVINKIDR LVTELKMTPGEAYIHLNKLLEQVNAVLGSFFQGERMEEDLNWRERMEERVNAATAAKE SAIADQVSDSGEIHFEERDDEDIYFAPEKNNVIFSSAIDGWAFTCRQFAAMYEKKLGI KRGIMEKVLWGNFYLDPKTKKILGPKHLKGRNLKPMFVQLVLEPVWTVYQATVGGDNG QGDRELLEKVTKSLGIKITPHMLKSRDQKLLMNTVFAGWLPLSTALLVSVIESLPSPP AAQAARLPEMLEESPGADHIDQTIKDSMISFKHEKSDPVVAYVSKMVSIPESELPENK RRAGAQMSGEEARELARKKRAEAARAQAAAGENGVESMVTSMDAINLDDYAPELEEKK VDPEHLIGFARIYSGTLSVGDKIYVIPPKWSPAEPDAEPAPQEVTVTALYMLMGRNLE ALESVPAGCVFGIGGLEGKILKSGTLCSRREGAVNLAGVTMLGKPIVRVALEPVNPAD LDKMIQGLKLLVQSDPCAEYELLSSGEHVLLTAGELHLERCLTDLKERFALCDIQPGA PIVPYRETIIRAEEMRPPVNKELGRGAVVATTSSKQVTFTIRVLPIPDKVTDFLVKNG DAVKKVYDRKAGTGEEGEEIVAEADVAAGNTLSVEDFKKQLKEKLEEGKGKEVWKDCI DKIVAFGPRRTGPNLLIDSTADGIFARAFAPEKAVETAPRADESLHPSHLTDKISYAF QLASAQGPLCNEPIQGVAVFVEDVTLNLAEDDSSARDKLGRLTGELIRTFQSSLRAGF LDWSPRLMLAIYSVEIQASTEVLGRVYDVLTRRRGRVNAELMKEGTPFFTIQALVPVA ESFGFADEMRKRTSGAAQPQLIFAGFEILNEDPFWVPFTEDDLEDLGEFGDKENVAKR YMDGVRRKKGLLVEGRNVATDAEKQKTLKR FPSE_08689 MTTYQNGVAPARAMDDDSDVEEEALVAEYKEQVQYEDGDDLSRT TSLNLAQQTDDIQSRLVQAAQPLDFQAPLEAKFQSYDAYCSLFHFILNSEGPVDLEPP SYYWAWDVIDEFIYQFNSFSSYRMRIARQTTNEEERQALRENPNTWGCYSVLNVLYSL IQRSQITEQLGAMKRGEDPAAVAGEYGNKNLYKMLGYFSIIGLLRVHCLLGDFSLALK TLDDIELNKKAMFARVMAAHFTTYYYVGFSYMMQHRYADAIRMFSHILIYVSRTKNFQ KSAQYDSITKKNEQMYALIAICVAFQPTRLDDTIHSALREKYGDQLLKLQRGGPESLP IFEELFRAACPKFISPVPPDFENPEANIDPVEHHLAVFMDEVKTNMWSPTIKSYLRLY TTMDLNKLAGFLEVKPEELRSWLLVTKQRTKQLRWQDQGLLDGELVNVSDLDYALQGD LIHISEAKVGRKLVDWYLRNLSRTYN FPSE_08690 MNSMATVSRMPVPVRRTDSDVSPKTIIPPQDSTPRDAKVDYFPD SNTVDGSNTSVRASDDDSSAHKSSISFAPDPRPDRSSSRESDQNQTQNQDLMVQRKSS TGSVSFRRMTNPKLPQGIPQQMSNSRIRASSPDHKRRGDGWSSPALLVTYLVWIVRPS FTTATLSRSSAPKFPQSSRIFPAQSSLTTFFSHTTSLPIITFPTHHCFSLPLFCGLFA LMATLTETPPDRFQKHVAFDNVPTGEPTKNNAISFTLNVRHKGYQARRRSRCFMVGVD EHAYSDYALQWLLEELVDDGDEVVCVRVVEKELRYSNREYREDAEKVMRGILDRNGNN RAINIVLEYAVGKLHTTFQVLMYQPAMLIVGTRGRTLGGLQGLVNTRNSFSKYCLQYS PVPVVVVRPTEKRVKKKSKRANDSARQTYVSMLAANSGKHEADSEASSTYELEVQNSP DEEAHQVARALGLPASFDPTIKPFNHSQALNVKPQGPATVSASNEAPEDRRLVKDDAS AAGESDDEDDGESDDDSGEFEVVSGQQALDQEKLKQLHKMEVGEAAALKMKVNEDLDE EDDTPSAQKENKQGNGGNEGVEYIGEVSHQKVKRSTTQHPLARISRIPILYGTTSSTP NKFKDAGKAVSVDSKRNANDGQSQFSRTDNNGIEQIDGFQDYPNRPADSHRLQDIDSH EHSPEAGRDAMELETMSRVTKDDNDVEDQAREESFAGDTGTTNGSRENPQNQRPNPEL PAEDQRSSVDLEAQDQLGREPRDGRGGGRDNDNYANGNADNGLNGSDNATGRRGGKQM RRPKDRYEFRIDLRW FPSE_08691 MWPFTRCGEDACEIPPRPVTLASSISALPFLFICISTSILVVRH VFPRLSTDADSRDGEDHVLPTHAPACLRQVHAEHGAKSWRRRGAAWTFGLTVGLAATL GALIMDEIIEVVNADSRNLALRITVPSLLFLLVVLVPWLECRSVVTSAGWSFQRTAKG KLPRFAWGLHAALFMGWLFAFWSVGQAVPEGAMRRMSNGSGSLSDMLTRGCLERIGVV GISLMALLSGFAAVSSPWHAFMDLTARRKRPVTDTDVARKQAGWDTANEMLLTKRHRL QFLERKTSAAQTGAFAKGSGLVGKVMGSLRGATGDEAEMRSLRLEIAGLETMEANLAS GVSLLKSHRAATVRASTPLGRLFLVPSQLFSLYCLYRIGATTITTIRRAYSPTSTFAN TDPINRFLSILARHWDPKLDQLAWARLISFALSGVILVASANSVVQTFHLFAKWTPGL LRQAQANLALVVGQIAATYVISAALLLRSQLPSALGSAVGSVLRGALSPAFVDGWFEG WFLVGSLVTAIGIWVGRKLGGEDEWDEYGMEEVGAKMS FPSE_08692 MPPPSPSPPLCYTTPPSLNAFSSKPAEFRATHPPIQHLIAGALV TNPEGQVLLLRRAAHDSWPLLWEVPGGCVDDIDADLIAGAVRELWEEAGLRANKVKGV VGIAPIAEPMPDDPLEKELEVLYDMLVFRADDGVWGKLTVWVDVESCEDVKIDEEEHV EFAWVTEEEALQNSFKDGRKLDFVSEGVRRNVLEGFRLWKQENQGSK FPSE_08693 MAPKKKEQQKMSLGDFLADSFGGGSSWADEVEETSYATGTQALP PSDRSRYSSNINSSSSWQDRGFSVREAAPQTLPDKPPFTAHLGNLAYDVTNDAVADFL TGCGVVNVRLIEDRELQRPKGFGYVEFETLDGLKQALALDGESFGGRMIKIKVADPPR GGDPGRGDSIREMGAWDRKGPLPDAPSRGGARDFGDRERRPRDPAFESRPQREFTWER HGPLAPLSPQEGSGSRDGSRPRASPDVQGERSESFRGNRRDSPAWGEAREGGSRPRPE RPERPERTVTAADKDMQWRDRMRPDAAKPTSPDGSAPPSPALSNAPTAQGGRPRLNLQ KRTVSEAPDASSNAAGEAKASPFGAARPIDTAAREKEIEVKRQQAIQEKREADEKAKE EKRLAKEAAAKAEAEAEAKAEAEAEAEAEAEAAAAKEAEAATETKETPETTEESAEPK AENANGEQKVPVRTREPREAPKSRANEASSWRSAGSDQRGPRGGAGPRGGRGGRGGMR EARTGPLRSNGAAPQQASGSPDEPGTPTADDDGWTTVPNKKGRQGRAMGP FPSE_08694 MAENGGREPQMMHLHQRQSSRGLFSPEVVDLVLPPLKFGGYAGT AGVLAGVGGSIFKEANPIIWGAVSGFQWFTLGTSFWFTRGIVLKAWGGEERLKNSEKT MASAVSGTAAGAVGGLIRGPKNILPAMFVWTTVGAVGQIIANRMAVRKPKMDDEKEGF LTRWTPLKKLTDQEYKDMMFEKMLRIDADIALIDERIVELRQQAEEESKNKPSTQ FPSE_08695 MALLNPTFFFGCLVLLYLSSFVFLAVLRILTGVSIQRIGYLSLR RIAWTPRDGCRIEIRGLGINVHRPTFAQPTWCSVVLSELVVTIDVNELEGNKQVDLDP EASDSEATKDDKSNAKTTARQKPQLPRRATSTVVRSETWKKLTRIKDQIKQLHRNISW LKLVDVVATNSAVNIVDVGNVQVGSFTLAVDTRRKMVDRVRFFARLADRHGKDQQAEW TASLRSVLFTAEGHESMEILDQLFINVHGHLYKSMEGLREAAVAIKMGRLHIPFDDVQ TCVSRLKQRRAEAKTPGADEEPVDIFLNDLAQEIDEPGTTNEDLMRTVSDSKEFFSSI LRGIKEIQFAVSFLGMSKKVQSIKPGSTPIQLNAAMKEVGIDLHRLDSKSPAHRMYFP AEAIAHEALAAALSISVGLDDGHSQPERLLYIPMATTTIKTTLLSKTVEVSRASTVDE RNANIMFANSVVTSPSVDLDPRHLPLLIALFKLKPKAPKAQNDNHHLISRLLPKANYK FSVHEPVVRIKLQPLQKAEDPDDFDLIISSISSISLDMESSHSLMAEMHYSLDGSFRL QSHDLYYQTNHGERFNLVTTESLDMKLNLGARPDVYVDVSGNLQSCSISMVRPEITDG LRQIVQELRIDVEAEKGPVSRSKGGQNFLRSIPHWLLRAQFNAADFNVEIAGIDEEIS DDTRGVFLHWDTVSTEYRAHRLDGLQRRTSRRRANSRSINQSDSDWTPPSNSPRTKKK SQNTGDGRKLTLFASGIEAQIMDAIDTLEPEAFLSIPRLELSLGASSDANGPTFNIQV GVRTIRAKYSLYRHYAVGVAVMTLRKAFMRTGRDAPRPKQQGSYVDLIPGANRLAPPG TPDLDPGLTTITPELITLDLKAALVQLKGDMPHDPAMMLHMYGLELGRQRWSTPYVSA KLVRLYTEPPRMRNVWARLLSIKSGRLDFRESRRKTSTGSVIDEKMVDIVTEAVRIAV PHEVILSRVTDNFTNVIKAVQQLHHRFRTGTNEYILEKKPEGPKSVPKVSIRTRNFLF ELEDGGFEWKLGMIYRTGLIEQTQRIARENAFKVKLKKVREDEIRRGTSKFRSRSTFT RGRPDTAESPGSRSRSVDDLEARDARSNSQHGRVPRYNPNTDSLRLNGVSKVTEHYAR SHLDMFNAQSWKKRIDRAYQISQDSMKESRGQFWGPNQVPEDVEEAENLLEVPPRPAL MAAVISDLHFMIDKPTFPMKDLPDFLHKIGKGMPKDMQYSLLVPMHVSIAMGEAKVTL RDYPLPLVHIPPMKYGQSAKIQAVSIKANFVVAEEFRGIESTRRVRVNVVPPRNSLPG ASNEGSFAIEVRRTIGPVKSYSDVYMDVNSALPTRITWGPCYQPAIQDMMMVIESFTK PQFDPSERVGFWDKLRLNFHSRIHASWKGDGDVHLALKGTRNPYAMTGNGAGFLMCWR NDIRWNINADDDPKGFISVESGEYILAVPDYSHEVRDQARRQYDFEGYLPEDNYKSEA SFKKVVMKLSGKVKVMAGLIFERAIEDGVRSFEFRPHYDIVLKNPHFAKPDTSGLPYD AMRGFRSRHIHLSIAIHAPADRDWLSDNPEPSRSYNTIHLTPRFFTHFFNWWGLFGQP LSLPIRQGSLFPGREKNSKKFGRHLATVKYNILLAPLFLSHIYKHKDVDDHSENAVSA TGLKVRFDSFSLDLHQRREEFNTLGKGKHGDNASKTSGIKIHAAQLDLVSADVRAVSA SLKGTTAEAIKKGSMTAMVREIDEKPDLSRFTIPDNDFSWIDMDDFVEIDWITPTEPH PDTKILPLAFAPRLTYFRQTDIGGVIAGDPTRTSPFGNEPTHFCVMRHDDDPRRIQGS LIQDRLDQLAVQMENHDRDMGEAELRVIRTDGKDRVLLEEFRAFQRHTDVLQEKKEFL ESMLNQARIRAPSSTHRASDEGRRPSVESAIPFQDETMEIPATSEFESEFSNRFVIHN LQLKWNNTLRNIVLRYLHQNSQRRGFTYYLSRPAIKFILDIVEEQNKAKSQTKPGKAK STYSRQDGRRGSRASSMKDDEDPLDLEERIKRILNDGRKFTSATWSTNPDGAVEGSIE DLVGGIADEFTPQSSYHIRIIAPQIQLQSDKNKKNVVLATAKGMELKVMEVMEKERIS DNVSGLVQRRFLVNMDSVQFFVTHRKWFQTSSVSMYVGSKYGAPSGSSFPPWVPIEVV FDFEADPFGFKRVVQKTSAMVRYDKYNTLRLKYNDEVNTDGNEGSSQDNAETRMDNLW VEFPTARALCNSSQYYAMYVIVLDLLMYNEPMEKTRNERLEKIILASDFSDLSGAPAM VQKLQQRIRQMEEIKDHFQIYTKYLDKKGWEDRLRLENDLAACEDELFFMMKAITSSQ RKFDVNSDDSTGLLKWNITIRDIVWHLMQDSNEPLVELQLKDLEYDRTDNSDGSHVNL IRVGKVLGLNLLPDATYPEMIAPYVDSERPHQQDGERKEMIRVYWHMLEAIAGIPVMD RFEVNLFPIKVQLEHEVGKRIFEYIFPGINGDKSTKNDSPFMMKQVTADGDNDDEYDD EDGGATPSYSGRHSSSSSFSTGSGSLELRLRPTLTSNTKAEAPPGKGLRVNTGNEGHS FRLFRAPGTSKTMLKKASHESLRSNMGPRVGLTRASTSRSSREDRETRENDKRSRFGL GRRHNNDKPPSDDLTKMMTRASHFMTFASIKMPSVVLCLSYRGKDNRNIEDVHDFVFR MPTIEWENKTWSNLDLALALKKAVVKALISHTGALIGNKFSKHRPNAEQRDKLRVLAN SSVLIAPSSSGGSQHHPGSINDSDDSSMYGASPVDFSRSPPRSIRAPSTHGSVRPGSA MRRRSRSSSVKSRLSHRNGGAAPATLGPHVPAFLMMTPPTPIDDPRGDRQHHFGPELL RPSTASPQSSMHSQSGLMHGITPSNSYASSIHSTSPQSSIPIPASQHPMPGFKRIPTG IERPSSSMSNHDSSGSGVRRKNGASNLKDKLSAFTQRIGNRESSQSQQEDDSETLDES PTPSGPGSRSRRSSSHTGSRLGWTGGRMKSG FPSE_08696 MAAIARPALVKPAAYPKALPVVVAVGSFAIIASYVSSQLATTSA KFDRSFAKYNTMESEAARARTFDGAIENPRTSLFNILGSRQ FPSE_08697 MLRSINRLAKSRVSIRRDDLTWTYKAKCYSTETSPETPPSLSPS PSPSPDQEAIEEAIEEARMLKAVVEERMRSVAEKARIKSAVERARLQRKAIEANAITK VTASKTTVVKGLAIKKAAVKGIATRTIPTRSVSIKSTTLKDAAIRNAAAKAAIAKDIT AKNATVQDINTTNNTTKNTVVKNPTTKVTIAEDVTVKVAIEKNTAIQKDTKKASIPKD KSAKKAIVKDAVMKTVTAKTPPTKDVTAKDTTTAATKAGSSTPIRSYRTVGAANKRTP NRIRQQAHTKSKMSRKRSQKGNPSSSGPPSEPPLLSELPELTFQPDPSLAEGAPREEE LTTEPLPKQQPLAEDDYWRLRRENFSEKFLKNLVRLKSMSKDERNENIRKTYGWSLEV PYSRELYYSPKNRRRNLILRKRFAALQQLRLIMGYGANTDFRDEWLNDLPYRLKKTSM RDVRFICIDTDMVRRLPYTLRDETKRRVTSFHLGVAILDTRDIRDVITRSINLPNPAD LIKTYEFAVQTEVPQTDNFIFGETQAISLSGLKKKFLEWQHGRTVIGVAYSARNDYII LRDFGVFLNHIYWLDLCQATYLITQQSKAINLREVLELLGIRYSKLHSAGNDAHFTMR ALMGLAVLDLMNELQYGAHIQPWCGLAARVAKAPLPLTEREELKRKERQLAERKAATI NWHRKRRLSKVPLPYPGQH FPSE_08698 MRLRWWNSTPPIRTKMGPSNEAIPIDARPIPLPELPEFATETGT ENSSPDLESFPNGLSHTLWLPQTQTSDSTTRRVYRGFAEEKEATFFTCCRQYPKAVGW SLLLFLTVVMEAYDKSLVTGLFAFPAFRRKYGKPTGGLDDQTYEISPSWQMGLQNAAI VCEIIGLLAHGYITDLIGYRKMMLVSLFWMCIAVFPAFFADSIGVLLTSQALSGLSWG VIEILAATYAAEVVPNALRPFVLSSINMCWLVGQVIGTGILRSLVYETSQWSYRLPFA LQWAWAIPLLFGVWFAPDSPWWLIRHERTEDAKRALTRLCNKNHTDIEDTISLMKHTN KMEKHSNYGGASYKDLFKGVNRRRTEIACLAWCSQALSGWSLTSYAPYFFEQAGFNAS ISFNLSTACYGVGIAGGIISWILLPFVGRRKLYLYGLIAPICLLTAGGIVWAVLSDRT GANWTLAGLIIAMTFIYDMTIGPVCYIVVAEIPSTRLRVKTVALARVTYNLCLIFNNV IMPKMLNPSAWDIGGASCFLYAGTSFFCLIWCYFRLPETRNLTFLELDILFERKAPTP KFKELQDRLEDSAYLSMTRTERLTNWHGWLAYS FPSE_08699 MMTPRSFQLAQRQQQHQHQRPLSSPCTTGTSHHNTLSIPTPSLS PVLTPISTRLSCSSSSPSPLPSLPSSPHHFPSSEHQSLSIPGTFHLHTSPPASTTKLA TPAISTKQSTTFSLSRWSPKYDDNKKRTTTITISSAQPTFDATMGKRKDWRETSSLSP SSPPKTTVSLTMRPTTPTSPVKIPTTNNRYTAHPQKRHSSQRKQSNDSRSSDNLSPSL AALLAVTDIPKHKQLQRRRRRSEKTLTVQEVIECQHASEKELSWTFSSPLDMLLSPPQ DIMDDDDSVSDCNIGSSMSTRTYSIDSIPSLDGSFSSDGLSSLGSPRTPSPCSRRTKS TPMRKSLEPVISPPGSVDEHPLARDDLDVDDFDLTTVDQPEEVQPKSQFLEPFKPLRS VFKSNLTASLRALRSAARSFSVLNLPSIPPDDYLTRSILTIDPNVPYADERRPPVSEE MPSAAMRRYLNPTTNARIEPPAKTVFAGTFAASIQMRTYKIQRSRAPPGSTRNSYPST SLQSQPSPAPQQPQPQAPTLVPGMRQREMRENSDFIRIAVMEMAMRKCGKLDDQRPGR ARFALPPRQTSTKPYEMGANGVPARWVPIMA FPSE_08700 MPSREALSYYNSLVQQQDNVIESGADEQAFRYALQESRFPHLEK VTVTPAAHGFLFFPLYETPMIRAFPYGFVYPIQRGWSCAGHVYDDAPQPAEPWEDEEE KRKWRGFRIVLRHLADPKLPHNISQLSFDNNKLATGINHLIFNQPNEEYDNLCRTLER PGLKSFTLSLVMGYLSGWDAEEWNFFKMGDCAV FPSE_08701 MTCWEAPALDSISLSDIFPVDQWSTGSLKHFGLSGIQVMQDDLI SLLGKLPPTLVSIELSFLSIIEGTGHYAGILANIRDKLGWRHRPIDKRIRVSVLVRLD QTDPGHYTCLDKEVNDYLYGNGPPPFGVNEQGGGYAEVDFGNGMQYDEFDPDFARPYR FPSE_08702 MFIPAFANKNVTRGFGGKVPLRIRIDGPIGSICIGSVSVPQWSA LVGRAMHSAMSLMTLANMPAASEN FPSE_08703 MHISLSLLCTAALAAAHGYVETATIGGQTYQFYNPYQDPYMNPL PKRISRAIPGNGPVEDVTSIDMQCNGYTAGGIKGSQPAALHAEAAAGSTVNLKWTLWP DSHVGPVLTYMARCPDSGCDAWMPGTEKVWFKIQEAGRDGTSNNWASSVIMKAGNSGV NYTIPRCIKPGYYLVRHETIALHSAFGYPGAQFYPGCHQLKVTGSGSTTPSNLVAFPG AYASSDPGVTYNAYQAATYKIPGPAVFKC FPSE_08704 MSWTQRSNPYDAFAQEATQTPLAVAFPQWMLNIWEITHDHPEGR WYIEPNARCLRQKASLRIVFAPLDLPRRDALSQCIELFNILNIPSDFTKERLQSVSHS FGRATDSHGSSSWFHFLCKNIDVKQRPGSAPEVDNRAASMGYHTSTLPQADYSWHRAG FFLRVENTGSTTLVCFGAAPRVRQRINEFVAAKAWQHVSTDPYILFDLVFDALYFEVD DTVWKMNTIFGPLEHLILEYSNSKNVRKMSSKVPFSAMHNCAKHIIHIGEAIESCIML VDATLHNIGNHNHAEQPTSREPVLKQLRETLQYRRSLFMSSQLRLSSLQKRIDNAITL SFNLVTQQDSMVMIQDSNSMKVIAAITMIFLPTTGVATVIGSQLFSSEIHKDGESWDI KLTPLFWTMWWIAIPLTVFVVLLAVIWHWWVHTESPTGEVVQVVRRAATFSSSGTNTP PNNK FPSE_08705 MAHAHGGHWDPNSIGNYNQTPPPVHHQAHGGAYTPGSSVVSYQN QPYTHPYQQAVSPPTSPLSAQSTSYVTSPLSHHPSQQNTMQQYQQPMAYNGQQSVVYQ QQGSGSGQQESPMQNKSATAPVTTTALTTTSSNQNEKERAEKEFPVKLKGSKHFFEPR SIYRWRNPVLYQKPGYNYTNNEVKIRHRDYAGRVEYKTRSDRYLHPNDGHLRNMYVAC VDDIFEGPGTSTWKRTYVRKVAPLKVRIATWIIDFSYDPQSWEDWGIMVLRTFPAAIA MMLVFWEGKPNVIRRKLYYAPVLYKYHGDAKVWSNLHENRKGLSLMARNNTIYRMLRP RYLCFLREAFNDEIRGVDVRSVEEWENSDGQDVNLSYLFVAYSTEHFSHNSQEDMMAL HHIAETACRAAKLPAYWIACSCMRDENELESDVYRISDVLRGSDRMVIAVGRGKGSRA GSSGKANTETLLREWGSRMWTFPEVLLSPGRTISIYTRDGNLQSPMVVAKNQFAAQVW TYMDSDVARHLIDHYLGSISLSRLEQAVLALKCLYSRHTTEYLAGDQAYALMGLLRLR PQVDRTDTAFQAFSRLSLANDSDQLLERYICTLPLSLEQPWYDMQDAYESSLWDITPY CQVAGISDNDTIVIDGAWGISIRWKAFYPVYWSTGPSWKRWLSELAVEWNGGFFIAAV SLLAAGAPLGSYGTSYIIPGVLFLLLFLYIWMITPNLVRVIYSGKFAATQAEMFGFEG HLNAPTIERSIFGGAFGRLAWSTSGSLLSRSVINEFGERVGIDPCRDPEVRMKVERAK QARPGEMRIFTLVDTYNMEMTLFEAVRPPVTLMFCASEGGMQRAIGCSYEWETQTMYR ETVLRMPTTALNRMDRVPRFRMGIQRPQHPSAPYTGAV FPSE_08706 MAPAPNVPAKLEAVTSAPTIEFLQRRNQVLQRREDEPYEFLRVV GTNIFCLGFVICVALGPAYLIYRKNKKKMVPASQQQDDEDTD FPSE_08707 MEASALKAWELDNNVQLVDPKRDALYNFDADAQKAINKEQPWKQ DPSHFKHVRISATALIKMTMHARSGGNLEVMGLMQGYTQGDTFIVTDAFRLPVEGTET RVNAQDEANEYIVEYLDLCRAQGRQENVVGWYHSHPGYGCWLSGIDVDTEAMQQQFQD PFLAVVIDPDRTINSGKVDIGAFRTYPADYKPSGGVTSDGFQAVPLAKAAEFGAHASR YYSLEVSHFKSSLDSHLLELLWHKYWVQTLSQNPLITNRDYGNKQLLDLSSKIKEATT GITRNRAGQGMMMGTSTKSSDKAVDKLAKEANLIASKERSGLIANQVKASLFNDLGSK ANPTSE FPSE_08708 MSTGANVNKPTNVQTKEADVNRKLQIYGIISAFQNGKVPSNDQI DVALSSFLASRALSGNHEKLSTEGQQLVKDAANAIKQAKYLLLSKNEGNLIQDFVYQT TQFDPKSVNTPNAPVSKDAAKQDGDQALEGLRTLGQLLITNGQFRKLLSDATVLFRDM AGDAATNAAARVRPSQEKLQQLDEPAQDNVWHEAPDFSKENFKQQAKGIYSGNPKQDA KDIAASGVNSAAPGQQQPLQQDQQGNLQPNEQEIHNQGVHPQTASGAPTDAARDAARQ ADPQAGKSAAKQVAEQKLDNKVDPETKENILQRNEEYRRKAHDYFNKKMPQERKDQTI WRLKKMILECQQHEDYSQAIQTLLRLAETYSRHGRTVGQGSTETAKGARGGLGAAERD LRTIIERFANGTSTEDLWEAIGQIYRDADNDRELKDWFKAMDSYIRRCLLQQGYILED QSTREWDQLYDQGRYLLRNKYRGHTDRIVDEIKFLADQFDQDPQNHAFAESLTKLFKD LGNDQDGKPVFKPHLLKDLRDVIIPAALENIAYVPIPRIEYTDSQFDAIIENLVLESD NFAPNVLEVSSEHYFRWGRKKIANKNHQTMEVKVAGIQMDLRDVSFHVKRKQGFPSLT DTGVADIVLPGDGFSFKMKVSTAEKKDRQNYFKVEKVDVDFAKIQIKVKKSNHKLLFS ILKPIMLKVIRAPLQKAVEKAIKDQCNKLDQLCYQVKQEAERATAEAKNNPENAPNIY NRYYTAAQKQFTQKKQKAQEVASDKKANIAMTKEDSIFPNIDLPGGISTKATEYKELA RRGDKWESPVFSIGSAKKSTDIPAAPKIQRKAHPVSNLGPKETNADYDFYPAGNGHQH TGNGAMNGNGYHVGHGDGLNGGVNSKHLAGSGVNNGQLPTGQVPMAGQTMNTV FPSE_08709 MFVMTCAGSDYDKSWYRGLHLPTQLVNHSSRFSQD FPSE_08710 MTSVNLAPEQVHALFDILTHYETYTEIQGFKSPEAITGYGYPFA RKLVEPQTTQSAPSSTGWRSWAGTPSNSRPGTPKGKDGKDTKEPTSSKNDDDNQPSTA PILQAMLKRFILKLPGIKDLPREFWSVRVQGLLTRLGEAELSESYDKGAVGTRKVLAT GSSGLLEMVSRGVLGGVKRTHPSDKSLDKKDGSKVEYDRTKATDLVKAWDDVVEGLVY GTLADEMFDHFSKTPDVESHSPVIGAAAEYAIIHLATFIHHIFVLSPEGRYLLKLLEN IHGLIPYKMIKQTLRMGNAAGMINGMMRLLLAKLSVTSVTNWIGLTANADDGMNLLQR IISLVLSWDAGEFRKSADKVEKAKDRPSDEMLEAIRQYVAMSRDEHKTVRNASEEHAQ SIITAIFNGSNPTLATQLDDQKHAQCLEYYSALLSVRDREGITTAFCRQPPDLFTATI KDLFAAYEPMIRTVHSQIDLREHMESAQLFIDEFIKTSKPNESGSLPTVDDYVGLFMR NRELMYRWVHAFAKSCPDVWKEMKNWTQDAVLKFRQERNPVSVAKGEDSGKTRNGTAN MSVMDEKLNKLFQSIPKKSQKDVLNALDNHAAYLAQVEALSLCRLQRLIDSDDSEPGN MSGPGMYLSRWQSLLEATPITPATPKGPVRHGKDVKNAITMGKIGVEGTEEGREQTLK AAKEEAEEGPEAPNVDVVVGAMADGFRKILQEN FPSE_08711 MPFVTNIPSASIHSSEEVAEVLPTFVSTISKTSPLIFFYHIIDP ETTTLTIQVLGANISFQFSHRRAKTILSRSKITRQLENKTVGFIAVATPQSQRIVLAS AESGHGFGKFGDVLDRKKGVLPNSVWTRRVVAMGKTLGMKMSRPFDNPHRRGTNTDGI FLGSHVEVKLAVHGICVLLKIFGITKDLDNITMEHLHKLRLVRWEDGTRPVFEVYFSR KHCMPCQSLVRKLSEATGITIRLLWRDRLVKKEYVVRDLHKNARRRGQVETQPVRDPQ DYDFGDTLPDDSDIEVISDDEDMRDVDHIDLTGIRSTSPAGISAEIDDLLDGLAYRVG QMEECPEGATAAIVGFARTMSAHNRSKRLARDVNVSKPLPATPVIEAPIDVLESGERQ RNTFPRAQRTLFRRSRELGGTRARSASPCRIRREPVRDRSPRRYNLTSPENCSARIFG RTQASSKL FPSE_08712 MAGGVTVRDVDAQKFITAYSAFLKRQGKLPIPGWVDTVKTGPAK ELPPQDIDWFYVRAASIARHVYLRKTVGVGRLRKVHGTAKNRGSRPSKHVDASGSVDR KVMQSLEKIGVLEQDEEKGGRRITQAGQRDLDRIAQTTAEAEEEEDDE FPSE_08713 MADAAECNGEAVDLGRRGLRIGAIFIIMASSLIGAILPIFLARQ KTIPVPKFTFFICKFVGTGVIIATAFMHLLVPAVENLGDECLADRLGGYDWAEAIALM TVIVMFFVEMLAARLSNADMEHNHSDEFDPAMEVIAKKQPSTDIETGDRRASGYAPGG DEHLAHGREHKEGDAQGGLAGQLLAIFILEFGVVFHSIFIGLTLGTIASDELTVLLIV LVFHQMFEGLGLGSRLAVAPWPSNRQWMPYLLGLIFALSTPIGIAAGIGAKPNNASDQ KLINGIFDAISAGILMYTGLVELLAHEFMFNPYMRKAPIKILLLAFACVSFGVAVMAI LAKWA FPSE_08714 MREFMDYVHSAFYEATGWNRDNSYAALNVTSDALLNFQTPLGLR LTLSSLASTNFATSYQLGSVGIVDGSISYLFSSVPLRLLLTPQSETVNLPELLRSYKP LAELPYRANPLLQTSKDKPESSLLYGRLYLPQALLEALVVKRLSPALQVQLSAVSAQH LKNGGTVLGLAQYDVGKYALEGLASSDGGLLGFRGVYNFGGDAEKPENQAPVESDRER VYGRFSTGGEIYYGTLNKSGGISVGTRFATLPMHKGTPLSATLTLNPLMGNISASYAV VAGRHCSLATRMEFNAFSYESTWAIGMELWRKPFTRLVVDDEPKVDDKVVVDDTPGEK RPKERSFQAKLEWRLDEPEPVVVKPSLPPPPPPKEETEPEKPGEERYAGVLKTRMDQN MRIGVLWEGRVKSLLFSLGSGIDLRKLDKPFRTLGLEIQFSS FPSE_08715 MAGSRQDPFEVGSDMDEDEALRYAIALSLQEQELQGEQSSQIPC ASTSTSRRNGDGSGGAGLGLLSLDRKKMEEERLQRLAKRRRSPTDEDVTEVPPPKRVT PCVEPPRTVAGSLPASLLPYPKGAIKRTWAYGYPRTPEDIKIEEVFQKDKLELALLSS YQWDDEWLVSKFDLRKTKLLLLAFADSEAQKSEMRSNAPPGIKFVFPAMNGPGAMHSK LQLLKYPDYLRVVVPSANLVPYDWGETGVMENMVFLIDLPRLDGSATHRPTPFSIELG RFLSATGVGETMVNSLTNYDFSQTKHLGFVYTIPGGHQGDELKRIGDDGLAEFKSRTG RAGAAGKNKASNPWQGKLRDRFRIYFPTNETVTRSRGGRNAAGTICVQPKWWRSPTFP TELVRDCVNTRHGLLMHSKMILVSQTEAGSQNQSQLQTRPQTRREPRGHDQGSASTQR DPKTANKSLGWVYVGSANLSESACSTVSASFSEGASASTKVKAAAAAETTAQAQTQAR SLVQTGKTLRQPDKGRDTSQQDHVERQKQSKSEQQQQKQTDHLENTDVDVDVDVEMDM EMVLEGTVPIPMQRPGRRYREDEEPWFYSMQE FPSE_08716 MANTILKATYEGYIRDTTDALKIFEACLNGHLMHTSRRPHDRER PTLITSGNVFVYEEGCSGIKRWTDGVNWSPSRILGNFLVYREMNQAFAPGEKKRASKK PKKQAGGVTKAYDQRPQATHFSSLPNDPAVAGDGVGGEEDRDLVGSLTDSYDFKPNSL IKKTISITHNGIPHHLVSYYTIDDVRSGRLVRPSDHDYWKHTQPRITLTTGQNFRVPL EDGEESRVAVSHEQHVMSYPYHHDYQTFHHHYGNGSRGPFPYSPQNGTYVPVPAPVPA PIPAPPHIRVPAPAHGPTPQDSSYYTMPTAYWQYSNMLQ FPSE_08717 MSISARLACWAATRCAANISIRPAAVRFAPRHTTPATLRSLFFT KAQSWQQNKKQQDEKPVGTQPPTPQDVTPSQPAEVLHEDIYTVPNILTFTRLAAAPVI GYLVLHDQHAWAVGLFAYAGITDLLDGWIARRWDSKTVVGTVIDPMADKMLMTILTIC LAAKGALPIWCAGIILGRDVGLAISAIYYRWISLPPPKTFARYWDFSLPSAEVRPTTI SKYNTFLQLALVGVTTAAPILTADLTTTLTVMQYVVSATTIWSGASYIYTKDAVKILN KETPKKP FPSE_08718 MRALLRPRTAVLRHQWSIPRRRYLATVSNDTKPYDVVVIGGGHA GAEACAAAARAGARTALITPKLDNLGTCSCNPSFGGIGKGTIIREIDALDGLAGRIID KSGVQFHILNRRKGAAVWGPRAQIDRDLYKKHMKAELTSYPNLSIVLDSVSDIVLTPQ DPTDGASSRISGVRLESGQILPTSKVIITTGTFLGGEIHIGLDAYPAGRLGEAATFGL SKSLRDAGFQLGRLKTGTPPRIDGASINYEVLEKQYGDDPPSPFSYLNDTVAVQEQLT CSVTYTNEMTHDVVRDNLDKTIHIRETVRGPRYCPSLESKIVRFADKTRHIVWLEPEG FDSPVIYPNGLSMTIPAEAQEQVLRTIPGLENSKMLQPGYGVEYDYIDPRGLKSTLET KAISGLYLAGQINGTTGYEEAAGQGVLAGINAGRSAQGLPGVSLTRGDGYIGIMVDDL ITKGVTEPYRMFTSRSEFRMAARADNADFRLTPKGHEWGVISDKRWSSYRDERQQMDD LTKALQSVSLSPNQWIEKGFHLKRNSTRRDGIDMLRLSSTTTRIELEQLASVVPSIMD YSANVRNRVAIEAFYAPYVKIAETERKRFTNDERVRIPIDLDYGEIPGLALSEKEALR VAKPENLAQARRVEGVTPSGSLRLLAYVRRKPIRDVLGETTEVP FPSE_11935 MDVAQAVSGYINKVVATSDTSSAKMKILLLDRETVSIVSTAVTQ SALLNHEVYLIDRLDNASREKMRHLRCLSFVRPSPESIQLLIDELRDPKYGEYHLYFT NVVKKSSLERLAEADDHEVVKLVQEHFADYTVINPDLFSFGFTLPQQRIWAGSPDTWN PDSLQRCSEGLVAVLLSLKKKPLIRYQKTSPLAKKLATEVRYLMTQEDSLFDFRKVDT SPILLVLDRREDPVTPLLTQWTYQAMVHHLLGIQNGRVDLSDVPDISPEQKEIVLSQD QDPFFKKNMFLNFGDLGGNIKEYVGQFQSKTKNNENIESISDMKRFIEEYPEFRKLSG NVSKHVTLVSELSRRVAADNLLEVSELEQSLACNENHGTDVKNIQRLIQSPNVTSESK VGLVALYALRYHKHPSNALAMLTDLLVAAGNVSPRDADMIGKVTAYHTSLQASQSQGG ISEIFESAGIFSATSNRFKGLKGVENVYTQHSPLLETTLQNLIKGRLRDQQYPFVEGG GATKDKPQDIIVFIAGGATYEEAKMIAELNASSPGVRVVLGGTTIHNSATFLEEVNDA VSSWPESRGRR FPSE_11934 MAGDTCPQPTEPTLSFTQGLIVGQLSVVLVLAAFIKFFIFGDPP SPDVTASLRATERRSRTLAHKRSLLSLRSPGNRQDRELNRKKSTVLRNPPALTIGSIL SKTYYNVDSHQPESLDWFNVLIGQTIAQFRSDAQHDDAILDSLSKALNGGSRPDFIDE IRVTELSLGEDFPILSNCRIIPVDEDGVSLGHGKKFDPDKAARDGTRLQARMDVDLSD MLTLAVETKLLLNYPKKLSAVLPVALAVSVVRFSGTLSISFIPSNPSQSTPTRMIFNF LDDYRLDFSIRSLLGSRSRLQDVPKIAQLIESRLHRWFDERAVEPRFQEIALPSLWPR KKNTRGPDDGLAEGSVPIGRSKGRDASRDPQEEARGDAEIRDRGTIRQRRGTRGSESD DFSMPGSLPSFQIPTP FPSE_11933 MFGSTGFAPPQAVALLVVYCLIYVIPLYFSAATRPSPTRSRDAP EAIRARIFAVSLSTALCSLITLYLLSSHGAVAVREPLHFMGYWPPGLIDAARALWLTV LLFAGPLYESLVIDGTAHQWLRLEPLRDLWTDWPTWRNMVAGPITEECLFRSAGVPLL LRSGASLTGTIFMSPLIFGLAHLHHFYEFRITHPRTPLPVAIARSLLQLSYTSLFGAY ATFLFLRTGSLLAVVLVHTFCNGMGLPRLWGQLDPYWLPEGDPAAASSRKIWTGVYYV LLVGGLIAWWQNLYSLTETPMALAEF FPSE_11932 MSVSPSGSPPRRALHQRSHSQNNAQNNRLGIRLVPYSPPRVASD ATATSSKTITQADTNTETETELERSQTSHSSSPQFETPTPSPSLQRARAKTTALPPSS FRGSTRYSSSDYEPSQSSWAPHYDNDDNTSNASRPPSRGPSASPRPPSRKKVIKVNPD KTFSVQYHNVSMSSRVESLWSLPPSFTTGNTSYGRESVGTIGDDRQSRPTSPLTPLAE REPSSPIISSRIQDPSTPDNSSPWNYRLFGGLRKVPKTPDVKQKQPETQDVTAELSLP PLPEVDFPLSEAGPSSRWLNEKTSFHSSSSDQTRTTVSNRTNLKTYRESSPVNVADLR SLPPSSIHSNIELIGDPSSAEASLYASTRPPTEDSNVNFVTHGSHGSHSASSSIVAVR HRTQPEFSQESLVVAPLQPRKRRSSDTFALARTRSRETIRSRSASITSLSTIFTQEAT RALFVGPPTILQHAGPSWQDLNRPQSRPYQWSGQLSTVMSESEGGSEPASRTLSLSSV PRRRGSDHSKHVLSMTSSLFGLEEHVESPSQSRGSTPSHSRNSSLEPPAATYARNSAR DPATGTIRLVRDLDEDGDGLADLEVLHHRPSRTRMGRFLSSYASDRSLRSTASFNAAV PAWAKVYYGSGERKWLAAQPSMESMYDSDFYESDHHEPQLSRSPSQDANATNIRIPRR RPRDAFPRQHSNAGSMDISAAPAHPVLAVVRNIKKQTSSIWSPHLARDRRPFQHSIWQ PPASEWEARSELTGRRNAQVTMFVVGFIFPLAWMFAAFIPIKAATKAEDVEANNCTSK SDGSKVDGKEDAVFTSAIWWRRVNRGMSIIGLLILGAIIALSVVGVKQRWGH FPSE_11931 MLYFLHRPFVALASTVGAAPDDLKLVTSFLISFPLAALLKRIPD SKPQLKNLFSIGVSLFYLVGLFDLWDGARTLLISAGGTYCIAKYLRTSPYMPWIGFAF VMGHMSISHIARQSVNDPSSADVTGAQMVLLMKLSAFCWNVADGQLPEEHLSDFQKRN MLKELPPVLDYAGWVLFFPALFAGPSFDFVDYRKWLDTTLFDAPNVDPSKKAPVRKKR KIPRSGTPAAWKAASGLFLIAMFMGLGGSYYPTLLTVDTFMNYGFLRRIWIMHMVSFT ARLKYYGVWYLTEGACILTGMGYNGVDPATGKVFWNRLQNIDPWAVETAQNPRGYLGG WNINTSNWLRNYVYLRVTPRGKKPGFRASLMTFGTSALWHGFYPGYYLSFVLASLIQD SAKHFRRHVRPFFLDPISGNPSPKKKYYDIASYFVTQLTFTFATAPFLVLTFQGSLLA WSRVYFYAVVWTVASLVFFYAPPSKAFLKTQLEKRQGRANAKLKHSISTDSLTGKDPI LGISKDPEEDVHEAVVELRAEMEMRRRMGLPIPK FPSE_11930 MTDKKNEDYVVRMGDDNYDKNEKPSFQPRSPPPMAYGSSQLHSL EQLEKSPPLSIAAYCLSSISMTVVNKYVVSGSFWNLNFFYLTVQAVVCIGTITLCKQL GMIKVLAPFDADRARKWFPISLLLVGMIYTSTKSLQFLSVPVYTIFKNLTIIVIAYGE VLWFGGSVTPLALLSFGLMVLSSIVAAWADIQSAINGDFGTGDSAAAVSTLNAGYAWM GMNVFCSAAYVLGMRKVIKKMNFKDWDTMYYNNLLTIPVLVICSLLTEDWSAYNFSRN FPDDTRNKIIIGMIYSGLAAIFISYCSAWCIRVTSSTTYSMVGALNKLPIAISGLIFF SAPVTFGSVSAIFIGFISGLVYAWSRVRQSMSSGGSLPTVAPPMSASAKSNRDANS FPSE_11929 MDARTEVSDATEASIRPRIAQLPASCDPPQPNAAVKQLVWVIFG GTGQMGHTLVNSALAHGDLVTSVGRVFETSLNDMENIHENCLGALCDVRARESVNRVI ERTLQRFGRIDIVVNCSGYGVIGACEDQDEHDIRNQFETNFMGTLHIIHATLPYFRRQ NAGRYLIFSSTSGALGVPGLGPYCATKYAVEGLIEAMLYETDAFNIKATLIEPGHVRP EEPEIQDSTLPTSWGHFLVKPPSETYSNPTAPALHARRIVQWLGDKYRPTSAIKCAEL VWQLGHCSYPPLRLLLGSYAIESIRDRMRSVTEELEDWKHLNFPAGPEDDREAGEEAA MPDANEAAS FPSE_11928 MRAYFYDGLPGDQRLPHNSGKPVSVDDLMNIGVYYYHLPELEQV DNLSKEREYKNRDEITVSPQAMGDIYETKVKSFFAEHLHEDEEIRYILGGRGYFDVRS KDDDWVRVLLEKNDLLILPPGIYHRFTTDESNFVHAMRLFKEDPKWTPLNRGPDVDKN EHRQEYVKQFIGESTE FPSE_11927 MDQVMDGGRLPLEAWFWEMPTCTRWWTAATVLTSALVQCQMVTP FQLFYSFRAVFVKSQYWRLLTTFLYFGPFSLDLLFHVYFLQRYARLLEESSGRSPAHF SWLLLYSTTCLIAISPLVSMPFLGHPLSSTLVYIWSRRNPETRLSFLGLLVFTAPYLP WVLMGFSLVLHGTIPKDEIMGVVIGHVWYFFSDVYPPLHNGSRPLDPPSWWRRLFEAR PRVEVDDSTNEILVADARGAAPHEL FPSE_11926 MPPAKKSRASGAGRQSRPSAVQAGDPVPVRAKRRYRPGTVALRE IRHYQSGTKLLLRKLPFARLVREIALSMRPADAGLRWQSQAIMALQEAAEAFMVHLFE DTNLCAIHAKRVTIMQKDIQLARRIRGMWGGLG FPSE_11925 MYRTFNSRIALRAMSRGIAPATCIGAFVATRPVIRCDAPTLATG PPRRNRSFDVSPDTVRQISSGSIAGFGIGVVVALFSRTLAFLAGVIAFSIHLASRWGL DIPRTLGIEKLLKKSSIWNKSKNRPLFTVSFLATFILATFVRL FPSE_11924 MRKSLPSSWNIELPTYSIDSSPSLQFVSSLSRLTRLRGLMKERN VQVYIIPSEDSHSSEYIAECDARRAFISGFTGSAGCAVVTLESAALATDGRYFNQAAS QLDDNWTLLKQGLQDVPTWQDWSAEQSSGGKNVGVDPTLISGSTAKGLAEKIRKNGGA ELVAVDGNLVDLVWGDERPARPSEKVIIQPDELAGESVLNKLNKVRQEMGKKHSPGFL VSMLDEIAWLFNLRGSDIPYNPVFFAYATVTPDAAKLYIDDSKLDDECRSHLTSNKVE IKPYDTVFEDSQALHAAVSEKTKTDDKAPKGNFLISNKGSWALKRAIGGDSSVDEIRS LIGDAKAIKTEAELKGMRDCHVRDGASLIQYFAWLEDQLVNKNATLDEVQAADKLEAL RKEKKDFVGLSFPTISSTGANAAIIHYGPERGNCATIDPKAIYLCDSGAQYRDGTTDT TRTLHFGTPSDAEREAYTLVLKGHISLDQAIFPKGTTGFALDGLARQHLWKNGLDYRH GTGHGVGSFLNVHEGPIGIGTRVQYAEVALAPGNVLSNEPGYYEDGKYGIRIENMVLV KEVKTKHSFGDKPFLGFEYVTMVPYCRNLIDTTLLTSEEKDWLNTYNEKVLEKTQGYF EGDDVTTAWLKRETVRID FPSE_11923 MAEDQIPTSIPEVESLILSLYQPNPPEIIKRTQAILSRLQDSPE AWGLARDLLARPEEQVRFFGALTIVIKLNNESTSLTPENAAELLVVLIGRYIDTLRSG TSPLVARKLASALATFFLNFHQVWGSFCHHLILCLVSGQSCQPSALNNAPDSSSLIER MNPSQLQPALWVLRNVLEEVTKINLNSPKNIGVYDAILENLPDAVALMSRGMSPQVTN EAAHEDAIQCLQSWVWFAQKSSSRDTRLSEPIGPLITAVITSLTIDELYSVSAELMTD LLSNCLLFLTESHLESLTQLFDTPWFQRRYQELIQGDFDFEPVQFGQLILAFGEARID MLMQSNDSRSQRLLSNLCGLLAVQGDLVEDRIFVPALEFWLTYAETMTDSMYSDPESV NTWVPQARSHALQAVSNAWQKIVYPPIKDFLSWDSNERMSFSSARKDVVDLLQSVYTL VGPQLVATFADLVLEALSKSAWLQLEAAAFCLGGLADCTSDDSRCDDALAVVFRSSLF STLRSGQGEVPSRVRQTCVALIERYTEYFERNIAELHPALNFIFGFVGEHAMANAASK SILRLCGSCRSALHTEAHGFLNEYQNLVSGERLDCAASEKVVGGIAAVIQAMPDPSQK YSACARLLGFVQADVQRSLELLQSPPDNPIPCFGPPRYCAYAASDENPALHTALRSLR CLASIGKGLQASSEISVDLEGGNTNTQPVDPQLIQLQETIMSIISQIQASFNGSGEVV ELVCSVLRSGFSESEPGPFVLPSHSVAQYLTYQGVQTPRVGLLVKTACSFVSSLEHDG LENQQEMLNSVLLWVIGLLKQLPSHEADPELSQNGIDFVTRLLNKRPITLLRLEPSNA AEFFFLFTLEVLDGKEPLPKTSAAEFWSAFVSIKYESQELNDISQQAMQMLGPLLAQS LARNLGGNASRSELDKLSEPLKKLVVRHPTAKSWLESGLAHETFPSDKVSAQDKAVFV KKVISLRGARATNQVVRDFWQSARGSNFAYAS FPSE_11922 MPPVKIPNPRVIFSGIQPTGVPHLGNYVGALRQWVQLQRTEPDA KLIYSIVDLHAITMPQPPEQLRRRKREALAALLAIGIDPERATMFYQSSSKLNLGEKS TIDDKQAGSRLKLGLFSYPVLQAADILVHRATHVPVGHDQQQHLEFARECVTNFNAAY GNHLVSPQTLIPPVHRVMSLNDPTSKMSKSHKSEKSRILITDSPENIKAKIGSAKTDS IPGIAYNQAERPGISNLLDILSIFDIEGRKGVELAEQYNDISPKQLKDMVTDAVVGGL AGIRDKYLELLDKGDGYLDSVERIGAQKAQKSADDTMQIVRDSVGL FPSE_11921 MTDILDDQVDELLRKAEQRLKDGSASAITATTTSTAVVPVAAGD AVTDVAQQKSSNSSSKKDGLTVRAPPQPLSGLKVKEKSTAGADWFDLPKTNMTPEFKR EWQVLRMRGILDPKHQKKALRASAPEYSQVGEIIEGPTEFFSARLTRKERKQNLLDEV TRGIDTHKFTDKYAGIQKQKSSGKKAFYKNVVATRRKRN FPSE_11920 MDPQNSQAAQQSRQRPVYDPSQGGHYGASAQLASQGFAPSELYT GPWANAHQGLTGQYKDILTTYWQHTISHLENDTHDYKIHQLPLARIKKVMKADPEVKM ISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDIASALAKSDMFDFLIDIV PREEASSHAKRATQTAAAQPLPAGQAQMPGQHPMAQAPNPGHPMGAEYMPSHGIAPEQ DYRNPANMYPDQSVPNPQAAYGQAQQPNMNPYGAMGDMYPYPGMAPQQTTMSNEEFGE Q FPSE_11919 MPRRPPAVDDYASAVPHVILASSDAEFLDQLIPVLKDATHSNRT PLLTQCLSRYYEDREAEIERIGLTRHEEFLDSINHLQTVRAETVTLTQEILSLNESIA TSTKKLATQKEALVNTSAVRQNIADATSALNDSLTILRAVNNAHDLVRRKKYYAALKS LDDLQNEHLVPIIQNRYSTQHRLADVIQKSIPASQKAISEAVMTDLNTWLFRIRETSQ FLGEVAFYHTEMRRTRQKKRVEDDPFLANFKLNSAIELVSDENEDFDVLNNEELQVDF YPLLEALHIHEALGQLDKFRSEYGATRRQQKDLLLPSSIGLLAEDEQSLSSLLEGIAG FAIIEKATMQRVPHLRSANDVEELWDSMCTAVINLTSKGLGDVEDAEALIKIKTIIAL FIQTMEGWGYSVTTLDNFLLKLFDKYAELLKKRFSEDFHEIVSTDDYMPMAINNPEEY EKVVNVSWFSQEKPTDQITYPCVLPFSQMYPLCCIDIRNFLNQFYFFSDDHFQHPTVI DETLRKSLDELLTEKVCKSLVERLSSQYLGQIVQILINLEHFEIACRELEQLLIRARS STSAGGPVTLKATDSFRGNKKTAEKRIFELVNSKIDDLIDTAEYEWTASTVEKEPSNY MQTLTRYLSNIMNSTLLGLPREIKELIYFDALSHTAEKILALPLSNDVKHINPNGVAA LAQDVDYLVQFVSSLENGQMLRENLDELSQTISLLQTDNQDEFFDISTRNKKYGRVNA LNGPMLLEKLTPVAASPARSAPLAGFSSRFGIGRS FPSE_11918 MALVKLQRAPASYAEGCYAIESRNLFQPEEIRRASNDPGLSANV NGDAATLPRSTWIVQIQATRLKICLDTKNGFAAKSACMELYDTIPISKLHSLRYIQ FPSE_11917 MLAMLEYDPLVFRPQRSLPAIFAPPLSMLPTSRYKERRADLILS IPIYKVPPTAKRSSWGHIGLSYTQGGATGPYVDKLVAVIDHSSCLFAPERSTSRVVQS YPAQLSPKYLASSAHWVRKIAGVFLVLQSHLGDLSLPSSNLTPPLSLAPSNWRYYCYP SFQTSLVLRGRMAGCAHLVGDSCTMPPIPSNPYHSIQQLHDPYVCYIER FPSE_11916 MASRPGGQKTMSSPPEGPMDSVQGTPDTRLTMFSPGEGSVSYHR GGAYSTGPVAAYDAFESSVAANQDPFVDVANTGTLSPTASVFKPVSHYKGKAAAVLLP EGGLVVASALSHEMDISHRLEMCDTPTPAPEEVQAFMESLQRGGLTFFGARHVETDGS HVYVCFEDLRDSLNVNNAVRKSDKTWLPAYVKVKRERLDIGTVRLAELRQLDVAIEIK NYVAADPSHVDEIAQRVLTTFGSLFALVRTLSLHSGGFRGVVQYCKAARATNAFQHVR QMDTEGLFITLLHPEDMCQNMSDFNGGIRGPPFAPNGHRGYAASNASLLAPRAPEGNP WAPVAYQLSSGPLPRSFIPPAPSSMMNHRAPSYNVGHSMMSSYGRRGGPRYLQRAPPQ RGNNVVDLYELMAGRDVRTTIMLRNIPNKVDQPLLKKIVDASSFGKYDFMYLRIDFAN DCNVGYAFINFVKAEYIVDFVQARANKRWNCFRSDKVAEVSYATIQGKDCLVQKFRNS SVMLEAPHYRPKLFWTIHSDDPTLAGYEEPFPGPDNQSKMKRSVENAEHVGLFTPTVG QHFRETQRHRHSQFDRGTRLAAIEEANYEQSLVLSRYSHN FPSE_11915 MPSLGTTTWRILAFFSLVIHVHAQSSHPNNTAFSPIDSTSTVSS CDARTINYITHTLPQSCLTTSWTSSTTTSTPLLSNSTSDTSSDPAQSNAPSSSVLSDS QPQPQPSSTATPPKDESQDAAGDGAEKPFMSFEDWKELMLRKTGQDPQDLHARNTKPR DRTPPDMGHAGLGEEDEISLNFDSYLDNTGKQNEPPSDVDQVKGDGSAKAISIHRSKD AGKTCKERFSYSSFDAGATILKTSPGAKNAKAILVENKDSYMLLECSAKSKFVIVELS DDVLIDTVVLANFEFFSSMFRHFTVSVSDRYPVKMDKWKEIGLFEARNSRDIQPFLVP NPQIFSKYVRIEFLTHWGKEFYCPVSLLRIHGSRMLDSWKEADQARDDDSNPEEEAEE TQQSLPPGEEAPKIQGAEKTPEPVKTTAMEKMPYCEVNATSHVFMVPLLCPDSLNHTT STTSNQNSSVEVTSAAPTPNSSEDREQKGHSTQHNPRAERPASDEPTSSAFSKTASPS ATPAISPTSPSSVSSSNVESVSDFTSQTQSSKTTSTPSSSTTPSIAKPSPANTVNAKK GTTGTASGSPASPTVQEGFFKAISKRLIAVETNLTLSLKYVEDQARHMSETLQRTEQK QISKANLFFEELNRTVVAELRSAREQYDQIWQSTVIALESQREQSNREIVALSSRLNL LADEVVFQKRMAIVQAVLLLSCLLLVIFSRGGSLPYLAPLVDQANLAQYDTGSPAARL RALYSDVYGADGQNAALLAARQRVFVPVTEAADHSDSTELRQRSSLVDEMRNEQAERE QLSPPQTPRSGDSFSSSSDRSPPLRETQSSLLRHAPAIHSGNSRKPLPALPENPASP FPSE_11914 MRMDSTAKCSVEESLPKSCSGKNPFRTSFVSERLKIEICVEGSY DTVPWTKSRDKQEHSERMWLAWTYDDSENVEDEESVGGSVRKPNGRDNYVLKCERESR RGWFELPSNVNGFNAGPLLDK FPSE_11913 MASLVDKCGYYIRHPRRIPKRLIALSAVLSLVVLLTLNMSWSGH SQMSIIDLPPRESFDTVKATNFLLDNPIESPYKTEFWEVGQRSKQIGRWLGALDALPR KSKQSKDISVATEKVAQALFPFLKNSDLDPDSVTPLADLRESYVRGSRGIIIHVGGGE EPVRFASHLIVSLRRVLYSKLPIQIAYAGDKDLSPRDRVRIQSMKGATDMEFLDVLSV FNDTTLRLQGAGWAIKPFALLASKFEQAILIDANVVFMQKPEKLFEQRPYVNKGAYLF HDRLLWKGMVPKRHTWWKDQIKEPSDELKKSQVWQERYSEECDTGVIVVDKSKIPIFT GLLHIAWQNTRAVREEVAYKLGHGDKESWWLGFELTGARYEFEAHYGSVIGWGDSPDI SKVNMVCSFGVAHLDTHDQPLWYNGGVLENKGESLAMYRIPSYWMTGGVWEKGATRQD MSCMSRATAYVLTDTEVNTLAESIDAAKEVDRTFAKD FPSE_11912 MAIFGNREFTNSMLLYCFLRYVTVIGLHH FPSE_11911 MRFSTFLIAASAALVSARPGFVSTFGNERAALANETAPTPTPGL EDGREELTPPARGEDREDREDRDREEDRADQGREDRDRDGRQGRERELAFGQVDLNYL LQVNQLDLVRLQTLSIKNRFDVNIFADLFAADQFSIKSLLQLQQLSTMLAIAETGIFD QFELSSLKLGDLNLGLIDGIGGIDLTQFIDAATRPKITVIAKQVKVKNTIILAGKN FPSE_11910 MEINDVPTDSRQTELCLVAWIFTGMAIVTVLTKPFTTIVIFRRP GWDDLLILLSLIGSIVASTLVQASSDLGLGRHTASVAAEPGGVQKMVKTKILQVVLAF NIMAYSLPNVAILILIERLVGKTKTMTRRFLRAVVAIQIILAVISIVIIFAQCRPTKM LWDQSAKGECWSPDVFNRSSYVVSSFTVLTDLVLAVVPTRAFWKLQLKRQEKLEITFM LGLTFLSAIFTIIKVTYLYTFNDRTDPLYNVVTLIIWGLVEQNVVIMAASVPTLRPLI RLIKDKHPMRSAVGYIRSGSGADPKHNESVSEVPLGDMKPKPEKESQLSLERHSSTEE LNRDASRL FPSE_11909 MPSEIIKRMQDAAAAYDREELGARATLLDLNRQLLAELETPSDF VQRIWFTTASLGGSLEVANNTKIFQHLQKAKDGLTSQALSEKTGIAVPLLDRFMRHFA AHKVVCFSKPTGWHATYLSNTLAKENYQHSISFCQRAAAKSFCFFPDHFKQANYQHPG LPDGPYQYAHNSSLPFFDWLVANPPYVNWFGSFMSVYRAGNPDWWEFYPVQELLSAGF DKSKSDVLVVDVGGGRGHDLLSFSNGIKSPGRLILQDLPEVIADVTETTAFESQAHDF FTLQPVHGARSYFLHSILHDWGAEHGVQILKSLEPALTPGYSKVLINEIVLSEENPSL PATSMDMMMLGHIGEACERTEEDFRAIAVEAGLEFIGIFSNAASPESVIELMLPSKED RA FPSE_11908 MLLGNLLAFGSLGHCLTVHQKKPVWETLPPTPNLPAPINTKTTL INGVHLWMQEYNKKAGGIPIVLDHGGLGYSAYFGSVISRLINNGHYVIAVDRRGHGRS TFNKDDVFTFDQFAKDIDDQLKAAGVNEYNVVGWSDGAITTLSALLNPVTAAPIKKAF IFGTTANPEQSNATFSDTAIFSEFVSRCRTEYAQLQPSANFTVFGSKVVTLEATLPQF TDEQLGSIDGKKVIVVGAEHEEAVNLDVPAKLHNAIKGSKLRILTGVSHFAPLQDPDQ YTAAIEEFIYS FPSE_11907 MEAVAVSYAVPASHNINGLEIKTSIIHTPKKSSSEYIDLNEDGV IGNATAVHDGPVYICLAENYDYWCAELGVDRSEWDWCHWGENITFRCTDKTLTESDFR LGDIWRVGRSVRLQVCGSRIPCMKLSWRCGQKDSWLKTLSDTGRVGVYLRVLSKGRIY PGDRAVRESSSGDSMDAATITRLAFDSDLKTRDTLNLLANHDVLLRLSRLIIKRKLAG IDDGVNKGRNAWKGWREFRVSRIIDEGNGIKSFDICSTDDKDLAWYLPGQFLTLRLPT GDIRSWSISDWPGRIQPKHYRISIKDAGRASSWMCNECEVGTILSVRSPAGRFVLDWS QAVTPRQLYLSAGIGITPILAMMKAHANHPNMNVVPGIWIHVVKDGRGLQFHSEFLKI ENNPIKRFVFMTQPRDIDIRGKDYDVFGRPNLDSMAELLGETYKFNPFGTTAMDLPSK FSAAYICGPKDFEATMKSLLLSCNIPPPFIHSESFSASGHTTGDLEKATVRFTKSGKT AHWKKDESMSLLELAESVGMAPDYGCRVGACGSCVAKVACGSVSGGLQMDGSVLTCSA VPMSEVVEVEL FPSE_11906 MSIFHILTHGDQDSNLDRPGMSQNIVPYLLGTLIFLIYVVYKSV RTGAGLRHRTVEQFEFSEATIEEKIIYLGTQMRWWDYYCGLWYMHLVVHLCVACQTRD WPYTISATYMIVCVAYFYVLSMILAMAEVQLPSLWSMLTGGRRAE FPSE_11905 MSAEAPAQDAPQQESRPRKDSFSGKSQTSETSQTAAEFIRSQEA LEADAREALPYSIDTCTKILGPLRQAVFACLTCNPPPAKDGDDWTPAGVCYACSIQCH GEHNLVEIFQKRNFTCDCGTKRIPSTSPCTLRLNEATNTRGGVHSEEPDVNNKYNHNF RNRFCGCDCDYDPFQQKGTMFQCLGLGTVETGGCGEDWYHPGCLVGMGPNWFEKMEKP KKTAPENNEEGKLTTIAEGNEEKQDPAQTAGQDKEKAAENAVEADEGEDEDVPMPPGF PEEDAFEAFLCYKCVEAHPWIKRYAGTSGFLPAVFLNQPDSEPKKEPESTKEESVPAT ETAVAAEAGQDSRKRKADDDVEEPTEVKRVKSESQSGDEKPNEEATSTDKLPCKLKDL PPAPGGQFSLFLKEEFREAFCRCSSCYPHLNPHPQLLEEEEVYEPPLSDGGSQVDGNG GGSHGSGSLLERGESALRNVDRVRAIEGVMAYNHLKEQLKPFFQQFAESGQAIGAEDI KAYFAKLRGDEKGIEEAAAGAKDGEGSGSGDGDNRKEQSGF FPSE_11904 MSSPLHPSSARPNRKRSRTGGDDGPSPAGMASSPMPSSPPEFNM AHGVEDDDDIEEEVMIQDDIDDIDEMAEDEVDLFREGYENDYRDLEDDHYGGEGIDDE QYEDMRIDQRQQVDKVLNRRDRAIQERRGMPQVWGALDEDGDDIDLSAQPRRRRHNYD ENPDDMMDTDIMSEELSLEALGDVKASSLTEWVSLPSVQRTIRREFKAFLTSYTDTSG SSVYGSRIRTLGEVNAETLEVSYEHLSESKAILAYFLANAPAEMLKLFDEVAMDVVLL HYPDYERIHSEIHVRIFDLPVHYTLRQLRQSHLNCLVRVSGVVTRRSGVFPQLKYVKF DCTKCGVTLGPFQQESNVEVKITYCQSCQSRGPFTLNSEKTVYRNYQKLSLQESPGTV PAGRLPRSREVILLWDLIDKAKPGEEIEVTGIYRNNYDAQLNNRNGFPVFATILEANN VVKSHDQLAGFRMTEEDEHNIRKLSRDPNIVDKIINSIAPSIYGHTDIKTAVALSLFG GVAKVTKGAHHLRGDINVLLLGDPGTAKSQVLKYAEKTAHRAVFATGQGASAVGLTAS VRRDPLTSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQQTISISKAGIV TTLQARCGVIAAANPIGGRYNSTAPFSSNVELTEPILSRFDILCVVRDTVEPEEDERL ARFIVGSHSRSHPLSQAEQGSMEVEHDTQAETQGSTRKPEGEIPQELLRKYILYAREH CSPKLYHIDEDKIARLFADMRRESIATGAIPITVRHLEAIIRISEAFCRMRLSEYCAA QDIDRAIAVTVDSFVGSQKISCKKALARAFAKYTLARPGGQKKTGVNSQGRRQTAAAS FPSE_11903 MTFPNSYDQSQPAPSPAPAPPPTSSARHFPQQQLPQPPYHQQQQ QQQQHQQQQLPNQYVSPQSQHQWQQQQQQIAMMNGMNGTNMAAGMPVPTPAGHQAELN YIYGMVEELSRQLAENRRVTEDIVSGLGRVRNKAKTQGVSNTQVIESAAEDINGQEQN IDALISLLTESLEKAKFSRDSNAKLLSQYANAMANLLRQFHEYKTKHVSDVASWHRSY RKQLADARDENARLREQIWQMQAHAGKANENLREYRRKYDEDEGRWNKLVDEKAHRQE LRFWKRMAMPELDDDDPYWSDDDDIIDPAEKERLHELDLKAAQEQLNSQAEDSDGQGP PPQLPLPGMGIGMGMMGGIPMQREDSGSGHNVPAFPPRPSSAASSTGSTGQ FPSE_11902 MAELLGIVAGGAGLVSLALQLVDGGQKLRDRYKNAKGLEGNILW LGQDLELIGQQLIQLESSAYIILQEQLGPIMLERCRSRSACVAARLDSLTQAMPVTIS KRELIQATLRSGQWKSELEELQCLVTGLKQDISQLYIIEHHTLMQQTRKEVIIKDNEC APSAAVSSTQVAACTGYSNMDQQGITQRSARKCSCSCHKNCTISGVFWRLQYSPLLGM FRSCSNTSCTARRYRIDIHLALYRYGIPFQVTALLDLIVEPGRYSLQPSLEIETTVDF TAPQFEILRKLNTKEMEWVTAEKELRSIYRSNPRFVNQVNPSGQGFLEYAHGIIATPG IMFNLSFEILRSLYGLFMNEFHTNESCHSVGLMKTLGRLATANSDSRDLVVSLVSMGC DFRDTAPTAETWDLAPVAFIHSDYDAFLQALLKRNPDFADSPPLHYSVLHDSDKAFEI LVKQISQPLEHHVNFLGQTPLHLAVRQPLRVLSLLNAGHEIDPRDKGGITPLVYAAAE DVHDSILILVGRGASLVTGNLGLTAAHYLGGRSDWDLLWKILDLAPTTELDVICQVLH EALRMFRKYEWYSTYESDGMQDGCLNFWTKVVTKLGSPNTIFDDGETLMSHARCPKDV NALIELGFGQFNYRDNYGMNCLFSMAYLTSPSLFRLDVLNGADIETQDNRGYSVLPAP ARVQLMVVYQALIWEPIPDSGGSSFLNGLRFWKSEVWCQKPNLFAWLMFVKHISTSLA FVTPVAAARVMDVFAR FPSE_11901 MFARGIRAVSRRAAIGAPLARPTILPATRQIAPAVSVNASRSYH EKVLDHYSRPRNVGSMNKKDADVGTGLVGAPACGDVMKLQIRVDPETQKISEVKFKTF GCGSAIASSSYLTELVRGMSLDDAGKVKNTEIAKELCLPPVKLHCSMLAEDAIKSAIS DYYTKNPNAKVSNLSGTGMKLPEADAPAAATA FPSE_11900 MALNGSGVYHRTREHEVEDASSITKNFLAESWRSWPNEAAFDRL EEHRGPLRLTIKGSIPSWAAGTLYRTGPGQSRVEDTARGTHFTTHWFDGFAQTHRFDI VPSEDGETQVWYSSKRQSDEWVTDVKKKGWRSGMTFGQRADPCVGIFAKIMTIFEPKL GNHNVALLANIPGVLDDEKEVDEKANGITGPLGHRVNNLFVATDYSGMRRIDPSTLEP LGETTQSHLHPSLSGPCSCAHAQRDPVSGDLFNFNLAFGRVPTYRIFRVDAASGKTEI LATISDVNAPPAYMHSFFLSENYVILCIPASQFAWRGLKTQWEGNIIDSMKPFDKNRD CKWLVVDRRHGKGLVATFSTPAAFFFHSINAFEEKVKDEQGNERTQLFVDFAKYDNMD IIKGFYYDVIMDRNDATKKYWFENERYKNCAPTLTRYSFTLPLDPTPDMSFASKGEQT LSILSPHTGELPSIHPMRVGKPYRYVYSAALRGMSTILDSLVKTDLKTGDALLWSGPR GHTPGEPVFVPRPGAEDEDDGVIFSLIVDGGAETAYIVCLDGKTMEEMGRAEADFAIG QGFHGIHIPAA FPSE_11899 MSTRSSAPTIPALKQTFLANQTTLLAQPLTPSRSWQATNDASDE PLTERVVQDVLFNLNHTIQQHCRRVYAPQASRNIAEQIDNVFVQDAERKVGGPADTEG GIGRGLDLSDKDAIEALPASWHIEKDVNDHPEEVKRYAEAVARLAELNDQRKQLREQV ARLKHLKTIVEPLQMTDDGAGIQENLLTRNGPVEKELEKMRFLLARVGGRIHALPEQA QNDVSKEISLSETGSQGRKRRVDQFLADEVFQ FPSE_11898 MTTNTTQASPSPTHLQRIARILGFTKGYNFILWLLFGGAFLAFA LSRFIYLDFDGHLCPSEPPTGRIYGSRGAVPGECYYYRHGIGKAGIIMHLAGILPAAV LVVFQFIPAIRHRALLVHRINGYLVLLLSVVGIIGVFMITRHAFGGTLEMQTVTGFAS IIFVACMFLAYINIKRLQLEQHRAWMLRGWIIAGHVITMRAISAIMAQIASHTSPYYT VTPCAVLDSMFYHDKPAVEALYPGCVGFYTDETPDQRVITEGIPGERPDAIAARLNSA FGASAWLALLVHVIAAEMYLRLTPAEAERLRKVSYRRQMEAGMPDPGNSGLTAQRLGD AEPWVVSLDDRDVVCDETTSSRHTSGSHEISHDKF FPSE_11897 MAPIGVALIGGGLFAKQAHMPAIVKAGNLDLKAIYSRSLKSAQE TAALDTRGTGAGSGPGSGSGPDLYSADSGPGKSLNDLLARDDIDAVIIALPIPSQPEY IQAALAAGKHVLAEKPIAPSVADANKLIDYYRSLQGKCTFSIAENFRYKPCFEYAANE AAKLGALQHFSVRVFFFMAEDSKWYGTSWRAKPQFQGGFLLDGGVHFVAAMRQLFDEP AADVSAFTSQVQPHLAPVDTVNAVIRLKSGVSGTYQQSCGTKMSTSAFQFGYEKGSVV VDGDKVTVTPWEGEQVVKDFERTSGVDEEIEAWANALVDGKPDKRQSVEEALADLELL EMMFESGSDGGAAKKYQHQF FPSE_11896 MYWQGGILVQLTPSSTNRNDNDMYDHMNNSVYNFLFDSIINAYL IDNCGLHPPSASQFGMCVHTHTDFFSSIAYPAVAELALRVNKLGRSSVTYETALFEKG VDEVKAVGEFVQVYVDREKNRPLKDGMAQTLRVELEKLVVVKPKL FPSE_00338 MVSSSPKPEESPSDEQFFMNSFQDLDIQGENSLTPSQPASDPPT STTTTTNNNNKQQQIPKKTKQKKKQDPPQVSATDEPLITPVGDPWPRPYHFDGDGLRR VLPYHYTYNTHCKERWRNRPLLEIYESEFRDRPVEYYRQSMIRGSIFVNGRRVGPDYI LRNGDLISHTLHRHEPPVTEDPVQIIHEDEDMIVINKPAGVPVHPAGRYNFNSVVEIM KSDRGPAFLPYLCNRLDRLTSGIMFIAKNPIAAEALGIKIKDRTVRKEYIARVMGEFP DGEVVCDQPILQISPKLGLNRVRANGKTARTVFKKLAYYPEDENEDVDERPKTPEQLQ DEMHRPWVNKKGYSIVRCLPVTGRTHQLRVHLQHLGHPIQNDPIYANRRVWGMDLGQN DGDATQNTDEDIVSRLSRMGKDEVAEAVAYYDNMVDKYEEKRAEKLTGELCEICQTPL YSDPGSHELSLWLHSLRYEDAGGSWSYVSPLPRWAMPPEGMSGPTSVGGMEELVEAVK DENPEIS FPSE_00339 MIASLSSSPPTSMPYSTSPDSHIHNTDNMVRSSSAEPAKSSKRK GTRSVSTLTPSQLARKRANDREAQRAIRARTKEHIDRLERELEELKSKQSRDQTVQEL LRRNQALEEELMRLKENMGVSMTSSPYSAPGTTLSVTIPCSVPSPTSNGILTAPSVYD DNLSSGSGAIPSPRGSPFPSGDYSPIPDYGQQYVPLPTNCESWANTVPCPVPSNVSSP SSSADDYSAGYIPTSVPTSLMPSNNTSSSSLGAVGHSHNHKDVIKMEYEDVESHVSRR RIPPQQPFNAARFPPHLHGSAAAVRLEHVSRLLPPGSVLGPLKDQIQPGACWPVPVLT TPPACRFDELLVGFLQDCRRMATTESLAHTLGPTRIDVRSVFRSHDSHGQLACSPHPI TDLIRSLIDTAGMSRLMERLAIFAPLQTMICWLAQPTPERRARLCDDYAPRECQLTTP HPQWLDLVLWGSLREAAIRRQDLYATDEFQRIYFDSLRLVNWPYQPLESLVTDSQTGH VGLTDALTAHAMNGSSWRLNETFTQRYPELSGLVALEQIHP FPSE_00340 MADINVEEILKKLSLAEKVSLLAGIDFWHTKALPEHGVPSLRLS DGPNGVRGTRFFNGIPAACFPCGTGLGATFNQELLEEAGRKMGEEAIGKSAHVILGPT INMQRSPLGGRGFESIGEDPFLAGLGAAALVRGIQSTGVQSTIKHFVCNDQEHKRMGV QVIITERALREIYALPFQLVVRDSQPGAFMTSYNGVNGTSCSESQKLLKDVLRDEWGW EGLIMSDWYGTYSVTDAIKAGLDLEMPGPTRWRGDVLNFAAACDKVWGHVIDERAREV LKFVKKCAASGVPENGPEKVLNTPETAALLRKIGNESIVLLKNEKSLLPLAKDKKTLV IGPNAKVATYHGGGSASLAAYYAVTPYDGIAEKLGNPPAYTIGAYTHKLSPLLGSQTT GPDGKTGMSWKVYNKPPTDSSRKPVDELWLAKSEMHLVDYYNSELEDLWYANLEGVLE VEEDSTYEFGVIVCGTANLYVNDELVVDNSTKQVAGDAFFGTATHEELGRKDLKKGEK YNIKIEFASAPSFTLKLDNPIVGHGSLRVGASKVIDAKQEIERSVALAKEHDQVIICA GLNSDWETEGSDRESMKLPGALDDLISAVAAANPQTAVVMQTGTPEEMPWLDEVPAVI QAWYGGNETGNCIADILFGDANPSGKLSLSFPKRLTDVPAFLNFRTEAGRTLYGEDVY MGYRYYEFANRDVNFPFGHGLSYTTFTFSDLKVSSKDGKLTTNVTIHNTGSVRGAEVA QLYVRPLQNAKVNRPVKELKGFAKVELDAGESKTVTISELENYAASYFDEEQDKWCVE AGEYEVIVSDSSAVGDKALRASFKIEETYWWSGI FPSE_00341 MVAPSAMESGVEAMQMQAAPPRQRAQRQTSSCLECRRRKQKRTT SIFTVVIRQFITANAVSRASPLFPRPQLPTIADIQDGPYSHLSPAIIQSALYSIEDQS TSSASSPSGSYSPTASSSTSLSTQSTRSLWRPYQTLASPDGDTDKTIARSLQIIKKEN IKSFKRSYLDEGMNKIESDCPMELGYSIAGSMTQLNYLPMRPTKLNKDLVRIHLSLLS RFKCSIDGQPDHTNEFMNIWVPCTMQDPLLLHIVLFTSACFLTETGDMPKKMRQIYQS HVYWMLNKQIGDANGWENDTVMLAVVQMIADSWYWGETDHLMAHLKGLKRMVRMRGGL NQLGLRGHLSKMILIHDIVMALAHEITPSMYGHPEFPFLDSRRTPIKTAYNTPLLCHW QSFRECSNSLQLHPSTAEILDTAAVVMPSSSKEVSLAVKFAVLNQIPTTVCGGGHSSS GASSSEGMVIDLRKMRKVKVDTEAMTVEFEGGCLWEDVDNALERHGLATVGGVVNHTG AGGLTLGGGHGYLTPRHGLTIDNLLEAEVVLADGTIVEASEDKDPNLFWAIRGAGAQF GVVTRFLSRAHQQVKVWSGTLAYSPDKLPDLLRFANELHERPNTEGHCLALGIGFGPN GTTRIVSAIPLFHGPESDAKHYFAGLLQLESVVDNTEMMTTAKTNTLLNMVMDHGIRR LTGSGNVVMPLDIEPMLQTAQTFWEFCEVHGGMGKSVIAIEFFPTDKIRESAQDATAY ANRGDYYDVLTLFGWEDPDHDGEVRKFNRSICRQVRETNGYQAGAGGRWSKGPVGVYI NVEAGGISPNDAWGANLPRLRALKKKYDPQNVFNKWHSIAEDAT FPSE_00342 MDSGGVRRKDTTKGPPLRVLSLDGGGVRGYSIFIIIQELMHRTF VEIEGRAPKRHEIPKPCDHFDLIVGTGTGGLIALMLGRLRLDLETCKELYVRMTRTVF QTDKTIAGIPYRSTLFKASKLEEAIKTAVQEHTVKEKEGNDSAESPLTNPLNAASYSS AGLPRRNQSNASTVSFSARSPAAQMAHRPAYNSRYGDPNARLYDHRENRTKTAVTAVY KGSPRGTPAVLLRSYDSRKEPPPEFDCKIWQAGRATCSIGLAFKPITIGQSVFHDDGV GTFNPSPEALDEAVVNEWPGREVGVFVSVGTGRRPKNTDSNSQMWYEGFLGEFAEARR KLISKIEGCEKIHEYMMREHLGKRNVSIDAYYRLNVEVGVGEFGMNEWHRLGEISTGT RRYMSREAEQRMIQGISSKLGRIHRSRIRHARAPEGIPEIVKSTSSTWEMPMAFELPG DIPTSVPLPHSPGSRSSFESGSDNLHLPHNGSPRSSYERIHPDGSTPKSPPLGPRSPS ASAPALYPEDHLTVQSPTPAQYHNHVNGQDLIAIVSPDEYPRPAPNVASPPPTRIEPP PLPPKTPLPESQQHGGRRMPTVPPPYPVDDDEPPPVNMARKPDYRGR FPSE_00343 MEPNKRRKLAPKVNATTPSKPPPPPTQFPHESPQQHHPPQEAAP APLSERHDFESFARHLQDAAMLIQRQTERHPYTDVSVLLLRWEDDESFDEDLTALEQV LQKQYKYRTEKWHIPTVPNPSIKLGVQMASFLEHAKPNHLLIIYYAGHGYVSSNGQLF WACNTREDAAKLKWDGVRCLFEDAQSDILLLLDTCAVPDPPMAGSHGVKQAIAACASD RSPDSLERSFTSNLTEALQKLSSGRPFTTQRLHEEVLFLKKQQQLLQTPRQTNGSTSN TQSSPQNPVFIPLTPGKGQSIALAPMPSRPLTGSQNGHDADGQGNREEQLIDPESVVD LRFEEPRVLVCTTFVGDASPDMSFFSQWLHSTPPLGDKIAVEGMFLGPPTMLLISMPH SIWNVVQHDKVCCFLGYISSHNMIHLYHKLVGSSGVKPSAREVEDGRILLEARDHAFS TPARVRREEGPSFHSSATREAPNSVERKDYLPQASPSAPAYANSKGGHSKPKDEVEDS AEMQEAAEQLKALSHVRHPSDDTTNINRPRTILPDGLPEIRPEGDNDEHGNNDPLSTL RNANATVKPPRRSLPKQDTRCNHCSHAPFKDSSSLRKHIAAAHTRPFPCAFSFAGCTS TFGSKNEWKRHIASQHLCLQYYRCSSCPQSTAEGKGNEFNRKDLFTQHLRRMHAPFQI KRALAKGDSKLQSEWDAHVKEMQVSCLVQRRLPPQRSACPKQGCQSVFEGPSSWDEWT EHVGRHMEKGEGGGRLGVDGLLAQWALDEGIIERKTDGEYRLSTSNGISGGGNSGGMP PAFEQKESTLTSVSTLEPSQPEDSLIVETNTKPPEDRMEVDTPE FPSE_00344 MAPSPANESPPAPSSPSMVKGASLLIILQLASRLITFIANQLLL RYLTAPLLGLSTQLEVYYLSVLFFARESLRVAIQRRDAGSKAKEESQAVVNLGYLSIG LGSLVSFGLGWVYLAYATEATLSTPYLVESLYLYGLAAMVELLSEPCFVLMQTRLQFG TRAAAEAIATFLRCIVVFGSAVWASKHSDIGVLPFALGQITYGAALLLVYLVSGHQLA SSIGFSLFPKTIASKDNRFLGSMFDRPTIGLAGSMMAQSVVKHLLTQGDTFLISLLAS ANVQGAYALANNYGSLLARLLFQPVEESSRSYFSRLLSSVTPVKQGDKPSPVITEAKQ NLLTLLRLYILLSSVIISLGPFAAPPLLAIVAGKRWAGSGAGDVLAAYCFYIPFLGLN GITESFVASIATETEVHGQSVWMGAFSVVFASSAFLFMRVYPLGAIGLVLANIINMAC RITWSGAFIKRYFKRHGTEFKIKSLLPESTLGVSVATAILLKQLKIADNADPPIKSLV KIAGSAIPLLILILVLERRFILECLNSVRGRKAAKQ FPSE_00345 MAATKHSLADSEDRPTKKTKVDSEEKARLKAEKRERKEKKKSQE SEPSAENSDADRAAEKERKKAKKAKKLEKKQKLAEAEASAEPAAEVSEEAPKKKSKKE KKTTITESSSNSEAPSSGSYTQTIALSNVPQAEIDEFLSKNEIHITDPKTETVTLRPV LEFHQLPATNLLEKKPSPFANYKAPTPIQSASWPFTLSGRDVIGVAETGSGKTMAFAL PCVEAISALKHKHTKAVIVSPTRELAMQTYEQMASVAALNRMKCVCLYGGASKDDQRN LLNRGADIIVATPGRLKDFMSDGTVDLSHSAFAVLDEADRMLDKGFEEDIKMILSSCP PREKRQTLMFTATWPQSVQTLAATFMVSPVKIAIGSGGKETAGGAVELQANARISQSV EVLEPRGKEFRLLEVLKEHQQGSKKNDRILVFCLYKKEATRIENFLSRKGIRVGGIHG DLRQEQRTRSLEAFKSGQTPVLVATDVAARGLDIPEVKLVINVTFPLTIEDYVHRIGR TGRAGKTGQAITFFTVEDKSHSGSLVNILRGANQPVPEDLLKFGTTVKKKAHDMYGAF FKDVDMNAKSTKITFD FPSE_00346 MARMGFFLFLMPLCIWRVITRCQPHLDPFLLTNEFHGTFYYLVA LTILMATLSMGTWYYTVFLPHLSRDCRDIVFMLGQVNLESRGNRRNRTRIIWRLRKLR LLSGFMIFTLLVIAIEFPIQWNHVRGVYDFATITQLLPFLFSVGIFLRSWALYASSAN TREGDARTRPRPSTPSSSSLSSSSSPSSSGEMVNVVRIYRARSPRSYYPYGYYPYGYG QYQDGNPYNYNNDYYNNNYYYNNYENSSEESQEPRWPPGVYYSRRP FPSE_00347 MSSKETSKSKDKDKSKVHKLSLKGSARLVAEFFQYSIHSILFQR GVYPAEDFTVVKKYGLNMLVSADDQVKAYIKKIMSQLDKWMVGGKISKLVIVITDKDT GEHVERWQFDVQIFQPVKKSKSSKSAPKDQENPAAGSAAPAAPEKTEPEIQAEIAAIF RQITASVTFLPQLNGDCTFNVLVYADADSEVPVEWGDSDAKEIENGEKVQLRGFSTAN HRVDTLVSYRFSD FPSE_00348 MACPHLDLIGLTPPNPSQSVYREDCTQCFDSIDDPAGLNVCLQC FNGGCAGDRNHDRLHYALTQHPLALNIRRTRKVVERDEPPAKMSKLAIAAETEEDRYD TALAVKCLDCNTELDRTNPKLAPMVDAILKANTFSRKEEVKAWEQELTSCEHILMLQQ APSKKIEQNDLSHCYACDLAENLWLCIECGNLGCGRKQMGGVDGNSHALAHSNESGHG VAVKLGSITPEGTADIYCYKCDDERVDDKLGEHLNHWGIVLAERQKTEKSLTEMQIEQ NLKWDFSMTTEDGKKLKPLLGPGLIGLRNLGNSCYLASIVQCLFDMPSFQSRYFQPGA DLPIVPEPAADLETQLRKVADGLLSGRYSEIAREAATHQKGLAPAMLKHLIGRGHEEF STMRQQDALEFLQHLFKLITRSSHPDGKDPTEPFRFVLEQRLQCLGCHKVKYSSNEQD NIFIDVPLEKLPREEGSDGPDAYKPVSLTQCLDNFTAAEKVELTCSACGSKDGFSKQS LFKTFPDTLVVNARKMTVANWVPIKVDVPVLVPDEPFNLDAYLSKGLQPGEEQLPDEP EAKAPAFEPDATAMSQLEAMGFPYNRCARALHATGNSDANTAMEWLFGHMEDPDIDAP LDLGAQTGAADTADPEKIETLGAMGFGAPQAKKALKETGGDLERAVEWLFSHPDDQGD GDAPAEGASSATKEPAGSADLPATFQLRSIVCHKGTSIHAGHYVAFIRKALGDANVPT WVLFNDEKVVEAHDVDEMRKFAYVYFFKRV FPSE_00349 MNTLRRASLGKPEEAGKTWPAIAIGCFVAFGGVLYGYDTGTISG ILAMPYWQSLFSTGYKDAKGHLNITTAQESGIVSILSAGTFFGALSSPFMTDYIGRRP GLMIATWVFNLGVCLQVAATSIPLFLAGRFFAGFGVGQISAIIPLYQSETAPKWIRGA IVGSYQWAITIGLLLAAIVNNATGGRNDTGSYRIPVAVQFAYSIILFGGMIILPETPR FLIKKDRHEDAAKALSRIRRLTPDHPAIQAELAEVRANHEYETSIGKSSYLDCFKPPI LKRQFTGCALQALQQLTGINFIFYYGTKYFQNSGISSGFVISMITSAINVASTIPGMY AIDKWGRRPLLLWGAVGMCVSQFIVAMAGTFSTGQNDDGTIFVKSLAGQKAAVSFVCI YIFFFASTWGPLAWVVTGEIFPLKTRAKSLSMTTATNWLFNWAIAYSTPYLVDYGSGK ANLQSKIFFIWFGCCFLCIAFVYFFIYETKGLSLEEVDQLYDEVSVASKSKQWKPSES WEHRQSVSGADGKMFGGNDHQGEVTHNEKNEA FPSE_00350 MCLDMEHHWGVRLDYKQPFYPRLDDAEQERKLIMGHVEPGDESL GLNFSMPVSYPGLSTGQSIADPSEPDQEPNDTLPTTDNQVASNSNNGVFQNYEEQLSA IKSDFKKRISDLQSNLELDRDVAEIGVDSKRDREEDQEKVKEIHRHAVQAQKCTEQAL EYAEKAQEHANASVELAQSLMESLSSSNLSVRRSSGGARRSSKRLREN FPSE_00351 MADPFASSPFTYSDDHFESLQPPKRSHGINIFGNSSGYYSPLMM SSSETAGEGGQQQHHNQHEMSNFGTAPASASGLGISMGSAPSQSQSQSQSPDPNQHHR LSSPETPHSFHNLMSGDTPRHTPAQGSFSPRIADSKGTPEPYSEIWAPSMPRSSGNSQ TDPSATETAKQIPTAQEREIMPSSSSARKLPKIVEPRHDEMDDEFDDEIFYKKFAEPP KGCSSTRDIHQPRSSWLSITIYVLSVYSTVGSGIWLVTAILQPRWGHQIASSASLSPS TATTLAAMLAKTIEMSFVTVFVSCLGQVLTRRAFIRKAQGMSLAEMTMRNWVIQPGSL ITHFETLPSSSLTLLGMLSLTATIAAAFYTTASDAMVSPKLKSGSWQHKELEGYVKAS YANAAYVREDCPYLFNITEDIHAAESCMNVQFSGQSYRNLLNYMTVWTNINQNGTEIS ADPKKRPGGTTLLFDNTTMFSEWIETEHSDVAAQFEETGRIINNVTLALPHPGVYGAA TLKKNGILQPDDLAGVGEYTIRAGVVAPSVNVLCVDMDKAELAPLVYTTWPHAKNNNT VIPGQKAGWTGWTGEIPQPIDSKNKPYYLNRTDVDDIFKWGPKYERRPPVFQMYPFDY NILANATVYAADAIYTLGKSAESKNYTLCQLRSWVSPNCSTEFSISGTAGARMNAHCE DDADENAYRRSFPADQGWSLPSLDWKWLADQWRLSMDLNGGSVNNNASNARILTQLAL NKPKMPASHPSMAEALAVYSSSLIMISAIDTPFRHYWDQDSDEFPGNLIKEGPGFLQA FNASMITQEYTSGHTQGWQNIFYVVLVLVFAINLFCLGYFIMRSGLVTDFTEPQNLFS LAINSPPSNNFHGSCGGGPEKKQLVVPWKVAFAPSANHYFFQDESSGKEVAAEATTTA REYQKPLQSSYKRLSMSRTWL FPSE_00352 MDPLSVASGIAGLLSVAAVIVKAFDKIKTNMDDCPRTVEWAQAE TSELHWAIKRLKGIIDDPNSVAKTSGTSLGLHDATITISELITTCDGLIEVLKPFDDN RALAHTKLGKVKWLRVQDDVVKYIREMQAHKGSVTLILNILQCDSDVVIIDSQNRLAK KIDKIVRNSELTQLRVEKIEAMFNTYIIENPALFPSNRSSVGTTLANWKEEDDETDNS SVVTSHKDHEDWTTFRSSNERSLSFPSQGNIVPQIARPVPKRTSSAETSTKTESNGVS SPPRRAFEETLEKSDVYQRVYNSTDAFSIYSTRNRSVVGSVMTSFSLADNSSILSAFP IMSRTELKHPEYYAHMNGNQRISKDLMRAITMRSVVKSPIEPSPEAPFSLKQFRSPPN CGENVIGRWSNSRTKTWNSKEFRTEIQFEVPFIFVSTPGSTEGYIDGAQVHFIDGTRK SLDATRTDLELPPTGTKTKTGRIIKNERATWLILLSSLQKMENQSQNWTRQQIMSLRP RPASAQAILSSVAGKHSLRTALQCERKSWDDMPPSVNRPYATTTMSQLIEMLAMLGIY WRDFNPTYNIYQAEGNGFLVKGHKISGLGIMFYFQALDKAAFEENRPVPNRGVTRLAF GQLPTIFSHVVRLGSRKNMADTLTTLGCDRTSSNYFLRDGTVTSHIFPITFELMGMMG QVFHIKDLCFRYIPNPCYNIWSTKGFSLPRLLIAYRKHLQEDKDKAHGQLFIHLNTLL SPKTEIQLQRDVPTSYNWQAQDALHSVLDELDATLKNTTQTSHVKDVIGSHFQEVLSQ MNGQTFPRFNGSDKEDVLMRTYFQQILPAVQISCSARFFDSKTADSEFNVVKGGYAQT AEYAAYLLACKELWCSLVLRMICWLMLHDFHGDDVQVPKGDLYGSDMRVYIS FPSE_00353 MSTSSSRSSSPTRASTEDLADRSKVTPQQVIDKFWKQFTTKNPG KATTVIPSNTYTEFAAKRGNQVTTTTCQASYEDAAATCRAKVEKIIKECRRINKKYRD PHFDIELDLKWGQNDCLRSLSNRDEFVPGEDLRPESVKRVGDIFDKPRFYIDGPTAND IRQGRNGDCWLIAALCTLSEKPGMIERLCVAHDQDVGVYGFVFYRDGEWISEIVDDFL YLIKPDYDEGYLDRVLFDDIERVDPDEAYRRIFQSNSGALYFAQCAHPQETWLPLLEK CYAKAHGDYCSIEGGFGGEGLEDLTGGITSELATTDILDKEYFWKEQLLKVNEEFLFG CSTGIFGGWGERKGIVEGHAYSIQKAVEIDGKRLLKVKNPWGKHEWTGPWSDGSKEWT AEWLQKLGHRFGDDGDFWISYEDLLRKYQAFERTRLFTPDWRVTQLWTSMSVPWALDY HDTHFSFTLSNSGPVVIALSQLDDRFFRGLEGQYRFELGFRLHKAGHSDYVVRSQTPF RMTRSVNVELELDAGDYEVRVKINATRDHEILPIENVIKNNAKSRREKLLRIGLAYDL GHCKGRFVETPEEKIARQGHEKKIKQKKRDKIRTKILKDREDGHYLLKQDFQRSEHKR LKNKERKKLKEAALKAKREARLAEKKAIKAEKAAQRALRREAKAAAKAEVEAKEKAEA EANQKEQAEKKVEEVAEKSETDAPKPEEPMTPESDNSGEQDAVEEKPAEEKPPEQVTE EAKGSESDSESSSDQDTEEEVETDVESIGTLLDLADREIWIHVNNYTGEVPASDSDSS SDSESEAGDDAEKDPWNAVAVVGIRIFHKGLGEGEEDGDLNLKVIRPIRFGKDDEEVD VDKKCSTKVLDVDDSAKDATLVGDTQEKIRFIKGESPRRRTVTSMF FPSE_00354 MSSLSRRACYKCGNVGHYAEVCSSAERLCYNCKQPGHESNGCPL PRTTEAKQCYHCQGLGHVQADCPTLRLSGTGTSGRCYNCGQPGHLARACPNPVGPGPM GRGAPMGRGGFPGGYGRGGFAGGPRPATCYKCGGPNHFARDCQAQAMKCYACGKLGHI SRDCTAPNGGPLNTAGKTCYQCGEAGHISRDCPQKNAPGVGGVPGVGNVGNVGEIPAE VDIGSVPAPPVASIAPVA FPSE_00355 MTLDRTDMERLRADLIERSRMERGGNPNEGVVNANANANANVDI DVEAGQRQPSMSESRSNIAPLSRLLGRGQPASMTGQSQLPETESVKSQDSNSNRLGAG RRFAVPNFLRIWGRNSNPSQPDDIRNTTASPSPLLPITEPLSPTRPEPVATPSSQSQQ SRRQRRQQSTSSAPLEAHLSELLGGPIDRPRRRREHHEGGHRSRRSREQHPKYFMGCI PWVRSRRMRAQILRCMTSGLFLIILVTVYLCLSMTSKVNTREMNIMLILVILFAAAFF FHGLIRLCLLIIKGNREAALRANRPPRYRGPRYAVPPVPIPVVLAQDEEAVGGDSEAA KTMPPAYGRWRETVRVDPNRLFWQRNENVDISQLRPTTRSGPRPPSYASEDGISYVVE AQPRSTAPTTDVPLPIHPSEMGRSKRHLNTQSPMKGIVDLSVYEYPRPCNHILASFT FPSE_00356 MSAEKRPADDDPISSQSLVKRQNVNSSTGAVARLNASSNALVQS APRTSGLQAPVMELSGHSGEIFAAKFDPTGNLIASGGMDRSLMLWRTYGDCENYGILN GHKGAILDLQWSRDSEILYSASADMHLASWDLTSGTRIRRYVGHEEVINTMGISRRGE EILVSGSDDSTIGIWDPRSKNAVDYIQTEFPITAIAVSEAGNEIYTGGIDNDIRVWDL RKKSVIYSMLGHSDTITSLRVSPDSQSLVSYAMDSTVRTWDIRPFAPTERHIRTFDGA NTGIEKNLLGASWDSEGKRIAAASGDGTVMVWSSETGKLAYKLPGHKGTVNCAEFSPG KEPILLSASSDRKMLLGELK FPSE_00357 MASNKATRLGEEIWKTRIDKVNAELVTLTYGTIVAQLCKDYESD YAEVNKQLDKMGYNIGLRLIEDYLAKSNTMKRCANFRETAEMISRVGFKIFLNITPLV LNWTSENDQFSLVFEENPLADFVELPDDGRAQDQLWYSNILCGVLRGALEMVQMQVEA HFVSDVLRGNETTEMRVSLIRYLDDELPPEDD FPSE_00358 MNPMGGPVGGAPMPMMNNGAVNPQQAAAAAAAAASRQANDNNQR SILNTYIYEYFIRMGMYQCARSLLDSDQQVNVLKDGANRRRDENGNLINGVGDDPMDT DSKDDIDSKLPEDLPPPKLPMPASDTSFLYEWFSVFWDIYYAQRAKSGNNTINQYVQH TQELLRQMRPDLTPQQYQMMRMQNGGMAMNMKQGNLARAAMANNQNNPQMMLQQAKQN QMQRDPSGMDGQNRPSSPASGENAPSPKRQRIDGAPFNHNQPAGMMPNGRQMPQQMNV PNTAAAQQMLTANGINPSSLHPQQLANFASAPPAAQQKSIATYSQTLQQHHGTQMGGK QMPNAVPQGQGSPMMAQGPDGTALNAFYNPGEMGGPGGIRPGPGGPQNGGGSNHALQD YQMQLMLLEQQNKKRLMMARQEQDTVGGNGMPREGQPGPGGPPGPNGQFPDTSPQAMR PGASPNPAEQMKRGTPQMNNSGIPSPVPEGGQSRGSPNPAAMNFMGNNMDPNLAPHFF KGMEGNMGGQQPMNGMRPPSSHPQPGQPFNGQMTPQMMAARQQQQGQLGPQGNPQQWQ QGPNGQMVPQQMQQSPQIQNTPQQRSMPPPQAPPGAANGANSRTTASPQQAAAAPPTP SQTNKAAPKKKESKAAKDKRAAANKKANQNVNNAGATPSNDNNDSQDTPAPATPIQNA NAANFNKNAQANAVQNGQTSGPANAPAATTAPPPAQPAPQHDPNQMMGMDNSFGPLFD GGGMELANPLNSGDVLNDFDFDSFLHDQDGDTSAFNFTEAFPMGDEIGAD FPSE_00359 MLNFLPILAALASLASATSAPPRPGLKLLWKDEFTGCQGCTPKG DNWNTALNINSNNELQVYSTSNKNIQLSGGDTLQLVPWRDGKGDWTSGRLESKKAWHA DQNKALRVEASIRMGDSARKQGMWPAFWMLGDALRRGTGWPRCGEIDIFERVNGDMTG FGTVHCGHEGGGPCNEPHGLGQRVDIPDNDFHTWSVVIDRRAASWQDEKITWLLDGTP FHSISGQTLNDEGTWGTLAHSPMYVLLNVAVGGTWPGNPNKATEPGYKNMMEVAYVAV YETTE FPSE_00360 MDSPGPGGFLPAPGGLPSPAPSATSSYANNIQGLPAPRNKALKA NSSKEFMVRRYAEEQLMLATRRYVKKFGSAEPDDSVVGYKRFGEVCKDLDSVINVLWR SGTPTLQIPFLLRLTSDFTRYVRGFPPAPKASFAILRKLDHCFASLLSGQDVETHETL PGFENGLRGGMTTTEMIRCRSLVDQCRVLMVEVMRDPAEEDEEDEDEEGGDTDTDTDM DMDTDTETPAIKGWGSVEDDDEMMLQLDAARVFERTIVQLNERLGDLEPIQMSAD FPSE_00361 MTSPLLSSTTSPRKIISESSEARSLTSSKLSQLVSKFEFLNAVA SVGSSPRGSSITKPPTAITSSSVAGQTPSRLPKPLSQETIETRERCSIDSHLSSNRFT TSSREPILARRAQTFGTKPPVTPNKSVDVKSRQKSVAERRRIFETASQQNGLSPSPRA PLVPRPPSRLAHSKSWRSLKSRRSAEQSSADHDPTDQESNTASKTLSPTSRFVPSPRT LLASDDPFVTWRSPLNRPDDSWTSFKRSSLTTTAPDASPSYIRSQYTEASPQIDKRLS ANDVKFKSVRCNDAVQRKASTEENRHHGWVRHAQKIASSLSNTVYGGTSSTRNKSLAS PDAFDQVPFSKNGLMSFKLRGKSDTSRPKLPHSKISGFRKRLEQSKEQPSVAFALINR KRHESVPTTVGAAVSPSQLPLLKSFSSSESDVLKTPKVGLKSRIPQGSLRNSVGRRST EKNDSPLKQKISLFESLDRHNSVPNALHVGIDGQQTFVKPREHERKDSVIAPLRSFRG KLRRISTSCRRTPSEWSTTSSRDVGNSTQSSDTSLVAQKEQIATAATEEPLSPHTIDP IPLHPILKQTLLTDEISPLVLEKPLSFPRASITRTGFNIDGEAGLGIAPLPLFAEPQR RFSRTKHALSRATNRRSLPDVETEFELLELLEDNLKSLSEESPPISKARCLLEQPMPV RANELRRLVSMCKDKVRKISGGRSE FPSE_00362 MSKEAFDPFTQNVTFFAPDGKTEINIPVAAIDQVRRMMVNTTIN YATQLGACLIMLVVILVMVPKEKFRRPFMILQIASLVICCCRMLLLSIFHSSQFLDFY VFWGDDHSRIPRSAYAPSVAGNTMSLCLVISVETMLMSQAWTMVRLWPNVWKYIIAGI SLVVSIVAISVRLAYTIIQNNAVLKLEPAFHMFWLIKWTVIMNVASISWWCAIFNIKL VWHLISNRGILPSYKTFTPMEVLIMTNGILMIIPVIFASLEWAHFVDFESASLTLTSV AVILPLGTLAAQRIASSAPNSANSTGASSGIRYGVSGPSSFTGFKAPSFSTGTTDRPH VSIYARCEAGTSSREHINPQDVELAKLDPETDHHVRVDRAFLQREERIRAPL FPSE_00363 MPVQQHVLNWLYSVLTSEYHDVNRAYNDVAQALDRFSSLSPRTD VHTFSNGANALLLHLSGTLPVNFRGTTYRFPLSIWVPHAYPREPPMIYVVPTETMMIR PGQHIDPQGFVYHPYLVGWAEFWDKSNLRDFLNILTDVFAKEPPVVARQPQQARPPPA QATPTPPPVPPLPPGMAPSSRPATQDPHSSEQPRPPPPPPKAPQNASPAPNRPQSGPP LPPIPGQSPAQSNRMSRYDSAPPLPPQVGSPSAQDPRLPRPQEQHPTRHMPPAGPPMA AQPRYMNDGQSFAPPSQQQWQQMPPQHQQYQQPPQPPAWTQAAPPQPSPAQPPPPPDL LDEPLELALPNNTVAAPPIPPNPEKDALLRQLAQTLHSIRMRSKQQNDSSMAGLQAQR TAMLSAIPAFQAEGGQLAQLSNVLTSNSNILHDALHKADAVIEGSKSHPVPDVDELLV APTVVANQLYTLVAEERALGDAIFMLGRAVERGRITPAVFAKMTRHLAREWYLKKALV RKIGQGMGLAA FPSE_00364 MLPSIPVLAEYGISPTHGFLPDTLPLTRLPDPYYNKWEAIAANL QALILSRRLRGVVDRLPVLSTIGLEHDAEWRRAYSLLGFMAHGYIWGGDSPSDRLPAS ISVPFLEISEHLEVPPVATYAAVCLWNFKPLFVDEDIDNLENLATLNTYTGALDESWF YLVSVAIEARGAPIIPLMLTAIAAARHGDTAAVTRCLRTFAERLTDLTTILQRMHESC DPTIFYHRIRPFLAGSKNMAEAGLPNGVIYEDGSGEETYRQYSGGSNAQSSLIQFFDV ILGIEHRPTGESRDPSSDSDRGRASNRHNFIMEMRRYMPGPHARFLNDVSSVANIREY VEEHQSDKQLCLAYDACLAMLSAFRDKHINIVTRYIINPSKQVRARSRSRSPEVTRNK VNLAIASRKNKDNQKGTGGTALIPFLKQARDETGEPAVEEWTRRFMKRKTHTEGKNDF FLGKTLPQDVSLTEDVEIKGLAGSWTMDDEIGGICLY FPSE_00365 MRSFALLALVGPLAVSAASGSGHSTRYWDCCKPSCSWSGKAKVS APALTCDKKDNPITNLNAVNGCEGGGSAFACTNYSPWAVNDDLAYGFTATKLAGGTEA SWCCACYALTFTTGPVKGKKMIVQSTNTGGDLGDNHFDLMMPGGGVGIFDGCTSQFGK ALGGAQYGGISSRSQCDSFPELLKDGCHWRFDWFKNADNPDFTFEQVQCPKELLAISG CKRDDDSSFPAFNGNTTPSKAKPSGEKTAAAAQPQKTEQAAPVVQKPSTKPAAEPVAT KPASVKPAPVKPTKVVNKPKVTSKVGGTKTRGKCPATKPTKPAAPQKSAVAIYHQCGG SKSAYPDGSLSCASGSKCVKMNDYYSQCVPN FPSE_00366 MDDSYENDSDYDYGYDEGITPEDCWTVISSFFETKGLASQQTDS FDEFTQRTVQDLVNEYADISLDQQNPPSSYGVPIALRRYEIKFGSVMVSRPSISETDG TVASLLPYECRDRNLTYSSPAYIKITKKVSVAIDKEIPLHEMDEEQQAEYKRTGEHPT KLHWEVEEDGDSMKEGQPNMIFVGKMPIMVKSKICHLSQHTDEELFTLNECPYDQGGY FVINGSEKVLIAQERSAANIVQVFKKAQPSPFTYTAEIRSALEKGSRLISSLMMKILG KGDSARGGFGQTIQTSLPFVKSDLPVAIVFRALGVVSDEDILNHICYDRNDSQMLEML RPCIEEAFCVQDREVALDFIGKRGNRDQASLGREKRVRVAKDILQKETLPHISQSEGS ETRKAFFLGYMVHKLLQCALGRREPDDRDHFGKKRLDLAGPLLAKLFRGIIRRMNQEL SNYLKRCVESNRNFNLNVAIKPGTLSNGLKYSLATGNWGDQKKAASSTAGVSQVLNRY TFASTLSHLRRTNTPIGRDGKLAKPRQLHNTHWGLVCPAETPEGQACGLVKNLSLMCY VSVGSPGEPLIDFMVSRGMEVVEEYEPTRYPHATKVFVNGSWVGVHPEPRALVNSVLE TRRKSYLQFEVSLVRDIRDREFKIFSDAGRVMRPVFTVQQEDDYETGLTKGQLVLTKD LVNKIAQEQAEPPSDPSERIGWQGLIRSGAVEYIDAEEEETAMICMTPEDLEIYREQK RDEVNLTEEEKQAKLEAEKREQEEERNKRLKTKVNPTTHMYTHCEIHPSMILGICASI IPFPDHNQSPRNTYQSAMGKQAMGFFLTNYSRRMDTMANILYYPQKPLATTRSMEFLK FRELPAGQNAIVAIACYSGYNQEDSVIMNQSSIDRGLFRSLFFRSYSDQEKKVGLNYT EIFEKPFQQTTLRMKHGTYDKLDEDGIVAPGVRVSGEDIIIGKTAPIDQENQDLGTRT QTHQRRDISTPLRSTENGIVDQVILTVNADNVKYVKVRVRTTKIPQIGDKFASRHGQK GTIGVTYRQEDMPFSREGLTPDIIINPHAIPSRMTIAHLIECLLSKVSTLEGMEGDAT PFTDVTVDSVSDILRKHGYQSRGFEVMYNGHTGRKLRAQVFFGPTYYQRLRHMVDDKI HARARGPVQIMTRQPVEGRARDGGLRFGEMERDCMIAHGAAAFLKERLFEVSDAFRVH VCEICGLMTPIANLSKQSFECRPCKNKTKIAQIHIPYAAKLLFQELQAMNIAARMFTN RSGVSIR FPSE_00367 MSQASKLTLLGTSLLTVGTVVAVHYQQKFEKAAMHEGVVRDMEQ QRVKRERQLDFDMQKQLEAEYKREQTVHDSIAAAEKGLPNQ FPSE_00368 MIVQKAREYRTKRVREARDEAKQEIADYKAQKEEEYKKFEAEHS KGNEQAEAEANKDAETQIKSIQEAGKKGQAGVVKNLLSAVFDVNPVPPTNTKS FPSE_00369 MASVDEIFKSSGISGKRKLDPIRDPIQDEIYKSAKMSSSSSSNR HAQVDDAQDEDEDMEAGPAPPPDEEDFGPELPPDDDEGRFFGGGITKQESEILDYVDE ADAGNAPEKIDAAWLRKTALNFEKRINKNAELRAKFEDEPQKFIGSEADLDADVKGLS LLSEHPELYPEFVKTGCVASLVSLLAHENTDIAIDAIEIMGELTDEDVSAEDEQWNGL VDAMMDADLLGLLVSNFSRLNEDDESDRSGIYHALGVIENLCSRQSVAERVGEDEKLL QWLLQRIQRKEDVVSQNKQYAAEILAILSQMAVANRTQLIRLDAVDLLLQLAAPYRRR DPDKGGEEEEYMENIFASLTCLADEAAGKSKFIDAEGVELCLIMLKEGKKSKPPSLRL LNHAAGGNSGVEVCQKIVEAGGLKTLFTLFMKTQDHRLAEHLVEIFASMLRLLPANSA ERIRTLAKFVEKDYAKITKLIKFRRDYVARLSLAEQQNDAEKATTSADDRETAELEWL SRRIDAGLCTLQTIDAVLAWYSLSGTKRYH FPSE_00370 MHDSQNSSSQIHDHYRPSASLPSNSTSPAVAVAVSPSWAIHAYT SSNKPPASVPTNSGPTNNHYRDPSRSASSSSPSLNAYHHQRSPGPRLPRSSSLLPPPH IEPSPRAVTFERSDRLSPTTPGHGIPHNAANSSWLLNSLSSPASTNFSRISALPPHVN HPRLGGRRPSIDATTPIDNSPPPSKPSPRKLQKHRRNVSSVSTSRTREERSQFPQSLP LIVALSPLEPPPGLDDFLNVKSKGAGPGSGSSEPGRSTVARQEGQSLKRSKTPDSQVQ SVTSTPASARSMGTYRPNMPYDQDQEAYPPQRGHSRNPSGKGSSDKGRGPKPPSQKAM LHRALQKANTAVQLDNAQNFKGAREAYAEACDLLQQVLQKTTADEDKRKLEAIRGTYT SRIDELDQMAPWQEEETKALPARPESLAQRSETESMLQLDDDDDLDETTVFDTATATT TRINNRSPQPQIMSPPPQDENTGFKRRSLQNKPNPIVTTLTPEPGLLQSSFSRSPVRL RTPDHLLPQRSAGEQYMPAPLSPRRAPSPFKPEADDVNEPVRSDFSMRHDQMADSMPE HNVSQTHFREDSMNSWLDPIDESGGSTTSSVHSRTSSLGFRRKHIRNVSGETEAEFDT AMDEAIEAAYEPMSPMENGQMMSMNMGEDVVANTMRKVELARQKVRETEQELYDMERD SRAHQHYQSSYTEYEETNDFYNDNSSDEEERILDEIARDYGLESYRHQPAPPRESGSS GATSRTWHSSQGSNPPTGATSLSTVTELPPPFTNISQGPAAPPPTGSLPELPQRPGSS AQSVRNRRLSGQNPKQLKIETSKLNLPIQHANDSVHARSALVGNNESELQTDIDMKAT SAPYRHPSPPLFEASPTDTTAVRPAASPFGQLGSEKGDDDVTGSPTARKLRNNFSSSS LRSMKSRNMSLTHLEENSDVSPGTPGSNPFGSLNAPSVPALPTPLAASFRERSDTNAA GLSLFEDFFHSPTSTGSPSPLYPDAPAPLEPCPTDFMLRPFWLMRCLYQTLAHPKGGY LSSKLFISRDVWRVKGVKLKNVEDKVANCDFLTAALLKIARVDTYDADAVLEEMQSLE SILEQVQTALTRKLGNEVGVQGSGSLFKDASNGDGDASTGVPRSASVSGKSSFSWRRL RSKNSAVGLGSAYASRNAVDTNKEIPSLASLPMTPNPTTRPTKRDLTQVDFTGPNAMY MSSLARLFDAAQAIDQIARQVEDPGLRHADKTQVGLELCTRHAAEFFGFYVCRFVLAD LGLLLDKYLKRGSEWVLT FPSE_00371 MAFQSSAQKWDSAGIILNAFRAYASSNTKPTPAELEARIAAIPI ERYRNFCIVAHIDHGKSTLSDRLLEYTGTISASDANKQILDKLDVERERGITVKAQTC TMIHKYKGEDYLLHLVDTPGHVDFRAEVTRSYASCGGALLLVDASQGIQAQTVSNFHL AFAQDLALVPVVNKIDMPAADVPRVLQQMEDTFELDPESAVLLSAKTGKGVPDVLPAV IERIPHPVGDEKKPLKMMLVDSWYDNFRGVVLLVRLFDGTIKAGDNVISMGTGMKYTV GQVGIQYPHAIPQKTLSAGQVGYVYFNPGMKKIQDAKLGDTFTFLGCEDQVEPYPGFE EPKPMVFVAAFPTDQSDYSRLADSIGQLVLNDRSVTLQKDHSEALGAGWRLGFLGSLH CSVFQDRLRQEHGRSVILTEPTVPTKIIWPDGTEEIVANPALFPESGNPKVKQSQLLE PYVTATITMPEEYLGRVIELCEANRGEQKSLEFFHTTQVILRYHIPTAQLVDDLFGKL KSVTKGYATLDYEDAGWQQSSLVKIQLLVNRQPVDAICKVVHSSQVDRLGKQWVTKFK EHVDRQHFEVVIQATAGNRIVARETIKPFRKDVLAKLHAADVSRRRKLLEKQKEGRKR LRACNIMNI FPSE_00372 MSPTIDYTLPDPPLTKEGEAQCAKLRENLIKTFTKDVENPDDIA IIVSPMRRTLQTAMLSMDWLVERGVKIEGNADWQENSDKPCDTGSQIPSVSKDFPKVN FSTVDAIWPDKKSSEGRRYAYTKQSILARGKRALEDLHKRPEKLIFVVSHSGFLRLGV VGYWFFNSDYRVFDFETERNADGGLRVVQQGRTLAGGLGLSWKDPVALGGDLPEEDPE TDPSAF FPSE_00373 MLQKTSLLALLANAAAAHSIGIRASECKDVHVFLAKGNNEPYPG RQGVLAKAICDGYKSCDYEDIIFNNPLEAPFCDSVTEGVVNGKKQITAYNKKCPKSKL VVSGYSQGAQVVGDILGGGGGVFFQDCVEDKIAGLSPDSKPGSSIVAAMVFGDTRHTA DQPYNVLSGVSKNGLFPRPADQLANLASYGDVFQAYCVATDPICAQGKDVKTHLNYFD VYTNEAAKWVKERVEKAGGAAEADGDESSSTAAASATTKTKVTTTVEVTTTMASATEA TTMVVTKTKASETDDAVSSTTDNLSAVVTSLVDAASSVGPAAAEASSTSTDNAAAPTG APLVGIIMGVAALLAI FPSE_00374 MPHAETADSTEPKKLILCFDGTGNTFSGSNADTNVVKILRKMDR NHPNQYHYYQTGIGTYDINEKSVNKTFVGEIGSSISKTVDQGFGTTFDAHVIAGYRFL MRYYEPGAKIYVFGFSRGAFTAKFLARMLNKVGLLCKGNEEMVPFAFRLYQRHLQGEI RDSETAQCSHGHEDDGDAPHSHTGEIRLPGQAPADVSDETREASNEIDAFSQTFCRKE TNSDGEEENIKVFFLGIWDCVASVAVLERKARMPVPVTGTAHHVRHAVAVDERRVKFK PALLAQDIRAAVHNHKPAAEEDIKEVWFPGNHGDVGGGWPVVVAEQADSKKKQPLLCS SEQSLIEENGSAQSKPPVVKLSLWGRFKNAIKTWKADKASTDLNNDWLQMSDIPLEWM IREVKKCGAKDPSAQVHWCHSLEPFEDMMKDPQRRMEATHGFMHDTLAFGYGTAFFKV LMWKFMEWLPFLTRWELDDATSDNVKLLGWKPVTFPPNMGSYRDIPRGAVLHRSLLDR LQTFSNYEPGNNHGDRTNDCFKGRNKALVYTGKITDNLTPEQAERLKESHFRVHSEGG NLVWEEDERHQTYDFNPHFKGAENRGHFH FPSE_00375 MSFNEKYQAGESYKDTSKEDPSSLLDNPEKLVADLMKDFAGVRS QASPADLLALVKQLLSKGQPLDDKKGTTELLIGILTALPRSSKARTALTNKLIDTLWG NLQHPPLSYVGGDVKYEVAGSEPDHKSHCAQYDTIEFQVPGTNVTLKEQVPQAPDGLH QYRMPDGSFNNILEPNLGKAGTPYAKSVKTEKRLHGVKPDPGLLFDLLMARDEGSFKE NPAGISSMLFYHAAIIIHDIFRTNRTDMNKSDTSSYLDLAPLYGSSLKDQLEIRTMKE GKLKPDTFHEKRLLGQPAGVNVMLVLYSRFHNYVADILLKINENGRFSMSTPPNATEE EKAKAIAKQDHDLFNVARLITGGLYINICLHDYLRAITNTHHSASDWTLDPRVAIDKQ FDGEGVPRGVGNQVSVEFNLLYRFHSCISKRDERWINGFFLKLFPGRKAEDLQDVSWT ELGQALMTFEANTPKDPSVRTFDGLERQADGTFKDEDLVNILKTAMEDPAGCFGARMV PKALKVVEVLGIIQGRKWQCASLNEFREFFGLKRYNQFSDINSDPEIANILEKLYTDP DMVELYPGLMVEDIKPQRNPGSGIMPTYSVGRAVLSDAVTLVRSDRFNTIDYTVSNLT SWGYNEVQQDYKTLGGSMLYKLIQRGVPNWFPYNSVAVMQPMYTKSANEKIAKEIGTF DQFTTDDPKPPAKVAVTMSNETIKKVLGNTKQYVVPWLKPLNDLFPGKKEYGWFMLAG DEAKNYQHRADWTKALKKVPNLHDAVHAFIEREGAKLIKKESFTFKKGLDQIDIIRDV AIPLNAQLLSDMFYFDMRTDENPDGELGAAELYRSLLDIRVWGVNNNDPGQAWNRRRR AQEGVKRIYDSTKKLVSEVEVARPRGIGLISAVSNRIGAKSYLKKDSLRSCGLKLVEE LLAQGSSIDHVTDNLWLTAFGGIGVPVTAFYEVLAFFLRPENASIWAEVQAIAQKGDD ATLHAYIAEALRMTSSQRNVRISKTPGEVDGQSIAPGTAVVLMLGEAGRNPKEIPDAD KFNAQRKQQEVSAFSYGQHECFAKDVALAYVTGLIKLVADLKELRPAPGQMGTVKTIR VGTEKAYLNDSWSYLGFDASTWKVHFNGHGKGNFEGDRVPTINTPIQEYYSLLQKRKD ELLRR FPSE_00376 MAYQSDQEPRSPEREVEKGDDASSSDEEPSRSNTEADPDMGIEQ DYGITQIETGTERQQPPGTRSALDRVLSRTSVADKDPGPPPDGGFWAWSSAIAGHIMM LNSWGYNSSFGVFQTYYTNHLPNPASQIIWIGSVQIAALFFIGTFAGRLTDAGYFRAT FAAGSVLTLLGVFMTSLSDTFWKLLLAQGLCTGLGNGLMFTPSLAVVSTYFKRRRALA FGIVSTGSPVGGLIFPSMARQLLGRIGFAWTVRAIGLVQLVTLIMANLLLRPRLPPRK AGPWVDFASFKEKGYLFFAIGIFFVFWGTFFPFYYMASFATSQLDKPLAYSDALNLLL VVNGVGVIGRSLPNAIAHHFGSITCIIPASFISSVCLFSWTGVSTVGGLYAWASVYGL LAGACMSLYPPALSDLTTDRLLPDLRLLESSSDIMEDII FPSE_00377 MKDIFTISYRVTRRGHHCHQSGGANPLPPASITSTSSTSPPIDR SGAPAAASVAAQTDSGSSSQGPSGASTDVEMQRLPPEIEGSPEAWEVEELEVVGHGLV LQYWLMALVTVVVITKLFRGEL FPSE_00378 MDSIINSLLNCIWPTAQSYYHLSQHESGEPGQSPALTNNAPSNM STGQLPLNIFGNSHPRKPGRVVLKFASITKDFRNDTFTLDLDEGWIAVLDIDAKDIIG LMQEGLYVSEENAKMHENYIEWSDNFPNRVLRCYRHFTLAHPKWSGKMSVQSNDSNIV SGFRMEHLSADRVYHAVSVIKTDNVERKVYWYDSPPNAPKINAILDDEPLPGLWPWPK ELGTPGKSEESSDVVETQDRKSQDMAMD FPSE_00379 MPSPLNTDRGQPWSAPLRKIRHWLVAGTDGSSHQQREIYPLNFW FCVFVLFLTRLGSELLAYPWPNLLVTAVCRHYYQNEDGHHGDPSNEFCDDERVIHHWL SMIRLIQFLAPLAATVALIPMGILLDKGKGRLAFTISIISTVIYWGSIAIFGLVRVFP LWCFYISPVFLLFGGGPWAINALVFATLSRTVRPEQRTTAFSFLQGLGGIPGLVGPLL YTASMGPDLWVPIMLALIIYSLTLLPALHIKNNNNQNGDDSEAGDEEIPDEAQPLLNP GHSSPRQAIHLSQTGAGGLVQKLNISIICFACFFGFYLAKGTIMYTAIWAWSRIGHDM LYLDVFIYIHAVVLSILFLIVLPLTIYRLDRNWRVAKRDVILSLACIACCAVGTFVVF FAPNLATAIIGFIIAVLGYVMPLSLRSFLASHFEKSFSGRLFAGIAVVETIGSLIRQP LMTVSYYTESTPFIISLITYLIMLLLLVRLAIRL FPSE_00380 MATDLDLVLGKSQYALFCGITLFSFFILKYSLLGNGGKYPYINP KKPFEFSNQRVVQDFIENARDILTKGRSLYKDTPYKAHTDLGDVLVIPPKFADALKSE RQLDFTDVAKDDSHGYIPGFEPIGSPFNLTLLVNKYLTRALAKLTKPLSIEASLGVNH VLGDSTEWHTINPGEDIMRIVSRMSSRIFMGEELCKDDDWLKVSIEYTIQLFQTADEL RNYPRWTRPYIHWFLPSCQAVRRKLQEARDLLQPHIDRRNAIKKEAIAEGRPSPFDDS IEWFEKEYEGKSDPATEQIKLSLVAIHTTTDLLSETMFNIALHPELLGPLREEIVTVL STEGLKKTSFYNLKLMDSVIKESQRLRPVLLGAFRRMALADVTLPNGDVIKKGTKIIC DTTHQWNPEYYPDASKFDAYRFLQMRQTPGQDKRAHLVSTSHDQMGFGHGLHACPGRF FAANEIKIALCHMLLKYDWKLPEGVVPKSRALGMTLLGDREAKLMVRQRAAEIDIDAI DSDE FPSE_00381 MKFTLIAALLAPVLAVNIPRELQERTGQCGDNCARAVVPGFRGP AVVASYKAECEAYLKVTTTPEASTVYVTKSVPTYASACSGETRYLTACSCAGASAVTV EAPTPTVTKTVYV FPSE_00382 MAVPSTRDLDEVLPGTRRLFVDERASVTSGDTSVEKHGDVILVP PPTKSPNDPLNWSVARKIWHSFLVCYIVTLTAATSNVAGAASTGVNEKYGISYDVFNT GAGVLFVAIGYWTLLSSPATHLYGRRILYLVGTVWGLIGNIWFGHLTNTSDTVWSQLF VGASESVAEAVVQLSLLDIWFEHQNGTSLGMYTLATTVGTYVGPLIAGHLAENIGWRW IGHMGAIASGFTLVLFYFGLEETAFERGHYIDLDQAEDRDASHGNVEGVPEPTPIHQG DHIVTKDATEKGFAPHLDEEAAIARDASARRREDVERNRLSDSFARKKSYWQRIALIT PASNLRGLGLMQYVHRLWHTLRIFSFPAVWFAGFQWGMQNIALSFYLTVEEDYWVNEP YNYSDSAVANMNIPTLIGSIIGCFYGGYCSDKFILWMAKRNNGIMEAEYRLYLMVLCV VIFPLGMWLFGIGSAQHWDWPIPYVALGFIGFGYGCAGDLSITYLADSYPDMVLEGMV GVAVINNSIATIFTFVCSYWIDTGLQNCFIELGVLSFVIIMTSLPMAVWGKASRRWTL ERYANFLRIRDGMEV FPSE_00383 MSSSPPDSETITASNAPVAKKACHSCRRARLRCDKSIPHCSKCT SRGVECLGYGRLFLWTGSVATRGKLAGQSSSASVCRLPKPGEAQITEVSPEMPDMQMD SFDAQGVEPGAWALASSQENQLVLQDKTQPWSPPSPSSPTSPSSPWTLCDPLFQDMTH SQRWYLNYYSTRVSMDLVGDEQTKSNRNPYLNLLQLTNEHAFLQQIIIAVSAAHMCNL SRPWLGPNSYTRKEAPKKLLMDALVAKQKGLQMMPEALRNIETIGADVILATVLFLIN SELIESGWQSWRPHLEGAKKLLNMTEPFASSDTTLRDYIVADCYVYCTLSLSFNPSTP GTQALSFAPDQVKATLARTDNSFFCCPPEVLDILRETAQLLHSESQGGVSSQGALMEF TNLLDRAQRLDVLKWAKDRVPDSNEVALWSSCRTGSAHRLATCLYIIQSAPALRTRMP DQVCKSLIQDLYDTLLPLPDEDPNFKATGWPTFIYGTTARTPESRAWVMDRLKMVAAI CPWGFLYSAIDTLQILWKNDTEGEGYMNWVQKLKDLNVDFLMV FPSE_00384 MLAKQIPAELENHVSGQSNRPLSRPAHALPADTVVQELSTSPGT GLTASEASQRFIEYGANDIGEEEGVKPIKIFIAQICNCMTLVLILALAASFGIQAWIA GGALAFIIFLNLIIGFFQDLQAARTVHSLKSLSQPTTNVFRDGKTMTVQTSEVVPGDI IDLKMGDSVPADIRLLEVANFESNEALLTGESLPVRKSPAMQFDDDTGPGDRLNVCYS STIVTKGRGRGVVFATGAYTEIGAIAAALKDTGRKKRQVKRDESGKGSFGAHVTKWFL TVADIVGEFLGVNVGTPLQRKLSQLFLYVFAFAIVCAIIVLAANGFDPRKDVIIYAIA TAVGTLPVSLILVLTITIAAGTKQMVGRKVVVRNMQSLEALGGVTNICSDKTGTLTQG TMVVRMAWLPGHGTYSVASNNEPYNPTVGDIDYTPVQPIELSTPGEESKSNMINPLEE PNANEALKHYLDIASLANLAVVEKGSQDGGPEEWLVQGDPTEIAIQVFVSRFNWNRMS LSSGPSPRWKQLAEFPFDSDVKKMSVIFQDTVVDNSHIFTKGAVERVLSSCSSMEVSG DMKPLDAAAHESILQNMEAFARLGLRVLALASRSSVTGLPEDLSSAINRSDFEKDLVF RGLIGIYDPPRPETRDSVQMCQKAGISVHMLTGDHPETARAIAADVSIIPSQERMKMV RADIAVTMVMAAHDFDHLSDAELDALPELPLVVARCSPTTKVRMIEALHRRGCYVAMT GDGVNDSPSLKHADVGIAMGLNGSDVAKESSDIILTDDNFASILNAIEEGRRIFDNIQ KFILHVLAANFAFVIFLLVGLAFKDENGVSIFVMTPVEIIWMLLVAGAFTETGLGFEQ ASPDILRRPPHSLKYGVFTPEFLVDIVVYGILMLISILGSSAIVLYGFNSQGLGHDCN TKYSESCDAVFSARSTVFATMTWDFLLFAWQLVDSRRSFFAEVCEKGGGFKAWAKKLW KNPFLFWSVTLSFVLIPPTLYIPVINHVVFMHNPIDWEWGVIFIAVGVFFAGAEAYKW AKRVYFRQNVAKEFRKEITDVELFVFGRYMDGSEESASDSTQDGGKAC FPSE_00385 MVTLPSPFSDIDRIQLLFNRPTDIEPLSRLTESINNNVKLWVAR EDRNSGLAFAGNKVRKLEYVLADALAQGADTIVTTGGIQSNHMCQTSAAAARLGLKVA LYPADRVASNDTEYKYLGNIQANAILGAETFPVDTAEETVIMTLKDRGRKPYSIPPGA SSHPLGGLGYARWAFELLEQEKTIGVTFDTIALVAGSCSTLGGLLAGLKLAQKEQISG SKKRLIGFSVLHKSKKDVEEMVLKISRTTASKIGISPDEITTDDFEINTSYIGDGYGQ LNDSTAEAMKKLARMEGILTDPVYTGKAFTGLLDLVKTGDLNGKNILFLHTGGQAVLS AYPNLRE FPSE_00386 MLIRDSRKLVLFVVPVLFFVIVALKLYLDPVGAQDWVPSQLKKT AKTEEVLSSDDSTHASDPQPTPEVQVEVETEPAQQDESPFGKGVIPQDISATHHELFS LSTKDKKFFEIKFRDLTALNPNIIPHPTLDNTWVVVAQWLQEGGNSLWFAELVCNAAF KDDVLTCITPPVTLPVTPTVGGNTCVGDLEYFQMSMGPHDGRVFYGPEKPYTTYGTNS MFTCLGQFVQDFRTLVSWSGDMANLSEFRVGTELQRPQPWRPMEKNFFLFWDSSGSMH THYDIAPKRAFAQMNADGSAGPDLAPAAAGDEQCLAKYLPKLTSDLESIHQTTNSLLV TMCGRDEPMCVPNDENTFIMVIYQHKSYFNYHSVYEPYVMLFKQRAPFEIHAMSKKPI WIHGRKQYPEKSTSDMFYVTSMSWKSKEQKYHGFIGDEMFLGFGIEDVRTGGIDIRVG DLLADMGLCFEG FPSE_00387 MSPRTSGLLDSDDWDEAKSDSSSSDWAISKNYWKPSQAGGKIRQ VINRIGAAIRARSRPPLENLRPTAYLDGLRGFAALLVYIHHHQLWAHGIFDQDKVFEN VFGYEGKYYFVTFPGIRHFFVGGHYAVSTFFVISGYVLSLKPISLIQAGEYVQLGDVL ASSFFRRWIRLFLPLIMAMIIYITFLHVFGVWVRIMTKQKNWYDEMWTFYYEFKNFSF VFKEGGEPWLTYNRHLWSIPVEFKGSVVVFTAQMAFSRCTKNARLWCELGLVFYFMYI ADGSFYAMFMSGMLLCDLDCLAKKGDLPRWMARLEPAKEFIFYHLFVFSLFLGGVPSQ NSNVEQLAKNRGFYYLSWFKPQAVYDYKWFYLFYAAVFLVASIPRISWLKSFFETRFC QYLGNISFALYLCHGPVLWVVGERLYMAAGWHNDDMMKAIPHWANKLPLSTKGPMGLE LAFWVPQLILLPLTFGLAHFVTRFIDTPSVKFAAWLHKKALGDPISKQARH FPSE_00388 MASGSRRNIPSNLRIPPPTTVEWTVANKSKSKMGTPLGSPTLAA STAKFRNRVPAQMQRCIPLYICCVVLLFIILNFDIFYSIPSPLTAFRTEKAPLVTRTR FSSFPQKIWQTWKVDPLNFEDRDLLTSRTWVNKNPGMRYEVLTDDNELSFVEEHFGAG SQINRPDIVKFYKSINLHIIKADLLRYMIMYAQGGVYADIDVEALRPVHRFIPERYDE RDIDLIVGVEIDQPEFSSHPILGKKSKSFCQWTIIAKPHQPVMLHLIEHIMAWLKDES KKQRVSLGDIELTFDQVISGTGPSAFTGALLEQMNHHHKGGKVTWDLFHNIDESKVVG RVLVLNVEAFCAGQGHSDSGNHDSRGALVKHHYHASNWPSKHPRYSHPAYGQVEDCNW NAECVRLWDENVAEYEKLSDEEKQVKIEQAKKPDIGI FPSE_00389 MSSSEQKPVIIVGAGLAGLVTAFELSEKNIPVLLVDQENEQNLG GQAFWSLGGVFMVDSSYQRRMGIKDSRELAMRDWFGSARFDREEEDYWPRKWAKAFVD FATDEMEDYVKARGLGFLFNVGWAERGDGTATGHGNSVPRFHVSWGTGPEVVRVFADP VKKAAEKGIVTFKFRHTVDELIVDDAGRAVGVRGRILEDDDAGRGIKSSRIEKDKFEI YGSAVVVSSGGIGGNVDAVKAAWPVDRLGPKIPETFVVGVPAHVDGRMIGISESAGAH VINRDRMWHYTEGLQNWNPIWPGHGIRVLPAPSSLWLDATGKRLPPFLYPGTDTLATL KYICSTGYDYTWFILDQSIIAREFALSGSEQNPDVTGKSIWMLLTRVFGKKGTVPVQN FQKHGKDFVVRDNLEDLVAGMNELAKERNGPLLGYDDIKEVVDARDSQMDNPYSKDAQ AMLIHNARTYWPDSRSRVAPPHRLLDKAHGPLIAVQMNLLTRKTLGGIETNLDSNVMR TDGTPFPGLYAAGEVAGFGGGGVHGYSSLEGTFVGGCIFSGRAAGRALVREILGEDMS GVKTNGKPVNSRL FPSE_00390 MHALLPFTVLLSSLATRAAAAATSFITPGGSGTSGWKNNPSYDV DESMNVEWQTDLDETNLLLWQDYPSAGGGTQFFMSLKENSTSTSFIWQVGFNGFSTEV KDGEDAVFHFSLFKSGTNDIYANSQAFNVTVPKDATTSAASSTVEPSPTPTRDATETT TDAAAESTTESSSGSEDKGLSTGAVAGIAVGATLGGIAVIAGVGFLLWKHLRKGSGAG AGGYAPPSDMSTGPQHQPAQEYYKPPDQQAPAEMAGQPWMHPPQQGYSQGPGGLHEAP FPSE_00391 MLYPRVTTWALQVQFLLQIIVNRCGILLTHTKKAYRLKIIIGVL ITAVNISVYCIWIPARLQISQRYIHINEWWDRCEKCIYLIVDGCLNLYFIHIVRVNLI VHGLTKYKRLTHFNMFIIGFSLSMDVLIITMMSLRNTFVYMQFHPLAYIVKLHIEMSM ADLIGKIARDKHCGLIAEGTFSSTITDGTYHLEQRFTEDSQTVLTVQQDIGHISKPRR MLRSYSTRAGEYQRELPEP FPSE_00392 MRSFATFTSLLAIASAVSATLDPAKSNTKGQYPKSPSCSPSKTS NAIQAAECAYNTRVSGKQTFAIFKVDHQYDKNNGAPYGTCEAYECDAPTSGEMTADQD YWTFFWNNNGASSGVGTTCIKDPNDGTCGCENSDGTFIPGGKNCV FPSE_00393 MSSFHILSLLTVATSVVALAGTPNVYANSRRGSCYGEASADDAC CYLSPARLLQTQFWDTRPVSGPLDSWTIHGLWPIHNDGSIPHNCDTNRTYTNITQILY HAGAEDIVDEMNKLWESTDGNNEDLWQDQWVAHGTCFSSLNRECYGRNYEEAEEAVPY FQKTVSLFERLPTYEWLRHAGIIPSYSMLYQLSDIQEALEHHHGSRVSLRCAGQRLHE IGYHFNVTGTLQDGYFTDSGAIGHWDDCPDLVLYEPKGESKKAIFKFETFHPHTATDV EDL FPSE_00394 MQFLSIVTGLVCLCASSLASSHDSKTPQFHNSANHPGADPHVIY DSKTGQYFAYSTEGADPGSLFAIYSSPDLSTWHKHPGGVLKACYDDDMNRIEGGQACW ARDWYWAPETYYNEKTGWYFFFFAGRLREDLAKDYFRYSDFEEPSKIGLAVSKSPIGP FREIKPKPIEYYPFDPDYYDVNLIMDEKQMRPPQALKEGKTAPKGTYIPTIDVNIFFD KDGKIYLYLSRNAYRNWNWDKKLGKYIEESNIIVVEMERDWWDDPKAMTMPEIIASQK DIHAKDAPKLPQNITSYNGTGEIGSPPRKDGWKTVISYGADPQDWENYHVDDYEKNNG TKKDRRWAEGSTLIRRPGKNGKPVYLLTYSANNYEASNYGVGFATSKSPFGPFRKSTN NPILSQKPDAEIPIYSTGHGSIVASPAKNNKKVGAQDVTLQTPPGSELFYVHHARNST ERDRSIYTTRMNIDQSAMYFGSDDAMSMTLTSLDQPLPKNTYPIHMDARCSKSKSTRQ FRVKVTSHPGASFDILEGTNRVVALPGNKMATSIKADKLTGSFLMSFGSASVKELAYQ RLSVGGSWSTVTKKKVTCR FPSE_00395 MTASSTATIRSYELTSRTVNEPPVAASRESLRGSEYLEESRRLG SHPDRGFLDGSGNGLESVTAQNVPEVIESWKYPPENVFKTGASFWSLLTSGANDAAYG ALIPYLEKYYNLSYIVVSLVFLSPFVGYILAAVLNNTLHRRIGQRGIGVTCGLCHIAA YIIIALHPPYPVLVLAYSLAGFGNGISDAAWNAWVGNLDKANETLGFLHAFYGIGGVM SPLIATNMIAKAGLPWYTFYYVMIGLAVIELVTCSWAFWSNGPEVYRQTMDASNEDNQ GMKEALFKLPFARVTWLCAAFLLCYVGVEVSVGGWIVQFMIRVRKAENYPAGMTSMGF WLGLAVGRAILGFVTPRLGVKVAVSLYLPAAMAMQLIFWLVPSFYVSAVTVALQGFFL GPLFPAVVVATTKMLPKHLHVSTIGFAAAFGGSGAAVLPFAVGAIAQAKGVKTLQPII LAFLTALLGLWLCLPKIGKKKD FPSE_00396 MELRDYSRRDPTSPPEDWEPTSPSIARKPISASKLSPSPYTSPD YATTSFSQRAAQPFLPRQNTTDTAYYGASTPLGIWEPTEPHAPGSGRPQAYREDSQAS LLMSGGGPRDLPPNMPPAGHGPPPPTPPHNNGFWDWWHHNWKPSWSMYILVLSGIAFA IGHHFYYDALHNKVANDQQGKLRYGALLAFLSKACFLNAVVLAFRQRVLMMIRRKMLT LATLDSLFAASEDLTALLNWEAWVNAKFAMALTIFIWTSPLIVIFTSYTLTVKPQKKQ EITQCANIRTLNFEHEREVYWRTPAKVDNYFETSVSLWNTTAAGESINKTDPNEFDYY TGNSQQFESIALKTAYAQQTIMRPKAPKEICGAGWNCSYTIEFTGPGYKCTTLASGVN AEVKKLGNAKCPFDTNDIAPMGNYTYYAMLDRGDYENPQIKEAEIGGRPKQKPPYPKN LGVFRTEPILWFGYAEVDDRDKPQPPEPRKGDWYKAYTPTIFGCEHYQTKYKVQLNYT GGVQSHNVTSRKFVKKLIDTTLIPDKKDPDKRLKDRTVAEPEENYVHPQNISDYRLTA AYHAVGYQLRTILNGTTTMPHFNVNSKILQTRLVDRLNYLPVKDFPLEVQKFYEEILL SFLSDPQMSAVSWAAKPSNFSGTIKSDKSLDYPCIRWQERNCFFYNFAQLWAVYAISM GITILAVASGVAAMEENAIMRSLSFSAILAASRASSLDKLRWEQEAELKSRKIGFGIV ADPTGERTYSFGVEGDVSQEKTAAVATGRSPGLSVMNWGDRTARRMSYAVLNKKDRPE D FPSE_00397 MSAHAAVDLSDAALGGPIRIKDDHFIDAYGRVIFLRGLNLSGAS KLPTEPNGLSHLDHGFYESHRTVTFVGRPFPLEDAPLHFRRLQAWGTPFVRLLVTWES LGHAGPDPEDLDLEYIAYLRQLIEMMPQYGIKCFICAHQDVWSRYSGGSGAPGWTFEV VGLDIEAFTDTGAAYVHSQDEKKRASEPVNPKEPGGPFLWPSGYQKLAASTMATIFWA GDALAPNLKCYRKPGDTEQVSVQTLLQDACVEAFGRLADEVGHLEACMGFEPMNEPHR GLVNLHHFHSWNYDTDLHIGHCPSLAQSLALGSGYAQDVNYWVKSWPWPSRASHKSRI DPKGRSAWLSLDSKPTGNGRGLGKCVWHAHGVWEWDDKKKTARIRDDDYFEVDHRPGR EGKPIEWYNDCYAPFLQKFSERMSRKTARTMSFIEAIPNEFLPPWPTEDVDNKKWSQQ KYAEKTVIVSPRPTNLVYAPHFYDLNVLFNKCHSWMSVNVQGLSRGMIPLNALYFGAK GLKKNYTRQLGEIVKYGKKSLGEIPMVIGEIGISYDINKAHAYRTGCYDKQRDLMNGL ISAMENNKLNYTLWNYNPANRVEYGDGWNKEDFSVINGDDVVENGPIKPDYRNYMHEK DELYKGGRILDVIIRPYAVKTAGIPQTSNWNHKTLRFEYTWTSVATKESTDEKAHLTE IFIPSYHYDNHEVRVQGTNVEWTYDKPRQTLYVRCPSHGEHSITVSIENEAQRALDRA VRRRQLYPPSFPLNLVSAATEDALDDIDWSVAMACWPGVAAILVAIIARFLFVLFMG FPSE_00398 MAPGALIDEASHITLPQAKSSEAPRSIFPDGIRTSGQHPPLYNA LKPYSEFPTEITGPTLWKKEDYENKPERWTHPFTDEEIQELSDTADAFIASGTPLTGI SKSKFPLPKLGKVLETLREDLLNGKGFILFKRFPADVWGPLKNAVAYMGLGTYIGYFV SQNGLGHVLGHVKDVGDDATQIDKVRIYRTTARQFFHADDSDIVGLLCVHRAREGGES DIVSIHNVWNDLQKNNPDVAELLTKPVWYFDRKGETSVGEEEWIRTSIFYLENGGQGR VYCKWDPYFVRSLTRFSDKGIIPALSEEQKRAITILEETCQKLALHMVLEVGDIQFVS NTHLLHARTAYTDHNPPTPRRHLLRLWLSTPETEGGWALPFHDSKEKKRGGIQVNNNA PRCPLDAE FPSE_00399 MSSQITVTPISPSTESSIDFGAVVSNVDIENMTDADFDVIHQAL FTHQVLVFKNQSHVSPKAQYEITRRFDPEAAGSYGHGKTIDAKRSILHPDLKTIPHQP QVQVIGNGFVEEYEGLKNIQLRHPHHRTFHATTIPDEKDLDFTRFYRWHIDAALYGLA PPVVTTLLAVRVPGGRKQTLVYDDSSDEEMAVPLGTTAFVSGYAMYDQLSPENKEFVR TTKVEYAPHPYVWMSDAKSRSDGLGLVSEGKEILLDKLPPIEQEKIQILPMCWRNPVT GRLALQVHPSAVRKLHLADGTVIDDLAEVRERVHDLQRPGISPEKVYPHDWEQGDLVL FHNRGLIHSVVGAFAEDEVRLFRQCNIAGSKLPEGPAVAEAA FPSE_00400 MAFRSLSQKIIATSFVAAVTAGGVYSFTVSRRVSLVDKNLITRS ENIPESFISSKSAGEILNAKKHVYHSDWRFITLDIPPEYEEVSDQVLLAKFVKGFFGG AVLRPERLILQTVGLDLVRFSSKSLEVICS FPSE_00401 MFSNAKLSVITAFLAYSSLATAAPQGKDMTTETGLVTKLQQAES VIDRYNLLPEDKDFHFSFNDTPARNANGKTFPALTGAGISLAFAELPGCSMITIHTHP RAAELFAVISGNLYTEAVPESGVLDKQGKPRVIRNELGAGEATIFYQGAMHYQINTDC DPALALAAFPSEDAGFAGVAAGLFSVKNEALVNSFGEVIKGEDVDKLRGGIPQVASIK VSECLAKCGKQKRQA FPSE_00402 MAKTVVILGAGWAGLPLAHKLLKYTLPKTPNLKVILVSPNTHFF WNVAASRGIIPNAIPDQQLFLPIKPAFDQYPQANFEFVLGKADSIDAKLSSINVACND GHTREIKYDELVVATGSGMASGLPLKPIGTHEETMSAWTQLKSQVGHAKSIVVAGAGA TGTEVAGELAARYGSSKEITLIISGEQPLEGALESVRTSVTRDLTTLEVRLIHKARVN EAKKSSDGQETELLLSNGAILKCNLYLALHGIKLNTSFVPPNFLDDKGNIRIDRNMRV VGSKNIWAIGDVGDIDPKQLTVTDNQIIHLAAALDAVLTGEKSFKPYEPMTKTMIFVS LGKKYATGQIGNWKLFSFMVSWVKGRKLFVDTAEGYVGGKHLRHASM FPSE_00403 MDRPSSPQDESSLKIWSCVICRRRKIRCDRVDPCSNCVKNNIEC HFPVTGRIPRRNRGPPTAKTPAQKQSELLSRLRRLESVVTELAAQVEDENGASIGASH QKQITVDASATVSSWATSSAVADSTDEGEDFGRLVVDKDGGLHVGNRFWSVFCSEVDN ILQAVHDVADYSDPSNTSIMTEPTASGNPSPLSHLGFVFGSADFSKALDGLNPMPSQM LFIWQTYVENVDPFIKILHVPTVEKIIKDLRGNFSSCGSVTEALLFAVSLAAITSLDD ETVAYNFNTPKEQLLQRYQFGTEQALARVNFLSSKDITVLQALVIYLSLLPNIGAQDK VFPLTGLVLRLAKGAGLHREDEFDQYSQLQREIRRRLWWQICFVDSASRRPDASDLSI TPASFSTGLPTNINDIDLDSSTSQIPDPNQGSTGVTLCLIRCELWYLTQAIRADRTNS LETQLGLFNALKQKVENTYFQHLQPNRPWDSFIRTMAKLFFAKVELVIRRRPRDQPPT DELLRPSIEVIRCVQLLKSESSWEKWRWQLQGQMPWHAMGVFLRQVSHYSWTQELEDA WSATVSLKDSATKDMKTGYMWKSLMKLYSEAEKHREEELKRQGDKAERASQHGNQVDS DVQQMLDNAANESFDIPVNHPLIDTSTFDSSLHISPPDSGSLSVERLSTALGAASDDL PSWTQSGGGMDLGQGDAFGNIASNVDGDGVDWEVLMDLDDTWNWDLL FPSE_00404 MAVALPRRFYSLLWVAVLLALVVFFVFLREDTWSSIPRPPSGWK IPFTTDDGVLSSWMGNNKKAPAKDPNEPLRIVLTESSGTHDEVAAALMHAFGGQEGSQ LDVFFANQRFRMQDIMGNFSLPANITVNKWDKFASFVEENPPHILVSTTCEFDLDRGA EPIVKLLKTASTHLFCTIHHADRWAQGKYVQAVRSFVEHQRVDFVGLSQHTVDFFLND TTPKWHSAANVTTRVIPPVFPVQIPDPDLQPGISLSLQGDYSSGRRDYNGIFNHLGSV VRKAGEEAEGHSPKNVSLHVIGHGTPPEVPDHVKDHVYFDQGLSYPDFYTLLSKSFAL LPAFASDTYFDRKASSTIPASLIAGAPIVATEELLKAYSYMPREATWVARPGEGEMDV IERVIDDREGFTKRRQAVRDLTKKLLDDNHANTKAWIEEAFERYKNN FPSE_00405 MAAAEQPQVENRPPPSRMRVALVVLFHSSCAIWSTILSKSALNG VEAPVTLLALQTTIQVLLLTVIGLATGWIKLYRPLNAWIALLPLTVARLIGILAKTYC LASVNASVYQIARGLLLPFTLFLSLLVLRPRPYYPPISLVGCAMVMAGFGTGMMADYS QMLTSGKGVLLGVGSSFTTAVESVVVKRFLGKSQEGMWQMVWMSNCMAVLFYIPLFPL SGEMATMSSLFTVESMDVARQFLSSACLTGVSAFLLTIATFMQIEVTSPTTHMIVTAA RGVAQSSLAVVLLGELLTADRAGSMALILAGSALYGWARDRYQQSKKAAGSYQLLPRE EEGRAEMADNKEAKDLKS FPSE_00406 MLPAMNRFARIALAVVAVLFVFAILVSYQGPESFKEHLPSADWH WPSKGDNATIDEPPKPTDAAGAVGAGEEDTEDAKDSNTDISYDLTRAPTAGCENIVND LQQRLIHAYQERFKGIRYANIWGYLETENKGDAAIWSAQQILLSILGIETMEACRFMY KDCDIKKFRQKLEEHRPHSGIIMAGGGNFNDYYWEDQPSRMNMIENFQNISIRAFPQS IYMHNPERIEQTRKSFTKHHDLQLAARDKPSYDWLMDNFGNSEGIQSDLVPDIAFMWG NRSDFRHNTKKTHDILILARKDAEISDGDSESIEFGEGKVDLGGSIGNVTYNKVDWKF TKTPSIDDDGDREPGKNQRAWAKSMAGFELLGSAHFVITDRLHGHILSTVIGVPHVLM DSKLGKNLNFHNTWTRDCACTKITKSIDSAFDVARMFFEQEVKDGVRAVDDITPVPTP KAEASTKDEAVSGST FPSE_00407 MADQNKKNDDFVAKMPEVANENNIGDGEKENDSLVGRGPGTDAL PAQPGGFMATVENSGPFSILAYCLSSISMTVVNKYVVSGSSWNLTFFYLAVQSIVCIA AITACKQLGMIKSLAPLEMDRIKKWYPISLVLVGMIYTSTKALQYLSVPVYTIFKNLT IIAIAYGEVLWFGGSVTSTALASFSLMVLSSVVAAWADIKSAISGDYSATTGDADALA TLNAGYFWMAMNVICSASYVLGMRKVIHKMNFKDWDTMYYNNLLTIPVLVFFSLVTED WSSANLAKNFPEDTRNRMMIGIIYSGLAAIFISYCSAWCIRVTSSTTYSMVGALNKLP IAVSGLIFFAAPVTFGSVSAIFIGFVSGIVYAWAKVKENEAKKNALPTAENRDSSK FPSE_00408 MSKRTAEADHGDALKGGDRPEKMDIDDNNREMGEFEDEFEDEFE SEDEILEAGVDGRPDAEREADEKDAMEVDQDQGQGTFIVGRSKLEPGQTLAPDLTTYE MLHNLSTPWPCLSFDIVRDNLGDNRKAYPATMYTVSGTQAETGKDADNQIMVMKFSGL SKMDRGDEGSDSEDDDDDEDADPILESKSIPLNSITNRIRAHQIPSQEAGRPGTTLTA TMTESSNVFIHDITPHLASFDNPGTTISAQQNKPISTIRAHKAEGYALDWSPLVPSGK LLTGDNDGLIYVTTRTDGGGWVTDNRPFQGHQSSVEELQWSPSEASVFASASSDGTIR IWDVRSKSRKAAITMQVSDVDVNVMSWSRQQTHLLASGDDNGTWAVWDLRQWKASSEK PQPIASFNFHKEQITSVEWHPTDDSIVAVAAGDNTVTLWDLAVELDDEESKDTAGVKD VPPQLLFVHYLKDAKEVHWHPQITGSLVATGEEFSVFRTISV FPSE_00409 MAAPSAVVDITSKAQFDELVKNTPYVALQAHASWCGPCKAISPI FKKQADQHTSEKFAFAKFDTDDVPDLAFELGIRSIPAFFFFENGDKDSDLLGAVPPKL TAAISGYADKAKGGAEEKPAEDNTLKTDENF FPSE_00410 MSAFKSFPAVRGEGGLSRRRDSSALSTLPETEPQVRRQSLAARS DSQGAGTSLDLPSSRLSTTQPSLSGSQNAKETKDKRPSFKSISPSPPPNAGLDPLSTQ IYLRTNSNTAEPTIAQRLRSQGRSESDNIQRQSSEISNSAGTPQDSSKDRRKGVSFLS RLGMRGSWRKDDDMLDSDSELGELRTDGAYARALTSVMGAGGGYIPLHKEPPRYIRVK AHNKKDRDYNHLFLAQELTASEHKPQNIHGRAVATAVGSKILRGGDAIWAAEFSLDGR YLAVAGKDQVVRVFAVISTPEERKEHEEEEAQHGTHGEKLSAPVFRTKPVREFREHTG EVLALSWSKNNFLLSSSMDKTVKLWHMSRNDCLCTFVHKDLVTSIAFHPTDDRFFLAG SLDAQLRLWSIPDKNVAFQAPVGEFITAVAFSPDGNIAICGVLSGLCTFYTTEGLKLK YQIHVRSSRGKNAKGSKITGIRTMTIPDGPEAGQVKVLVTSNDSRVRIYNLNDKVIQV KYKGLENQSSQINARFSDDANYIICGSEDRKAYIWKVGSQDDIKDKQPYESFDAHPEV VTTALMAPMKSRQLLSASGDPIYDLCNPPPVTLRSLEESTASQSAPSEEENGDSLHSN RRPEETPAYVERSRHLDGNIIITTDRTGKIKVFRQDCAHKKRQQGLWESGSRLSNRLS GVGRSGSVMTRTSASSRVQSRRGSLTIPGPNPIQLQHASDRINSWRQDIDGERLGLNH NSVRSVRSERSMSPNKQAQSPVTSAANLAAESRKQPYASSPITRPTPTSPAGSGLSHH TTVRASHDRDRSNSITSPPTPSFSLISASDSDGLNDREGSFWNLSRWRSTRQSNRHSS VLSSPNGSPNLSNNRPGSDFLSVKDRSSLRMSLGMNDSKPSREEMVNRRRSAGPRLTS RLSVQGDRKSVVEEEVDIPEEFTPTKKRVDSGVGRISDESATSVHP FPSE_00411 MKLISRKVPKTLEDETVSLLPEDPEDMWHAYNLILPSDIIHAHA IRKVTTTSNTGSTASERVHTELAIKVKSTFFDPIISSLRVSGTVVAENPYVNLGSHHT LDLEVNRPFTIIKPEGWDSVARATLQETLSDDKDGAVAAVVMQEGLANICLITAFRTV LKVRVESVIPKKRDLASDQDAGMRRFYEKTLQTLLRTMDFSQSRPLLLASPGFVAGDF KQYIANKGRDKADKVLTALAKQATVIHSNSGHVHSLNEILKSPEVLSGMKNMKFARET QYVDQLFERLKLDDGRAWYGTSVVEKAVNDGAVGPGGGVLLVNNSLFRSEDLATRKKY VALVEKVRSDGGEARVLSSDHESGQRLSMLGDIAAILNYPMLDLDEDEEESEEEEEEK DIQLPSMADNVI FPSE_00412 MTSKLALSSTKKLNSGHQIPLLGYGVYQVPKDQASDVCKKALET GYRHIDSASGYYNQGPSAAGIQAAGLPREEVFFTTKIFTRQFPLNYENAHKQVDIALD ETKLDYLDLVLIHAPYGGSDARKGAWKALVECVEAGKVRSLGVSNYGVHHLDELEAYI KELETERGGAGKGGVISVGQWEVHPWMTRPDIVKWCQDRNIAVEAYCPIVRGERFDDP KVKALAEKYGKSPAQILLRWSLQRGYVPLVKSVTPSRILENTQLYDFELTEEEVEDLA TTDYSPCAWDPTVEPLEK FPSE_00413 MGQRTFTLIPKFPGRDENNDKPPVRPMTSKQVRKAYKAANKGPK LTRAEQWKQEKAEQERIKKEFEREKAAAKAKVVRDKKKEKESAEKEEKRKKRMPLVNV RPSQETISWFVRGNGSAKKRDAQGKEVEKGNTTTTTENNGKVEESTSANDNETEQPAM KIRKLDDVLENDEEVSDPGDTGMEMRVQDQPPSPSPEPPEIEVPEKATKKDDTTEPIE EAPRDAETRIPAPRLPIPEIELDGMEDDLDEDFEEDLALGMLEDIEAAMDKPAPKMGH TTNAAPGCSTKPDPVLPPPPVNNIKRPAPDRHAKDLGLHRPSSPTFVKPPLPQRSPRN LEARSSSPPRQEPPMSTQAILYNLDDFFPSSSQQARELEEDSDDGDDLIPPAPSHLAP IIEEEAEFEFEDHEKSPEQPRLPVLDPAPESPPPPPRRFFTSSGSHERMCLALQRSRR TAALEQIQQRERSRIQAGMVQRAQAESRKIVKPDQSTRKPPIFSKPPPHHVEHNRPRQ PPIKTPPPPPPPALQQKPSLNKPTPPKRTETPKQLPPPRTSLAPQNSNVHTPKRVEPP KQTPPAQRPSQETKENQRPSQEHFALSASQESYGGDWVDDVALELMI FPSE_06505 MAENSDDFLEMLDDHQLMELGVGLDSPAPQNDPEPSLFLPSPSA PPAIFAPRLRERRIARTSPGYANAHPHSGRPARYPRSRAPLPQHPDPHLRNILAPEPP QQTPVIDLTEEPDSPELSRASALPAQAAQNVRNPRRTNSQRISPPQLARTDGTFVGRS ANVIDLTVDSPEEERPPRNYTHPRRMVPSPDDLIEVEIISQRQNLSSISNYTIGPFRR LAGIMSTEIMFNPPNLDISRPAFARQPSPKPRMPTPPPAREGFTRNTCTDPEKESESV VICPACNEELAYDPTGTVTQSSVGTAKGKRKRAPGEHHFWALKKCGHVYCADCFENRK PTKTNRDGVGFRSPDTRPPHAVGNDLRCAVDDCDTKVSAKTEWVGIFL FPSE_06504 MLCGISGEAPQEPVVSKKSGVVYEKRLIEQYINEHATEPDSGEA LTADDLLPIHSSRIVRPRPPTLTSIPALLATFQNEWDALALETYNLKEQLARTREELA TALYQHDAAVRVVARLTRERDEARDALSKVTVTGGAVDGDQMQVDSAEKLPQELIEKV DETHQTLSKGRKKRPVPEGWATGEEISAFESATTYSLPVPDATALSVGGVNAAVGGLK GQAVIYSTTEDKVEVSIPVEEPITDAAWAENRVIFATGQGSVKVFEEGNQVASLSEHA GAATALSLHPSGEILASVGTDKSIVFYDLVGQKRAARAYTDAALTACAFHPDGHLFAA GTTSGEIKLFMTNTLEQAASFSLGAPIQALTFSENGYWFAATAKGQTMVTIFDLRKEG DAAVAKVLETGGPVQSLAWDYSGQFLATGGAAGVTVQQFTKSTKKWTEPVKNSTPSVS VRWGESAKQLVTINGEGVVSILGSKE FPSE_06503 MALLPPKELRIANEAEQIKTLDLLFEPSPAIHSTLIPVLRDSEY TSYPELIDACRSRLVSLASSSSPTKPDETLLSILGSHPRLGAKKVESAQSAAEQANLQ GQGEELAKLNQEYEKKFPGLRYVVFVNGRGRPEIMENMKARISRGDFSKEVDEALQAM CDIAKDRASKQDAKL FPSE_06502 MEVEANAQSSINLLEPWRCHAKGGCHDDLPVSPLDLSSDDLNQV PRCPGPDPLFEALMGDAAYRALGKSESSEATQGKKTTRSKAWISQTEPETSTGFSFPS SDEANTKFGPLSIQIRPTSTVSQGIKRRRYISDVDGFNTGDLSVKKRRLRADLITSRL SQPYSQPATHILNREGQEAGDKRFLKMATSVDMTRRIAHLHATSFLRFSLMNCLRQRL SQEQPVRKHEQEIAAIASKVTSKSSLKPPTLQDLAASRSLRIAPTCSGLAGPQGLISS TKPQPNHQAPKSFQHSKPTSCRLSKPAALPLPVGDVAATKKRTSPRLFPIRSPELRPT IHVPPLDDMEEDSFAYMHPAYDDWDDAGDDQDSVYSDFSVVFGQNGENSNEEEDRSYE EYLDELDGICWMSR FPSE_06501 MASKEEEGKGSHHPSSWSGDSSGQAIAPSTRERHR FPSE_06500 MLSCFGCGNDREEAEREPLLPRYNDDTSLQTRLHEKLHTYQMLR AISKGYMPSNEQLIIHLRTLLSAQILNPERQELSPSGRALIRSIKLWLTQFIQVLQHK NSKDQIQDFIWYLTKARLDVDVAHIEQRAARAKVRADAVATYKSLQTVGSLLLTNSDF RIFLSDLSTVGREVLRDTAFTLSDVSKQAGEAIKPSKEEEEALKNANGHEKSPSDQDL KNEVADVGETVKDSALEVADGAAQSIKEHVKGDEGDALVKRLKNTVLNLRKRTDYKES VSTLSLLIRRYLLAYSHAAAATVEAVEGDTRPNPEADKAVHNFWLFVTSLGKREHWDN VQKSFDAVIDDGKTDPNFDELVKQIGNMVQDMLSDPEFFDNIEERFQTLRKKSNELTA KSSIRDDLDSLLSSLYAAFRSILDDQDIKQLLHTTERVALLLSPAGEYANSDLVTDTI NIFVPLLVQAIQYIPIPRIEVSAPAIDLLLENLILEPGRTVNASSFLPFQLNISSYNE VQVKKALHGTQSTMTSMMKVTVSGLSIAADDLGYWFRLHSGLLRMVDQGIAGFHLDKR GVDITLDLEIGKDRLEQIVSLRNVKVNIHHLNYTLSKSKFACLAWLLKPFIRPIVRKA LELKIAAAIAEGLHFLNREMLYARERLRATRIADPKDLWTFTRAIAARLVPAPDPNID ARVGVQAGQGVFQGRYAPGSLVKLWEEEGRDAEQKLFEYEQGGWKNDIFDVSTRRV FPSE_06499 MELSRLPDNTSTPQATQRFISRQPAWTPGQELPWDAIGIPPPKI TRGAYGAVVYTQAPLAAARVVEQEDETEPVENKRGIHSIHAVFTNPGLSDRPFILDVS EVHSSRSFSTRLVHARQPTKPSTNPSGPFPESDSEVSLSNPCLTSIVTFKRPVLSADQ VQGIPPQERFKDILSSRNPDGWDPCPQADVDALKAKFPNEGHGAFPILDMYKVDMSSF NADKEIPERRELIYYRLLKPLAREDSNAHILCHAFEADRNSLFMLGNHLGYGYDLGIA ASLSYSFYVHVNAGEAVMEGSEWWIQEASWPRFSAGRGAMESLIWSPQGKHVATGYQD GIILPTATKLERETKL FPSE_06498 MAGYVGSQSHSGSVTTEDVNRILQTSPDEVFAFSSDGQTYTSPR CLIGTPVRKITESDDYWKPGWQTIESFFAQEENEKKAKAEADERRLRNPLDKRAAKDF KIHSDNVCKHKKIREIFGPETSYHPNQLVSKHHLPADGLCHMDIMYKLACKISDLKFL QDRGELVMDPLDFIRWRVALKLQPKLLFAAQSGRENIRAIISQIFESPGTASSPRPYH DPLLRAAIIRSAGYQGRLNSYGKPSDKRKVVNNPSTNTSSIRARPQSTLTHRPVNPSR VEKRQKASSQPSVYRGVNAFRAQQQARQNSLDDPKH FPSE_06497 MSLRQAAIRAGKARFASQFPWTASPVIIGAPMRVMSGPQLALEI SKAGGLGFIGPGAKPEDTSKDLATVWELLRNSPPKALPSAFPSDSLPIGVGFQLWNGD LKSAVEAVREHKPCAAWLFAPRRGQEELEEWMLQIQEAYPDIQVWIQIGTVQESVEVT TSKHHPDALVIQGAEAGGHGRATDGLGLMALFPEIEDQVLDCGMSLFAAGGIADGRGV AAALSLGATGAVMGTRFLAATEARINRGYQQEVVRATDGARCTTRTMLYNHLRGTMGW PEQYSPRGIVNQSFHDHQAGVDFEELKKRHDEAVKSGDKGWGPEGRLATYAGAAVGLV RDVQDAGVIVRRVQSETKEIMEDLARDAM FPSE_06496 MFINNQILELLKLLMTIPTSAMFSDYSSNFDPNQPILTNPSTTP STSHPRVILIARRKPDPIRKTTMFLQRSAITAARRVAARPAVARTFVTSVARRDASRP ATPSEQAAALAGTAEKKVGSYKVLKEIQTEEDLFGPGAAPGTVPTDLEQSTGLERLEI LGKMEGVDIFDMRPLDATRLGTMKDPIMVRSAGEEQFAGCTGFPADSHSVTWLGITRE RPIERCPECGSVYKMDYVGPEDDHHHHHPPEFEEPKTFADYIKPEYRYK FPSE_06495 MAPTPKINSKNLSYDNAAPPFLAALRAQAAGATGPDPLLAAQRR SAKKRSSSEEAEDVPLVVDEDGNVVSLEVDKDGIVKDAGDYDTEPAALKEAAKEPENK AAIGGRKRKVGKVVGGDSDEAKAEGDGEDKKTKKDVSDKDAAKNRKPKKKAKKIKLSF DEDEG FPSE_06494 MDLIRRATRVAPSKPPLQLPLDEKRDSKHNKAVDNRMAFFRRPL RLKGNSTISVPLGVVIFFPMLVLILIFILFVSHPSSPGRGFLIPGGAAPKMRKISEKY DKVFVEGCAQPDTSKPRANAAFVILARNKELDGVLQSIKSVERHFNRWYNYPYVFLND GDFDENFKEAIRNHTSGEVEFGKVGPDMWGFPDWIDPKVVKEGIAKQGDAAVMYGGLE SYHKMCRFYSGFFFNHPLLLKYEWYWRVEPEISYFCDITYDPFQKMIEHNKTYGFTIA VKELRETVPNIFRYASAYKRLNNITSKGLWEMFVEPPEDDEEDSPKESDTSPNDNSFW DTLTGKKKNTIDPESMEGEAYNMCHFWSNFEIAKLSWFRSKEYQDFFEMMDRSGGFWM ERWGDAPIHSLAAGALLGPQDIHYFRDFGYRHTTIQHCPANAPGKQLAREPYLEKTTF PEYKRFEEDDYWEQWDDVQEGGIGCRCRCDTDVVDVEGKEGSCLAEWVDVAGGWAHPY FPSE_06493 MDTLHRVSEYLFGPTAPPREPCGRKVQIMSDLHLELNRQYATFD FPVKAPFLILGGDIGRMVDYDMFLPFLARQTARFEKVFLVLGNHEFYEMSYEDGISKA RELEKEEILEGKLVVLDRNRWDDPDSKLTIVGATLWSKIHPSAAETITLRVSDYKKIS GWSVAKHNECHARDLAYLSETITGLGNLPAGSQRTVLVVTHHAPCVAGSSKPEHANAP FKTAFSTDVIQAREFVRGVAVWMFGHTHFTTEFKKGGVRVVANQRGYVLGYKGPTLED TKGFDDERVVEL FPSE_06492 MPPRISSFSTPLCCRTTANAPVSSLTAYLAGLSLQTRNASILGS LANTPGAMHQKKRVGRGPSSGHGKTSGRGHKGQKQHGKVKPWFQGGQTPLIVKHGRKG FSNYRAAQMSEVNIDQIQAWIDQGRLDPTKQITPKELIECGIIGTLKDGVKILSRGAE TLKQPIDVMVSRVSASAIAAIEGAGGKVLTRYYTKLAIKRLLTGESVNTDKPLPQGAE HIDSVLAAARDAPFRYRLPDPTGREDIEYYRDPAHRGYLSHQLAPGESPSLYFRVPGV HKIKSEVKKEIAATEETLF FPSE_06491 MSLFGSSPTEDSPALSPPGPARRGGSGLFDEESTSKPSNSLFAD DDDSQDSPWDMPTPRKQQSRADLIRSLLAGSDVPDSYIEIFDTVVREDGSGGQATSGG IAKLFATARLGADAQARIMSLVAPGGGDIKLGRNEFNVLLALVGLAQEGEIISLDGVD ERRKRLPQPKLAGLTAEPVLPPVAELSAKPPQTPPKDNPPQQQQTPPALPQPEPQPQP KQFRPAMEDPEDDPWGSPDMHKTHDHGPAKSNGAQRHNTNGHASFSQTPPRTVIDAPP LTHVASPPTTSPNSRRQPSTNSVTGPAGWGYFDGTNPTVGGFGESPTAAAVQNPFATG PTATPNMQPPPGLPHHASSGRVSRGAEEKVTVTLMPEKEGVFMFQHHNYEISSVRRGS KVIRRYSDFVWLLDCLHKRYPFRILPLLPPKRVGVNGSHLSNDGAFIEKRRRGLARFL NALIRHPVLNQEQLVVMFLTVPTELSVWRKQATISVQDEFTDRALPPGLEDSLPAELE DMFSRTRSGVKRSAELYINTCHIMDRLVKRTEGVAADHARIAMSLASLTETSEDTYST DTNEVPLLNDGLVAMSKHLRTCQALMEDESRGWDEGVLEDLKRQRDALVSVRELFERR EKLDKDNIPYLERRIQTNEGKLTSLRAKPEGMVKAGEIDRIAENIIKDKESIVQQHNR SIFVKECIRDELSTFQSTQYLVSRWNQDWAGERVKYAEMLADNWRRLLDELEGMPLGD FPSE_06490 MADQVTHDPKQSSDYIPFPCLPPGGALNRWSTKITREHDYPGAQ AMLYGAGVKDQHTMKNAPQVGVATVWWQGNPCNTHLLDLGQIVKNSIEKEGMIGWQFN TVGVSDAITMGGEGMRFSLQTREIIADSIESVTCAQHHDANISIPGCDKNMPGTVMAA ARHNRPFIMIYGGTIRKGHSNLLEKPINISTCYEASGAFNYGRLHAKTNPGEPGRESS DVMDDIEKHACPGAGACGGMYTANTMATAIEAMGLTLPGSSSYPAESPEKRRECERAA QVIRTTMEKDLRPRDIMTRASFENALVLTMILGGSTNGVLHFLAMANTADVPLTIDDI QRASDRTPFLADLAPSGKYYMEDLYKVGGTPSVIKMLVARGLLDGSIMTITGKTLAEN VADWPSLDPGQDIIRPLENPIKDSGHIRILKGNFAPGGAVAKITGKEGLSFTGKARVF NTEKELNSALNRSEIKQSDGNLVVIVRYEGPKGGPGMPEQLKASAAIMGAGLSNLALV TDGRYSGASHGFIVGHVVPEAMVGGPIALVKDGDEITIDAINNRIDVDITDEEMEKRR SEWKPPAPRVTRGVLAKYARLVGDASHGAVTDQW FPSE_06489 MMSLPTDYSVRFGSGATETRKDIRSEVRGRRWRERSWARTQAGD LFGVTVDPMLDNEVIVRITPSTAIISLSVSFVKDMLCRPVIAC FPSE_06488 MSSAIPSHQMAQAPTPYIPSRDSMDRHDYGITKNRKASSTGGGR AWSDEEESYLIQTRLQKMPYKYIAAHLKKTELACRLHYHQLSHGSNRRNKRAASVSSG ASMEISQTHNVNIPSPARETVSRSASPGGSMRSYSPPPYNASNNSSHIQLPSIVGPSE VPRLPSILPKPTGMPLPPPASHQSYNTPAVDVPVQMPHASFHRAASYPRTTPPLRLDC SVAPTPMVVPSPPAHNASHVDLSRLHSIYSSHRSSFWSTIANEYGPSASPSALEQAWK TGTCCSPSSLTPITPTSSPGQAEKDAQNQSYQKGHDKTRISSILGIDADPRTARDRDI VRRLEEERFGMMQTAH FPSE_06487 MTRRNEQHQFQQYAPQPNMAPQQQQPPQQQQHHPAPVQPPMNVP QQPPNGPAPPQQTPTPTPAPAGRQRKRPAPSAAPPPVAVAPPAPVTPSQVQTPVAAPP APQAVIPQPPPQVPTDDANTQAIAPPPAKKSRTNTPWTPQEELRLKQMRDAGNSWAEI AKTFPTRTEGSVKKHWYKDMHYAEFAEDESQALLNAIKEYENNKWKSIGQKVGKPAKA CEQYAKENFPGMATKFRL FPSE_06486 MLRSLVVAALLGASSVAAIKCTRDSHCPEDSPCCSTYGECGVGA YCLGGCDPTMSFSLDSCVPAPVCQDRKMKMNSLDRVVDIGKYLGDSDKADWVAQGEPV VFKDNVLLTMPKDSVGTLLSSTVYMWYGNVKARFKTSRGAGVITAFILFSDVKDEIDY EFVGTELGDAQTNYYFQGITNYQNSENISLSDTFANYHDYEIRWTPDKIEWWVDGKMG RSLDKKDTWNATSKNFMFPQTPSRVQLSLWPGGKEGNAEGTVSWAGGPIDWDHPDIQK SGYYYAAFSDVEIECFNAKTAPGTNSGKSYWYNNEAGTNNTIVDGDKRHTIASLMATG EDMDKGKKKEEKKKEDKSKDDDKDKDDKDKDKEDDEDDEPATIPGGGNGAPTNDHGNG DDSSSGSGSGSSSGNAPKGNPEGTDDTEPADTTNCDTSSFNQECGKSGSSKSKSEDSG NGSNAGSRNGASALAIIIAGGALFWL FPSE_06485 MKFTSTGLMASLAASASAFALPQFARSSYPAPSASATSPARILL GNPGHIYVADFTPKTGKFEISINETIEGGNSWMAFAKPNLLYAVDENSNELRLFNLDL KTNQLNLTTKKTASTGVVHLEFNSDKSRMVGAAYGNGTIDVWNTKEGGLELIKTIKSP GKLGPNKERQDASHPHQANLDPSGRYFAVNDLGTDSVVLIDSKNDAFTDKNIPIEAGC GPRHGVFYPRGQDKATHYIIACELSNRALVYSVTYEANTLAFKHYQSISTYGKDAPAK DIKKAAVGEIILAPNNKDVYISNRLSGQKTDSIARFTIAECGTLTYAETVSSGGLLPR MMSFSLTAKHIFVGNQDGTNGLVALKRGSDGKLVEKPVGSLPGSVFGEPQFGPQYVQQ IA FPSE_06484 MKHNKNVRLLAVLTDAESDEKSEYRFLVDDTHVRYVTLDGGLVP PEDRAYEPILLPQLPVFPPGDWNEGRVGKDGHTGKPFFCETRRSSLPGIGNVWHDTMI NHLELKQLDRVRQTVFRVTHPSFKKPVLAKFAQFPWEIPYFAAETTSYEWIHGRGIGP VFLGHIHENGRVIGFLVEEVRGARTAEPEDLAACQHSLRKLHELGILHGDINKHNFLI GADGEAVLVDFETAHKCSDPELLDEEYRHIKDRLEDTSGRGGAGMASDSSSD FPSE_06483 MYIPLSLPHLHGSVADTQDHGSLQTTLLAFQALMKLLCSSCSRT GQYPTGNIKRQFSDNPSKNNDIKIGLIVGFTLAAFLAIVITFLYFYYGSARFTFRKKK KNHRHQHHHHPHHPPRHRRHKSLSSGGSGLSNNSAPPPAPPADDAPPKDKPADGSTFE STVPWLCIAQLPSLNFPLAIQVKHTALYPACSLSAQRNSASPILRVSEAHPSRSVYGS AVDVDPKDGMQAQAAAESSRQQNPVCDRVCDVDSLDGVGKRGSGGLARIRNFLGSLRD QQRGEQQLDVVCENGDDDEDECESVVSYVASGPFGTLLV FPSE_06482 MDRFAEQLFGKQDQSSRARLPEEPSFMETFAKNLAKSAAKSAAK RAMGQSSSEKRTRDWARGTNSNQINPEDFRGVAEFVIGMLSSNSKSEQPRKGEDDRKK DRKRKRDKDKDKDRSREVPRSRDAEDEGEKYGSDKERRKRRRHRVTFAEPYYDARPQE ETYYPPPYEPRRRRDRQHEQDPRQGPGQSQGKEERQSRGEYDKTDDREERRRRRRQRR YKRDLDLKTLKTELEAMSSTIISLNARGASHRDCEFYDRFVRKGGRLQDVIGSTLGQI RGLQDGDTEAEERRRKRDSRRREKR FPSE_06481 MKQPLGDMSPWHPDNHMDPEPPTKRRRFLADPDEASSDPVAHDS SPLPPPKRFFKGEDETVPKTERLETITEKPNNVPPKSSSTEPPTSQQEPSASFDQETF ETFIGDKVSEDVLSAIRSNCGNNVERAVNMYFDGTYKKFIKKSTRPAPPRSTASSSRA PNISSERTLPIQTSKIMPNERYIGAFGVEGWATRSGTNLLKHGDIVKIERQKRAPPPT KSKGKAGPVTPSRGFGAPRRQDVVVRFTTQSGTEVGRLAREAANWVSALIDEKICRFE GTVVYAPERLRTNDTIFLQLRCSLLNSAFFSRSFQLADDRSAAFFNQNETNDEKTLRM RQVALVNLFQEINLHPTLTNSATKDGRKGLLQAAEQDEEKQKEVKKSDGNGTNNTKEA NSSQSSDTEDGEELEQDQLDALYKKAQSFDFNTPEAEPADTFAMTLRKYQKQALHWMM AKEKDEKSHREPSMHPLWEQYEWPLKDVDENDLPQIEGQSKFYVNPYSGDLSLDFPVQ EQHCLGGILADEMGLGKTIQMLSLVHTHRSEVALEARQSVVARSNVNQLTRLGKNSES VLDAPCTTLVVAPMSLLSQWQSEAEKASKDGTMKTELYYGNEKSSNLQALCCASNAAN APDLVITSYGVVLSEFSSLAARNGDKSFHNGLFSLRFFRIIIDEAHHIKNRSSKTAKA CYEISATHRWALTGTPIVNKLEDLFSLVRFLGVEPWNNFSFWRTFITVPFESGDFMRA LDVVQTVLEPLVLRRTKGMKTPDGEPLVLLPPKQIEIVNVELSETERDVYNYIFNKAK RTFSQNVEAGTVMKAFTTIFAQILRLRQSCCHPILVRNRDIVADEVEAGAAADAAAGL ADDMDLESLITSFTAVTDKASKESNQTFGAHALEQIRDEAENECPLCFEEPMNDQTVT GCWHSACKKCLLDYIKHQTDKAEVPRCFSCREPINKRDLFEVVRHDDDYDMLSKKPKI SLQRVGVNASSAKVVALMSELRALRREHPKMKSVVFSQFTSFLSLIEPALTRANIKFL RLDGSMAQKARAAVLNEFTEKKGFTILLLSLRAGGVGLNLTSAGRVFMMDPWWSFAVE AQAIDRVHRMGQEAEVQVKRFVVKESVEERMLKVQERKKFIATSLGMMNDEEKKLQRI EDIKELLS FPSE_06480 MYRPRILGQGLRGFTHGRTCRRTYITDADIQSARRYCLTQLQNS DYDAHLIRRFVPSPVQDTYAVFRTLNLELVRLPELVSNPTIGAFRMKFWQESIDNTFA GRPPREPICILLHKCLQDLEARDGSSAKKSIKFWISRLIKTREKHMSNRPFATLSSLE EYAENTYSTLMYATLASLPLKSMHVDHLASHIGKACGITAILRGIPVLAAPPPPVNTP SGQAPPVREPAILLPLDAMAEAGVKEEEVFRQGPNAPGLQDAVFQVATRANDHLITVR EMLKRLKAGEDPGHEFEHQGEGEHFYGEGSDTLNEIRQGFGVLLEAIPSAQYLQRLEQ ADFNPFAVRTAGWKVPWSIWQALSKERI FPSE_06479 MLYELIAIVRPGSLLEVKEIAQTVGSLVLKNGGVVRGLANWGVF SLPKPISVHQMKHTHGHYFVMRYDAAAKVHHDVRNTLRLEPRMIRAAHVKLGDGKLES LSRFGPPKWKTTGSEA FPSE_06478 MPPPSANQQKVLIAQFVSLTGQTERQATRYLKNAGFKLNEAVDA YFASSGDSKGPSPLETKLDALFDQLQDTNDEKDKLELESTMSYLTDKLKVSIENAELL VALELLQAPAVGIITRRGYVDGWKVTGAGTTHQEHAAHLRKLIKSLSSDQALFKKVYR HAFVAGRETDQKALSLENAFVYWEILFTPPGMEWKTSNHDWLQLWKDFLKAKWTRSVN KDMWNMTLEFALKSLSDESLSFWNEDGAWPSVIDDFVEWCRENGIAKTDGMDVDH FPSE_06477 MASLYAPEDKDIQIDPAEPLKGIVVCCTSIPAEHRTTIAAKVSE LGGVHKYDLTPDVTHLIVGDYNTPKYRHVARERPDIKAMDAAWIEALSEIWKNDDEIN YRQLETKYQLKPLEKRGIDPAIQLQPGEGEAEQKSLCICLTGFGDQRGEIAKKITSNG GVFTGDLTKKCTHLIVHKPEGRKYAAARSWNIYPVTLAWLDQSIARGMILDESKFDPT LPPEEQGKGAWVTRELKRSLSKRSKSAMGIGAEEGPRKLRKTASMKLSSQRNSMWGDI LGRSTSRDYSFAQENKSEDAFQPQDQQQQPQHEEAQPQPQPPIPPFLEDQGIFANCRF YIHGFTAQRTSVLEQTLLTLGANVCKSLNEAALGKIPQSPRSRFLIVPQLSQPDTHPQ QSYDDLYVVTEYYIEKCLHNKQYFDPTEHVLGRPFPFFPIPAFANLVICTAAFTGIEL NQVARAAAQLGAKYEGEFRKTTSVLVCKNLTSTRKEKLRMALKWGVPVVSADWFWKCI STGFKVPLDDYIFPEIKSRYSETSQPASKPTPRAVPETRIQGKPIQRTLSEPASKPTK GNTPKPPSAAGVDTTAFAHDSPEKGKSARKTNAKAAPVSNSTISAEFVTARTHPTTAN SLPQDKDFDAPLGNVPLSKVPQPRMNGSPSPTKQQKSLPRTRSDPATIKHHDEETTGL PSDLQDDAQETKQHQNAEQAHIRAKAAERQALSSKLTSLIETTTPSIPSFASDDTDHA PSRPRKRQLFGRAISNASNASSVASRSAAELHDVFGDDDKEADNGSEPPATQLEYRDD KAKECRAALMSRMMGGSGEFKPAAPTPVAPTLSMIGGTTRTLRKRDKTEQHVFGFM FPSE_06476 MAAGEEVWEALPPGEAKSVWAETEVNFWKNLRSKKEIENRKIEK EFQHSLNEVRLKLSDLKGQRSQLKESQSRLARELAKVEAELARTTDECEEKAERLVRI EQDYYESRRKRTEAQHDIWARMRRFFREKRGENPDTPETRGPESEGLVLPDMLPELSW PSNGPVLQREPIHGSAAEEADHPNHEGHMEGVEQHVYETAETLVNVVDADGNVIGPVE HIEPWNQWVEGIQELEIRRPVKIRKGRRFNTTHLATIYERTEAKGVKWLSCMIQATGE IQSKRCQSCDKNQGAFDDCIIVGGDLYQKCGNCEWNRQGCHGASGDTIDIIASRDRAR QNKEREVAAGEISAENPQEKESQTLEVQTRDRPDPQHAQPAEEQVSVNSRSHSPQPIL QHTVERSSELQPETHTNPQSEQVVARQVDQPVERLPERLLERISDHSPERLHDVISAK APERIVAVAPMAPMQIDEPHETAHRPIHDSSHNRPSTAPRTDYAPERMIDPVDTSPVQ TQAGPLREAPRSILPSSAPRHAPQDIPHSPSERETPIKDSHGSESIRTPREPEQVPTP KEYRVTSGFTPANVRSRPPSSERGRPTPPLLPAGDPSSQPPESPPAAAEEEITHENMI LKHDGVVYTYPECVEGVPLVKINERHPYWEPNWQNVKSLIEPQLARWREKHQAAIEAG PKQEKGGSSKYQIGRQVNRGIKILEFHEKGPISPYQLLGKKYIQSGKGGITSYDTLFR LSETISELEKFNIDISPVDWMRQRLHELMQAGGANFNLPKIIHDFYHDSKLTALRYKH GFKNIGRPSGVMKSRLSHGSPSTTPKPLQKRKSMHSGPSTPREDSFIEQSPMPSQQPL MLPAVVPSPQPAFSTHLNKRPKYLPPISGPVHDEFHFEAWSDTDSCSGGQITKYDWRL AKVKSRLFTSHSSVTQYWTWIDQIQCFQHQVLRDMHPASWGLFKDEIDFHVYLEDIEE MVWNIEALRVHIIVKESENANAPDGKPRGDVMAAFSRARTMRRFLFFCREKEVHMTKH SVEDLERRWLSMNSEQLPGRGDSNQRLVESA FPSE_06475 MSGFGDFTTICETAPLPLCAAVGPVLQATGRTGIEPECYARNIE LANTIIFEGATAVMHIVALVMTVIMILHVRSKFTAVGRKEILSFFYLYMLLSAMSLVI DAGVVPPGSDPYPYLVSVQNGFSSAVITCLLINGFVGFQLYEDGTPLSVWMLRISTLA AFTISFLVSLATFKSWAGLSPTNTVGLFVVLYLLNAIQLFIYVAMQILLVTRTLQDRW PLGDIAFGIFFFVAGQVFLYAFSSKICNAISHYLDGLFLATVCNLLGVMMVYKYWDSI TKEDLEFSVGTRMNNWEVKELLPEEERRATVFSEDPYGQNSSYDLPYSPGAARYSAKY FPSE_06474 MSTVFQPPLAWESHAIHNYAAAVPQAARAPVTMSEKPQYYRYPV KQPEQQQQPQPQTTYNHYYQQEQATHELHPSQVPQHRNGSISTASQVVPVVGQAVRPS TPAASTSTATKNDAESLVYHSLLIPKCISPTGGNLADFAAQMTCLFWFESIDELKQAG SVRAMGSNAIVQRLPHLAKPLDQFRKWVYSVLSTTQVTQNVILLALLFIYRLKMSTPQ IKGRAGSEYRLLTVALMLGNKFLDDNTYTNKTWAEVSCFNVQEIHVMEVEFLSNMRYN LVATKEQWDDWLDKLACFHEYYERAVRLPASPVHLPTPTNNTRHSPIASPAGAMQPTV SLPPTPAITANYSPTSSHSQNWSAYQTNTISPLSTKPSLQFPPVSRKRSPEGDIMEHP AKRLAPSQRGPAPVMAQVPRSNGIVDPARLPVPHPTVMTGQPQTQHTLMPFDNIGANG YPPPTQQVTQPGHVSLPPLQTGMRAMSTVYSSVPAAMVPKQSLPATTGVTMAQTSFPT QAPVNYGTPSKQLSPGRLGPFNSSPLAEAYGQSSVIHTPMTHTPISNSPSVYLQQRPS PYKPVRHVNTLLHPPPSASLEQYHLSVPVPPTQMHYQPIGRRNDLRTGIVPDFVVYER VHPQTLQGHYPS FPSE_06473 MRRLLHCRSLLGTCKRKFQDNNDERRTRTSSIKTYQAKQGPYFE QQ FPSE_11102 MQRSGSFERRSSVNQRPSPSPSPIPTPSSRTRTPSPSRGRPQSF RGSNKPSSSSRPISRSRSRGRSSSRSSSHSPSRRKKRSDDHDYNGLFKTTAGLLAGIG VAAVIAHKVWPKGVLHGDHEDWEHPPPRHSRKQHRHRSPGNAERVYERTTRRHGDVVH HEEISRRRPQNYERMPQEHVRVRHHVERLPHTPDDYYVQEQPFYPAERRRTVVQPAPY PPEW FPSE_11103 MAQEGTRGPEVKKVHPFFTKGPASEIVVPSDPSLKTASDGSPHD DVEIATEVQNGKKRRKTETTLPQNESGPKKQRRARQNEGLTASRNATIEQSLGIKPLG TTVTDTATPSSINGVVQPEDNSTSPTTNPPSLPNTDTKTNTGKMLKLNLRTGTLRSPP RTRPKYAPSRIVCMKYGHDDASRKELGDKISQIMDGNIIIPPTPPKKRGKKVKENSNT QNASSSKTTHPLFAAKTKQVMKVEESAVDKHSPSSSRHTVFMSTPMSPKKARNPFVVN KQAPRFGVKTGVAKVPGAMQPLWPAKGMAHVRGFDVQLDPTTFLSADCTKKKSKGSAV TITPEESVLERFCATMDFAAIQDSLPKNDDHFEPAPEELRIPSRYFESGRKLQKRIRS ELRTLKSTTSQDDDQLVDDITEHSKTTHPAINRLYQSLETNLSAYDKSTCEPLAWTQK YAPATALEILQGGKEALLLKDWLQALKVDSVISAGQDNPMAKKKAKPKAAPKKKRKKD ELSDFIVSSEEEANEMEEISENEAGWAPAGLGLLKKTVVRNGDVTKGTKDQERLTNSV VISGPHGSGKTATVYAIAKELGFEIFEIHPGSRRSGKDILEKVGDMTRNHLVQQHQAQ NASGATEEDEVARDLKAGKQGTMTSFFQKKTTSRPPPKKSLEEKPTETTKASSTKSQK QSLILLEEVDILYEEDKQFWATLTGMMAQSKRPFIMTCNDETMVPLQTLNLHGIFRFS PPPIDLAVDLCLLTAANEGHILRRTAVEALYKSRRNDLRAALTELNYWCQIGVGDRKG GSDWFYLRWPKGSDLDENGDVVRVLSEETYCKGLGWVGRDLISTCPDPIKSEEEALKQ SWDSWSLDMGDWDNTLNLQSWADGMSHNASEQSKRLEFLEATDEFYNSMGDADLLSSG TLGINLQETVDPGLPEMPAKMRDDFILGRRLLEADPKIVHSSLSVDLSLTLKSLARQT LLDTSLKTDTTTSPLLEPLTERRVIQSLEHSFEPQTPPLNRMDLAHAFDPIAVNEKTV YASYLDPSVFDRTTSLIVLDIAPWVRGIVEFDSTLMQERLKLSNLLSEGGTRKRMRTT RSAYSAMEGGERRTVRRERYFADTLNTVFVRHTAGEGWKEAVESAAPKEMAGDSPSTT PSSPIASEV FPSE_11104 MASEYRIHKPSVLATLPRPLDHTKGRTVAREVYGLRDGQKKKKR TEVVVGIDGETASIYDIPASSLITSYPIPPQESFTCAPYSVRIRRSGTNDVSRYTYIS TRDSTGQKITLFKDVVSQDGKTTSTTIASPVLETSPIQYLVCASSVSETANVGDVIAV SQNGEFVSLSSETLAIQWTSLKSTVQDAISNQIESCEVDYITSGTLAEFREGIFKDKP DVFSALPKTPNSEPELVALVLRALSQGQERPHSVVLAVSSGAPSTTLDIQKLSPLEIA PIGTPSPCGTDKSTYEVDVQAALLMQLREGSLSIYDLTTPVPKLKSVVQTENAISFAR LSRPFVLSCSFESISLYNHQYRSIHANAPLDLSEVFSEGETPQSCQFISYLRSQELMV ALVDNALVSIQIEPPKTHGKRRKQGLLIDSIGRGTATEIPAKKTKTDELAAEFSKYVP GSMTEKYMNKLRKELDTADGHLSKGDLGEWEQLLRSRFHVGLRSVADGKEDKDSQELP EWEWHTGGSPYAVVDRRWVLYAIGRTFSLSMSEAPESRPKLQLILPDTNVTSYLVVAG HLTLSNLKAAFRDELDVEALDNKALVEDLLASLADADPSTTLMLNYLQATPLGELELL LAIRALMVSLDLIIDSKKPASNKLLTNEAHDGAENYDMDLDDLERDIAITEYYLGDDS SSRSRGLTLAFAKLWRLPALTTIKAMRATLKTHDILSLIHTLRVELVRGAWTSLYLDS SSFDLDGSDPPPDGVIALISDLLGRCIDAVGAGGWLFNDNISGDNSDAGDLLTSLHNE VGVALEGIEEVVTINGYLSEAVRYGQAAQKGGVGRPKWNTSKPVSMQLEGLESRLLPL GLKTKQLPTKSKVVSGGEVVQRSTRETGHLISQKVEAYSLEKLAI FPSE_11105 MSGGSTVQHIDAVDALARNLYLRAKQSGLPFTDVADAVRNLHRI LRHVCIEAADQDSLLNNADASSTSLYARKLSIHVESCGFALAELETLLGRYGDGRAIM AEDERLRDNKLFEMKQKLDDEQHGLDLFLDAVQLHAENRPTRVIEGQEGLERIKDVVD EIATKLFRNRSEGSFTEDDDGLWREFKIELEDRGFSPRVLRKHKDVLRAYIRELESVQ NQNGGNYPSVRGLLEQEARSQPSSPGEDYRSPYEKYPPVILTGGRHRSNSDPPRDFVS QSPKDGDSESDYSMALVSTQDLLSMDKLNSRMTNLSVQPNDQYSLAPEPRHLPPNSLP GAPEMSSSPTAHHYGASPRSIPPMPHHLNSGPPSYGSSPRSSAPRLAPDRWGNEIPPD AQWTRIRRERVSPEVLERAGVRYEARPDYVAVLGILTRDQIEEYARQSAACRAARLAR GPPPRRHDHYSERRDSKSSREDDDDDSGVFEESDVTDDEDDKSSEKGTKSYPVIVNPP TKSKTSPSSTTLPKPILKNKNENRVHFDPEPYEVDSRSPRSYRDDRHRDRNHDHRDDH RDRRRTSPSRSTRRSRRYSDGGDRHSRSDKHGDYYYDGGKRYHRERDRDRDRERERDR DRERDRDRDRERDRDRDRRSTRREDRPQGRKKWGEALGAVGIGGAAVSLLSVLAEAAS FPSE_11106 MLLASNDHAAHQQMRTLPVNILSAVIRALDPIQNPQIDIAHGLN ITLGQIQFTNACNLVDKFGVRHHHLFVLEAMKLLLKSRRAAGRELVLADYEVFMRCAG AASDIAAATFFFGAIRAHGLALKRTTTTWNEFLKARYQINPAYYQFDRQRVVLQARHS FRVTFRTSLRNIRRMESLRHSMNALKRLPFNRQRHRLWADNLLWQRQKTGFQSYFANW RRSRAMGVLLNEELLCNTMVGLSRSGSLTHIRSIVLKKGLGIGLLEDKETGIFSVIGS RTLRYGSPKAPTKRFLNAMVEALGCMSRIRLCLDLVIHTSKVYRISIPPETWNNLFNW AYVCSTKSNQAQRRMMDSFPRSALVDAQLVTKVWETMTSEPYKVKPTFESYIARIKVL IFQDKFGAAREMIRDHAIKHYRRLEREHQQIVFDEVLQEVSHASHRRLTIETQKEHAW YAIQECVRHFFSDATSNARRRKSNFPLTAIPNIILEFGEFMNEQIRYRTAQGYVCLER SVTPCRFEWVKRKRETLPQLKGGFETQMLAMDGKIADVETFDERMENWPRDNVMNVRE WKRVPNPRPRAKGAPPESTDVNAREWWETLAGELMR FPSE_11107 MADAGEEPHHVSEPLDLVRLLLNEVVFVKLRGDRELKGKLHAYD SHCNLVLGEVEETIYTVDEDDDDDELKTISRKSEMLFVRGDSVVLISPGVPF FPSE_11108 MSTTKPLAHEKRKGESVGTPSAKGYHGNQKLTTGQQALSDFAEY VEQQQNLRYPTAKTIAVATTETADANTEHHEELDELFDNLDLADSAPRVPLKQLLLES GEDEDDEKLKQLENLVSDRLDEGFGECVFEIGYENHGESMNLTIDQWNHAYKTLQRAA KRVRADCDLLLTKNVGGDVEAASTSAGPVKDKSCSGKVLIRQTPSTIEDVIETRIAVV GNGNPYPSSRFYTVGTRNTIVDAGKSSMLGVLVKGDLDDGRGRARVNLFRHKHEIETG RTSSVGMEIMGFDTTGHVITSDTPGRKLSWEEIGKRSAKVITFTDLAGHEKYLRTTVF GLLSSSPNYCLLMVAANNGLIGMSKEHLGIALALNVPVMVVVTKIDICPPNILEQTLT QITKIMKSPGARKIPTFIKTREECINTATQFVSQRICPVFLVSNVTGENLDLVRTFLN ILPHHGRYNSDAPFEFHVNDTFSVPFTGTVVSGIIKSGVIHEGDNVLIGPDSLGQFIS TGVKSIERKRIRVPAASAGQSASFALKKVKRKDVRKVLILSHATTIKTKYQAMLHVGP VSQTCAIIDIDRELIRTGDRATVAFRFVQRPEYLAPGDRLLFREGRTKGLGIVKSVGY DPEHPLMPSKDGDKEKEKQPVNSEVSVGA FPSE_11109 MAAKEGGNEGNEVPIRQRKAHKKSRLGCKNCKLRSVKCDESKPS CKRCKTSGFVCSFTETSASSFQLAHFNAGPVFSVIDRSLGPINPGFSVPVVKPVKGGM GEIVLDDFALKALERFRKRTVFSVGTKKSRRVYSEGAFMLGLKHPFLMHIFIALAYLH DEHLNPNSIASHRTPLAFHWYQATALFHRRLATASLVQDPSTLPSSERDSLWTAGALL GAASFALLDVQSVDNVWPLKESDPLDLDWLKMSDGKKVVWNLADPTRQESIFHELLAE KTSMPDGTKEIPPDVLPGTFYSVFNLDASSSASTNPYHTAASLLAQLLPREITDNTVI RFLSFLTQLDPRFRKLLEEKDPRAMVLLAWWYTKAAVHSSWWMQRRSLVEGQAICIYL ERYCTDLEGICELVKFPKRVFGICRSNGGIASEERGALALGGWVY FPSE_11110 MATEQDRRPAWVPTHDNIYYRPRLTKKKSVSGRFPAPLIGPRRS RPQAIHIVSYPSGYVPKELRPESQNRSRPHSPTLVDEPRPAPSLQPSHSGTDTTNNKR NTRSRPATRRRDKFLSSFTLSNTEPESISGPTAAEALSNTDRSTGQGATANTNTPQPI GQACSLESDLACEAPSAVGSRSRFTGAGPSASPPAYDDIISRPDSGSSTTAPDSTLGF VATPATTPLISSNPADCNSNCNSNSSQPAANMATARTSHPSLPLSNSNRNSFMSARSS KSAVTSVSELPKPVASGSGVSCSILLAEQNIFLSGFDHDGHGHREGQSGTALLRGKLQ LRVTKNVKIKAVQLKLLGRARTEWPEGIPPLKQEVFEEESLRTQVLTFFNAMNDGWES DYGNQCTYRLKSGSPNGSSTNLVRPNASSSLTPQQNSLSAKEMKRLSLQSVQSRSFQK GDSPIASATQAKGYRVFYPGTYDYTFELPIDHHQLETTKLQYGSVKWELQATVDRAGA FKPNLHGTKEVSIVRVPDQLSLEMTEPISISRQWEDQLHYDIVISGKSFPIGSKIPIA FKLTPLAKVQVHKLKVYVTESIEYWTNDKRVTRKDPGRKILLLEKSAGKPLDSSYSSS DIRTLRGGELDPEQRRQAREAAARRRTQEAARRQTTAEPLPEPSANLLGDLDLGLETI WGSTEIEANVQIPTCEMMAKNKELRLNPDCSWKNVNVFHWIKVVMRISRIDPDDPSGT KRRHFEISIDSPFTVLNCRATHANTNLPAYSGANCNGATFQSSCGCPDAFTVPTDASP SSSEGTLPGVNPNSTDNLPAPPQAAHLANAVSGQQEPRPIHLLRVPSFNPPAFEDDIA PPPAAELVHDAPEPVMTPPPQYDVVVGTPSVDGMADYFARLADAGYEGQDDSESDPDD SPPRILDRTGRVNVANPRTPGGRRMPSRSLEIARPSIQLDMNALRSRAGRAV FPSE_11111 MSSSRVRDAGDSAAKIVDQVRASFNDLIREAERRRDMIGWPKSS MVRSLEFRFDDWARLSGVGSRPGTFEDSFDDKSLLMRIKTELSSFNIDVETLLMDFRQ GPDSVDGPQAMDTAEAIERRLGYLRQLTNAAR FPSE_11112 MTRDTDSPDIVTLFSKCIVYLDTLIRETKDPAYNLKDFPSEQDI RDVRQELNQWGITYGANRSISSTLSLDYKFRKHDYTRSTLQSQLGHLVEDLEGLQKLY KGESYQGEAKVVFGRVESVVNELIRFLGHLPKELWLELER FPSE_11113 MINSIPQDENVQRNSAQENANWAAFGLDPITATMSSINPDAEAQ TQTEQETTGQDVEKSLAAWICVLGAFLTLIPTFGFMNSLGTVQTYLSMNQLHDYSEGE VGWISGMFLFLSLILNVQVGPMVDVHGPNVIGPVGAVLYVAMFLLMAECRNYWHFMLC LGVFGSFGAAMTMVVAIAIVGKLFVRSRGLAMGITLAGSSTGAVIFPIILRSTYPNLG WQWSMRIMAFISAGLLVPSMICFIPFNNIYKKSTGGGQASPKSSTLNFTAFQSPAFCF VTGGIFMFEFVIFSISGLLPSIATRIGFTPENGYTLLAIVGAASTFGRIIPGIIGDKY GHFNILLVTVVFTIIFMGTLLVPFGTRSATALYAWSALWGFGSGSFLSITPVCMGKTC EAKDYGRYYGMMNFVTAFALLIALPSSGAMLENMGPQALAGLFTALTAVGGACNFAAR ALLIGEWLSPKTVI FPSE_11114 MSEQNSTEMAPPKFKFTFDETVSEWNVNHREWLKTHNKTWDSLA TGALVFDASNRILLLQRAPDDSMPNKWEIPGGACDDEDESVLYGCARELWEEAGLEAT HIRRVIPDNQDGKPGAVFTNRTGKRFFCKFSFEVDVVSTDVKLDPKEHQDYVWATEEE ARLQRMGEREIPLTNSIMVRIVEMGFALRKVSQEHRT FPSE_11115 MSAPAPTNAAAGAAPSTTDDSASTITVNTKTPNFPPPKTDKPRP HVCGTCTRSFARLEHLKRHERSHTKEKPFECPECSRCFARRDLLLRHQQKLHQSSTPA SRPRNRRESTTGVAPGQSRARKNSVAGNVAAAAAAAVAPASNAASNTMRPRANTISHI DVSALQMNSANPSVARGIPHGHTHSRHPSLVGLPIHNMDHFFGGMSNAMGQRSMQPGI PKLDAGAFNSMDFVNPLRTAPSLSAFSTEFEFENMLFGGTTVNPNNLHYSDSPQAMNL EQASPFGNGIDGMHTNQSFDDSIDWLTGFEHQMSFANENVIDGSSPSAISTTSQSGIS DVMLDGSNHPAPAGTSAMWQQPVMAPPQMPTNPFAMDLNGSVFPDLLNGAPLSPQPAT QKINDPYFSTPPPSLSSLSPSVVTGLSTQNINQTLGFNAGPETPSSLNGGNHGASPVT TITDATRHAIVNILSQCLPFGGRKYSFTSQGSPQSPLSQTAPPQAANLPSTQDLQRYV GAYLAYFHPHLPFLHLPTLSFDMPLSNSRPGVGGSGCLILSMAAIGALYEMEVTVSRE LFEMAKKMIFFYLEERRKADVRKADIRRSTPTDHSNDGGVHTPVWLVQAMLLNVVYGH NCGDKTASDIASTHCAALVSLAQAADLLRPGRPNASDSHDVQMTEDGNWNPNMEADQT EWLRWKSGEERKRTLYAVFILSSLLVSAYNHTPALTNSEIFLDLPCDEEFFSAESSAV FHARGGAEAADHNRMTFHDALGDLLHANDKPHQFSTNGQFDPSVDGRDLMASPLRTST FGSLILINALHNYIWETRQRHHNKSWTNEETEKMHRHIEPALKAWQAAWANNPHHSVE RPNPFGQGPLSADAIPLLDLAYIRLFVNLGRSKEKFWQRDWDGMAEELSRGNEIVQHA EQSPISNSESGSTEPSDNSNSSGFVESPATQMSLMDFSNAKHPTLQPGSSLSGHATSR REKHLRKAAFYAADSLAMSDKLGVTFADFTSRELPLQSALCTFDCAQVLAEWIATLQD RVGCYLGILGKDTVDLTDVHAIMLLEEEDVKLLGKVQEVLSSAEIKMNMLSGHEANMD MDGYSGYAAKLLRITAMMLDKAAVWPVTRLKARCLEAHANFMRTRAERSIVPQHDSRV FPSE_11116 MLLGASLDNKYGTATRFVITSRGKCTYRTFRGMAELKRGFSVSD ITGPFGEAITVNYRLGQQHLGPSQLRSSLWYEHIRLELQISLLCVSSSKSPLGKSPSR RSAQPPFATAFDAPTFIGQYSTVQVRSLGRWLDWTGLDCVALRAG FPSE_10605 MKHILLPLISLLIGSSLAADVVTITAPVSIPSNEPEWKDKDTFT SAVLNSTNFYREEHNATDLKWNKTLEKFASDYLGDLDDCEFEHSGGPYGENLAIGYPN VTASIEAWGDERDKYDFDNAKFGEDTGHFTQLVWKDTTTVGCGRKLCGEKGWYLVCEY WPRGNVKGQFEDEVIKEEGGAFTTRPGVGLALIVFLGYIVIFL FPSE_10604 MTGRGGGGGRRVLVPPINFIFKLLQSHATVSVWLYEQLSIRIEG KIRGFDEFMNLVIDDAVEVKQITKTNDKESRRPLGQILLKGDNVSLIQSAAS FPSE_10603 MRRQNRSCDQCRKAKRACDAPSLWDIQRNSERLRNGSESASGAS LAEEHFDEIDSRALRCSYCLRTRKQCTFHWVRAQLQTGPAAANTNTNANPDAASQNGA NPRRVPDQSRTKAKRQRPRPQQQPGPAPAQAPLPTQSLDVNATALLDMLQAPPTTHDL SCWGPMDTTGFGNFPAFPHTTFDAMPGNGVFDPTAFDASLHNSVFQSFEPHSTSGHPS HMDLTPPTELTSQALHSRHQTIEEENVDNGWPLFQTQSVGSDVPFQPSPSASNSYESD ISSRSLSVRRYPESLARSYSTSISPFSVTHNMMTKTNNNMISDNLMRIYHDVLEHALS CWLSEETCPYKPNTITRQSNNGVSWLASHFEGLSVGQPKQQDNRIYRRVIQLDKQAQA TKLIRLSKSDDRAASKALHLAIMAFATQWAQGSQRERDRFPQSLTQMDSMRSEFDDIN EDFDRTLQKTFWAQAKRALQDCSDMECFRVVCADLIMGLAQKPRDDEDNNDGPYSTSN FDGDSPIASGEGSIASQLSEIIAAEGPPVFMERAARKMHALKFQYEARETGVLDVSGS SLGLEKATAAEALSDEDKGTIGLVYWMTVMFDTVSSSMNGRPVVVSDEECHHDPTYPD HPENESYNIEYRPQDTRWWAELFVRENKEGVLRWPCSYDAASKGVVTAAPIKVILFRH VSYLQNTIRSRYRGEPVEKVISNAMAVYKHWNVSYGAFFRDLVEQYDSVPTLIQSWFV CVVAHFHCAALLMADLIELVDENKLGLDYSTQVRQAANVVASLRRDSAAILSDLARVS TCPRAGDVPGTGISDLHFAVVEGSILTEPWTMILIRAFTKAAILLLTEAEEKVRHEHI PLETPSVMNVVRRCEDCTKALWCLGKKSDMARNIASILSSALGPYMIPMAMSNMPVSS VEPVMV FPSE_10602 MAPNPASNLCTSTACLQLAADMKQSMALNYTKIDPCEEFEQYAC GNWAEYHDIPQGEDTIYGITASQEQTYILARRILEDPYPTGEDAGYITVNLTKEQTKA DKRNLAKIQEAYQVCQNYTALEEEGLNVLSEVVRTVVELYPTGASNTTTNSSALTETL ATFESYGIGTFQQMFVSQNEYDPEEVVLGISPPLFQALRLPSTEEGEAELMEITSALL RATYPSKLSNTTATELAGSIYLFQMKLVMAWAWSLENEASDDVPAGNLKKLAPNLDYE GVIKQLAPKNWKGTVNTLYPSYFTNMSQIISQTPTETVQAYFVWKMISSVSPYIEHDL TNAYNDFQSKLQGKDPESPRPRWRKCVTLLDRGVDWIVSIPIAEATVGPHGLTWILTR FFVDKNFGPDKIKTASEMVDYIKEAFSDRIKTRKWATAKVKEAALEKIEAMQKMIGLP TDPNPMDPIAIEKYYSDIEIKPSLVLNALAFAKSKISKQWKSLAEPYSRGQLVMTTLK ANAYYAPTRNEIGLLAGYLQAPIFDPGYPDYINYGGAGSIVGHEITHGFDSQGYMYDK TGNKTSWWDKESEEAFVNQTKCFVEQYSNFTIEAPDGTALPVNGSLTLPENIADAGGV VSGFAAWKKQVKDKGVDKNLPGLEKFSHEQLFFLKWGQTWCSRIQPKYALQLLTTDVH APSAARALLPLTNSAEFNKAFSCTKKSPVCELW FPSE_10601 MAATSPTETALVILYYLYPALVFTYFFSASLVSACTASSQKDEH KKKRPNGTLILIHYLLCILTYAAQLSLLGIQAALTREWPTEDHIIIGHLACILAFGIQ LTRCLDTEHGPFYPFIGSWALGLSCDVAIASLSATIGLLSPSSTFGILNIVSIIVRCF SFVSLAGLFTLGASGQAESDEEREPLLPKATTTSPSTPTSQESGYGSTLQAEEEEDEA PEYSWERREREAKEAMKKRLDEGGNWFEYAKGFKILFPYVWPVGNVGLQLRAAAVCLC LFASNALHLLIPRQTGIIMDSLNGSSNSNPWIAVIIFAALRLAASESGIELIRQWLWV PVKYYSHDALTRAAYSHMMHLSADFHDSKSSSDMMMAIYGGSAVSNVVENVLLYAVPM LIDMGVAIVYLSITFGPYEGLITVATGIFFLLIASRLVANSKAASRNRVNALYEEHYV RQSGFLGWQTVSAFNQIGYEDNRHANAVTNRWLREQQYVLGWYISIGFQTLVLTSGLL ASAFLAVYRIKAGHATPGQFAMLLMYWAQLTSPLQFFAKLGKNVSDDFIDAERLLDIM RTQPSVENQKGARPLKFVAGEVDFEKVSFSYDKKKAIIKDVSFHVPAGQTVAFVGATG AGKSTLIKLLDRFYDVGEGRICIDGQDIRDVDLFSLRDRIGVVPQSPILFDDTIMNNV RYGRITATDEEVYDACKAACIHDKIKGFTHGYKTRVGERGVKLSGGELQRIAIARAML KKPDIVLLDEATSAVDTDTEQQIQVSFKRLCEGRTTFIVAHRLSTIMNADRIIVVENG EILEQGNHDELIVAGGRYADLWSKQVFVRPKDEDKNTSSGQAGFVNDLSSEQTKAELS KVKKPVAAANEQGKSSEAQDSEGQVTGTPTQKREGNRLNPVAATFTPRTLAKARLLMA TETSEASTISTADHEAINGSRVAQDSDHVAPRSTASNEKKKAFIAAVDRALKNKRASR VDTGENMECEFTSSISGALLIFEAPATVANESKPSEKKEDATEGQRVEYKLPYYSRRN QSKSEPSQGSHGSDEPDTDGAVLTSAIEGPASPMRRRVTLPPMKVTVGSQIPLPTEVK QQKLMTASKPTDHCNNPPQESSAQNSDRLTQHDLRTIESCTSARPKVSQKKDEVPAQS AINNGAKSNHAELPEQDKDRITSGPRRGGRGGRRGRTPWSRGRRGRGNYRGGSPNRQA A FPSE_10600 MDIPFGNLMNIMPTTPSPGYTMTSSSYMTRTPSPASRGNIGYQP YPGQAQGPSFFEEAIGGSPFPQEIPFSLIQPPHYNSTSGMPNSFPPSSAVPSSEAEQK STCRPRSRNPHQLSVNTSGQVNGPDVTARSPKPKRRGRKPKGLPKDPVKCREDIELDE DDLPKDPRRRRILERNRIAATKCRLRKRDEASALASQEQAMEDQNRYLSSCFDSLTAE IYHLKTQLLQHTDCNCVLIQKYISNEAKKTVDGLSECPSSFQPDSDAMSLYRRGSCHS GTSPTDSLSVPTPEFEGASPGWPQRFHSGHGSSPDVTEEMLAVNPYLKASMQMGSQSF ANMAPVQHHGLEIFAGMGPQPQQFEAVNWNPSWAF FPSE_10338 MAPSAVIDDFSSHDGQALEVLSDKIDDVNVIKYDESSKFDEEKD KNTFRQYADATDRVKNFYREQHHKQTVAYNLAARNRFYNASRPRPEMTIWEAMEKLNT LVDESDPDTSLSQIQHLLQSAEAIRRDGKPRWMQLTGLIHDLGKLMLFFPELETQGQW DVVGDTFPVGCAFSDKIIYPESFAEGNPDAVNPDFNTKFGIYSANCGLDNVMLSWGHD EYLYHVVKDQSTLPDEALAMIRYHSFYPWHREGAYQHLMCDKDWEMLKAVNAFNPYDL YSKSDGVPDAEKLKPYYMDLIAEYFPNPVIKW FPSE_10337 MSASTKRKRDQAEESVPAENPASTDVEKFTKPAQKQEEETSFVD LGLDPRLLQAIAQQKFAKPTLVQRKAIPLALNGQDVLAKADCGSGKTAAYVLPLLSSI LKRKATDSTAFTTALILVPTRELADQVSKAIEQFASFCAKDISTAKLTDKVSSKVQRA LLSNSPDIVISTPSTAWQNVNSSALSIDKLTHLILDEADLVLSYGYSEDLENLSRSVP KGVQVMMMSATLSDEVDTLKGIFRRDPTLLDLKEKEAEGEGITQFVAKCGEDEKFLLA YVIFKLKLIKGKCIIFVSDIDRCYRLKLFFEQFGIRSCILNSELPLNSRVHVVEEFNR HVYDIIIAADEKNEMLGDEEEPTETAEAEDDAKKSNEGDDAETEAKRPKKKAKKSKGG DKEYGVSRGVDFKKVSAVINFDLPTTASAYTHRIGRTARAGQTGMALSFVVPKDLYRK HMPTSTPACENDEKIMARIIRQQAKRDKEVKPYNFNMKQVDPFRYRMNDALRAVTKVA IREARTRELRQELLKSEKLKRYFEENPTELSHLRHDGELRTARQQAHLKHIPEYLMPK DGKQALTEDVGFVAMRKDKKGKGKKGRGFKVGSRKRDPLKTFKARRKTK FPSE_10336 MAPPAQLPLRQLTMNGPKIPAVGFGLMGLSIAYGAAEPDEERLK VLDRAWELGCTNWDTANIYGDNEDLIGKWFKLHPERRQDIFLATKFGIKVSDGGMVTD STPEHVKASIEKSLKRLGVDHIDLYYMHRVKADVPIEKTIGAMKELVDQGKVKYLGLS EISSTTVRRAHAVHPIAAVQVEYNPWTLDIEGPSGTHILKTCQELDIAVFAYSPLGRG ILTGRFRSVDDFEEGDSRRGLTRFQGDNFKKNLKIVDKFDEMAKSKGYTSSQMALAWL LKQSPNVFVIPGTKKIKYLEENVGAAKVTLSEEEDQELRRLVEEAEVSGGRDAFFGNY MDTPPLET FPSE_10335 MSRQFFIRDADALFNDAQFIIDAFDSTLPHLAATGNSEQWGTEP LSKKEGHVQRMHDTVAKSDSFRKTGTGEPLRVFIAEIEDSHDSLEYPPNDKLARRTDR NGKSFVPVGFVKVLDEQFVSYLKASDDLKDHVGPALEKGNFVFLQYLVTDHRVGDKRR GAGAALLQKVKDYAAEKGWKTIWLDCWDGGNGQLVQYYVDRGFRSIGSFQDTHDKDEA PWKGKLLRMDLT FPSE_10334 MAEEPMFMRIPPEIRMLIYDYLLDNGGTKDISIRSQSRSEYDAC RSKTRRSAYNIMERSIVKRSYETTYCADPEPRRAMHTVIMHINRKIREEAAHFLYTKH AFHFGDDLEAVVPFFADKTPRTRDLVREISLYKCSPTNAIEPDSCDWSSVCRSLQSLQ SLDKLTLVIEGARPREPWDGPQSLTVSDFRLLYSTRHESLRWARELASIRSVKEVVIV ADIHNLPNPESNMMLVLAAFSSSIKTSLVEFLRDDLGIPARVGKSQYCGVGVFGRSKK CQRNGCLPS FPSE_10333 MATPAPPEDQARLLEDALVAVRQQTSLMRKCLDTPGKLMDALKC CSTLVSELRTSSLGPKQYYELYMSVFDALRYLSVHLRENHPVNHLADLYELVQYAGNI VPRLYLMITVGTAYMAIEDAPVKELMKDMMDMSRGVQHPIRGLFLRYYLSGQARDFLP TTESDGPEGNISDSINFVLTNFVEMNKLWVRLQHQGHSREREQRIRERKELQLLVGSN IVRLSQLVDLETYKSSILAPLLEQVVQCRDVLAQEYLLEVITQVFPDEFHLHTLDQFL GAVSRLNPHVNVKAIVIGLMDRLSEYAERDGPEDKSEDKAQMEADALAKLLEKVNLLK ETPATPPSDSKSPEEGKSSDSSESTESPDDTKTPESEDGTTAKTEEPANETAGESSTD DSSTLAESTPSVADTETTAVNGQGSITEKVQLYEVFFAQVKNLVEAQHLPVPDIIALL VSLCNLALNIYPDRLDYVDQILAYATTKVRENMNNADLHSPPAQQSLLALLQAPLNHY VSTFTALSLPTYVPLFQSQSYPTRRAVAGDVVRTLLKNQTKISTTEQLENVLEVLKVL IKEGSQAPQGYPGVSQRRPVETDETMEEQGWLARIVHLLQAEDNDTQFKLLQMTRKAY SEGNERIRTTTPPLLTACMKLARKFKQREHFDDNWETQSNALFKFMHSALSTLYTRVN SSGAAEMALRLFASAGQTADLVGFEEVAYEFFAQAFTVYEEAVTDSKAQFQAVCVIAT ALHQTRNFGKENYDTLITKCAQHGSKLLRKPDQCRAVYLASHLWWATPIVSNGESEET ELYRDGKRVLECLQRALRVADSCMETATSIELFVEILDRYVYYFDQQNESVTTKYLNG LIELIHSNLAGNQQDSASVENSRRHFNQTLENIRSRQYEGIVLTPN FPSE_10332 MPSEKTPFYDPIPPTYDQALASGSRYDPDDWAPAPRSPTNERNA TEAESQGLLQHSSAASSSRRPDGYRPPTVETDDEDSLWASDSDTDDEAAQVRREMQEM EIEEPDRSHGSQWGKRIGSYLTLPRWKWSWRPRLPRLRIHLPQRADAPAAENAETTTG ENEETPSQATRRWSIPKFDKTVVILFCVRILALTIILGFFYLLFASDMFGGLSDRLGG GFRFNPEDLRAHLLRTVDPTRMRASVQHFSNYAHIAGTEGDYATALDVQAMFGRALLD EVMMDEYQVYLNYPRKDGRAVQIMDNKDLKKSIWTAKLEEEEVGGETAGRQTYAFHGY SKSGDVKGPLIYANYGSRKDFEHLTSKGVNVTGAIALVRNGGSLDNQGLKVKAAELAG FAGCLIYSDPADDGFKKGAVAPAGLYMPADGVQRDSVALTNWGIGDPLSPGYGSKGDQ RITLDKANGLVGIPSLPLAWRDAKVLLQHLKGYGEKVPNKWEGGVPDVEWWTGDEKSP IVRLKNEQDEDSMHTIWNVYGRINGMEQTSKSIIIGNQRDSWAFGATDPHSGTAVMIE MARIFGDLVQRGWRPLRTIEFMSWDASTYNLIGSTEYVEHNSDALRDNAFAYINLDAA VVGNELHASGSPVFRKSLLHAMGRVLDPSTNATLKDLWDQSHAELKQPEGRGNHIPFQ DIAGASSLDLAFRGSQVPHHSSYDKIALVDNFIDPDFKYHGAMGQVIALLILDMADRP IMPFDMGWYAQRLVQWVKDLEKWAIKHLEGQPKGETDAFKELKEAVQLIQHNVATFEK WEMDWDRDVLGNGGWEATDIGASRLAYNNKMAYFETAMLDLEIGGGIPNRTQFKSVVF GPEAWSNDGAIFPSIRDSIEGGDWKTAKPIVAKTAALLRKAASILEMQQ FPSE_10331 MDHVDSHLAHQASQENVSFFTPLNLVLICAVLYTTYALMRSSPP PSLPRDTPSTVFRTYNPHTLLPFNGEEGRPVYLAVRGRVFDVSPGRNFYGPGGPYSNF AGRDASRGLACGSFDEDMLTKDLDAPLDKLEGLDEEQMDALRGWEERFLEKYNVVGKL VSMQDYEAQKA FPSE_10330 MASSADPGPVYFWKESDPEAGYLSQWYYCPFRDDKDERIRYKTA EHYMMFQKAMLFKDEHTAIEVLRAATPRKVKALGRKVKNFNEATWLKHRCDIVRHGNI LKFTRAISEKGYKKGSPGGKPLEGSLLDTLLRTGDRELVEASPFDPVWGIGFKAADAE AARGSWGENLLGKELMAARSILRKKRDQGQL FPSE_10329 MNSLARKTASSELALSRASAHVSSAGRSPAYRRLASQLSQNASR NGATPQSSMLPGSVRSPLRSSFVPAELRCTPTYTAQSRFFHLSSRLHQEKPQSKQKAS PPPPTDAKEQKPTDAESEAKSEKPEEEQSKKTDEGENGDKEGKEKEDGEGEGESKDKK KEDAPPPPPHGDKTPWQVFMETMNTEFQKSQEWNESTKQIAASANQFAESEGVRRARE AYEKSTGAVSSTLGKGAKATAGAIGKGASWTWDTSVVKGVRKAANVTGDAVDKATQPI RNTEAYKNVKDVIDDGSSSRYGGWVEKEERRKRREALDKQRGYKAPEAMEEDINAGTS VTVHKDAAWKEAWRDFRDQNKYVQGLFSLRGKYDESENPLVSTARSITDRIGGFFAEN ETAMVIKKFRSMDPGFQTEPFLQELREYILPEVLDAYVKGETETLKLWLSAAQYSVYE ALTKQYLQAGMKSDGKILDIRNVDILRARMLDPGEVPVFIVTCRTQEVHVYRNAKTNE LAAGMEDKVQLVTYAIGITRVPEDVNNPETRGWRLIEMQKSGRDWY FPSE_10328 MSRSLSSRLVAKSLFQIPRVTTQAVRSISQTTSLRDDKPRSRPS TASILESIYGPQETTKAQSTTSNSAMANLSQSLVFKTLDKTNIDTSALGRKTHRKVQA KEDDLEPYHFHIYSHKHNTHITCTKPNRDPIISLSAGNIGFRKSRRGTFDSAYSLTKY VLERLIHTGWPVKMNRLEVVLRGFGQGREAALKVLMSPEGKVLRDKIVRVADSTRIKF GGTRSEKPRRL FPSE_10327 MPRPKKSSSVSRSHRSTLSLSKTEITIHVYDLLPPGRLSSVLWT VGASLLHSGVVINGKEYAYGGHDKRGLTGVYWTKPKTEPPGGTFRCEILHGFTLATEQ EIEATLRAASDEFLGTSYNLLTKNCNHFTSYLCRRLTGQSGPGWLNRAASIGVALPCV VPRDWIEPPEYDTSEGALLDDYDNSNETTGMLKSINPHLLTDSNDDSGDDDWNSEDER RRGGSGKGKQALRDTAGRRLPPAERALR FPSE_10326 MPPKKQVVEEKIPLGRPGNNLKSGIVGLANVGKSTLFQAITKSN LGNPANFPYATIDPEEARVVVPDDRFDWLVEKYKPKSVVPANLTVYDIAGLTRGSSTG AGLGNSFLSHIRAVDAIFQVVRCFDDAEIIHIEGDVNPTRDLDIISEELRLKDIEFTE KALENQKKKTRMGGQSLEQKKAVQDQATLEKVLAWLNDGKDVRKGNWGPKEIEVINPL FLLTAKPVVYLVNLSEKDFIRKKNKHLPKIVEWINENAKGDPLIPISVSYESRLTLCE TEAESKEEQKTAGADSVLPKVILQMRKTLQLGSFFTVGPDEVRQWTIRNGTKAPQAAG VIHNDFEKTFIQALVFNYNILKELGDESEVKAKGKIMTKGKEYVMEDGDIVHFKAGAA KG FPSE_10325 MPFDFTFPSASIERPSPPPVMSSLPFDQAFLDMAYASASASAAG HVSGHSRSSSKASVYSSVSVDSDHDSLCSRLTTPPRASPAVRQHGPLLLPKIRSQDQD IDATPTPTPCGHYRMMTPPAPNPSRHSRSYTNPESLSISFDAPGSIASVNTSFSSQPD DSLSASLLASPANFATHISGSSHSRRASSLDATAIDRYGYPTYRQMPSFASVLPQQQS DYGFASYSYSPRSQSPLSLTATPDPTPNTNILSFLTSTNPAASLVRHISFPMRDAATK HFWWDVRNIRSWSSFNTSAILSLPGASALLTNPVPAPLLPQPTFTSRHPETEAQLHSI YASYYLPKLNSALAMSSTRLLHLSVPPKTNGMNDLVFVANAAGESATAAAMFGGKPTA RVVGLVRSFDRFNTGMRVEGNIKRVEYLRGLSAIHHAMREHGCRYGFILTEIELVLVR NGTANTPFFGDLEVTSVQLAASAPEGDASTLPQETPLTACLALWGLCQLASDDTPVGH AHWRAEIGAPAEGTRRKAQPRDSWMPQPQLAEKREAKRSRGWVWPEDAIGRKELGKRG VRYGGV FPSE_10324 MLHATPTKSAERGQRLQGLERECDMGARVERESSPEQQRQGLEM ETCKMKHETRSGKRQENAQRLHIHVGISHSFSLSRQIFAILGWTRWRLAQGAWDPGAC LPANKRREPGSREYLGLSLFGVEAVYLLYSFKRPLFFRLVEATETR FPSE_10323 MQPLNPFLAAFFKSSLPSQCTPVHHHILLVPSTDVLLTFRETES GTAPAEVIASEDFLASHVLRVPAPNGAAGSKDGSQNLREVRGKAKQFTTLNGKSVVIK DAFIYSNKGFKTLAQAQLLNDIVFYPDVFEPRQWLLYYISRPLVGIWEEIKIVPAVLI PGVRKRRIPDQKQTPNAESTETAAPRKKDIRSFHDLLNNFPMIARQMQPGLEKLFVEF NNVFRSPLPPPPSAEHIPDPPNGPIANAARRARSNSASANMRDESRLPVTENFYAEDD EDIMRASLETAVTAAIDLFQGVDKQQLSLLGATTDLTGPLVEKLIERYVTENVHNQLW PRLNALKRPYDLELEAKIRKMQFIDISQLGIAIDGGSKAKHDLIIQLGPAVDEFKKIS VASCPQIMLDLLLSTMKIVSQLTDSSKAQATASDASSEKPIMTVNADTLVSFLLYVVI RSQVKQLQARLIYVRNFIFIDDVDSGELGYALSTFEAVLAYLVLDSAGLRRASRRNKA LWEAAKTGTLDDLKKIMEPSSGAADDDESSESPSSSRRPSMALSLQNDTRSRSAGPTR SRRSSLRLSFHDQSPYEHYSHGSGLGHVFPFQAEDEHETRSHDFTIPVRRVKKVAMDT RSISGDSEISFHSRTGSIGTIGSALEGDISVARLSQTNNSFGESVLMMAIQAERGETL KYLLSLTDYFSPAFVLDDMNNEDTTLLSAAIQIGNAQIIDLTLDFVIASTTPEQLILY LAQQDIWGRSCAHYLFNAPALISRIGHLIPWRQRDKNGQTPLFALCRSYDHKNYLDMV EAGLNAAALAQHDGLPLHVDDHVDNKGNTLLHIVSNASLAMRILQYCDVDVNATNDKR FTALMVASKYGRYDMVRSLFADPRVDVGAKEIRGLTAVELAKDDDVRNKIDDLALFSM PPGPDARITGVVRAFFVEDATVRLVLKSAAPTDHDSYTVTTSRRSLSDFEHLPNLLAL ENPASWIPSLTDVRSPFQIPSKPSRAILRDMQARADWFLKIMLMHSTFATHEMLWEFF LVPELQLDMMEQRTLLKTETRAEKVRDEYEPVEDVREVEQFVNHAREMIRSVNYSTKS ATRRANNLGLVAADMYDSSVLLHRAVSTLQFLPPKHIEAFEAYVRAIVPTQSNPQANL HSSFLALQSTVQALLASLSRPPSIISEMRAAKREADRNFNSLNKSRWPLGLLDDTRQR LYDEKEERARKSREEAANLARELRYTQQTVAGELAGWQDLHEKMGRQAIREFVRGMVV QERMKLDGMLRALRKVRSDGQGPELTRMSNGTRSPVVPRQAAEPEAAMMGETGGRGDA S FPSE_10322 MSKSSLYSKIRSILGRKPRPLGPAHPNSYPLYITHDLVSAAMNT IVDKRISWPRDPEYRVEVVMINIPFQYCPSHIANAMPPDCSGRHPYAVAIAEARFQRT PENPGGELSEIAMVEWKEMAVTFSLAQREFVTVVPLNNVIHKNQGTK FPSE_10321 MAFPHNKDDDVLAGDGPSAYGNYDSTRLNDTSESGSIDPDSGVK RGLKNRHLSMMALAGIIGPGLLVGAGGALNVGGPAALLIGFGVVGIIAFCIMQSLGEV TTLYPGGGSFISLAERMVDKSFSVAVGWNYFVIWAAVLANEYNVICSILTYWGPAVPL WGYFLIFWTVFMAFQLLGVEAFGEAEFWLALMKLLGLTAYFIFAIIYAAGGLVGQDEP LGFRYWSDPGAFNGNGFRGVAAVFVFCATFYSGVESIAVAATETRNPGVAVPQAIRQV FWRIIFVYMGSALFFGITCPANAGGLVNGGSKALQSPMTIAIENAGWQGGVHLINAFI LLTCLSAINSSIYFGSRTVFYMAQSGKAPKIFAWTNKRGVPVWAILITNAVGAISMMN VSTGASKAYSYIVNLSGVSAFLVWGSICFIHIRFRRAWVAQGRSLDELPYKALGFPYL AWFGLAACIFLALVQGWTTLSPFDAGNFVDAYILVPLFGIIYVFCKILWRGSDPLKRS WSIDLDSGRRTDLDQKSGPTSRDLPGQMPWWRKVWSWF FPSE_10320 MSNNSNPRHGQGTLEAWLSRGSSSRRADPTPGNASSTRRGSARP GYRSGRKDPFRQGAALAAVAQETRAVLPSILDQLPHIDATKAERHFYSTLPRLNAADC PRRTASGQTTIRVMNDDSFNAAIALDTTKGPTSGRVAVLNMASHVSPGGGWLKGARAQ EEALCYRSSLYLSLHRRYYPWKQRMGIYTPNVVIIRSDQESGNNLLMPHIPPAKLPVV SVLSIAALRTPPTANVVKQVQGGSVTETVFAKQSDRELTKLKMRLCLRIAAHRNHGLL VLGALGCGAFHNPPREVAHCWLEVLKEAEFQGGWWEEVWFAVFDKKGEGNLEIFEEVL HNVSV FPSE_10319 MDEETLKEALKSFPNAEKSAVPSAAASGLNINALFDNPLFAGGI GLASLGAAAAFARKGAVSALGAARRRLLVNLEISKQDPAYPWILAWLSQPRETPGFIA SRLTRIHNVSITTTNASRTAGSSGPQHAQFFVQPGYGRHIVKTGNVYIAVNREKHNTA NMNTGEPHEIVQLTTLWAHRHVFEEVFKEARALAAKANEGKTIVYSARGMDWLPLGDP RKKRPLDSVILDDGVKENIVNDVQDFLNRHQWYVDRGIPYRRGYLLFGPPGSGKTSFI QALAGELDFSVAMINLSEMGMTDDKLAYLLTKLPKRSLLLLEDADAAFVNRRQRDTDG YNGATVTFSGLLNALDGVAAGEERIAFLTTNHVDRLDPALIRPGRVDLMLRIGEATRY QAEKMWDRFYGDIDKDHSGRERFLSRLEELGLFGVGPNGEPSNRNTSTAAIQGLFLFN KNDTQGAIDMAEGLIPRKFEASDEIPEGAIKTPA FPSE_10318 MGVQEVLSRKSGVIVGDDVLRLFEYAREHKFAIPAINVTSSSTV VAALEAARDAKAPVILQFSQGGAAYFAGKGVSNGDQAASIAGSVAAAHYVRSLAPTYG IPVVLHTDHCAKKLLPWLDGMLDADEAYFKEKGEPLFSSHMIDLSEEPVEWNIETTAK YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILNIYNTLAPISPYFS IAAGFGNVHGVYKPGNVKLHPELLGKHQAHVKEALKSDNDKPVFFVFHGGSGSSKKEY LDAIGFGVVKVNVDTDMQFAYCSGIRDYMVNKREYVNTTVGNPDGEDKPNKKYFDPRV WVREGEKTMSKRVAEALEDFNTANQL FPSE_10317 MSSAASPSAQANSSSWTAFLKSIASFNGDLSSLTAPPFILSSQS LTEFSAYWATHPPVLTAPAAESDPAKRAMLVLKWFLSTLKHQYASRSEQFGNEKKPLN PFLGELFLGTWSDEAGETTLVSEQVSHHPPATAYCIRNNKTGVELEGYNAQKATFKST IIVKQIGHAVLRVPLASGEIESYLITLPGLHIEGLIFGAPFVELDGSSHITSSSGFTC KIDYSGKGWLSGKKNTIVASVYPTGKEKDVLYNVTGQWNKSFEVYSGPAKSNSKSTLV STYDAVSTAQTELRVAPIDQQHPLESRRAWSRVAEAIQKGDMDTTGAEKGKIEHAQRN MRTVERSEGRTWDRQYFTAVEGQDPVLQQLGNVVGVPADGEADKTGGLWRFDKAKADA RVAKQLTQDDIAQMEKELLGW FPSE_10316 MDLQNRIKKANLQDLIDICEQLSKECRGFSNCLNTSPVVLAALA KECRAITDALDRLHELSRTIEGGTESVKASNNGFVYSVSKDVHELRAALKRIKGPDRD SGIHLNEPQLIIVWNEAALKEYLARLRTHQASLHTLLNTATRASYSFGESDLNRRRVI EPDIEVDEALRSLGRKFTAPTQRSIVLPRVSDSTEIQILLDTLVPHPGIDYVQTKDVA HELHHAIEQTDESAVFNILLERADPNITLAGSMLSPLHRAFDKKHMLIAAFLIVAGAD VDEPTTDGDTALMRSIKCGFSEQFARLVIHMKVRINSIDNNGRSALHFSAASDVLEDE TLPTLINAGADINLVDYEGHTPLHVAIQHAHWSAATKLVQAGADLEVRLPDGKAALHL TIAMRNQEFTQVLISRGANVDRKLREHTPLTMAISTRCTPITAALLDMGANPNLPSRN GNTPLLAAAATGHDETVRYLIAHGADPTETHGQSGYTPMHMAAHKDKPHILRLLAELE APIDVLDRNGETPLLIAAKLGNSQSILRLINLGADIERVGPDGMSVLSHAVKMGDVDL VTALLELGAGMQMTSMFLSRGSREYLVPEPQTTPIHVAAQHARDEILLRLVSYGASLE STIFPGRTPLSVAASHGHVSTVRLLLRLGANTQALTTHGDTLLFEASANLATLKILLQ QGINVNHQNDRGATALHYAALRGHIGGVRMLLEKGARQYHANAVWDVREDRDGAGGYR QGTPAGMAMQRGLDNIAEFIEGWKYN FPSE_10315 MTQPSAQPQLTPQFCFSYGTLRDFLRLSRSSIDDSITQNLNALL TPSRFGFDPSSTLQRTSRPASKQIDSRSCSEFKEKVLFPSWEARTQVLNYCALVAASP DPDDPDRTIRELEREQDRDRIVDERLDPYSGRFFPREARTERLASLVRQERGVEDIVR HRTWEVVQQRCGGDPFENWEGNISKWRKAQNPKPSV FPSE_10314 MPQPLASKEASLFRSVIRYYEDKQYKRGIKSAELILKKHPKHGD TTAMKALILNAQGKTEEAFALGKEALTMDMKSHICWHVYGLLHRANKNFEEAIKAYKF ALRLEPDSAQIQRDLAILQIQCRDYPGYIQSRTAMLQARPQARQSWTALAIAHHLSGN PAEAEKVMNTYEETLKTKPSKFDNEHSEAIMYKNSLIAEQGDYERALEHLNTAAKQNL DRLAVMEARAEYLHKLGKKEDAAKAYRALIDRNSEHPVYYEKLLEVLEVSEDDAKARK AVYDEYANKSPRCDAARRLPLDFLSGDDFKQAAEAYLTLMLNKGVPSTFANLKHLYSD SFKKDTLRELAENYLNSQNADSESKDKGEAAALYYLAQHYNYYLSRDLAKAMEYVDKT IEKDPKSVDYAMTKARIIKHGGDLQEASKAMDRARKLDLKDRYINTKAAKYQLRNDEN EKALKTVGLFTRAETVGGPLADLLDMQSMWFLTEDGEAYARQGNIALALKRFKQIFTI FEVWQEDQFDFHSFSLRKGQIRAYIDMMRWEDHIRDHPFFSRAALDAVEIYLKLADKP SANGVNGADGEDAEDSLAKKKAAKKARKEQQRLEKEAAEQQAKQDPNKASKEGEVKKQ DDDPFGFKLAETTDPLDDAMKYINPLLQFSPKNINAQFAGFEVYMRRKKYVLALRCLT AASALDPKSPRVHEHNVAFAQLLKTTTDIEPKVLEVLKAEYSAVDPSADLVKFNDEFL AANKDSPRHVLSAIKVQKLLGQDKTKSEEGVAGILDIPGATYEDAIEGLEVLKSWRSS QEPYKKAAQQKFANITRLA FPSE_10313 MEEGVGMQLLLEDKKKLTIGADGLEFNGLIAKKASRSSCAPLTT TGSSKDVIPFYNVLWAEFANNHITIDYAIHASKKLIKPGKWEFELAANDDDDGDDEGN GATPVETFVKTLLSRAYGDAPPRKRAYVLVNPNSGPGKAVKQWESEVKPLFDAAKMQL DVVILKRGGEAVELAQNVDLSRYDTIMACSGDGTPHEIFNGLAKRPDAAKALSTMAVS HIPCGSGNAFSCNLYGSHRPSFAALAIIKGIVTPLDLVSVTYGNNRIISFLSQTLGLI AECDLGTENMRWMGSARFEVGVVQRMYKKKCYPFDLAVKVEIEEREGVKAHYKHHAST TSLSQLTKSAEAKSVPDGAGLPELKYGTIKDELPEGWELIPYNKVGTFYAGNMTYMSP DAPFFAASLISDGLMDLVTIDGDLPFLTAIKVLLDVEAERLFDNPHVTYKKISAYRII PRDQDDGYISIDGEKCPFGPFQAEIHQGLGRVISKSGKYEGSGPKGWDKVTLADRIHG FPSE_10312 MPAYLYSGRLTRILRLLNHKTQEWYYNQIISRFLAFCTEAGDRD SIIRSFEALDIRAVDSTPATRYTKPPPGYVPVSAATSTAVVSSATSSTLARDTTGIPK VTHLPTHDSVASLPSSLTGPLKALRSIIPSSGPTTAMLAAIEDPDNTKSLQDVLMALR KLREGLVATKRADLFSIQAYIFSIRLSILAKHPESYHPAILHLLRYMAVWTPMVQSEI EEIAGYFMLDAACRRRDLTEAYFIRQDFNIRNKKLDHILKALAHDNYVSWQATKQQVD RHCLKLMEWADNDMRLHTLKCFGRSYLHVDLPHLEFSAGRKWNELKEKDNVGWELEDE KVTIKRVRTR FPSE_10311 MPQPTRKRARTKAAPKEDVAEEQQSTVFTDRRTSWLVKGDEQMV ELRVKAEIFHVAKSVLTKNSEYFEGCLAGRFVEAKKGIVEFQDDDIEPRYLGLYIGLA YSHSSIVPHTPPRPAQNPETMAAKTPMRDYIEVYKLCDRFLSPQMGEFIMRCIDVAIG NGHRALYRTEGDEGIQKLLMRDFADGYEVLNMQQNSQVEMGERMITYFCEGVSYRAWI DTMEELMDRPKFVAAVSRGFATKLRELQIRLKSIKRRELVGPGPV FPSE_10310 MMRVPRQAFSTAARIHPNIVPHLRPQKRMSFGRLVKPVAAIVAV GYGAKLSLEMVAKRRIAQMEATERENAAYRERNEALMNMYGDRSSLDELEKAVQFYEK R FPSE_10309 MHVHYLPVRIRALKILASNGDVLKVALGIVISNMIKIEIQHALY RLDYVVEAKKTQVFASVELSIWYTSR FPSE_10782 MGNTDSTLNSGPSEAFQHEDRFAVQGHRTLRRSRLIRRALIIRQ VSQGRANPSEVWCNDKSCEQELEIFSNPLLHIADLPRSREGLPVAVYTKDFADAVITK FFDVEDTNTKEHKVRGLLSFIDSPPVRVAFLNFISDYNERKYRLSDEDFQKALLKARP VFLDIMFGVKTAARARLAHSYINPMMEVRDKWDLQQFILRFLICSEMWRQQKTPRSEN WKIGSREGVGHFMTAVLFHYKLMWQQKCFDIDFIRTNHVWDRVWDLWLRKYPLGDSGE VVDGDVFQLRDHIEKTEQEVLDDVGYLGGIGQCDETYNRIQGYLGKGEPLVE FPSE_10781 MAKGNQGYQEERSNCHWCQIRSFPTHSTLPVTVVNDQDSEVLPD DFRFIKNVVLGVGVRQAEDSFHSGCSCDNDAECQFTGCHCLADLDEEDSSEDDDDPFG DFINGMDIDRPRRKAYAYHAHGAKAGLLRSKFHNSKMPIYECHQSCACSINCPNRVVE RGRTIPLEIFRTEDRGWGVRSPVSIKKGQFVDRYLGEIITSTEADRRRSQSAISQRKD VYLFALDKFTDPESLDTRLKGPSLEVDGEFMSGPTRFVNHSCEPNMRIFARVGDHADK HIHDLALFAIKDIPRGEELTFDYVDGVSHEGEEPGEKNHMTPCLCGSKNCRKFLW FPSE_10780 MGCCLSRSSGPNSPYPGGAPNASSRAINPPPLSLPEAAQPQIPA ERRRQRRRSDRPLDQHIDKPLRRHEWTARDRTWTKSELAKERAAFFDTRVTGRPEIWQ TVHAALQVLWDPASQDAQDDGSNGLATAQMILSAAEISLPTGDLANGVYDALGNYYQL PEWAVSDPQNVGEDQETGAKGDISTAGDDTAADEELSDDELDGKKQEKGKEVDEVQKL VKLRARLSENGHDINVKVSESETVRSVAKKIALEADVSTPTLTNSSFVEVQTSRMLMC FQLASTKKIRIAYMGKILKDNLSLSAQNWKTGHVVNALVFDVV FPSE_10779 MSGFLENAYSLVHQDNAADVPTVSDLRMQLEKGTDESKVETMKR ILTIMLNGDPMPSLLMHIIRFVMPSKYKPLKKLLYFYYEICPKLDSTGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLCKLREAELIEPLLSSARSCLEHRHAYVRKNAVFAIS SIHTHSPSLIPDASELISTFLEGESDGVCRRNGFAALASIDHDAALLYLSSVFEGIPN AEELLQLVELEFIRKDAVQNSQNKARYLRLIFDLLEAGASTVVYEAASSLTALTNNPV AVKAAAAKFIELSIKEADNNVKLIVLDRVDQLRKKNEGVLDDLTMEILRVLSSTDIDV RRKALGLALEMVSSKNVEEVVLLLKKELSKTVDQEYEKNTEYRSLLIHSIHQCAIKFS EVAASVVELLMDFIADFNNVSAVDVINFVKEVVEKFPNLRTTIIERLVSTLGEVRAGK VYRGIMWIIGEYSLEEKDIREAWKRIRASLGEMPILASEQRLLDSHEGDEKTEDHING TSKPAAPSGSRKVLADGTYATETALTSQSSAAARLEAVKTAQKPPLRQLILDGDYYLA TVLSSTLVKLVMRHHEISSDKARTNALRAEAMLIMISIIRVGQSQFVKAPIDEDSVDR IMSCVRSLAEFEEKKELETVWLDDTRKAFRAMVQVEEKKRAAKEAFEKAKTAVQVDDV VSIRQLAKKNTTDGLDEIEVDLERATGGEGTAEDLSSKLSRVVQLTGFSDPVYAEAYV KVHQFDIVLDVLLVNQTTETLQNLSVEFATLGDLKVVERPTTQNLGPHDFHNVQCTIK VSSTDTGVIFGNVVYDGAHSTDTNVVILNDLHVDIMDYIQPATCTETQFRTMWTEFEW ENKVNINSKAKTLRDFLDQLMACTNMNCLTPEASLKGDCQFLSANLYARSVFGEDALA NLSIEKEGEDGPITGFVRIRSRSQGLALSLGSLKGLNKIGSTS FPSE_10778 MLVLTGRRSEFDFRVVGDGRSAVARYANNSNYRNDSLIRKEMCV SSVIVDEIKRIIKTSEITKEDDSKWPQKNKDGRQELEIRIGNDHIAFETAKIGSLVDV TESADPEGLRVFYYLVQDLKALVFSLIALHFKIKPI FPSE_10777 MPVTLGAHSIGRGTIKYYYLLKIILKPLRV FPSE_10776 MPTPSSNPPVEASSPRSYSFSTCPDDVQSQPHPAWQARDEGQAR ADDVGIQSGPGLSVDGASPLTIDASTAPGCPNDDTTMYDGRPSNDLSSRSTTMSPRPQ TATTTATTPMHEGFGPETKGRDAETGNQLSREPPNSTQQAREPQSAPCESSRRRGSPN RESESIDFDAQEDELWSPSMGPDYSCIRTIPSAPSSYLRPGSKFHGTQQSERQVYDVQ VEIKHVDMRESFLCGYLRIQGLTEDHPTLTTYFEGEIIGSKYSFYTQHENWGANSKVD LSHWAKFTAFRPFQKQARKGPVIIRDAAQRETIFMRWKEHFLVPDHRVRTISGASFEG FYYICFNQVKGEVSGIYFHSKSEKFQQLELKHVPDRGCFAATEFR FPSE_10775 MEFLIKFAQAHETFRVPEIEALALVEGLEMKIVDYSQDSPFCVV QLASVEAAQRLTKRSILIQSIHELWGKGSTLDELHESVKTNTSHVWDSYLGRSFKFDV DPYQGTRSAKKRIELINSFRFLGWTGPVKMSNPDNLFTIFEMWPYDSVPLNVPDPTTM YLARHVGNSSRDILVRFDLKKRGYISTTSMDSELALVTANIALAAPGKLFYDPFVGTG SFPIACAHFGALAFGSDIDGRSIRGEGGKKSLKGNFDQYGIGSCLGDVFSADLTNTPI RRHRRTWDGIVCDPPYGVREGLRVLGLRDPEKTPWLIEQGKQHGMKPTYVPPKKPYSF MVMLDDILDFAANTLVDDGRLSFWMPTANDENQEIPTPTHPLMEVVVVCTQSFNKWSR RLITYRRLPDSQVSQSALETYANRQKLKLEGTSADELNPFRRGYFKKFEADE FPSE_10774 MLSEGKTTVDTLFTLREGVLTMYSRKTLWVEGWKRIQTSLEDPS MLRGKKGFDRLIYACKNVFNQPMAWLFCDKTTQILSPDPLQQFFPTAFTSTPIVSQNL AVVQPILDVDPEILAENNREALEYFATERRHC FPSE_10773 MTTPNPFLLAADNNPTLLPLLRERPELASSQDEHGYSLVHAAAS YSHLDLLRALIREFNVDVDLKDEDNETALFVVETQDAAQVLVEELGADVGHRGLEGLT AREKIEAEGDFPVVAEYLLKIEAKQADDPASTAAAVMPEVIPPPPEGMKVTVGTMDET ADIPEEIDQEFRRRIEELAQRDDFDSPQGQAELRRLIEDAVLGEGGVGDERNVRSKQD FPSE_10772 MSAPYTPQDVQAVAAVVRALDNARKDKRKNGFSVKKTSFDVKGS ADGIQVESWRMQDWDYKRPNLPTYARGLFTTRTRRNEPEIAVRGYDKFFNVEEVPETK WKKIFTQTQGPYELTLKENGCIIFIAGLEDDTLVVCSKHSTGDRDDIQVSHASAGEQR LEQQLAAVGKTKADLARELRKRNVTAVAELCDDEFEEHILAYGPDKAGLYLHGMNLNL PQFATYPSRYVQEFADEWAFRKTGLIVMDDIHQVKSFLEEVAETGAHDGRDVEGFVIR CKMSQDPATQPFQDWFFKYKFEEPYLMYRQWRECTKALIAGKQPKFKKHTKITEEYLL YARRRLVADPKLGKEYNSNHGIIALRNDFLTFKNLKGADAANLGDLDCPAMTEVTRDV ILCPIATIGCGKTTIAMGLSHLFGWGHVQNDNISGKGRPPRFTKMVLDELKDHSAVVA DRNNAQRHERKQIITDVKLQHSTAKLVCLNFKHDEEAIDEIRRITQERIVTRGDNHQT IHAASDKDKFIGVMEGFINRFEPCNPHGRPDDGFDAFIDLDPTAGSRQNLEVVVTQLH KLFPNLVGEIPSSGALDAAIDYALGYKPEFRHDIPDRGKKNSQQQQQQQVKTPKPRKM EYMSVSIPTQDVNSALDKAFRNIPASTSRLYTQLKQTRRVQSKFHVTLLHKAASVNHP ELWEQYTALHKEVEAAGNPEGKVGECDVMLERVVFDDRIMAIVVRLADQDDRWQCVNR VAHITVGTRDNTVKPKESNDLLARWLEVGSSPETNIGEVVFAGKPTVKGTVMPVLSRF FPSE_10771 MDFAPYQSSPPEHSRVTSPDYTSPRPSYDARRSFSPVASPPPLQ HPQPQRGWGGFDGQSTGWAAISPDSRAFGASSTMPGGFPAAEVSEFDTSLGMRLDYEA CLAYVAFPPLGAIILLILERNSDYVRFHAWQSSLLFTAIMVFHLLFSWSTFLSWFFFL CDVALIAVLTLRAYKDAEILDRFEVPFFGALASRFLDDE FPSE_10770 MASRISRAASPCLRQLRRESQLTHTTWNKAFRSISTSPNCSAAV NDIRKPIDQAPATKPPSARPVETRKSQLIRTYTSLLRTTPLILFFQHSNLTAVEWAAV RRELKKALSSVPQPSSVPGKEPLDITPLVQLQVVRTNMLRVALKLVEFYDPEAAAASD KTTRTARGPIVHDLSAAAYDAIKNAEVPEDSNYAQIEPVMVGPLAALVLPAVSPAHIS AALSVLAPVPGKFPAPSRKKNPGYHDPTCQSGLAKLLLVGGRVEGKIFDQSGINWVGG IEGGLDGLRAQLVAMLQGAGLGITNTLEGGSRSLWLALEGRKGQLEDEAKGDQKNGE FPSE_10769 MSTLEELDDLDRRDKEDKKRDGDEKNQKKPTDGDADMKDAEEED DDILDEEILGLSTQDIQTRKRLLENDSRIMKSELSRLSHEKAAMGEKIKENLDKIANN RQLPYLVGNVVELLDLDPTAESSEEGANIDLDATRVGKSAVIKTSTRQTIFLPLIGLV DADTLKPGDLIGVNKDSYLILDTLPAEYDSRVKAMEVDEKPTEQYTDVGGLDKQIEEL VEAIVWPMKEAERFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTDATFLKLAGPQL VQMFIGDGAKLVRDCFALAKEKAPAIIFIDELDAVGTKRFDSEKSGDREVQRTMLELL NQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARAQILKIHSRK MRVDPGVNWGELARSTDEFGGAMLKAVCVEAGMIALRSGKNKIGHEHYVDAIAEVQAK KKDTVNFYA FPSE_10768 MRFVNAILAAVAINSVAASTWFPGSKPVYNKWHETEMERWLSDH DVPYPTPADRKDLESLIEKNWDAYVVSPYNSWDTNQLSAYLQSKGKETKNEAAASKDS MLSQVKANWYETENNAHSAWLNVKDWILDTWTESQLKAFADKHGIPAPQPRQRDTLLQ KARSSYEAIAKKANQASSYPGNWLYETWSESDLKEWLDTHGFPAPQPMTRDKLIASVR RNSRLAYLKAQDQAASATASAQGAYATLTDMIIDAWSESQLKEFCDKNGIAVPQGTKA NELRALVRKNRADILGDNLSASAASAFGAATSNAQNQYAKASDSASLAAQDAFNQALG TWSDTRLKSYLDARGVPVPQGSKKNELEALVRKNAHVAAHGGNAWTFDDFSYDNLRKY LERNGDAAAKQIAEKKDATRDELYDAAQSAYSSASSAGGSTWASATSYLTSATNSAKQ SAFDEWTEADLKAYLDSYGVPVPQGSKLEELKAQARKQATYFKYGTSSPSGTLFAKLG DTARDTYNWVASQLQLGGQAAKEKAAEVEAEAKAKVREEL FPSE_10767 MAPTTLPSRKRKVLAEPAPVDDELAGVELDGILSQSEDDSDFEE SDVQGELDDNSSENDLDEHDQDAEEDDDDDDDDDGAYSEDASSDAGDSFGAPPTLDAD NSELNYRVVKDANGGERYEYAEVDPVYDSDDSDAQGPVNTIGDIPLSFYDSYPHIGYD INGKKIMRPATGEALDALLDSIEIPKGWTGLTDPETGKPLDLTQDQLELLKRLQMNEV PNEGYDPYPDMVPYFTSIEEKMPLSAAPEPKRRFVPSKHEAKRVAKLVRAIKEGRILP YKPPEERQREDEEKEESYYDVWANEEPQDPHVMNIPAPKLAPPGYDLSYNPPPEYLPT EEEKEAWKNQDPEEREKEYLPAKFDSLRKVPGYGEFVKERFDRCLDLYLAPRIRKNRL NVDPNSLLPKLPRPEDLKPFPTLNQTIFRGHDGRVRSVAFSPDGEFVASGGDDGTVRV WALNGHQEWMAKLNSEEPVNMVRWRPNKETFILAAAAGEDLFFMIPSVASDAVEKASR EVLDVGFGYATNGAQSSAINGIKKEPPAKWSRPGAKLEAAGVLLMATVRSAIKVINWH KRGEYICTVSPTGQRSSVAIHAISKHLSQLPFRKLPGLAQTAQFHPSRPLFFVATQRM IRCYDLQREELVKVVQPGARWISSFDVHPGGDNLIVGSYDRRLLWHDLDLSARPYKTM RFHPEAIRAVKFHRTLPLFADASDDGTLQIFHGKVVSDLMENATIVPVKMLRGHKVIS KLGVLDVDWHPKHPWCLSAGADGTCRLWT FPSE_10766 MASTSPFFLSLILALLLTLVTAVAPIVDVSYSRYQGKDNGNGVT SWLGMRYAAPPIGDLRFMPPQDPIRSRQVKDARKFRLKCVGLQARPSLIGKSQSEDCL FINVFAPTDAARMARLPVYVFIQGGGFNGNSKPHVDGTGLIQASGMKMIVVTLNYRVS VFGFLSYGDKVQPNIGLLDQRKALQWVQKYISRFGEL FPSE_10220 MAVSGSVDSPVKQQLVDKLPKRFKGIKFGIQSNQDIANQAVLEV SDRLLYDIENNRAPYRHGPLDSRLGTSSKQGRCSTCQESLKDCNGHFGHVRLPLPAFH VGYLRFIMSILQEICKDCGRVLLEEPDRQQFLKDLRRPFLDNLRRTQICKRINEQCRK VKTCPYCGSLNGQIRKIGVLKLAHDKFVAYNKSTSIKKTPPESKIKFDNSFNEAKREN PELDKHLRKAMEDLNPLRVLNLFKMISPTDCELLGLDPAEGRPEMFIWQFLPAPPVCI RPSVAQDNASNEDDITTKLADIVWVSGMIRSALQKGSPVQTIMEQWEYLQTQIAMYVN SDVPGLQQPGFGKTTRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLGIDQVA VPELVAKNLTYPERVQRHNIEKLRQCVKNGPDVWPGAQRVIKSDEGGYLQSLKFGNKE YIARDLKFGDIVERHLEDNDIVLFNRQPSLHKLSIMSHLAKVRPWRTFRLNECVCGPY NADFDGDEMNLHVPQTEEARAEAINLMGIKHNLATPKNGEPVIAATQDFITAAYLLSG KDRFFDRKTFTYLCMHMMDGKTHLDLPPPAIIAPQSLWTGKQLFGMLMRPNKDSPVKV NLDAKCKTYKARPGQCPDMDPNDGWLVVRNSEVMCGRMDKSTVGDGKKDSIFYVILRD FGPDEAVTAMNRLAKLCARQLTNHGFSIGIGDVFPPESLIQEKERLAAAAYKQCDDLI ETFKAGKLEKAAGCNQEQSLENFMSGILSKVRQQAGSYCVETLSRNNAPLVMAKSGSK GSDLNVAQMVALVGQQIIGGARVADGFQDRTLPHFHKNARQPPSKGFVKNSFYSGLSP TEFIFHAMSGREGLVDTAVKTAETGYMSRRLMKSLEDLSTQYDDTVRTSGGGIVQFQF GADKLDPVDMEGSAAPVHFERTWTHAQSLTVDNTETSMTPDEIRSFCDSKLEHERRRY VRKGLLGGEVLDYEDTSDYGIDEHEGARGFLKAIERHVEALASKLERVRKLAGFEGDR MIRPTAQDHADRTAKVTLSTLQLFIKLCLEKYKKAHVEPGHAVGAVGAHSIGEPGTQM TLKTFHFAGVAGMSITQGVPRIKEIINASKAISTPVITCPLENNEQIEAARVVKGRIE KTYISDILRFVEDEWRTTEGNVVLQIDPTALSDMHLGIGPYDIAEAICKQRKLKVQRD DLSIDGDRIIVRVRDISDPAAKRTAARSKAAAAESGDMLLRANFLRRALPNVPISGYS EATRAIIQTSEQNTHTVLVEGYGLRECMTTEGVIGTKASSNNVMECRDVLGIEAARTT IAVEIGSVMGDMNIDPRHMQLLADVMTYKGEVLGITRFGLSKMRDSVLQLASFEKTPD HLFEAAAGMKTDQIEGVSECIIMGQTMSVGTGAFQVVRRLGIRDNDVKKKLTLFEDCW AEEIDFKRKANRKR FPSE_10219 MAPVAADKKTEKSYLSSAVDSINPWAASRSTTPIQKPKAEPDSL LAAAPANPDDHSTTHLYGQSFRTYPSGCPPPKVQWFHAVDVPKRKPKLSRSTEPEQVS TLPKKFNAFSTSDSRALEAEYQKLLEKTESTRSQALGSTNVATRKRKAEVTGDATDDI SDQAAGIPCSQPTGTRVPVNEDFLFDVDIESRELAPVYWLGPVYSVQRGTWFYQEGSN LRPCEENLAAQLEEGYLKMKPWLHPTRDRSPSGTRRNMTPKASQENLRSSTKGPVENT AVSQAGDASPIEPPSRGQSEPQSYRLFGTHMNSMATYHDTNTAYLNTEGMLSWVASSM YQRFSGGSYMSGVKLVRGYSEPSKNKEKDAEKGKSDENKSETGEDVLPMDEKQQKVLK RRSAPPSTRASQEEPTQEGVKNSPSQDPRQSTLQRQLSSLLESEGKTKAEKEEEIRRR QEQEIQDDYNAQAGETQGREIEHLVLVTHGIGQLLSLRMESINFVHDVNVLRKTIKSV YANSADLKALNSELGAGPGNSRVQVLPVCWRHLLDFPKKREKKGERDLGDLDGDEDEY PSLEDITIEGVAFARSLISDLALDVLLYQSSYRAQISKIVLNECNRIFKLFRERNPEF RGKVHLMGHSLGSAILFDLLCQQRRDQAPVPRSTLRLWPTQDISETPSKDSDLNLEFK VEDFFCIGSPIGLFQMLKGQTIAARQNIPAGNVTNDTGVGTSDDILRPAPLALGSGQI SSVTGLPASVASPKVQQLFNIFHPSDPISYRLEPLISPSMSTLKPQLLPYTKRGIFGN VAPQGLTGIGAKVGQSVSGLWSSLSAGIASNLLNRSLGLSSEEVARLTANASQGDNTD AAGAKLATNQDVSSAAEKLEATDARMKKLADSAIGSVGLDLNNGDRNPTLIDDEIETL YSSFQKSRQRPTKDEDNATTAADEDKKARKMRNEEAKVRALNRNGRVDYSIQESVLDF NPINTIASHMAYWGDEDVNHFVLSQLLSSRSSFRSNPGDSTTKESI FPSE_10218 MSLCKVCEEALVLRLETDDDEIEASGTAGPSTVSNSSVPDDLEL SCGCHFHWQCLLDQSTDIALSLKCPSCSAHLPVNSAGPSVTNPFLSTPPGAAIITTYT NEGGVQEGLDILPSITEEAYLDNHPEARPARALHVMCAEGDIEGIVELLQDASDDIED MVSFVTYQDPLADMKSGLHLAIECKQEESLWLLLWLCSTIPNSSFPENARSVAGALGV GRLSVNSEKDIRGLRDSHGRTAADIAQRQQGQWGLILQAGLLSL FPSE_10217 MNVLKLQRKFPQFQQNEIFTLSDAFQRLDVDDKGYLDEATAIKA TQQSENQPYDVVRQALKEVELDSSRRVELEDYVSLVAKLRDSSPAQKRMSTGPTSSPG GGGGVVAQRTGGHASKGSLSGKIQVQGSNANITHTINEDERTEFTRHINAVLAGDADI DSRLPFPTDTFEMFDECKDGLVLAKLINDSVPDTIDERVLNIPGRKIKNLNAFHMSEN NNIVIESAKGIGCSVVNIGAGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYRL LDEDETLEQFLRLPPEQILLRWFNYHLKAAKWSRTVNNFSSDVKDGENYAVLLAQIGP EYGVTRAPLQKQDLHDRAEAVLQEADKLGCRKFLTPKSLVAGNPKLNLAFVANLFNNH PALDPITEEEKLEVEDFDAEGEREARVFTLWLNSLDVQPAVVSFFDDLRDGGILLQAY EKVIPNSVNPRHVNKRPAHGGEMSRFKAVENTNYAIELGKQNGFSLVGIQGADITDGQ RTLTLGLVWQLMRKNITVTLSSLAQKLGKREITDSEMVRWANDMSQKGGRNSAIRSLK DPSIGSGIFLLDVLNGMKSSYVDYDLVTAGQTDEDAYLNAKLSISIARKLGATIWLVP EDICQVRSRLITTFIGSLMATHEKM FPSE_10216 MISSVAPGDYPHSDRSSGHAASETRRPMPRSLGEALRAVGTTLD TPGSETPSEEDYEENVRPTLAEPSAVRNRYPYARHGRPLPDTLPASPSTAEPESRFKH RDSGVYIVSDEDNSLQQLLMTSSQWSEESSGPKVRRRKFADLVFTRQFSAFDRHNPSA FNSPFHGFYTLFWLAVFLFVLKISVQNWQIYGNPLGTSDIMKTMFHRDVVVLLLSDGI MCALTAVTWLNQWLVYANYLDWNGAGWLLQHIWQTTFLAGVVGLTLWRDWPWTHTVFF VLHGIVMLMKQHSYAFYNGHLSSVYKQRATIIKKLKQLDLVDPTMTPSQTEPPASAIS THHLSVAPSAEERRKSISAQPEKEESDIEKISRAIASHEPLDDQQIALFERIMKWEID AMSDELRGTALTADKAYPNNLSFVDHYKWIPLPTLVYEIEYPRSDSIDWSYVLEKAVA MVGILFVMVQVSQYSMYPVVMKTVEMKENDVPLIERLQEFPGLLLDLIFPFMMEYLLV WYLIWETILNILAELTYFADRSFYDAWWNSVSWDQFARDWNRPVHVFLLRHVYHSSIS SLKVNKHTATLITFFLSACVHELVMWCLFKKLRGYLLFLQMCQLPYTIGEIFVL FPSE_10215 MSRGGTTLYVTGFSHGTRARDLAYEFERYVNLAHAHAHAHVHVR VLVLSSSRAPSSIYGRLVRCDIPAPRSTSSRLFAFVEYEDRRDADDAYHEMHNKRIGR DDILKIEWARTPPSASWRFESGRDRDRRGGARSPRRGRSPSPRRSTRDYSPRKDDRRD RDRDYDRESRRDRDRSRSPDHRDRERDSKDDREDRDRRENGTNGDDRKPLDSPPPANE DLDVAE FPSE_10214 MISRWPPCLTGRHICPVFSLQKPVMPLVRWSSSGSRWKQRQGRD SYARGAKVQGLKSRAAFKLLEMDSKYRLFKGSGQTVVDLGYAPGSWSQVAVEKTKPHG RVIGIDLIPAQPPRGVATFQGDFLSPDVQEMVKNFILESHRRPPPLQDEGEPENSTPD EAAALDRPSYLDMERHAAQDEVVLSDMSEPWDQTTGFNVNTLSNPYHRLMNTSGNAFR DHAGSMDLCAAALQFASDTLRSGGHFVCKFYQGSEDRDFEKKLRTLFSKCSGSNQLES TIMTAAMREKFIVEFCDT FPSE_10213 MKVRSSERVARINELELKHQGNVNQMELTGRDEEARLLKLRLLT LRDENASLKDRLVQRDALVKQLSKQSSDTQAELKTSKSKLKAQDTQIRKQSNALEDLK TEIDSLNEFRQDSNKVLQEKLALSRKLEQIQPELEHLQSQLENHRAIVAQKQDLERQL SSAEVELENEKRSNQRIQSKNQNHDDEWKEQFDEAKGEMERLKKEHSRELREVRGEYE MLEGRMEDTKSKLKKTQTDLKDARAELASCRAELEEARKMMANSKLNKKNAVVKEQLV GKRRAHDISMEDISIGTPGPDETTLRRPFKKRGAEQALVGEKSTFSITPFLNRSKNLS EDMSDEPSEVHSPTGRTVNGPEPVVPLEEEVVEAGGSVSIEAIDEASESDDQADGHVS AEEIEEPKTQKGRGRPRKALDEAPTTKKNMPVPAKKKAKATKAPGKLAAVSEEAEIGE AVAEPSARPKKMLGLVKSNPAPALSKHGADDVDARKKKRKVLGGGNKTLFDDDDDAEP VPNPAKIQMGAGRRAKAPLGGSRSAFGGATFSPLKKDRRGVGASFLH FPSE_10212 MRFLHSLLSFALLATGVVAAKKSSAERFNEFHAKQISTPLKLKD STYKSLTSTPRDYSVAVLLTAVDARFSCQLCREFQPEWELLGKSWNKGDKAAESRLLF GTLDFVDGRDTFMSLGLSTAPVLLLFHPTVGPHASVKKEPDRYDFNTGPASAERVQSW LARSLPDRPHPSVKRPINYMGWIVSITAVLGVLTAAMVAWPYLSPVFQSRNLWAAISL MVILLFISGHMFNHIRKVPYVTGDGRGGINYIVPGFQQQLGLETQIVAALYGVLSFCV ITLAIKVPRIADAKTQQVAVIGFGGLLFLVYSFLLSVFRVKNGGYPFSLPPFM FPSE_10211 MAEQLILKGTLEGHNGWVTSLATSMENPNMLLSASRDKTLIIWN LTRDETQYGYPKRSLHGHSHIVSDCVISSDGAYALSASWDKTLRLWELATGTTTRRFV GHTNDVLSVSFSADNRQIVSGSRDRTIKLWNTLGDCKYTITDKGHTEWASCVRFSPNP QNPVIVSAGWDKLVKVWELSTCKLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLNANDEIHALVFSPNRYWLCAATASSIIIFDLEKKSKVDELKPEFPA AGKKSREPECVSLAWSADGQTLFAGYTDNIIRAWGVMSRA FPSE_10210 MTPKRSLSPIDGQQPDSKRPRLKDYENEVIQLEDDEISSIASLV PNPHYQARTGLQRSIAMVLNHDGFEGASPEAMESFTGMVETYLEGMIEAAKTLALAAR REHPVPTDFEHALRRHNISVSSLKPHLKPPVPKTQVFPGYVDVLPEDLDAYTTLPLLG EELSGQPDKDEKDYVPTSFPDFPSKHTYKFTPQEDTSIRDSKKIREEAARTAQQGEDA LRRLVRASKMRKQKEVKNLVERDTHGKERFRLWESTMKRFMATEGRGENTDQMEIADH SMIVNGEALFSRKEVPRAGKRSTALASKKVT FPSE_10209 MFSFDQASLPQITTRKALIGVDFQEDFISKDGALPVNEPEGFVE RTAKLSEAFRDVGDVVWVQSQFSETRHAPEEDIVITDKAPKSTQGFSRGRPSPPEKII EAGGPPDKEAFLSHEDAVCVKTDTPGCRIASVIEDSMHKTDAKLFKSHYSAFQSTHLL RVLRAKMVMELFICGSLTNVGVYATALEAAGHGMAITIVDDCCGYRTESRKSAAIASL IELTGCEIASYDEVMEVIQPKPKPPKSRSTRPPTSSKALEQPQTVKPGSKEVKGREKS TTPDFVKDMTSLRLASDSPSPALSSTTSPPKVLSIGAVEGHDSKTKLPKTKEPDSVNQ AMASGAPDIAQLENSSTNGKIADTVEDRADQATTLLQSTDKVSAINSYRSEGSVKDKD EDHRDQPPTPANKIQSEPKPIQTTPALDDKQNEEKAKESTTPTHKMQETPEDKKTIAS YDDNLGKRGLCEGDTDIIENALPDSLADGIFDKLRGEVQWQHMSHQGGQVPRLVAVQG EVGSDGSIPVYRHPSDESPPLLPFSPTVQAIRTETEKHLGHPLNHVLIQYYRDGTDYI SEHSDKTLDIVKGSYIANVSLGAERVFTFRTKRKDKDAAQDEASSPIDSKRTIQRAKL PHNSLCRLGLRSNMKWLHAIRQDKRPKKEKSEAELAFEGGRISLTFRHIGTFLNREET LIWGQGAKAKTRDESHPVINGQSSEAIEMLKAFGTENHATEFDWDAYYGKGFDVLHMS NAPRFFACRDPITNMRITMMLAEFGVNHAKGSITTGSEPLSSDNLVVKFVDKDKSIVQ GDFAVMLYIDARYGIGIPGSTLQAPAVLATRLTRFQRAMTFLDTWRNLPKADDNGTRD IKSLKHELEVWDGFAEDDAQPFIAGTEMSLPDFAIWPVLHSMVEEAGLNTFDGLENLR AYYGKVGERPSTRKMLGRE FPSE_10208 MSLTGVRGTSRVLRSIAKPVAISTTCTRPASSLAVEDQQQALSA HLNKADPAVFDIIEKEKNRQKHFINLIPSENFTSQAVLDALGSVMQNKYSEGYPGARY YGGNEFIDQSERLCQQRALESFGLDPKQWGVNVQALSGAPANLYVYSALLNTHDRLMG LDLPHGGHLSHGYQTPTKKISAISKYFETLPYRLNETTGYIDYEKLDELASVYRPKII VAGASAYSRLIDYQRMREICDKVNAYLLADIAHISGLVAAKVIPGPFAHADVVTTTSH KSLRGPRGAMIFYRKGIRRQHPKTKEDILYDLEGPINNSVFPGHQGGPHNHTITALAV ALKQAQTPEFQAYQSQVLKNAKAFAKRLSEPKGKGGLGYKLVSGGTDNHLVLADLKPH SIDGGRVERVLELVGVAANKNTVPGDRSALVPGGLRMGTPAMTTRGFNEDDFVRVADV VDRAVTIASRIDKAARKAAEEKGDKSPGKIKVFLEHLGDGETQSEIVQLRSEVEDWVG TYPVPWNTSQ FPSE_10207 MSNGDSSEPGAMVTLDFITIKQALASSSTNVRITQLRSIEEKLT QKSVDDTSITRLLQLFFGTYAFYTDRQSRLSVQKCLVALISAGIDSKTIAPLIAAVRK ESQKQGIAPTNAFVLVEWCSLFMQHLDASLWDQFASDIILTDADALDKCHQSSARKSV AHSAIIVTRRGLRKLFSSSDSSENRLSSSVDILASKSAQSTPKNAVLLGVIAGVSARK DHLRPVLNTLKPKYYEFFTREIIGSRITVPEHVVLGLGDFFTSFATPEELSKELIPAL EKGLLRAPEVILGGVVTPLVRSLPESFDLSKILEQSLLKPLLSNAKSTNPAIRAGALD AFRALVRRSNDTTSLEKVINEIATTLKGGKLASPDHRILHAQMLEATPLSTSSAEQVA SAVATVAAKEGNEGALAAETSALANAITFLLAQDGEVPKPVLDSVTKGLAEKKIPSRK YWLLRVGVILQSLAEAQSVSPGMVTFVEAVVPKMVTTLTEVTANAASAAQSGLVVGAY ILTAVSPHIYRLLPGSTAESCLTKASVTKQSLSLDPKSSFLLSHRIYSKLTANEDLEW FARALSSVFQSLDQKVDKHVALAWSEAFIHLVTAHSVPAAIQQESSKLLSDIYVHNPR LVSSFIINGLWDHLEHSGSGDKEHSATSHNLIQVVKSICLTPNEISKSGKSVAKEDLE SQANSLLVLARSELISRANWIDLCLRMELDPGNLVTKYQDELMAEIEARTSFDQKVGA VKTAAYNAAADLAFVAPETIIPRLLETLRRDLNAEQLQDIGPVEAAIYRTAEGTAFVD VLAKKSQQVLDKNKKDYDILKWEEELRSQLDKKKGQQKKLTPEENAKVNAQLKKESQI RQSITATVARLLRGIGIIRSLATGPPTDATQWIGTAVSLLVGIMDAGATLITGDEAPL AYLTCAEKVTERLGPMRPFVGIAALRLRGVSLSENYQEEAVEDLITRVLYRLRFAGEQ RPFDSVSLIYALPLVLDLLRKGGVGSSADDADAQLVLAIEFLSYHTDICADEAVPRAE LLSVLISSMQAYAQHYKLLKDCFADMCRCIAPNMDQEEMVVLAKGTLVPETRVRSTVL QSISAEVDMSDLGYSDEIWVAAHDDEEENQDLGREIWEESGFEVTADMPLRMLPFLES KDGQLRRGAARSLAEAVSLYHEALEAVLEQLKSTYIELAKPRVQQLDEFGMPKKMDLS DPWEGRQGIATAFKELASVITADQLDPLFDFLISAGPLGDKNGAVRSEMLDASIKAIE IHGKTILDKLMSKFEQTLEQPDTNSDAADRVNEAVIIMYGALARHLSPGDPKIPIVID RLVATLSTPSETVQYAIAECLPPLIQACPDQSSKYFGQILEQLLSSKKYAVQRGSAYG LAGLVMGRGIASLREYRILPTLNDAVENKKEANQREAALLAYELLATMLGRIFEPYVI QIVPQLLTGFGDANANVRDACLAAAKACFARLSSYGVKKIMPTLLNGLEEQQWRSKKG ACDLLGAMAYLDPQQLANSLPDIIPPLTGVLNDSHKEVRAAANRSLKRFGEVINNPEI KSLVDIILKALSDPTKYTDEALDSLIKVQFVHYLDAPSLALVTRILQRGLADRSNTKR KAAQVIGSLAHLTEKKDVIMHLPVLVAGLKLAIVDPVPTTRATASRALGSLVEKLGED TLPDLIPGLMQTLKSDTGAGDRLGSAQALSEVLAGLGTTRLEETLPTILQNVESSKSA VREGFMSLFIFLPVCFGNSFSNYLGRIVPPILAGLADDIESIRETALRAGRLLVKNFA ARAVDLLLPELERGLADDSYRIRLSSVELVGDLLFNLTGIKAGTEAEDIEEDENIKEA GASLKETLGEDKRNKILSALYVCRCDTAGAVRSAAIAVWKVLVHSPRTLKELVPTLTQ LLIRRLGSSNMEHKVIASNALGELIRKAGDGVLSSLLPTLEEGLQTSVDVDAKQGICL ALRELISSASPEALEDHEKTLISVVRTALTDSDEDVREAAAEAFDSLQQIFGKRAVDQ VLPFLLNLLRSEDEADNALQALLTLLTETTRSNIILPNLIPTLTTPPISSFDAKALAS LSKVAGPAMNRRLPNIINSLMDNEINCKEDGLREELATSFDTVIQSIDEYDGLNTVMN VLLQLLKHEDHRRRAATAHHMANFFAAASVDYSRYSQDIIRSLLNSFDDRDAGVVKAA WAALSAFTKKLRKEEMESLVISTRQTLQRVGVAGANLRGFELPKGINAVLPIFLQGLM NGTADQRVQAALGISDIVDRTSEASLKPFVTQITGPLIRVVSERATEVKSAILLTLNN LLDKMPTALKPFLPQLQRTFAKSLADPSSETLRTRAAKALGTLIKYTPRIDPLIAELV TGSKTADPGVKTAMLKALYEVISKAGANMGEASRASVLSLIDMDTDERDEAMTITNAK LLGALIKNVPEEAALGLLKNRVATPHFTHSSVLALNSVLAESPDALLQSSLVDDLPDL LCQGVTNKNVFVADNCILATGKYLLSDSAKTFETTKGIFEALASVIQPGNATDSRRLA LVVVRTVSRNDMEMVRPHVALLAQPMFASVRDPVIPVKLAAEAAFVELFNVADEESRI FDKFMAGAGADLAPNTKRSMGDYFKRVAMRLGSQARERREAEGGQGGLGLSNDEAEDE KEIWSVGKVDVGSEAFTVNLRTQKRLASAVIGCGERKIWLDPNEQSEISNANSRQTIR KLISDGLIIRKPVTQHSRSRARELNLARREGRHRGYGKRKGTADARMPSQVLWMRRLR VLRRLLVKYRASGKIDKHLYHELYHSSKGNAFKHKRALVEHIHRAKAEKARETALQEE MDAKRAKNKAARERKQERAAAKRNALLAEE FPSE_10206 MAGGTKKKKKPAANPARGFATTSVASKPRPEVTEPESKPAVAKS QDAPPSTQKDAPPSSSTAGNATAAANSQEAALSPEEFEKHLEESELQILVEKHATKTK KDALRQRNRLDTDRRLFRSQADSINSFKWLPQDLMNHILDLIQAENRFTASSLSSSDS TSAGKMLPEEEMIIRLWTLQQTLTAAEFPTERVAAVIRYILDIAPNVSTTSRESIWGL EEALDWLARECRTDELPNYEPKSKPILKSTTDTPQDSPVPSRSSTPRHADPRNGRKNK SSGVKGRPVKNSPDKKMVVACDSDIEPDELVSKYLESRAKLLEYERGRPENLLISEHD EQLAIAKLEAKIRKIESDVLFDKYEADQQWKVQRVDLERQLAAAKHDRQDQAGENLVV EREPASEKTDVGDISNEAERIAAEILAEVSDEDDDIGGLFASLPQNEVDASTGESRTV VNSTDGNQVVIRDFGKSTGVSPRRALEEACRSRDSMAKITYTIVSDASFANRHSVDII WTKPQDLPPPAASSEVEVVADHFRFTFTMTSIATPDSKQSESYISTAALFYTFSGNTK DEKVAIRLHHVWKDVWAELSEARKAQIDEQDREVMRDLRSLVRERHDQELEDGVIIQG AFRGRGSKHATESGDDGSHDRSRQNTTNGEYYQKIWADKSSTRKFQTMLQSRMQLPMW HFREQVLEAVDGNQVVIVCGETGCGKSTQVPSFLLEHQLSQGRPCKVYCTEPRRISAI SLARRVSEELGENKNDLGTNRSLVGYSIRLEANTSRETRLVYATTGIVMRMLEGSNDL QEVTHLVLDEVHERTIDSDFLLIVLKKLLVRRKDLKVVLMSATVDADRFSAYLGGAPV LNVPGRTFPVQVRYLEDAIELTGYAPADSEPDKMLDLDDDPAESEGENTKSDISKSLA SYSSRTKSTLTQIDEYRIEFDLILQLIAQIAINDQLQDFSKAILVFLPGIAEIRTLND MLLGDPRFAKDWLVYPLHSTIATEDQESAFLVPPPGIRKIVLATNIAETGITIPDVTC VIDTGKHREMRFDERRQLSRLIDTFISRANAKQRRGRAGRVQEGLCFHMFTKFRHDQL MSDQQTPEMLRLSLQDLAIRVKICKIGGIEETLGDALDPPSAKNIRRAVDALVDVRAL TQTEELTPLGHQLARLPLDVFLGKLILYGVIFKCLDMAITTAAILSSKSPFSAPFGQR TQADNARMAFRRGDSDLLTIYNAYLAWKRVCQSAGGGGKEFQFCRKNFLSQQTLANIE DLKGQLLTSLADSGFLSLTEEERRALSRARFSGGRGRRQQQFYDIPRRVNLNSDNDVV SASVIAWSFYPKILVRDAPGSKGLRNIGTNQSISLHPSSVNRGRLDLRWLSYYHIMQS RAVYHAHEATAVEAFPIALLCGDVRCDMYSGVIILDGNRGRFVVPDWKTMLVMKVLRT RLRELLTRSFKQPGKLPTAQQEKWLDVWQRIFTQDFGQDRSTTGMTIKA FPSE_10205 MANPVGEENWLAYLEEIVRNASNLEQRVNAVEHYKLAVGCEPGS LHIWLAYCNYFWSLWEATQIPTGEGWTDEERMLGRELFSLSAALELWNQGYEAICYRL GDSHLLWDRWISLEMELLAKTRTPDGIKRITHLYRNRLLLTPHLTWDGTSQKFSTFLT EYNPSAYEDSMKDIITNAQETKRLIAARDPYESDLRKAVDADDIEAQKAAFNAYLEWE MLQSKRSNDSPEIGIDLCRGLYARALTGALATDQNTWHEYVVFLSSSNTNLQEPSSLL DVLRRAVQHCPWSGLLWNRYIMCAEEARLPFGEVESIKHAATSEDQLLKDGMEGMIEM YVAWCGFLKRNAMDAAASDEAVDVADVGLGAALEDVSVVGQRLYGKDFQGDPKFRLER IYIQYLTEKKGKVDDARKQWNKLASMQIHADNHDFWFRFYMWEMLIFSSGGGQDNRSP TPSSGGGTYRIPTLATAVLARAVARRTIDWPEKVLEVYMQHCNDYEMPLPLRKAADRV HKTGKEVKRRREREEQEKAAAYASYYTAPEPEEQAVQSSPGGSKRKRDIEPDTTDGIE SNSKRQKNGSNGAGSDQTPAAQPPKRDRENATIIVSNLPVDVTQTKVRQYFKDYGHIN NVTALVHDEKTDSSTALIEFSSSEEAQSALLKDGKYMNQSRLTVKSGHRLTVYVTNYP PAADQNYIRNLFRDCGEILSIRWPSLKVNTHRRFCYVSFRDQEASAKAVELDGTVLEP DGTVLEKRFKLVVKYSDPGYKKAREGALAEGREIHISNLDRSISETELKEVFSKYGNI TRVNIPATLAGKNKGFAFIDFAAKEGAEKAVAEMNNTKFRSQILAVALSKESRIKPAA KTIVTDNARGSPAPSSHDVDGDQAMQDASIGAQGKPSAAEISAKTIAVMGLPDTVNDA RIRALVEPLGAIVKMTVRPGNGGAEIEFADAAMAGKASLQLNSMEFEGHKLRTGTPDD LRKAKAEYIPDRIITGRKNKDHEQKPNGPGASSTMFMAPVTALRRPVLGKPGPRRGLG FKPSSAKPTQNGESDTSVKKSNADFKAMFLASGGSEEKKEDKGETEETEP FPSE_10204 MHFSLSTVLALGALHTFGVYAQDCINTCVENVKPTVGCADPSDA SCVCVSNEFVKGVAECARAPACAAADDAITNAISNGFCVGQQVPAFPGATTTPVESAA TSEAVAATTSDAPAAPETTAAETTPAALPESSEPSVTSEAAATSEAPETTPTDPAESI VSTATEEVSASSTDASNSSTTTEAVDAAATSDSAKDEDEDESAGGGMSGGAKAGVGIG VTIGILALLGIAGFFFWKKRQNQQNLPRGNMDDMSPPMAARDRSYPAPDQGSIGEKNG YDLELMSHRYEDMLPREEPRHMV FPSE_10203 MSLRLAAQRLAVPARTAHTAFNTPIPVLSATLGPTVQRRHKWAS NLFKGWGKPRNKGAETVASLDPTSQLDNPKSREEYLQKSMYSGVEDNIFQDEIETASP EAAPPGTPEVEQKTKENMAMVVDPDPRSRVRWQRKKVIQMVRRNGRLTKDERIQMTER QLLHKSEFMPTSVKKLVMLARQIAGKPVDDAILQMKWSKKKMAAEIGYYLEEARDLAV AQRGMGLGKFNDEILKTPKKIQTIDGKWLEIEDPTRMYIAQSWVGRGPWRGKRIDYKG RGRMGIIRHPSTSLTVLLKEEKSRIREYDERVAKKNKKGPWVHLPNRPVYGQRPYYSW FPSE_10202 MAVQPIIGMLRRNLVLDLSIALGSGFVMANFFWYGFHMPRTNAR DNYYIKLEEERAAQKNE FPSE_10201 MSHMAGRTSQSGGIESTFYIDNENGVEMRTEYIRIRSSPWENDI ILRSLLSLRMGYPCQVFHASELPERVQTNLNGRKRKLGQGAKNVDLNGCELLEMLQYK CEIKEPVKWDSPVQCFAVERLFRNLDGGWQNVLMICFLRVDVRTRKVRSWSRQQRGRV KIILWRDNRATMGKTAETRRPLSTTTGPQAGTRPTQQDKD FPSE_10200 MSSQQIEFFKDNLPDHGEVTTPDSVPSSPELGSSSSSDSIASLG SPASPLSPAVSQPVADSFVFAFDIDGVLVRGGRAIPEALQAMKVLNGENEYGIQVPHI FLTNGGGKTEEERCNDLSGQLQCDIKPGQFICGHTPMREMAEKYGTVLVVGGEGEKCR HVAEGYGFKDVVTPGDIIKHNAATTPFRKLTPEEHHNSRERDFTDVVIDAVFVFADSR DWAGDIQIMLDVAMSKGGRLGTRSETNDEGPPFYFSHNDVVWSAAHEHVRLGMGALRR MFEVTFEDLTGGSGVLHTHAFGKPQVSTFEFASRLMGQWRQTEHGLVAPPDTVYFVGD TPESDIRGTNAVNKVADNDWYSILVKTGVYQEGTEPAYKPRVTVQNVLDAVNHGIKRE MDKKAAKGQMTKPALIPDSGITEIAADMDGITI FPSE_10199 MLMPAGLLANKTAIITGGTTGIGRAICLEFLRQGANVVVNHLGL EKDQTHLDSLIAEADEIRKASPTAGHLDHQAGDVRDPATAIELVKKAVEHSPKKRLDI CVSNAGICTFADFLTLEPDLLHSTVRTNLDGAFYVTQAAARQMALHQEPKGGSIIGVS SISALVGGGQQTHYTPTKAGVLSLMQSTACALGEHGIRCNALLPGTIRTQLNDADLAD DTKRAYMEGRIPLGRTGSPSDMAGPAVFLACPELSGYVTGAQLLVDGGLFVNLQ FPSE_10198 MSSVKDFPKIKAIRSFIIGGVGSGGDYHNVKGGHWLIDSDISTP ASKWEQYKKSRTSWGINVLGSFLVEIEATDGTVGFATGFGGPPACWLVHQHFERFLIG ADPRNTNLLFEQMYRASMFYGRKGLPIAVISVIDLALWDLLGKVRNEPVYRLIGGATK ERLDFYCTGPEPTAAKAMGFWGGKVPLPFCPDDGHEGLRKNVEFLRKHREAVGPDFPI MVDCYMSLNVSYTIELVKACLDLNINWWEECLSPDDTDGFALIKRAHPTVKFTTGEHE YSRYGFRKLVEGRNLDIIQPDVMWLGGLTELLKVAALAAAYDVPVVPHASGPYSYHFQ ISQPNTPFQEYLANSPDGKSVLPVFGDLFIDEPIPTKGYLTTADLDKPGFGLTINPAA RAKLIPSDYLFKVPEIPQNPSTDKEIKSNEQPDKLNGTLDSLAAKVESLTTSTSS FPSE_12299 MQNGASKMSGDGSVENRPPSTEADSDEANQFHSEDEDHGDHETL CRNGKRKRPVSVSFNLLCLQLYKISPTLKLILPCPDVSCVKCDRGQPSCGWCSRNGAV CEYKERKKPGLRAGYGRELEQRLDKLEEILRSHAEIIHGSLVSNTNPMFAASIRQSNP SLTSEQGTPRDTHPNLFRPSEPVRTPQTDPALFGQKAHGSNFAPSTQSLDFSIGHTPK MNDGYHGQNRSSFSGAAAPSQLSPIQHNNATQEYYTSVQPNIHSPTAPLSSAAGASAP DRDMPPYDLLYALVDLYFKHINTWCPILHRKTTLDSLFGPSALDETDRVLLHAIVATT MRFSTDARLTEERREHYHRVSKERVLLYGMENSSVKALQALVILALDLCGSSNGPPGW NIMALITRSVVQLGLAVEVTSSTVSPHYLSIYTLRAMTLSEPKDFIEEEARRRLFWMI YLLDRYATLATAFEFALDDKEIDRTLPCRDDLWIKNQKVDTRWFTTDLHSGSPGHDID QPENLGAFAYYIEILGILSKIHKFLKQPVDISALSDVEQWQMRYKELDNMLTSWKFSL PGEFGNMAKLFNPGSKNINCAWVMLHATYHTAVIRLHSSAAYPTTRSPIFTPSYSASQ RCHGAVENVAALGEFVVNNGMLAKLGPPFAFTLWVSARLLLVHGSTVERKLSPQLPFF VESLREMGRYWPVAARYSQLLQRVLDEHHDSERQGDGITPSSVKILSDMRRTAFDLDF LISRQPKQSGGTALSRLPSVTPARTPAPNELEYLDVFDFFNVPRLPFGGENISSANSA LAPPGTDMDASNDSGTGLGASNEFNITNFMVDANSDWLFKQEGGPKYMAAT FPSE_12298 MASKPATEVVTSEKREVEKMRKSLPLWKKLTAIGVTLAVIIALS VGLGVGLTRGKGGNDRHDTSSIESDDSTEPYLKARGSLWQPKVGATWQIILLKPLKLN KDGTAKNLKPNVGIYDLDLYDNDAETFAALHKAGKKVICYFSAGSWENWRDDKNQFKK ADLGKTMDGWPDEKWINLRSKNVRNIMKKRIKYAAEKGCDAIDPDNVDGYQNDNGLGL TQKDSIDYVKFLATEAAKYNMSTGLKNAGDIIKSVLPYVQFSVNEQCVEYSECETFAA FIKAKKPVFNIEYPKGAPKVKEADRKMICSKKGKAKGTDGFSTVIKKINLDGWVQYCT GNIYNTPVED FPSE_12297 MKTFSTQVMAVSALLAGLSQSFEMRRPPPVELVKGFDWADPFTL NSMAAFQPRCDDKKQFEVLEYTLAELMEPPPKGLKPWAKGLKAVFANREYPGGWLGLD PHAGGRSVLLMNYDRIPLVVREWIEQQERTDGEGKALFAVLEKPKNDEDEIEEVVKFP EADKINRANDKDKVAVFAPGALYGILPLWAAEASECKDQLVDLSKYSPQPADGGVVAW VLHSERQSDQKTKVDIRVQALKGKKAMSGQEQGQAKTASREEL FPSE_12296 MGSFTFKWEHPAEEVYVTGTFDNWTKSVRLEKEGDVFSKTVELK EPEGKIYYKFIVDGNWIINQSAPNEPDLEGNVNNFVTPDQIVRETPAAAILNTVTLTS TTAAMASEQPLENKPTESTTLADKSTQEEKLPLETPSDIPGGFPITPANELDKVIGIN PLPASEGAGNPIKLEPGEKIPESITAQNIDSHVKLDNDSYEKSDAYPGIETELPPVSS NTIPESSLPIIGTDVLAFNSAAPTATTAGLAADVPLESNGAFVPEVVRESQEKANAVT EASTDPTEVKEKTMVEEELKGTVPEAPATSVGTAGVGTEKSENTPDTSLAALAATAGG AVIAAGLAAKESVEEKAIPALNNATDAIADTANKNLPDSVKEQLPVTAQETLAAKNEE QIRKEVSPEVPVEVKESLIEAGKAPEAAANTEAVEEKKLVEAELLKEVKPVTGIYDSV VEQEQPKEQSKQVSPEVPVA FPSE_12238 AANTEAVEEKKVVEAELLKEVKPAATIDETPQVASEVPTEVKES IVEAGERPEAAASTEAVENKKVVEAQLLKEAEPVPAVGESKPVVETKADSAVESQETK TQDPAPVVPAVVLPTESKAEEHKTEAPETETPAVGNGSSTAGNGTTATGNGASTIENK PTDTSRNGSASAASAKEKKKNRLSSIFSKIKHKLSDK FPSE_12237 MNPSTPNVGKSVPAPSNSAASQTGPATSSFESSRRPSQAGFSYS QSMPRKVQGSRKQHRAQRRPGFGDRSNTGPDDDDDDDAMDELRAFRNPNSRRGQTSIT HLLNYSMPRPVQDHHSHSRSYRRTPTWGPGSGYHASDKARYVHANYRFILSPEGTYNK QATDADTHLDWSHVMQIIASSESQGSSCPICLSEPVAPRMAKCGHIFCLPCLIRFMNS ASGEDEAKGRGPRWKKCPICEDSIYMQDVRPVRFYAGQESPFPRPGDDVVLRLMARNA SSTMALPRESGSEALYSVGDIPWHFAANVLDYARMMKGTTDYMAAQYDEEITALAQQA KEDETLFGQNDEWSQKAIRAITAAKEKLTELEVVESSATSAKLSADADFYFYSSPPHL YLSPLDIRILKTKYGSFSSFPSTLLPRVEHISTGHVVDDAMRRRAKYLGHLPRGCVVN FLECDWTDIVPEDTLASFSADIERRRRRNRDKETQEERERLQAERLEAANIRKTTGYQ RLPEPPEDSAPRMDLEEFLPLSGHSGSTPPDPRPGFETLAEMSTSPSNQKTVWGTRVV NGSPEMAPAQHLDDVHDGWLRDEDLFDTADIAMQIKAIEAAESSVHPDSVGGAGPSSS GGGGKKKKKQKITLMSTGGRRGN FPSE_12236 MPLVTEAWERVQVARLCNDWYCGILTTSHWKSQARPLAGWLMNG QGLKDQSGGDGICFAFWNSHAASIAGFLSFTAKTKDLGRSFEAQRTLDLIYAPLYRE FPSE_12235 MSSSITSSGFTKPRREPRDTGFPEPLNNLRNTTLPHPDADFSPN ACLTQEDIDPDRALMRTRRSFLRKKRRTLNHGRITPASEALYSAYSLVQSDVGDHDSL QTTNPSLVSVRPTTPSIRISRDGTDSLASRTTRREDEDTPPTSPDVPSHRSKKGFLSK WRKN FPSE_12234 MASPTKDPITCHVLDTQAGKPARGIRVRLEGPIPPSANPHSAAQ PRANTFESITDEDGRVTSWLPFLSEDSAGEPPLQTLVEILEDRKGRGSSRWTLRFDTG AYFGEENTFFPEVTVTFRMEERQTYHVPLLLSPYSYTSYRGS FPSE_12233 MSTALISTEDALEPSLQSLLDQRSLRWIFVGGKGGVGKTTTSCS LAIQLAKVRRSVLLISTDPAHNLSDAFSQKFGKEARLVNGFDNLSAMEIDPNGSIQDM LAGQGEADDVNAAAGGPLGGMMQDLAFAIPGIDEAMSFAEVLKQVKSLSYETIVFDTA PTGHTLRFLQFPTVLEKALAKVSQLSSQYGPLLNGFLGSGGQLPNGQNLNDMIAKLES LRETISEVNTQFQDAELTTFVCVCIAEFLSLYETERMIQELAGYGIDTHSIVVNQLLF PKKASDCDQCNARRKMQRKYLDQYEELYAEDFNVVKMPLLVEEVRGKEKLEKFSEMLV APYVPPE FPSE_12232 MTSSVFFKFKSQREPTRVEFDGTGISVFELKREIITKSGLGDGT DFDLHIYTDDNSEEYDDDTTIIPRSTTVIARRQPALKPGAGRAARYVSGKMPVTAKNA GRKEQAAKASVSKPSSDAISQMNNAMTEEEKMAAMFAAQTEQWSAQQEEMSHQTPVFK AGAKRPANVPDHDPPNGYICYRCGNKGHWIQLCPTNDDPEFDNRPRVKRTTGIPRSFL QKVDKSVVLAQTDGDETKRPSGIMVNAEGDFVIAEPDKASWEQFQAKAKSSATTNAPA GDKEIQEQGLECSIDKKMFIEPMKTPCCQKTFCNDCITNALIESDFVCPACQSEGVLI DDLQPDEEASKKIQEYLKEKETAKSPPPLSPKASEGVKADGESQDKPQNEDIASTEQK VENESNDKTMASAKEKSKSPTSQSATIKTPPTGPKSLVSVPHDANKQVDGAKTSDANS KKRPADDILDNPRIPKGPKAMQNQQNQQNMMNGMGMNGMGMNMGMNNMMFNGMPMMPN MMGMPNMGNMNMGMPNMNMMGMPGMMGMPGFPGMNGGFGGMNGWDMGMNGMNGNMGNM GNMNGGMNNFQNGNFGQGVGPDEDAYFRKPVNPHRHQNKQRRVRPSDYREL FPSE_12231 MAPQLDGFFKQVDSSADHFIERLRKAVAIPSISAEDARRPDVVR MGEWLGDELKALGASVELRPLGKQPHKEHLDLPPVVLARYGNDKNKRTILVYGHYDVQ PAEKSDGWATEPFDLTVDDKGRMFGRGSTDDKGPVLGWLNAIEAHQKAGVDFPVNLLM CFEGMEEYGSEGLDDLIKEEAKKYFADAEAVCISDNYWLGTEKPCLTYGLRGCNYYSV EISGPGADLHSGVFGGTAQEPMTDLVRVLGSLVNTGGEIQIPGIKEQVAPITADEEGL YDGISFTMDNIHESLGSKTTIFDDKKRTLMGRWRYPSLSIHGVEGAFSAPGAKTVIPA KVIGKFSIRTVPDMDIDKTNEAVYKYVEDQFAKLGSKNTLKVYAQHTGKWWVASPKHW NFSAAAKAVERVWGVEPDYTREGGSIPVTLTFEEATGKNVLLLPMGSSTDGAHSINEK LDKRNYIEGIKLLGAYLHYVAEEPQT FPSE_01424 MVRESGISATKPIFPNFLLPSIKLPFARRHSASSSSAESAASSA VTTPASSPPNDGHLAKAGRNRLSRTSPNTLRCSTCSADIAFASQVISKGFTGRYGRAF LVAPPALPAPQTLSNIRIGKSENRQLVTGWHVVADINCGACSSKLGWKYVDAKEQGQK YKVGKFILEMERVVTYRSWEDAIDEHEEEPPATSKKSSDKEVEFDSDDDDECEDIFAG TWDPEVVEGRRRRMVARRSQAESDE FPSE_01423 MAAPSNHRSRGSDDLGVFDDAKSYYTEERHQNRAGPRTRTYSQN SLMSRFERVNLREPFRRGSHDENSQQNRRFLIQVDSTLESLSLQEDPNGNMQITIEDN GPKVISLRTAASAGHNRFDVRGTYMLSNLLQELTLAKEYGRKQIVLDEGRLNENPVDR LSRMIRDHFWENLTRRIDASTVDIAARDPKDWTADPRPRIYIPYRCPRQYEFYKRVAE ERPEMRLDVQMLPEEITTDLVRDMNDAPGLLAVDVQEVPEPEHPSGWTLKGMPFVVPG GRFNELYGWDSYMASLGLLINDRVDLAKSMVINFCFCIEHYGKILNATRSYYLCRSQP PFLTDMALRVYEKIKHEPDAKEFLRRSILAAIKEYHSVWVSEPRLDPTTGLSRYRPEG RGVPPETEATHFVHILDPYIKKHNTTFEDFVRKYNHGEIDEPELDEYFMHDRAVRESG HDTSYRLEGVCANLATIDLNSLLFKYETDIARTIRSVFNDKLTMPEEFCAGTPYQPGE VLSSAAWDRRAKRRKLTVDKLMWDEKEGMFFDYDTVKRERCTYESCTTLWALWAGIAT PKQAAEMVRKALPKFEAYGGLVSGTEESRGAVGLDRPNRQWDYPYGWPPQQMLAWTGL IRYSFTEEAERIAYKWLFMVTKAFVDFHGVVVEKYDVTRPADPHRVDAEYGNQGLGFR GVNKEGFAWVNASYIYGLQIINAHMRRALGALTPYDTLMKAIEQNEEKTLAGLLSS FPSE_01422 MASQNMANPSINPDIEDELFQKEVEAVKTWWSDSRWRQTKRPFT AEQIVSKRGYLPVDYASNAQAKKLWKILEHRFENRDASYTYGCLEPTMVTQMAKYLDT VYVSGWQSSSTASASDEPGPDLADYPYTTVPNKVGHLFMAQLFHDRKQRQERLSVPKE QRANLLNIDYLRPIVADADTGHGGLTAVMKLTKLFIEKGAAGIHIEDQAPGTKKCGHM AGKVLVPIQEHINRLVAIRAQADIMGSDLLAIARTDAEAATLLSTNIDPRDHAFILGS TNSTLKPLNDLMIAAEATGKSGAELQRIEDEWLAKANLSSFDDAVVAAIDAGSFSNKA GIKQEYTSKAKGKSNFEARAVARQLLGRDIFFDWDAPRTREGYFRLKGGCDCAVNRAI AYAPYCDAIWMESKLPDFAQAEQFAQGVHAVWPEKKLAYNLSPSFNWKTAMPRDEQET YIRRLAKLGYCWQFITLAGLHTTALISDQFAKAYSTVGMRAYGELVQEPEMDQKVDVV KHQKWSGATYVDELQKMVTGGISSTAAMGAGVTEDQFK FPSE_01421 MAPRGFEDEELTISLSSSHVRRPQQTQPQSQSQQQDDSHDAPQA ASRPADAPLKERIKTEQRIGAYKVLRTLGEGSFGKVKLAIHNGTGQQVALKIIARKKL ISRDMAGRVEREIEYLQLLRHPHIIKLFTVIKTPNEIIMVLEYAGGELFDYIVQHGRM KEPEARRFFQQMLCAVEYCHRHKIVHRDLKPENLLLDENLNVKIADFGLSNIMTDGNF LKTSCGSPNYAAPEVIGGKLYAGPEVDVWSCGVILYVLLVGRLPFDDEHIPSLFAKIA KGTYSIPQWMPTGAANLIKKMLVVNPVHRATIEDIRADPWFTTELPVYLQLPVEEFFN TGVDPNKAIKKNDIAPNASEKVQERLHNEVTEKISKTMGYGKSDVEEALQAAEPSAIK DAYMIVRENKMMQVNHNSEALLAEPEGSSPMLSMSSARSTTSQATTTPRPYVSKVGIL PSSLPAYHKDYMEREKAGSVENSPPKVLINDEPQLNRTDAEKEETARRLRPHSRSQLR IDEANTRPQGMTPINPPKKTKPVRWQFGIRSRNSPWEALLCIHKALYKLGATYIPDED YESRTAEERAEASGDSSFVDSHDGYRGSTSSIDPKKRYNLPADPWHINVRWDTSAIKK KAGNAPSVPSTPSSPSTPDGHHAKEPFVALHLDIQIYEMEHGVYLVDFKCSGYETAHG RLLEEKDVTSPFPFLDMAAKLIMQLAEAD FPSE_01420 MFKKVVKSPKAPFTNYLSYLDKEPPNERLVIGTTTQLPPTPQSF SENREFVKILDEVVSEYGHQDEDLINQARAFASPGGFNLGSGGVFFPQKRPDRSGSRK QGARGAAGGDGAGGASAQGGAGGGGRGGYVHLSDRRNPPDFGRIAWPEDILGSVEVDD AGTIIGKVQPSGTYRILTNEGILGLSPFLQGKLVERLKQEAAKRE FPSE_01419 MDKTPAPIFRKQRGPAPPSSAIPSSSPAFGTPVHPLKPFAVNAP KAAILPIILPPPTLRPLAFRTFTKKHSLTLTSSALQELAAFIGRHCGSGWREEGLAER VLEEVARSWKNRNGGVIVEGSSKEMQEILKTLEGNMSGGRITGPARGLPRGDSMLDLN DNDALNTRLGLRPAEVRREDSNSSFGISGLGVDEEQEETETNDPRAWLKIVNAYEQPR FIYNVAKKHFEKDPSPPSLLPPASHKTTVFRNRYHVIHQRLLRNESFQSSAVSSSRKH KLDHSLSNKQLLKLTPIANMLGRHGSSHMLLGLLVILPTGDLAISDLTGTIALDLSQA VAIPEDSAWFCPGMIVLVDGVYEEEDESVGKGLSGSSGVGGTLGGRFQGFFIGQPPCE RRKATLGISGPDGGQEQIIGGGFGWIDFLGVGSERALGTKMRRLESRLLRSRAEDDAP SRGRVVIIGELNLDQPRTLQALRKILSTYASEPEGSVPLTFVLMGNFTQYAVLARGGS GGGIEYKEYFDSLASTLSDFPTLLQSSTFVFVPGDNDGWVSSFTAGASVPLPRKQVPD MFTSRIRRVFATANGEAGGKTNGTAVWTSNPSRISLFGPNHELVLFRDDVSARLRRAS VRLKPKANDATADETQSSNPNPPDDVQPMDIDAAHHGDPTATAAASPASPLVPHDIHA AQKLVKTVLDQGYLAPFRQVIRPVHWDYASSLHIYPLPTAMVLLDTTAPPFCITYEGC HVMNPGSVLVPGRKGVARWAEYEVGREGKLRECTI FPSE_01418 MSTATSSSNSAWPPKKRPSQRTKLRNGTFIIPSTGERSRQEFSL RTSTNSALNNNNDNNPKFHSLDEAESITDRLQIITQNIKTAFVLVWLWLHTDEGHGVL KCVLAYVLGSLGTFFPPLTAFLGNRDGKHVSATITVYFHPARTVGSMLEASVIAFVAV FYAETISVLSMGIAIAMRSQFGLTGAAHALILIVCIGGGLGFVGWVKQRLNSPLVNVG STLASIAIISVITKEESVQGGYFDDDKIVQVLKLLLVGITCTFAVNLLLWRVSARQVL RRSIMTANSSLGDKLSSITKGFLSGTEDELWTNEYSRVSARYNAAYATMHSTLREAKF EYYFLGRESFYPLDKRLSRSVEGLSQALGGLRSALETQFTLLREVPSFEDSFPSPRST VLTPPLARAISAYLDGGGQRLSDIDESGESEEERQATRNKSDSALMVAPAFQEPSDIF ALFIDMLGPSIKSLAHTLSEILREPAFGKDPNKDVTVNEQLRESLRDALNLYNNARSG SLEELYRTIEFGRTRSEKIQADIEEVAAACGHFSFSLQAVAEETDAYLDVLEDVKYTT ETASRSWSWLKFWRRFNWTKSPDKNMMGDPEHESLIQKPPVPRLRKSQIPKGIPDTMV ARRDTFNWDAAPQASKLKRRFAQKMLQISRFVVREDILFGIKVGIGALLWAMLAFIPG TRPIYQKWRGEWGLLSFMIVAAMTTGSANTTGTARFKGTIIGATFAVICWTVSQGNAI VLVCLGGLVALFNFYVILVIKKAPLGRIALLAYNVSTLYAYSLSQDVDDDDDDEGGAN PLIFDIVWHRVIAVTLGIIWGIVVCRLLWPISGRKKFREGLSVLYLQMGLIWKRGPLT VSLQKDSTHNTLDYMREGEQAALQRYAFKLASLRTAAKSEFELRGPFPDAAYGRILRS TKNMLNGFYAMRLIASKRNELTEGERALLEYTSAERALLCQRICHVFQVVASCLMLEY PLTDAIPTVENNKDRLLGKIYQFRKEHMSADLLGDETPLAIQESDYALLYAYTLVTLQ VAAELNKVRAEIESLYGVLHDEALLLQ FPSE_01417 MDLPHLNQKAAPIRFPDCCLALSTKLLQILSDIFSKTTSLEDKP TVLSIGSGSGLLEAFLQDQQNSSDHGYPSFNVEGVEVQQQSGKDAVNKYLPEQAIYTV RGSWDVVSRLQDPDVTSLIEPQTYMFKEMRDILGINKTTDILEYIETLPKSEQSGALE SIRNIERKAMRTQTPQPGLMTLMAYLDNNAIPKAICTRNFDVPVQNLMEKFLEGSRFH PIVTRDFHPPKPDPAGILHIAKDWGLTDEAGEGDASGLIMVGDSIDDMTAGRKAGAAT VLLVNDVNRPLAEHAHTDLVISTLDELVAVLDDGFVGREVGPE FPSE_01416 MSDPFAPRSMKRKNVKGLALKAAAPRPPPTAETSNHEYSGSQDA GRDEQLEIGIEYKLDLRPEDLEILKELGSGNGGTVSKVKHITTGTVMARKVIHVEAKR EIRKRIVRELQIMHGCHSDYIVTFYGAFLTPNNDVIMCMEYMDVGALDRVSRVFGPVR VDVLGKIAEATLGGLTYLYTKHHIMHRDIKPSNILVNSRGGIKLCDFGVSGELVNSIA DTFVGTSTYMAPERIQGEKYTVKSDVWSFGLSIMELAIGKFPFAASEQVSDGDFAPAG ILDLLQQIVHEPAPKLPKSDAFPSILDDMIQKCLYKEPERRPTPQELFDRDHFVQAAK RTPVDLREWAVGMMERDNRKSHLAPQLSPSTQDLLRSSDSPTQSQQAQAQAQAQPEER SHTPTSGEIPIGGAGITSPRDQYGSNQNRSPPRNGHASSRTPASAHPGLGSRVITTNS IPKVSGYPDNVGPVSANAATFSLPVRPAPPGGPLPPPPPRKETPDELRRENRRQATFG LPPNPSYGIQ FPSE_03083 MSNSMRDLISGEAELDDEEEDESFDERGGQRRNKNAVEDSSEEE EDDDDEEEARKVREGFIVDEDEDEDEGGESDADVRPLHKRKREHRDREEEAQLDEDDL DLIGEQFGERPKPATQSKFKRLKRGTRDEDRGNQRRGLDDIFSDEEDDAGEQRAYNNR SSYRQADEFDDFIEEDFPDDPEELEQQREDAEVARPRDRVIGNIADTANLDKDALDDM EAIFGNGEDYDWALQMEEEEEDREREEQAIELKDVFEPSQLKEKLLTDEDNEIRFTDE PERFQIDRKTFKSLQLTAEQFKEEARWITNQLWPKKGLASDLQSPFGKAVGKVLEFFI VDEVEVPYVFQHRKDYLLHTRKTRNPNRDDPDAPEYVISADKLLNQDDLWKILELDIK FRSFVDKRNALEKTVDNLKGMEIHDAMVDEMIPEATTMEELQDLQDYLHFQYGQQLKD LAALAGNLSLTKRPGSKSNLLERVRQGKAYGFVRAYGISADQLAKNALRHGKKVTPDD DAQYPMDLADSLIDDVFSTGDQVISAARQMYSEELFASPRMRKHFRNSYYQAAEISCR RTEKGLRRIDDSHPYYEIKYLQNQAIADLVHQPELFLKMMKAEEEGLVTIKLDMPARY DFRQHLYQEFESENFSDRAEQWREERKKVLDVAYPKLEKIIAKNVKEVIRTFCQDEVL KMCREEYAKRLDQAPYKPKGMILGTTPRVLVLSNGMSDPARDPICWAWVEEDGRVIEQ GKLGNLARDERQREEFEELVKRRRPDVIGVSGWSAETTKLVRDLEGLVNEKGLMGPEF EDPDTNDYRTEPLEVVVVNDEVARLYKDSPRALAEHPSLNPITRYCVALARYMQNPMK EYAALGKDVSSLSYHPCQNLLPTDKLAKYLDSAMVDMVNLCGVDINEAMNDTYTANLL PYVSGLGPRKATSVIKAINANGGAVGTRDELVGDPDSGKLPVVGPRVWNNCASFLFIE YEATNPSSDPLDNTRVHPEDYELGRKMAADALELDEEDVKGETDENGPGAIVRKLFKM DEQDKVNELVLEEYAEQLERNYSQRKRATLETIRAELQAPYEELRRNFALLSASEIFT MFTGETKHTLCEGMIVPVNVRVVKDDFAIVKLDCGIEGRVEGHEVSHRSSIKEVLSSG QTAQAKILDINYKDFMAKLSMRDDALRVPYKRPINLGRDGWDYVLEAADKEELREKDK TTGRTQRVVKHPNFKPFNGLQAEEYLGSQPNGEVIIRPSSKGNDHLAVTWKVADGVFQ HIDVLEMQKETEFAVGKLLRVGGKYTYTDLDELIVEHVKAMARKVEELMRHDKYQNRS RGETEKWLTTYIDANPTRSTYAFCIDTKHPGYFWLCFKASRAAKVIALPVRAIPQGFE LKGYQYPDMRALCNGFKLRYQNEFSKMGQR FPSE_03084 MLPTETVPRFFGKSGFADVDPKKVKKDGSGRGNWGTVNDDIAGE QFTFTNTRRRSNSSSFSHVTDFKTKFEVNEPEPVFEESLHGPEEEDHEDLTKTDSSES GRSSS FPSE_03085 MPVAPAYHESLPYVDQEPTPEALAAARALITAEASSQAPQPSSN TEPSFSPAITAELERVSKSTPLAPLDLSRYEAPSPSAPPATALPAAAVAHSYLSSRLT NLELLEKWGKNAWLLGNHGLEAELQALERELAATKREVDIVNLERQKRQTAVGAEIKT LDDTWRAGVGRVLETEVTVEELRRKIREELARRAT FPSE_03086 MSGPFSSPFGENSNPFGTDRGKRTNNAIHRVVEEEEENDTITSP TNARFGASPNSASMFSGPFGGGFGGDSSAEAPSASRNVPNPDSYPAQYNFGRRTSVSA ESLKPSADSYDNWSPPFHEKTPDQIERLKHAIEGNFLFSHLEDEQSAQILGALVEKPI PAKGIKVISQGDAGDYFYVVEKGSFDVYVNPSGSLQPGPDGMGNQVGNIQAGGSFGEL ALMYNAPRAATVISAEPGCTVWALDRVTFRRILMESTFARRRMYESFLEEVPLLSTLN PYERSKIADALETQKFAPGEIIINEGDPGHAFYLLESGEADAYIGQPDNKVRHYKKGD YFGELALLNDAPRAASIVAASPVKVGSLGKNAFQRLLGPVEGILRRTKYQGVATGVEE MDPLHTG FPSE_03087 MPDIDPAALSRPSVSLSTPILSNKTLAGSLPGAPKIAKSSQIIP ARIDLEPLYTALKAAIGNEKWAIYKESTSEFLIGRLNQAEYSERIDPILAGPGDKDHL HNNLIAAIYGNVTREMPDQGLAPWVSANDKPAATTGTKPVSGDAAERRLKGDVMQLPT RDRRRIKDLVQNDWDPHESISNVFADSHRKPSTVAVSDATPTVGGINNMNFEIEIRKR HAQPLAIESGEFPDINMISGRMLPSCYEAGLVNGHTGDAPQFMSVAAETFIKEVLTQV FSRTRSNGPGDTGSAGFGVGTTWIQTHKYKRQLEYEEEAAMRGEITRDKGGLLPIESR AASERGPLGMSDLRLSLEMADSGMAQFPILITQVTHGYREGELENWDDYTWAHGQAPQ GHAHERHGLDVNGGHVYELQNGHSDSMDIDTETWWEGADNQDTDMLDNVLDSCLAAS FPSE_03088 MAPDRITNAIDSLIAHIVPSDPNDPDEVAQERHDTCFEIVKSIL DSPASPAISSDVNHASDLIKRKLIQSNPTQALRFSNLYTRLLSLPVLEHKWAILYLLY QLADSPDPNEPLPLSPVKPSAPNYRDAINRDAIKRQGRERATKTSRFEDEQFKEAFQP DGLKKFPPKESKQPSREGEPCPEPPKRDVSLKSTLLANNYSEIEPPESVILRDLPFTL QGLSSTTLPFSKSDIIKLPSTLPLPIVSLLHTLAEPSLLYRGLDGFCKTPAKGLLGQS LRAAIHGELKSYLSLIATLESQIRRALASLDDDAPREGIGKAGVTLKRCVVWTREATM GLRLMSLIAEESEKKRGGQLVSLIHSFSSSHGDPVVAAFAERLLTSFTRPFYDILRHW IYDGELSDPFQEFFVREQSPNKDTTKAKGAGNVWEDKYEIDTDMIPSIITQDFAQKVF LIGKSLNFIRHSCGDAMWVEDYSKAASKELRYGDTATLEAWIDEAHKTTMKRLIDLMA NKFHLFKHLQALKNYILLGQGDFIALLMESLAANLDRPAGAQYRHTLTAQLEHAIRGS NAQYDSPEVLRRLDARMLQLSHGDIGWDCFTLEYKIDAPVDVVVTEWGNRQYLKVFNF LWRIKRVEFAVLSTWRKCMTGARGVLQNSDPAVSQAWKSTRGVLAEMIHFVGQLQYYI LFEVIESSWGELQKRIHKEDCTLDDLIKAHTRYLNDITHKGLLGAKRRTHSSDEDDRT TYMMQLGEILRFMLNYRDSVDGLYSWSVSDFTQRQEADVRITTRHTDDHDGLDTPTAG SGAVTSEFPVLQERLRHLGASFRTRVQILLGDLAYQPDVDMRFLGVAMNFNDVYQPTR RKSKAAPGTAATTRSVSTNRG FPSE_03089 MPASHSSSIQASPRHMRLVTSQDLLHTSINATLSTKTDRPSTPV HHIQEDDYGVPAPPPPSPVSFRPEHWATPNRR FPSE_03090 MPRATRRAQVAPAVGQSIASFARVSKIHTPADAAKKVIAETHST GITRKRKATAGEQDDHPRTKARTSSFAPSSEDELSTPAKHSLRGKESVISSITKVTPV SKGKRTAKTIPSRSKTAHKPVGSTPLSESKRQGKTVQTKLDGVFKKLSKPGADKDALP AHLAELIDIHKAFVKTIMIQLAHNGNNSPIDMRSLAPHISQSWGKRQVTIEDIRRCIA IQSSARHDTHSPFMITDYGRGKICVERSSADTAPINEERLLRQFGINLRALGTERSVD DMDIDLPLNSLSLDELPQVDIKNMGNGINASPLLDRGQRALSELKNSVATKQHEKAAK QTAVSNNPLLNPDGTKMSLLDRLRLKQLTKANEPLPPSGPELQRRAALNRVGDVAATI SMLTLCNPMSLPRQAFTMAVILEKLKDSLRMPVSKEEGAACVRLIASEVAPEWLRVVT IGGRENVVVQRNNQPVDRVLQDRVQKLLA FPSE_03091 MKTSLTDSHDEASSISSAIGDTEASLQIMASNPNQATETINRAT SHISGPDAFEPAATIETPEGDEPYREAGDEIFDKVSRGRKIAIVTVLSFGAFLSPISS TSVLAATPEVAATYNTSGSIINLSNAAYMIVMALSPLFWGPMSQVYGRRIVALYSSAM FFLLSLATALAPNLASFIVFRAASAFGGTAFILIGPACIGDIYRPTERGAAMGWFLTG TLVGPAFGPFVGGIVVTYSSWRSIFWLQTALAAAGFLGVYFVVTETAHHLKIDDLKTL SGKKRALTILSMISPMRVLRLFQYPNIALVAGGSASLTWNMYSLLTPIRYVLNPRFKL ESPLLSGLFYLAPGFGYLAGTFVGGHWADYTVRRWIKKRGVRVPEDRLRSTVPFMGAI IPGSMLVYGWTVDQEAGGIPVPVIAMFVQGVAQLFCFPSYNTYCLDVMPGQGAEVAAT NFFARYLVGCVASAVVLPAIEAVGIGWFSTISAAYLIVSALATMAAIRWGKCWRERRE AKLEISGEK FPSE_03092 MAARNNNNNSRGPSGRKIDPLLPYSIISEKTKKPRLRIRSFLGP CHARRVFTWLLGVAFLITLIFVKSRSSGYSVGKMSGTKTQQPIATATVVNTQGETVVM LTGDMDGDGKLETVPENEYVTADEKKEVAAQLEKMPWLRFPHLDGYFHGLRTIVSKAN LITEYPNITDKAPLPSPAMNDHVPKPQKYIPYDHDSDVKTCYLDAKKTVPAPDLYAFN GVPQHMPDPAIGSYKLLGIRDDVCFDRFSRYGPYGLGYSRAQGGLDTGLDTEASGNEA VWAETGKINYIDVNWADAQEDCYKANKHRFKQVNADTGELSETSNKKGRIAVVVRTYT GFKWTELAVLNFRALISELSLRSGGEYQVHFLMQVRNIDEPIWSDKWTVQRIINDNVP AEFRGLVSLWSEPQMRLMYPGRFGETIENPSGADIHGIYRSAHFPLQVFAMQHPEYEH YWNWEMDMRYLGSYYEFFDRLGKWAKEQPRTLLWERSARYYIPPYHGTWENFSQTVQH DTLASGRAPIFGPLSFEGKEQLRHDEWGQSMMPASCSSDAPDRSKCGVGEDADIITLN PLFDTEQSGWVFSDDATGYKAPPPRRCAIITASRLSRRLLMSMHEEVWRYHHTMFSEM FPPTVAFHHGMKGVYAPHPIFLDRAWYPFSEIDAAFNAGRDHSTSGPGSPFDQLNEHN HKGTSWYFNSEFAGLLWRRWLGYAQLDGRGKHGGRANEGHERGGKTEEMKDSSSGRLC LRGMLVHPIKFEHPSEKP FPSE_03093 MSTHRYNSWLKTKKKGELETLAESVGLSGFDGFKKDDLGVALDS YLTENSSRFIANPDLTGYFNSRSKAQGSPVKKEVVREDSFKVVRRRPSKPVEEESPES DIASSPIAASTALVETPGRTLAQVASSITLPALPATPADVALAVDRSTSIVRQRVSSM YEESGITEVSHATRDTLSTVTSILFCVAGWELWNVRREILSNVYAFTIPAISTLGTPD YPVYVPDMFLLLTSNFWSPALTWILTSLVIPSFVGYFFNLSATSPPPPSRVRPRPSYA DYVVDPLTFSIVKALVSFVVYGQGVNFGGLLNDLSIMRLENAVYGGYKGILTGTAITG LVSIYDAVLRK FPSE_03094 MLYMMTCNAEAIRQITSRREHFPKYVESYEILRVFGDNVLTSEG AVWRNHRKVTSASFNERNAALVFQEAIHQAQGLVNMWMGPGGEDSGTINTLDQDTMRL ALHIIAYVGFGLKLLWPGESLPAKANPKMAKYGSPEATAGHKMSFVTTIATALECILL ILLVPRWILTMIPFKKTRLAADSHDEFSKYMHELMDEKIEEARKGEHVDGMDLMGQLV RSSYATGTGEGMQSKKGALSRDEIQGNAFIMLVAGHETTAGALHFILLELANNPASQR RLHNDIDEIFGGKEPSSWDYDALINPMMASMLGACMNETLRMTPAVVEIPKKVPPKQE QVITIDGNSHLIPGSTIISLVAVSIHRNPRYWPGRPSHIYAGEDDINDWVPERWYRSY EAASKPTESDIASSENEDFGGYKGPDTSSQLFRPERGAYIPFSDGPRSCLGRRIAQVE IIAALAVLFRDYSLELGVDEWANDEDIERMNKEGRREVYAKAQSASRAKILGATSLLT LKMNGDYVPVRMVKRGQERFVSWV FPSE_03095 MTPHRRDENVSPMDLTPRTASPSSSKFQFAPAPTSQANPDTLVP PINVKVSGSPDALDSTTETNRTLNILKPGHDSAPSSAIPKSTPASTKSLKDYGINNRD FGFATDPDGQDHGQALPRPSIQLTGADGLDTPPTFTRGNSWEEPETPGKSRGASLMSK LKALTNNSGLPAPKPPTVAGPSSQGVQSNLNSPTRPNRGIPGTLTEEDTDADADAEET ADEGNLEDGKQKKKKQKRMMRRTKKTNASTPGTPRRFAADTDVLDTFDQLVKRRASMP DAAHEYGVSEGEGRDRLGMSFRRGNSWMTSAMRPPGEEADEVESPGAVGRRAGHVRRI TVFGGGGVSDGDAMTPRRPFFTSERASTFGAQKWKQVKNTLKLLRQKKEDRFDYYKSA ELMAELRAGAPAVLMLASMIQRDEHGNKRIPVLLEQLKLRIKDSSPMEDDDRDRHWLF TIELEYGSGPSRMSWTISRTLRDIYNLHLRYKFAINNEKYMPGRMDLGGRPKQPKFPY SAFPYLRGARKKGEESDDEDQASIRGDETAGEGTAAEGAGNGVFSDPETLNGIPRRKS RNFLGMGPRRRSTGITDPGEMSNTEGPGMPAMDMATRRQRYVEKQRRILEKYLSEMIR WLMFRADSNRLCRFLELSALGVRLAAEGSYHGKECYLHIQPSKGLDFRRALTPAKVVS RRSRKWFLVRQSYIVCVESPENMNIFDVYLVDSKFSISSKRSKATAISSNEKKAEIDL TVEAPPDKHHTMTLRSSERKIRLFSRNQSVMKQFEDSISQMLKQTPWYQEKRFDSFAP VRTNVFAQWLVDGRDYMWNVSRAINMARDVIYIHDWWLSPELYMRRPAAISQKWRLDR LLQKKAREGVKVFVIVYRNVEAAIPIDSEYTKFSLLNLHPNIFVQRSPNQFKKNQFFF AHHEKICIVDHDVAFVGGIDLCFGRWDCPQHPIVDDKPTGFEMSETPKDAEHCQLFPG KDYSNPRVQDFFRLNEPYEEMYDRTKVPRMPWHDVAMQVVGQPARDLTRHFVQRWNYL RRGRKPTRPLPFLLPPPDANIDELKELGLTGTCEVQILRSASTWSLGIEQTEHSIQNA YIKMIEESDHFVYMENQFFITSTEAYNTRIVNRIGDALVERIIRAHENDEDWRCAIVI PLMPGFQNTVDEQEGTSVRLILMCQYASICRGEQSIFGRLRAADIEPEDYISFYSLRQ WGIMSNDVLATEQLYIHAKTIIVDDRVALIGSANINERSMLGSRDSECAAIVRDTDMI NSTMAGKPYKVGRFAHTLRLRLMREHLGLDVDEILEEERQEELDRQDFEKEMEEIYEE AENGPSDPSRLSAKRPEPPRIPSINHDLDAAVEIEESSSSSSSSSSSHGSNAKVEGTV INGPESKVKHELDVTGYGPDRWKSAEKSGLDAGRDSVIINGREVLVSNISNEGKGTLQ SPAEAQPHAPQPDNRYLEPGNHNDGLPPMPALNRRTTDQLGLPRPAQLPSLPISDDTD IGGPPLHIDPETGKPVNGVFHPMAADIQLAHIDKNCMIDPVNPNFFDEIWNRAAQNNT KLYRRVFRCMPDSEVSTWAEYNAYTVYGEKFRKSMEPGQSRGEDSEFPPSSRHRNSTA GGAGISAPGPEVMAKAAETEAEKALGKMTEKMPLGEHHEEDRIKIVIPDEGYVGLDEN KTMRDGEAPPSRPNTGLDTESAVDAQHHVEAPSPVYSPGDTPFPAFDGGSNGRFLEPQ AGTKDRERRTTFSTLEKPSSRDTNAPPPGQFGSMKRRRRATTKNSRRGFSIDDMPNRG QAEELLNMVQGNIVQFPYDWLLTEEQNGNWGYQVDGVAPLAI FPSE_03096 MASSRSLMRPLRSLGARSTPTLCRPCIRTIASTANAQAAVSNES SKTPKNLKPLTQEQRDYLDSALRVNQAGELAAVLIYAAQSPILVRSKPHLRKLMSHMY DQEDGHFKTFNGLIHKHRVRPTAMYPLWSVMATGLGWSTAMMGYKAAMACTEAVETEI GGHYNEQIRTLLEMVSQWEAEGYEVGEELSSLVKTLRVIRDEELEHLDHAVEHDAQKA EPHWLLTGVIRAGCRGAIWTYGWHLLWDVYI FPSE_03097 MSDVEDDLLDLAGRSSDDEGSDNGSRRGSASPPPVKRSGSKRET GSKARRGGGDDSEEEGEASSAPGTPNSLESAPMDESDSDAEPSRGRAAAADDDDENYP VDGRYKSQKEKAEIMALPELEREQIIADRMTEIERQRQNRLLRQMVSNMENEERKQVK KKRSAGTAELEDGDRKASRPRTETKRETAMDSLRQAKAEKARRREDLEKRKDNYSSRR GDSGAEDSDDDYNRGRSPTPDVDEARDQPPAELRDYDRVRLGRNEFAQVCFHPGFEQG IIGCYIRIALGPHPETGIEQYRMAVIKGFSTSRPYAIQGPQGVLVTDQYVKAAHGKAI KEFPFIAASSGKFTDSELNRYRVTCHNEGVTLPTKAYLTDKVDDINRLINHSWTSEEI KTRLARISDLKRRFDPAERERIAKLLDEARQRGDDDRAEELQEELDNLGSQRLAFRTS LGSKHSEEPKAQSEQDRLAERNRENRRLNAEAVRKAQLKEKAKSKEIEAALKRGETYQ GDMSRRLRTKAKFVHDANEKVEQKSAANGNGAGTPGNSTSKSAAKSQLLPHMVKLQEE KLKEKGIPTIHKPLMDDDVIGSLDLDIDVEI FPSE_03098 MTAERQVLANKDAQSAAVPRAPWAVARPSLRDPSRRLPQAIAHR GAKVNWPENTMAAFRGAVADGSHAIETDVHLSSDGVAVISHDPSLKRCFGVDARIAEC SWEYLSTLRTVAEPHEPMPRLKDFLEWLTEPELEKIWVVLDIKLNDNPTDLIAAIARA FDSVQGPVSWDQRIVLGCWNASFLQAARSQLPTYPLAHISASLLYSHHFLKIPNLGFN LNQNTLVGPSGKLFLRQLQRTDKLVMTWTVNQPRRMEWCIRQNLGHPRLPNRNVQGPP LIDGVITDNPRLYLEVCQKFEDEMDGKLVRSNLALTQRVKNKAETVAFVLVTQALMIV YHVVRRMQGKFDFLPDRRTLDRR FPSE_03099 MPGILPMKVIKVGTSSQSRIAQACDRCRSKKIRCDGIRPTCSQC ANVGFECRTSDKLSRRAFPRGYTESLEERVRQLESELRELKDLLDEKDEKIDMLSKIH GNRSSLSMPVSSTAAPEPRNEAQITKEDTFRVQAAPLLLGVENSDSYFMGASSGRMFI DAFKRKIQEAGKPSTDFNTEAFLHVQGCKPLGHKSPEQSLVAPPRIFSDRCVNVYFQE WAPLFPILHKPTFLHVYEEFVADPEKVKSGHKLAQLYLVFSIAGLSSDNPDLSQLVAC EQQWQAALETVSMENTMNTLQCLILALLYCTMRADYKRLQHYKAIAVSLSHRLGLHQS QKRFSFGALTIETRKKVFWTLYTLDCFSAATLGLPKLLKEDEIQTEYPCDTDDEYVTE KGFQPTLPGEYTRLSNALALFRATRILAKVLEKNYPASSSYEISLQQMASLESELDAW YHQLPSHLRLNFVQDKPSTDVTGSRSPLLALAYYYIRTLIYRPAVGSSLGSKAASALM SIGSSSKHIVQIIQLLQERGMTFSFCLNKTDLLVICGMTLLYHSIDLKQDSKIMRDDE RLVNSVIRILEKADASGSFDFKRVARMLVTVDESAGSPMHTSREVSAPMSNRGSPPTG AVSKSKKKRSTAYPFGQLSAAASSESNLIDHQERMRRMTMPCETESRPDIYRARARQS FDNLHTEAHVARRGHRMSTSPRPEREVSPLSRNLDFMPFAHPSQSPQPLSPHQSHMHA HPGATPQTNHMVVNSSMAAAKMNGVSTTEWETLLGSMDGGMNNVYDAIYGGQGLVNES AIAVSNCGDWPPDNWDLGGFSITEFGNNPQPPQSVLSMSDESLSSGEEVGPSELGLSV DSVDYRNHMVAQQHGHEGYVISDRMDTFPI FPSE_03100 MPPKPTLPIDVFPAWARFNDVDFINVELCETDGKGIGLVTVTGS DLTKIPVAEGEVNDKDLQHGKAEALLRIPHDLVLSASTVEDYAKVDQNFRQLMDSVGH NSTRGNILLYLLAHLVLSGRDASSPRGPASTPWTEYLKFLPRDVPVPTMWSEVERALL QGTSLEAALEAKFASLSKEFEDLTEKSSVLPFWNSLFWEKGTVAIQDWILVDAWYRSR CLELPRGGDVMVPGLDMANHSHHPTAYYDEDDKDDVVLLVRPGTKVSAGEEVNISYGD KNPAEMLFSYGFIDNESTVEGLNLPVKVLPDDPLGKAKLHIFGSSGSLILSRSDGKVS WQCPFAYLMCLNEEDGLEFKVLQGEDGGRELRLFWQEEDVTAQVGHFEDLIKDHPLCQ IFRLRVVSVLHEVVSDHLVELSSEISHDELEPLCRDGLVRDECIQAAEKLRGVEAGVL ESAAVALDKQVRIARSD FPSE_03101 MPRDPLIGLVGKPSAGKSSTLNSLTDATSKVGNFPFTTIDPQRA IGYLQIECACTRFNVSDRCRPNYGACVEGRRSVPIELLDVAGLVPGAHEGRGLGNKFL DDLRHADALIHVVDVSGTVDAEGKETRGYDPSVDIAWLRSEIVAWVLGNLMQKWGSIR RRHQAIKATATETLQGQFSGYGSTSTTVNRALDRCGLKEPLEDWSNETVEMVVNAFID EKFPTVIALNKIDHPDADKNIAKIAKQQDPNTIVLCSAISEIFLRKMAKQGYVKYVEG SEFVDTKEDLIEQGDPTGGGLKDLDEKNRTRIENLKDMVLYRFGSTGVVQVLSKAADL LGLVPIFPVRNTSTFSSGASESKFVFRDCVLVKKGSTVGDVARKVMGDAPIAFVEGAG NIRVSEDDTVAVGKNDVLSFKVGRA FPSE_03102 MCDDFSLFTSMRYDPRLEHIKSSGLGDTSWNFENGSPLYMLDFH RDRLLRAATHWKWQPAISRLSGDNGLSSLAQLVLDRVETSQQTPLKIKLVVSRQGDIK VEQLRIPEIPLQNLFPARLPPPSRATLDDEPGKATVFSLLVDRPATTRSEYTHFKTTK REIYDTARQRAGITYADPAEVLIIDQDDGSIMEGTFTTPYFWRNGQWITPPVATHFSW NEGSGGQDGTSRRWALERGLAFEETINVNTLVHGEECWISNGVRGFIAATVHLQ FPSE_03103 MTETQEAVIAEGRRLYGAQQFKPALKQFTIVCIKHADFEPTAYI QKAMQLCACNRQGKRPRCSCKNFEKVASEGGSIFNEAMYTCECTVRTTFNKCDNKLHI QALDYRAATFEDIKELERAQKDAEWMLELAPRLPDGYLRLGKILRLQKKYEFAWKVYN AGIETGNNNHQAELPKFKKLQTARQPLHIRFYRRDPLKNPPEIIQRIFGYLDFATLVR CTGVSKGWRRYLSSHGNERLWRTLLFTKKIPINRPPGIKSIKKLISYSGKDVRQIIID DASRFRLTQQKLLIILQGSKNLERLELKGSVDEDLTIPDTKGILKKLNHINLQDILIR KPKILSPLLQHASEGLQSLYINGLPQSGLYNDLQFPDLPSLQYLRIEESSKSSPFRLS TWRIAHKTPYLQQLHLRDVQLSMEIPTDTELDDYWPRLRAITLHGPDSSDVNTAQAVR QLTSLRGRHTLQYMDFDFRSKVDHEGPLGLIVLLETLINQEQGMLTTNGFNKNCQYTD LRSLRLRQAIISPLKLGKVLGDTLAAQKLHTLDIAFPLDHQGAPEGSASTQHIQDHSW LRGDHGIRCIGLSEFRFRAYPRTDDEMYLPGFLASFPNLEVLEINSSHYDQREFCTMV DAILKVTHLQKIYQKTVLGDWGDKLREATRKKGVELIWGDRPREWPLTLGEPKALSAR WFA FPSE_03104 MSGPTPSMAPGQPTLVPVDPSSGLWERLTHWASENKTVVYTIAG VGIAVTGAGVIYYLNDNSAKDTTPKISKKERRKRKEADRKADKEAPSTGPSQSKPASV ETEPELPDVDEESVSSLTQDQREDYAAKLKQAGNKAYGDKSYNKAIELYSKAILCKAD PVFYSNRAACHSAMSEWDQVIEDTTAAINMDPDYVKAINRRATAYEHQKKYSEALLDF TASCIIDNFKSESTAQAVERLLKTFAEQKAKEMMASRPPKLPSPIFVGNYLQSFRPKP RPEGLEDSEELDPDTGKGQVQIGLQALEKKTGDGYEEARQAFEKALELGDLGEYEALA YNMRGTFRTLLGNHAEATQDFDKSIELDPSMTQSYIKRASISLELGEPEKAEAEFAKA LEQDKNDPDVYYHRAQAHFIKGDLADAQKDYQKSIDLDKDFIFSHIQLGVTQYKMGSI ASSMATFRRCIKNFPKVPDVYNYYGELLLDQGNFSEAVEKFDTAMEMEKQTKPMSMNV LPLINKALALFQWKQDFKEAEALCKKALIIDPECDIAVATMAQLLLQQNNVPAALKYF ERAAELARTEGEIVNALSYAEATRTQVQVTEKYPKLAAKLAGGAGPGGLRMGPQ FPSE_03105 MSYDQYNQNPYQQGPAQESSHGYSQTNPYAQDAPAHGNNQYEMQ DYSQQTPAAGSSLSQQEFLNRVQRLRDEIKGLTTDIDHIGVLHSRTLGSTDGSANHEL EQYVSQTQIRNTAIKDGIKGLERDLAKTNDSSRTTKNTQLQSLRTFFKSELDKYQSVE RDYQQRYRDQIARQYRIVNPDASEEEVQEAANADWGNEGVFQTALRTNRTGHASSVLG NVRARHSELQRIEQTLSELAILYQELATIVEQQEPVVQAAETNAMNTNEHMIKGNEQV EVAKKHAQNRRKLKWWCALVVLLIIIAIAVGVGVGVSVANNNK FPSE_03106 MIRKQARQRRDYLYRRALLLRDAEISEKRAKLRSSLASGKPLDP TIANDKSLRKDYQYDESRPDLTTNEELDLDDEYAQLSGIVDPRVLVTTSRDPSARLAA FAKEIRLLLPTAVRLNRGNLILPDLVRSAQSAGLSDVVLLHEHRGTPTALTLSHFPHG PTVSFSLHNVVLRHDIPGSVRGTVSESYPHLIFDGFTSRLGERIVKVLKHVFPPREAI TSKNKVGNRVVTFKNIEDSIEVRHHVFVRTGYDSVELAEVGPRMTMRPFEIRSGTLEN KDGDVEWHLTQYTRTAKKKNYL FPSE_03107 MEGAFTHVGNHLISDSAAAIKAGADDLSTIDPDESLLYTKYGDQ NGRRRADDDDNQTEVFEEDDNDSLNSVPVDGLNGLKLKGKDEEKELPAHACAYCGIHS PGCVVKCLTCNKWFCSARGNGTSTHIVNHLVRARHKEVQLHPESTLGDTVLECYNCGT KNAFLLGFIPAKSDTVVVLLCRQPCAANTSNKDMNWDTSRWQPLIEERAFLPWLVATP SDSEQLRARHLTPNMIAKLEEMWKIEPKATVVDLEKAASIDDDPQPVLLNYDDPYHYQ NIFGPLVKMESDYDKKLKEAQSEDGLLVRWDYGLNNKHLVSFNLHKIESGDVKLAVGD EMRLRYKGELRSPWEGVGYVIKIPNNQSDEVTLELRKTGNEKLVPTDLSHNFSADYVW KATSYDRMQLAMKTFAVDDMSVSGYIFHTLLGHEVQLQVMKTNLPKKWSAPGLPDLNP SQVGAIKAVLQKPLSLIQGPPGTGKTVTSATIIYHLAKMSGNQVLVCAPSNVAVDQLC ERVHRTGLKVVRLTAKSREDVESSVSFLALHEQVRMSEHNSELVKLSQLKNELGELSS QDEKKYKQLTKIAERDILNNADVVCCTCVGAGDPRLSKMKFRNVLIDESTQSAEPECM IPLVLGCKQVVLVGDHKQLGPVIMNKKAAKAGLNQSLFERLVNLKLSPIRLNIQYRMH PCLSEFPSNMFYDGSLQNGVTHENRLRKDVDFPWPVGEMPMMFWSNLGHEEISASGTS YLNRTEASNVEKAVTRFFKAGVKPADIGVITPYEGQRSYIVTTMQNSGTYKKEYYKEV EVASVDAFQGREKDFIVLSCVRSNDNQGIGFLSDPRRLNVALTRAKYGLVILGNPKVL SKHELWHNLLVHFKDRKCFVEGPLTNLQACLLQFSRPKVSFRQKNQQPQFGAGSYSNG GRFNPPPSGRDFDLGSMVSYIPDDVSSVHGSAFGGASLNSAFPPMFSSFTPDQWPGLP GVAAPGRGGKSRGRATESIAGESVANSEYTDASSSVIGGKGVGQGGVSLGAGLHDAVT GMRPVSYSQSDRLKQYVESSGRMVPGNGYGRRFDDDEKSVSTAFHSQIGGGYD FPSE_03108 MLATFQGRPGRRYISLFLFIAITWFLVHNFRPYERYRTVRIGTY NYLPSSYDWSKQQIFHPVEDIRGPPNGTFKLLPKIQKERPNGYTEDSETTARKAAIKQ AFTKSWEAYKKHAWGWDELAPVSLKGKTTFSGWAAQIVDALDTLWILDMKDEFKEAVQ MVAMIDWARTGDSYLNLFEVAIRHLGGLLSAYELSDEAVLLGKAIELGEMLYAAFDTP NRLPSHWLYFKSAKNGEQQADEEMSGAAGGSMCLEFTRLSQITGNPKYYDATERIKKF FYRNQDNTKIPGLWPHVMNYREENVDDDRFTLGAGADSLYEYLPKMHALLGGLDPEYV EMTTKALDTATKNLLFKPRNPSDLNILMSGNAVPGEDGAKPKLTAEMQHLTCFIGGTY ALAGKLLSRTDLVDLGSRLTAGCVWAYDAFPTNIMPEIAELEACESITSKCRWSDKPV EKKDKLPEGFVRVRNSEYRLRPEAIESVFYMWRITGDDVWRTAAWRMWDNIVKQTETQ EAFATITDVNRKGSDKRDNMETFWMSETIKYFYLTFENPNVINLDDWVLNTEAHPLKR PKGEELETPQKKTSWWKFGH FPSE_03109 MPLRPSLLCSLRPAPIPPACSARLLRCSAPAIGVHGLQSQQRRG RHDEIAKKNHYERLNLRHDATPAEIKKSFYSLSKTHHPDANRSDPNASSTFSLISESY TVLSDKSRRSAYDRDVLRLQHHPPQTAAQRGSYHSHQAGGRAPSGLSRRRGTFRGPPP SFYRSGGWGDQADKRRKAHEESTGGGGGGGGGGGASSQEHGASHSRNQSPWGDPFKPE ASAHGGMGPGDDPFGHQNDVPHFDKAGHTRTHQREDERRKSRWQKRAMGDDDVEFEPQ TSITGHFIIVSGILATTLLAPLIYIQFMSIGQQKKEKV FPSE_03110 MSVPAFSDIAKPANDLLNKDFYHLSATTFEFKDTAPNGVAFKVT GKSSHEKATSAAIEGKYTDKPTGTTPPSSSSTSLSLSQSPSPSPPPVSNPRRKQNLPS LSVSRILGPKSGWPIGFATSVRPGFAQLLMFYSRTGLTLTQTWNTANALDTKIEVADS LAKGLKLEGLFNFLPATAAKGAKFNLHFKQPGFHGRAFFDLLKGPVANVDAVVGHEGF LAGASAGYDANKAALTAYSAAVGYAAPQYSAAITASDNLSVFAASYYHKVNSQVEAGA KATWNSKTGNAVGLEVASKYRIDPVSFTKVKINDRGIAALAYNVLLREGVTLGLGGSF DTQKLDQATHKLGASFTFEG FPSE_03111 MCGIFACHRHPDVEKFKPTALKLAKQIRHRGPDWSGSVISNHTI LCHERLSIVGVESGAQPLTNADDSIILAVNGEIYNHRHIRKHLKERYHFKTTSDCEVI IPLYTEFDTDAPNHLDGMFSFVLYDKKQDRTIAARDPIGITTFYQGWSSTEPETVYFA SELKCLHSVCDKIVAFPPGHVYDSKTGETTRYFNPSWWDPKKVPSSPVDYKVLRQALE KSVRKRLMAEVPFGVLLSGGLDSSLTASIAQREVTRLRKQALEANGNVLPVEDPDTGE GLVGVDDDDHLDTLTYLPQLNSFSIGLPGSPDNKAALEVAKFLGTKHHVMTFTIEDGL NALSDVIFHLETYDVTTIRASTPMYLLSRKIKAMGIKMVLSGEGSDEIFGGYLYFHAA PDKDSFHEETVRRVKNLHLSDCLRANKSTSAWGLEARVPFLDKEFLEYSMNIDPQDKM ITTEKLEKYILRKAFDTSDEPGEQPYLPDNILWRQKEQFSDGVGYGWIDALKDTAEKQ VTDEMMKNPKPEWGNDIPDTKEAYWYRCMFDEHFPPHCASTVMRWTPTWSKQTDPSGR AIATHNAKYDSAA FPSE_03112 MALCQNRLQEERKQWRRDHPFGFYAKPQRTKEGVLDVKNWECGI PGKDSTIWSGGLFKLTIAFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEDEAW KPAITVKQILLGIQDLLNDPNPESPAQAEAYNLFKRDRAEYEKRVRRIVRENPTP FPSE_03113 MSADKDIEAALKEEVKEELKEAAKADIKDEPKEDKEEHISNLKV EDVATPASADTAMPEALVADPPVSALSAETSTSEAESTKMVPQEPPHQEMVSQETSKD AAQDTTSPDTSPKETIAQHTAAEETGSKEPASEEIDSQVTTTKEGAPKESASREDAAV LTTAAVVTAAAATEAVAEKAASLSAPAVETAKLLDDFEDVPDPDEDDLDDLDDMLDEF SAVKIDQPKLVEAASAIKPEAPKDAAPSGKQPEVEDAFSEEEFAKQLQAGMADLLGEL EQSPDMQAQFEDIFKHIAAAEGAGDAPPPSSSKGPASQPPPEDASFQDTIKRTMERMQ ASGDQATAAAASGSGDDFMSEMLKQLSSGDLGDLGGEGSEEEFSKMLMGMMEQLTNKE ILYEPMKELDEKFPEWLIKNRDATPKEDLKRYEEQQSIVRQIVAKFEEKTYSDSNATD REFIVDKMQKMQAAGSPPSDLVGDMQSAQDALNPGDEACNPQ FPSE_03114 MLSFAPSPIFTGNWDHRPVISSPLSSSPVRASSPIERNAHPQRQ IQSSPIKPPKFKFASRPTRPNPLNRKREEVQDSRRQQFLQNVKQSREDKAWQRRDIEG QFLRTNYLADRGQLLHDAPEVTEADIEEAMTFHQEHPPIPEEDEMMMDEEEQLQAMLA SYEEQQMTSSQRPPSPTLSEEDYDDVFAELIAQEQAQQSLQMQPQDQMDTSGDIEMQ FPSE_03115 MNTFSVSAFATRIAARPWTCSTCRGQLLRGKPLQYITSRNFASG KGSRGSNGRKSGPRRPLLYASAGVGTIGATALALGDDIKNSYEAAERTGRVAAALAVC INDYRTTLNARETTEDHDEQENMLKACHKRCAERTLVVLEKNGGIFIKLGQHLSAMNY LLPSEWTNTFIPLQDKCPVSSLESIEDMFRQDTGEELWDYFSDFASEPIGAASLAQVH LATIKGSDQKVAVKVQHPELQGWAPLDLALTRYTFSTLKRFFPEYDLEWLSSEMDVSL PKELDFQEEADNARRMKEHFAKIPQLPLIIPEVIWAKQRIIVMACEAGSRLDDLEYMD KNGIDRDEVSATLSRIFNEMIFGDGAPLHCDPHGGNIAIRKNTSRRGLGRGPNFDVIL YDHGLYRDIELPMRRSYAKMWLAVIDGDMDRMKKYAHEVAGIEDKDFPLFASAITGRD YSIVSKSGSILENRTADEQKTMSGALQEGLIVDLVQLLSRVPRIILLILKTNDLTRSL DENLQTRQGPIRSFMILARYCTKTVFHEKLEEISQNGSLLWPLNAVRLIAAWVGFMRV EIKLEAFELWLFTKRMLGMGNGEFSGAAFQK FPSE_03116 MATPSRASTPVSSGGSPEQLSPRSRMQAQLAACGSSDEEESPSK RTTKNASIKLLDLPQQSTHHEDAPDESEEEMTRPRGKVAARMQGTTQPARLVDDSSNQ NTTARDRVKQRFEQEEKEVTQAGEEHNVDMPDVSSEDDTLVARRRLTRKAPSSPMARN TSESPERGLFVEQSPVRPSPSKSTQNNSDSDEGLPAIKSDRMTALIERQRQKKSAEES AKEAERAEKRAKQEKLAQEIEQMASDDEDSGITDDEGGHRLTQTGRPSARKASKKAIE EMNRETQRMARNMQLKHQAKTRKKISKNSLFERFNFRPAGETGPKGASSSRGPTPHSD VEMRDVDTPPSSPPVSKETADATEPVAQDDDLDLPTIDELAKTTKPKLDKGKGKAIET TTEHEQPIQKPKRQFRVKFPPVRANVTMVDSDDELEITTTTKDKIRAVFDMAPTKKAQ EHSSIQTLRALAQVKSPGKETRRKNENHVMTPGELQMYLQQKARQQAKVERDRRLDML KAQGVVIQTAEEREREMQQVDDIVAKHMEEAQRIMQEERDAAKKEKKENGEVDPLAWD DSDDEEYQASADEDDGEASAVELSGSEDEDDEADDNPMVEGEAEDGESEASADEEDDA APVASQDVHDDADELLVASKRRIRKPIVLSDDDEEENSVEMTPKSKPQTTLHTSPMVP NTQSPTAPRSVLRSAKKNFIPGLPVQGAAGLGLTQIFAGTMDDSQVEAENGPTQSMMP DFDHFPDSNFSATMDEPMEDMVMDSQKDDTQKTTQGVHLNFSQSQMRSLDSLLREEST QISDMIDFTQDEGLQHQTPLKNRFIEAPVSTVETMAVDQEDPMQASPLVRRGRLRRKM DTSERIEETPEPTAAEKPGTALDMLKEGAKQEKKKRAQAEFDHKKSKAKEMVQDQAEE SEDEYAGLGGADGEDSDNESVTSLKEIIDDTAGNNIDKAKLAAFYADRERAEDAKQVD KLFKDITTGMLRRKRGAGYDLDDSDDDGEARRKMKRRQFAKMQQALFADERVKKIAEN PGNQAFLKTIEDRGSDDEMDFLDAPEEINESSQSQDGEGSNTQTVPDSQHHRVLGPAD NRTPAHLRRTKDGKKPSNIGEVRETLSSLLEDPHGSIIPATEAGSDSEGEEEVPGQAR SDKENDGSNSRRGRVVVVDRISLKRNNSSNTSTSRLAFATASSSSFKVPALLRRATTN SYASGTASTSSSGPSTPASGFGGEAKIKKGASKKSGVQAFARDSERRAKLEQNERRRE ERKVKGAERRLGVVGGLLGKGSFE FPSE_03117 MAPHAEVGTGSSNGSVYNGRGSSATQDLFTVNSPNVTYTDAEIR SRYTYRTTKVEVDASGKYVATPNETLYDFKVDRKVPKVGMMLVGLGGNNGTTVTAGIL ANRRQLAWDTKEGPRESNYYGSVVMGSTLKLGHDAKTHQEVNIPFHNILPMVHPNDLV IGGWDISKMNLAQAMDRAQVLEPTLKAQVKKEMAEMVPLPSIYYPDFIAANQEDRADN VLEGTKACWEHVEKIRQDIRDFKAKNSLDKVIIMWTANTERYADLIEGINDTADNLLK AIEQGHEEVSPSTVFAVACILEQAPFINGSPQNTFVPGAIELAEKHNAFIGGDDFKSG QTKMKSALVDFLINAGIKLTSIASYNHLGNNDGKNLSSQKQFRSKEISKSNVVDDMVE ANHVLYKKGEHPDHCVVIKYMPAVADNKRALDEYYAEIFMGGHQTISLFNICEDSLLA SPLIIDLVIIAEMMSRIQWKAVSSDGTATTEYKSFHSVLSVLSYMLKAPLTPPGTPVV NALAKQRAALTNIFRACVGLEPESDMTLEHKLF FPSE_03118 MALPRESMFLPTIKCSSCSREVEISMMGDHICEPPEPELSPPPE KYEAYTPYSPAPFSPGLYSPASPEKQGHIPPSLDVNAANHPFLQKGQLTPNSEPRSRS SINDMECFFDDVPTPHEDDLIMPSPRPIDRPGAYGGFGEKKHGPDFQPRSVSPNGGAN SSLFKRVDTIAPGPFDPIRSPSANSFPREITESRDKSESFLSASPRDYFNNQRNERSP SPTGSANDNNYDVSGPPRRPTRDDYEGFGRPSEADELLQPQPLGIMNRSDTFPKLAVR SDAPLRTTSAPGVKHERTASSGFGHASKPSMGPDTSRAPPPRKSLLHPSKSSKYSASV DLAAEFGVNNPYHTPSDSTSSGFSTFSHQSNGSSQTSQTRSQPRRDPSDLSAMDGLME DLESSMEALGSKNAQADAPVRPARARSPLAESPLDLSRERPGSANRYEPQTEAPPQRH DSQPNPLYAPSPQDHYSNTSPLSTPSQTLPPALSPPIRKATQDPVRSRGNCKACSLAI TGKSISSADGRLTGKYHKACFVCTTCSEPFKSAEFYVLNDKPYCEHHYHKLNGSLCGS CERGIEGQYLEDEFSIKYHVGCFRCLDCGRSLSDGYFEVEGKSYCERDAWRRVQQSYP PPAKPPPGRGPPGPRPPMMGLPGHPAQRMGPGMGLPRPPYGMPPPGNRLAPGPPGQQG PRPRMNKRHTRLGMM FPSE_03119 MKKWHPQSRRLFGAKLRPRSMIVIVFAMLAAYYLLFSPTATIPV PSSSPGAVQEQQPPVVEHGGNSQPQGEGEVEDQYGSIHRQLWELTAEDLRDWRDPTDG EDPRDIEPGFETDGKERGFGDLGKLQHEKDMRKEWRHAYSVTSNFPSSNHIYGKTLAN LENHEARLEEFSTDLKFDPDADVEYSSEKPVRYNPYPKYNSDDWKKAGHAQYFPCKGA TGEPVEDLLVFKGRPREWPLPRFGSYDALNMDPNLCWERETRLGPYGLHRQFKKVGGE PQPLNFDSVNWGDLQRMCVNKNAQRFEMNQERVNEYLNNYPETVIGPHAPKNPEEPAQ EKMSKRDIPAEPESMDHVAPINIETLPPVASPLPKQPEEHTKHPRAPTKGHVSEPRTA ILLRSYTGKDYTENDKQTIRALVSELSLRTGGQYEVFLLVQVKDNNLRIFESEDVYQT VLSQSVPPEFRSMTILWNDDIVWQLYPKLTDPESKNVHTAQWLSVQKFSQEFPQFDFI WNWEMDFRFTGHHYHLLDKLGEFAKKQPRKGMWERNERWYIPTFHGNYDEEFRRDIEK RYGDNTIWGAPEFPFINPIGPKPPVASPSQDNYEWGVGEDADVITVSPMFNPINSNWV IANQVWGYNDSTHESRDIPRRTTIVTQSRVSKKLLNIMHVENVRGNHVASEMTPQTVA LLHGLKAVYAPHPVFMDRDWDGKFLNKWFNPGENGECGGRGSPMGWGRERRYIGSTWY YRAIPPNRLYNNWMGWQDTGIGGPKWEEKHGRPCLPPVMLHQVKNTEPTKNGHETTFD LAYG FPSE_03120 MSPPPSTPTPRRFLLPKRGTQSSQTPTGLPRFQSTPRFASSSVP RPTQARAGIDIEDVEEVEDRTQGSSQGSHGDQLAPKKYESLHDSIEVESDDATASQDT SSAMSDTEIDIKSPGFFDREASNAPSVPHEASPLEGREPKRRRVSISPMQESSPVEEQ HYMVDEDPEDIHERDNAETQDSTQSIQDAILPYDESKALQQPTFREPPRFKQVELDTA ADGLPAVFSPQRRGEKYIAGGLAAELQGWLSDVKGWEGAVPAPASSINVTVEEARAGR RMYLVKGRSGTSEAKRFLLAGEGRLTGLGQRMPMSVGSVVEVGQPVWDIEMKGEIWTV ACDWRVS FPSE_03121 MKIESILNQFNELDTEARTSRESTQPDSAQWERRGSSFLSSTPP PESTQSRTSSVRSDSRTRTPWDAGGYSLPRDNISTPSSRSPFSTVSWGEQQESQRLQN APNNALRNVSVDASASYTLPVRRMASTPDVHQDAWTPKSHHSEGRPSQHIRRSSLWTD YNTSPASGSHKISDSRSSFSSCCSSTFSANHSRISSVSTINGSHVMGSGIADLEAKLE RLPRLSAPLPPVVTTPSGKPEIRLPHTANGVGPWSSGSPSDSILEARMVRRKYNQLSG DQSYLKPKDHLLKPVDRVHKRTISAPNPAHGSTQPFGRTTATLPPLTSRHFETAALPS PQINTVYERPGLEQYPWIHSEEHSPAPSMTTKSPFDPQESGRILPDRSIHVMPYSNSN DRVIAACRRFRKVDVNTPLEGGDICMAVENCTTGSVPRKVISHLFGRNKVCTRRIPER VWVCMCRKHYQRIRYRTGADFSVTQIGMVYEQIVRMIFWSRGLENPNGTNQEGIAIRS WTFSIRRREVKRMADTNGRDLVPRWIMQSLGEGKTHDEILDVVERLHHEIQQGTLKDV PPVEFLPEVVDAFTNAPAQLQTQVANGGEVSFASHPGRSLNTGDATESPVSFVKESSP LEPVQEEIYMSERSSEKSTSSTTPPAVYEGSRPAYHYQSHIDNVPSRRTSYAFGSRSP FADSGPGPDIDRRSSLAYHDSQNPVPPSMSYYSINRQMQAPTIDHRGSCDSDPLLQTA YGGGYHHGLSEFVLTDRTLPNHAVAASAMARDNLAPYGTGHLTRSSRDSHELHASIPD PQSTSGPLDHRPFGSGSSQGQASVYSNRKPMERHCQTRMNSADTSIYPKIPDEHYYID VPHLQTHQPSWYPPETEWNARIGRSMNQYQQHTLACPDKASLYHSHQFPNEESSEWSG TDRPASIGISTMYPAAVCHSGHPNGSLSMGGFDVEHSRGNLKAYQHNWSANETDNDRH GARASDSGRQERG FPSE_03122 MAATQILHRIRPGTLSDVNVATDLYLQSFNKETLLDYMFPGRHE DPAPLQKWIARRFRLRYWAAGYVLTMLDDAKGEPVAFSWWHIPDESVSFTDRWLSLHA WVAPLIRAVLKLHSYLFPIRGADPHRLGIYDRVFSTIEPEILNSPRRRSAWYLSSLSV SPEVQGSGYGSLLLQNGLEAADRAGVPTWLVGLRGLDQYYKRFGFLEVARANVGELKD WDGGIVMFRGE FPSE_03123 MSRSVSSSRGSESPMEPERAHRACEKCTRTKKKCDKALPACSRC TRLATTCSYDFIYTAPTTAVVEPGYLAGSTPKEAYGQGIFDPTFDVSSPMIMALLSSR NIPWREAIERYFETMNPWFSVVHPELFAIRTDNLSSGSGSGADQGPRDPAVALLIVCM QLVSQYDDEAAAASTNVNEGKDMIEMPAYRAAKRALSVLRGLSAPSIELVQCSILLAL FEFGHGDVMRAYVSIGDANTMAVVLRIGPGKYVEAEREANIPYEEEERRCVYWSLFVL DRLIHVDCTLIHMPLQVPSPDADDLLPTSNLIWHDQNQSPTRSVQRHPASIAPSVPLG PFQRNCQCAMLYTRAYSPKPKGNPNALLEEYVELDIATRALVEAMIMQTSRWGDFYEC FATCTCLLLFLYCRQLRAANTITSAGPFSPTADDIAPKAIAGLNFTIRIIADTTTDLN DQLAHRPHLLAPCSPVTPYSAYHCLMVLSHLEHLIPEADTRFHNIFASLHFFAKRWGV AGQLVNKVELFLADSDETQWCFMDD FPSE_03124 MKFGEHLERESVPEWNLHNLDYNSIKHEIKMHTTRDQATAMAIP GQKDEALSRFEDGLYMELGRQHERLQLFVSSKADEISRRLEYLAKNINRWASKNRDGL ADDSAIKHQRRFTKYERELVRCGSDIHALERFVNAQAVAFRKITKKYKKWTGSTTLAT RLYENILSDPKSFTRRDFSSLQQRYDDITCTLNAAAPVLSEPSSPESAAHSYRRQSLS GSINSAHRHCANRSQPTFDFLPPAQIEEPVKYWNEYDNGSECADDDGAYAIYINPGEN TNFPGFDYLHGIFTAPLNKAKCWLTHSKPGERQSLLGANHSSYQYSSTVFNSSESDEE AGYASSDGFPTSGYATHYALPSLSQQQAHLYREKTLFWGTVGSFAVSFLLILVAGTLI STGRHKLRAEVDAGVTVGVVASLFTSCSALGMTLYRHDQLSLPHLMAVWTTFIASCIL NGMLLILVVGNSP FPSE_03125 MTNLPQPGHGPVQTSAVVVLGASSCSAMPPPSPPTPSSSPPGDA FCEPIDPLALDRELREEFQTKDQRLQDGLHVLNTEAVALWNLSRLYETDPVARDGFSQ TVQAITRQQSTNGKLVVIGVGKSGHIGQKLVATFKSLAIHAVFLHPTEALHGDLGIVG SNDTLMFITYSGKTQELLLMLPHLDESLPTVLLTSHTTHDTCDFFKHRPNTILLPAPI PEPEKTSFGVSAPTTSTTVALAIGDAIAITAAKEMNANIASLFAKNHPGGAIGAAARQ PQTIREIAVSWCDIPTAAETVEFSLGFDLLRAGLDSSTGWVRVQDHVASPSTIRGIGR HDLNKSLKDIPSSLVSKSSMISLSSDTTIRQAQNILNSMQLSLDDEDLVCRRETIVAV LERGNLVGVLETGSILDFKTEAPLLR FPSE_03126 MGIHLSSSWRTPRGWRLISVTLVVLTLLLVIPLHRLSDDSPGVY GHLTKYLSHDGAAYDVEEGFVANLNETTNDQILKDEEPVEPAEPAREISYDTDSPQYT GEEEKEPSKNLEMPVVAQQPEDDKKSNDDDDGSVLEVDRSIEIEPTPSNQIHSTQIYS EASEETSKPEAIEIKPQGDKIGENKADNDKPQQVENKFHDNDDKRPSGIKVPDLTQKH EYLENKDGNGATATKASEVSSTTSIAGAFTPSQTAELDVPSGPLSNWTGVCEGFPNTD GIMLVMKTGATEAFTKLPAHLLTSLQCLDDYLLFSDLDQQIDKYQIYDVLKDVKDDVR RGRKEFDLYKAQVDCPIPQQYCTAGLTGKDGWNLDKYKFLHMVEKAWEMRPNKEWYVF AEADTYVFWPNLVWYLRNRVNGTETPYVGSVAMLKGKPFAHGGSGYVIHGDTMRKMAQ IPDLAHKYDMMATHECCGDYLMSLAVQETGKKVKQAHPMFNGEKPVTLPFGNNHWCEP LLTMHHMDPEEVSDAWHFEQTRHKKGPVQIREMYHRFWAPKLESEHDEWDNLSDDVCY IGFGSEAQAKASDHQRNRQRKEGDKNAVEQQAHRSKEHCAKVCESDGLGISENDYYGL KDDNERNKMIKNRYNQKRGDKNWNASRRCFQWRYHNNVCCVAKSFKRGKPRKEPKPEE KWTSGWFVQGINDWIDAKGDCTPNWKDPR FPSE_03127 MVQVLADPTFSPAGTPTYEATDGPLVKRPGFGRTDSSTSMSREE HAKRMQELNKKRGMMKAPRRGWSMTDYQGDHVPASHSGAAHIERQAPIPENDSPSPTE RQEPVFPEFPAEDKKIQIAHRPKLPPPRRSYSVMDYEPIPQTQPQPPKDHTILDLPSE LHYTIFDHLDPIDSVCFGLTNSNFYDIHRRLHGTVPLSSRYSGPNDMEWAWRGAGPLV HRQERDPEKEGTIEQLRVKGQVYCRKCGISRCELHRHLKDWMPEGSEYCSIKEAFGKP AGEDAKPYCYMKSPKNPHRCGRHGGKKGQ FPSE_03128 MATPPIPANRLKQIATDACNSAIGNAEFYDHAKTEQWNSTIISS VLKAVISESTPEGASAPSFKFACNSTIVQHLVPTSALNKPRGGTETQAQEPHISTSSE ATATDGKPHVGRRGMHSATGAYWDEKKDGMWTFKYDGGEGKGLDVVVMLIWVAI FPSE_03129 MSSQPLLQTTPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG ALAIGMLNFGDRVAFISAFLFTGVAMCTMVYALVTYHWRAQSIRMKGQAGFDDRFGPT VLAIILLLAVVVNFVLRITDKSKNHSN FPSE_03130 MSNANLTQGSGKRRPDTNRSENAQPTKRTRSDDVQIQTFALGAP HESIIAELKPKYDVLPASVISSTQIKKRVTHVANHLLAQGDRPQIALLYARTADVCKL ITVVEKCKQVLSEEGKQYYQYNQLFDQPEKPRRKDIVEETILEKGTMDEGGDDSGSED FEVMHSRFEDAVLPRPSQRIIKSMRVFLSIVPIPELKLKKGVTVQSGTAKHT FPSE_03132 MRAQALAAVLLSACAGQTVAQDAPRVNDNPPGVGFKATLPKEPF FKDAAIDGNVKGYIHAQATDSGQGVKFMVKFSNLPKEGGPFTYHIHVDPVPDNGNCTA TLAHLDPFARGEDPPCDAEKPESCQVGDNSGKHGKITSDPFETEYIDYYASTKEGIGA FFGNRSFVLHYANKTRITCANFVSQIKPPATNESYSAPGYLPTPTETVTLTPTPSSKV PASTATSGVTSAPTSTAIDVVGPNAGSSMAVPINLALAGVFALAFAL FPSE_03133 MAFFSTMPVMPLPTRLHTARKGMEYSQSVEDFRDDEYPNMAQGA YLDHGGATIYARSLITGFSRAMIGNLWGNPHSENLPAKLSGEMVDSIRAKTLDFIGAD PEHFDLVFVANATAAIKLVADAFRDLGEKTPTKGFWYGCHSEAHTSLIGIRALAAGEY HCFDDDESVEDWISRPFSCQTQKGKPPSLGLFAYPGQSNLSGRRLPKSWPRRIRKHPQ LRNTYTLFDAAALAMTSSLSSLFEDPSGAPDFTCLSFYKIFGFPDLGALVVRRASGHV LCLRRYFGGGTVAQLSPLQDTRVMKKVPGLGNKYMSWDIHEGLEDGTLPFHSILALGI AIDTHLRLYGSMDIISRHCCYLSRSLYERLADLKHRNGLPVIELYADDPARYGDPSAQ GPTFAFNVMTEDGSYVPWTEVERLANKAGVYIRAGGVCCPGGVAQALKYEEWEWDRIF SSGHACGSTEMAVVHNKPTGIVRASLGAMTTKRDIEAFVSFLQNQFIFKSTVMPLLMG SSNELPLREAFLYSMRNVSDMEHISVRIGNN FPSE_03134 MAKKKSKQAAAPAGGEGQNATLDEASQTQTQTQSSTTATTNSST NGGQKKKPKASKELPSTASSQTLNICRNKHWRYISSYHGPWLQMPIEILETIASINYN TPRPRPIDPAVLFDLLKIRRLVDEATNLAVRAASDIASPVLTNLHGGLPGSSPMSMMG MTGPGHGMKLSHKRKSQMREQASQKLSRAYHLDEIACSVATMQGASTIEEIGAVVLQR NPQDLDARYVHFFHEKIPSRQMAESTSLESLTEIIAERPNESEALRTRAIVRTFKEDY EGAAHDLTTALAVCRIHQQPHRPNDEANSKLSHTGKRWRQEVVPAEKDQPTSLEIQLS FLRATTYLSLACQHIEDGLSSAQEKNGHADTDKPNGKPSAETNGQDSQEQDENSLRKQ TEARKLVKKYAKWALRDLLAFLSHFEYAPNLPNLIVKDFNDRVNLSAQGSRNPRPSEA TYLLEPHTTYTLAELFAAVPPSDLPPYPNEDVTNPEKQTYSSDSPKVCEGSTYHPLMT DALHSLLLCHCLIQTSAKELQRHTYMAARLIRLADGYPIFQACRSPARSDWLEVLRRA DDSWLQLSASWDTLCTPAPLPFHYDPLQHGATSANTGASRKEAAAAAASLINGTPNTA KSVPSPEDQRRQRMRERDQRVRVALSDQRVCDEDTFRAAIEAQEKRAELEDRAAAAAA TPPDGTSNADGRPRRWVVDDSEFYPVCTARATMIAQWVCEAPVVTSTTRRKKKTKRPE GKTDTLADSAKKMNLKDTAATVS FPSE_03135 MDPADIFRQGFSHVAPRQNPVFMGHPQHHMAQYQPQMQQQTFRK PMQQADETSDGDGSAHRIAHTLTACCRCRQRKTRCDPTLPRCLPCERSGSTCEYLDAA KGRKINRQYVIKLQDKVRQLEAELSQFTDDENDYPKSNEDMVRPGGLVRLNGGDETHR YLGPSSGIAMTRLVMEQAKRYTDSKRISDLIPSVRTRQARMQSIQVTGPAARRKSYPM VSEHPAESLPTRAVADKLLEIFNQKAQVFWPVLHEKDLLQDLDAVYDGDNDPYRLFVV RMLVAISLQKLDTQYAGLADSYYLAAMKLLEDVVRQKDLKTLQCLVLLGQYSLLTPTR MPTYYVIGLAARICQQEGLASEKTISSGYNSDPKTIDMRRRIIWTVAAMEYGLAHSMG RPNNFATGDDRLDVEFFESVDDENITDQGIQPGPPSERKLVAIHFYKMRMYQAEIRRV LYEKKKDEPKNDSHPWYARVESMNKEWLDASPTKPDWCKPWFTGRYHQMRIFMYRPSP QVPKPSPRAAAICFESSAYIMQLNSKQMDSGADITWVFLLTVNMSLNTLLWAVSYPEV RQAHPRSEVESLINTSLDVLERCAERWPGSASASQLYAIFSKACLQGYDERPMTGQTT NFFNTPPSFADPNSPEAFQNNVQQASYQNAPQFNQIFNSTPEAMNAYAFDPNFPPPQP SFRSNSIFFNPASNEPTGRRFSYFPPDFMQPGETMMDDPTPPATTTPEQHMTSPPDHL SEQLPTPPDSVPTGNMATPTPSNTLSPQNTITTHPTPIMQNASPIGMVPVQNGMSPPI KIEPSQQGPMFAVPPNPHSVPQQRPLPALSNTTNWFSPPAPFINPYTFSNMSNSFFND SLAHPGNYGDMSNSGLGLQNVNGGNAAVPQFDYGFARHGSLTQSQQLELMNVLETEGM GDIDAFLNGGTVPTNRWY FPSE_03136 MPRPSFSHNPLLRVSRPVSACSRCRAAKVKCDGKLPACTACEKA GRANECSAANDQFARGKERSYVAALELRIEKLEKRLQFAKSRKASVALHDTDASAFNV QQMDRRDSLALIRAAIHRKAAHKRETLDVNSLVSDFGFLSVNATTRDFEPISTNMTFA RLVLAATTNDALPEAPEACLPPRPTAHALVQHYMDNIYSLFPCISETALLTALDDMYD KNNSRNIQHSEYWMVYMILAIGSISQSRRSNDTHYQDGLKYAARAVNHADEALAPGYV TQIQSLLLLTQYAMLDPAHFDSWHSIGFTARAIVDLGFHQDPPLSSVSDKSALDMRRK IFYCVYALDRTISMVYARTFSFTDDTVNVAFPQASGNARRGSASGALSGPQSADPALL LFQLRRAQSHWYQDLYQSGSVPLQDPISYVWRMCLDMREWQDTLPNNLAPEIRQLFDQ ELRYSYVYCIAPSTRAPNITDYNRILIFEHSMAYLDTAYDIAQSGQNWGFFTYHDVMR IFFMASQFLAVLRDAEDMLLSGMPVPIPIARPGTAPAPPLPRRLQPQGVNGEDNLDRS LRCLDKVSQALNIFGERWVDASHLRENFGLISREVVERLSERRQRRDTAREQYQSQYQ SRGMPPQSQGGYQVQNSHQQEEMQWAGVDVAHMMRGGYPPQ FPSE_03137 MPSKTRTYNWFIAMVAASCMTLYGFDSSVFNALQASENWLNWFN LDLKEDSYTVGLINTCYTIGAIVSGFFIGGPLADWLGRRAGMGIGCIITIVAAIIQAF APKGKLGVFILGRVLIGIGQGTALTAGPVYIGEVAPAEIRGQVMTFWQLFYSVGAFIA YWINYACGKNREKLGEWDWRMVVIFQVLVPIFVIILLPFQPESPRFLIKKGKVDDARA ALRRIRDTEEEVEEEVMGILAAIEYEKEAISPGYRALFKDPSLRKRFGIAVVLNVGQQ LTGQGTLNTYSTSIYKQVWTSTEKINLINALWATMGILFTLNAVWTADRFGRRWIFMV GAVGMAVCMLIVPIIGLATPTPKSEPSAIGIVVMLYLFIFFYKPSWGAGVWMWTSEVF SANVRTQAVGMASQCQNVANTIFQQFFPTFLAKTGLKCLFFFFGVNILLAVFVFFFVP ETKGIALEHMDTVFGGVDHSEKGAQMLGTDVPHNDTQQFKDGAEIQQSEKKRESV FPSE_03138 MYRAIATASALIAAVRAQQVCSLTQESKPALNWSKCTSSGCSNV KGSVTIDANWRWTHQLSGSTNCYTGNKWDTSVCTSGKVCAEKCCLDGADYASTYGITS SGDQLSLSFVTKGPYSTNIGSRTYLMEDENTYQMFQLLGNEFTFDVDVSNIGCGLNGA LYFVSMDADGGKAKYPGNKAGAKYGTGYCDAQCPRDVKFINGQANSDGWKPSSSDVNG GIGNLGTCCPEMDIWEANSISTAYTPHPCTKLTQHSCTGDSCGGTYSNDRYGGTCDAD GCDFNSYRQGNKTFYGPGSGFNVDTTKKVTVVTQFHKGSNGRLSEITRLYVQNGKVIA NSESKIAGVPGNSVTADFCTKQKKVFNDPDDFAKKGAWSGMSDALEAPMVLVMSLWHD HHSNMLWLDSTYPTDSTKLGSQRGSCSTSSGVPADLEKNVPNSKVAFSNIKFGPIGST YKSDGTTPTNPTNPSEPSNTANPNPGTVDQWGQCGGSNYSGPTACKSGFTCKKINDFY SQCQ FPSE_03139 MERSKPQAFLSSYAPRLRAYNNSLLTPVLPSSAPAGPVSRTTKR GTTIINYAEDGYDDLEDDSDDPRRRPTGLRSLRKEDSASRQDLADKVGKDTKEPVEVQ GIWRDWIAKHRLLRSDQQNAIQETLPLTLIPIRIDLDVPAFIPPAPYPTPNPNNVDTS LPQYRQQEATVPYKLRDIFCWNLHETLISTDQFAQTLVQDLDLPNRQAAIAEISKQIR TQLEEYSGVALHPLFHSDQQAASAPQPTTTALTPRPSFALKSRDDSPASAIRGPSRPD TPAQTGGAVTPSQTQAPDVTAEATPILPDSDDYNPDDTYRCIINLSLNLASMLYTDKF EWSLLHPPGTAEAFAKVTCTDLGLTGEWIPAMTHAIYEAVLRLKKEACEAGGLVAGWG GLQQELPNDAAHGSEAGWRYEPEHLGDDWEPKVEFLSKEEIEKREGDREREVRRLRRE TARFSSTTGMLGGTPFGAPMEVEEERMGRGERSKKKRRFRSLSPLGRGGTPGGRGTPD VGGYGGGGGALTDQERFQWRCMHCRIWGTSVWAVRDGPAGPRTLCANCGYLYERDQRL PRQSKNLHLPDVRVV FPSE_03140 MLRAIFLASLAMISSGYPWAVSPQNDIEISVRHLNEARRAAGLH DLTWDASLAQSAQSWADKLAEGEVPHGYSLAQYPVSGEAIYEEETATDCVGQSFEATL QSAVGNWLHKWEAEPGEETKTNHDACMASIAEYVGCGKAFNTHSDDGEKKCKFYDVCF FGHGLRTPPKPKTSWAHHWGHPIYVPTPFKFTPIEGSEDSEEEAKLEFSGSED FPSE_03141 MASRAPSIAPAVLRQLRSQPQRLSSFLQTPLARSVANLNLNQQS FRAAHNIPRPSPRTYAKPKSEQANVGESAKSEGERPEIKPTHYQLSFTCVPCGHRSHH NVSKQGYHYGSTLITCPSCRNRHVISDHLHIFGDKPFTIEELMKKKGQLVKRGTLGED GDIEFWPENSLPGSNEFKKNESSE FPSE_03142 MPANSSGRITKARKNKNSTPHQKNHRWESFTTKISKFNSLQPLR KVRRHDLDTEDLSTTTSYFQTGLQKWGELNVSKPFSAFKTKVWPLCESLPQLLYHEQR IADFLADFIAGQDKEALEPLLDLLTAFSHDLGVRFEKHYGRSLELIVAIAAKPQDADV IEWTFGSLAFLFKYLSKLLVPDLRPTFKVMAPLLGKSRHPPHIARFAAEALSFLVKKA AAPSQRETALKRLVECARDDLLSVKGDRQFILYKDGLMNMFAEAIKGTDNIIHSTAPA IFAALVDAIPEEERSLSEDATWTDVVCGVLTSVTHHATAETFGDFADGVHEAIRANME RTAPAERQWQVVPLIRIYGTMAGVRKGYRIREWSPLIKNFVELLSSVTEAAPQDASTA VWKFVMASVAIVWHHAPIDALIPHINPLVQSLSREPFMKWFIPFCSYFCELDAQRFGS LFRADFQRFIANHWSEESNEDMLCVLLPKMIENGAFPPAGEKDSCRMSQGWQGQIVSK FERLEISPFPERGPYNKDPQVWRDRCLPKYSALLQILELTTVHPSTNAKIAELLLRKL KLALRPSSTLASDEVHFIVGQGFHAYLRMSKAAGSVDITLSPLLRAAVPRFSQSISFL HAYLAYEEILQSNESARRQDSTSSDSSSTEEDPVAKSLIENLSSPSHELRLASLNLLK ALNLATDSFNTVETMIEVEETPLALSHTRTIAMLLRKLGHNYASFEDNSWLQRAVPAF LFGMLTVKLSPVWDDSVETMKQIAETKAGEEAVCEVAFRWLKVPSSRWGASQPETHNS RRAFVSDFECTNVSRLEEAAGQVEESIDHPDELMLQSFDEKQRTAEITAGNARSRALK VFNAIPFIAERRSRQLVPHFLAWASEDRTPESIEGQGTSEGATWSLADRKAMLGVFSQ FINPRVLYEHEQVYTALLQLMENGDAEVQKVTLKAILAWKQEAIKTYKENLEFLLDEA RFKNELTVFLQDDTAIKPEHRAELMPVLLRLLYGRTISKKGAASGRHGLQATRLAVLR NLSVEDMGSFLDIATGKLKDVKVVGASKKIFEEPLLPVRKQLGFLNMISSVISELGTN ATPYLETLLNAVLYCLVFACRQLSGQGADPEDAPEEEEEKASTQSLLRVIRSTGLKCL IALFQNAQSFQWTPYQDVILEDVVAPRLENLPSETTQGVSGMLQLFATWSVLPRIALF FAPHDKIPEGILPKVIECLSIQKGKDAVKIHVLGIVRNLVKLATAPAQECEFNEVIKA ELLESNSKAILAQISNVLDMSGISNDLMEACVETILVMSPIIQDAEDVQAVIKIAIFL LNQPPRRVSPKLKGRILLIVEQYVAGSSAFDNQSLLDDVYDTISSLFSYFKDRENRQS LSRALLAIAGKDNNLREVAELCAALNSFKEGRIDELDYDARLAAYSSISSDREVSLTP KQWLPLLHNLIFHIRDDAEFGILSSNSADGLRRFIGDAAACTSEESKEKFDAQLRGVI MPALYAGAREPSDTSRREYLRVMGHLLSTMPEWEPIADLSALLNDRNEESSELTFFFN ILSPATARQLEALHILEAANKQKEFGSQNLAQFFIPLLEHFIFGRADGVDDHGLGAQA IIAIGNLASSLHWKHYRTTLQRYIGYVEAKPEQQKLTIRLLSKVADALVDAAENDSEE RMDVDQIDVSSSSTTRLPLTIPKAEKLSVDVTNFFLPTLVKHLHEKDESEVSYRVPVG VIIVRLLKLLPQDQMDQKLAGVLTDISHILRSKSIEARDMARDTLVKIAVNLGSSYFG FLLKELRGALTRGYQLHVLSYTMHSILVAIVPSCAPGDLDYCLNFVVTVVMDDIFGVI GQEKDAEGYTTQTKEIKSSKSQDSMELIAKNATVGRLIDLVKPLRALLMQKVDLKMVR KLDTLMSRITSGLLQNPAAESRDTLVFCYEVIQDVYNSQKPEVEEKLDPRVKKYLVQK GAKKSGDRGKTTKHTYKLIRFAVDILRAILKKHDSLRTPGNISGFIPILGDAIVGGED EVKISTFRLLAVIVKVPFIDDEGSRLYKVAVKEATKSISMSVSTTTEVAQAALKMLAV VLRDRRDIPVKDAAIDMLLTKLKDDLTEPLYRHVTFNFLRSVLDRRIETAAVYDIMDY VGSVMITNDDKDTRDLARGAFFQFVREYPQKKARWAKQLNFIVANLKYEREGGRLSVM EIVHLLLMKSSDDFVQEISSTCFLPLFFVLANDDSEKCRLSATGLLREIFRKADKERT QTFLGLVRTWLDQDGNEAVLKLAFQVFGFYLESSENASKNKKDFKLVLEKINGVIENE DIREVDGELVDAALGVIRAALAVFPEKIMASDSEEMWSNIVRCLSHQEPSVKISSIGL TSSYLADFAQQAGDVTVGEPVEGSHGLTLDLPKVQNLVRLGLGVLATRDIDEKLGVEA VQVLAFLAPRLPEGNGEEEDEEDEDQGEEDKETSERKTDLDHMFRQISRVLRREIPPR AVAITPKVAAMELLETVCRRSSLERLRPSFKTILVPLHNLTDPSIAPPFSNDELFKTK HEALKTRAQILMESLQKKFGTSEYSKQLLAIREEVRKKREERSSKRKIDAIIKPEKYG RDKRKKFEKNKSRIKTRSKEQKDMRQSFKRW FPSE_03143 MAAPKIIVLGSLNGQLEPAFKKLATLHAKNNFSMAILTGDIFSA TQDDESVAALLDGTLQVPLPTYFTMGSHPLPPRIAAKVEAEEEICENLHFLGKRSITK TSDGVRIVALGGQLDTNLIAGQSKEQHLPFHSVDDAKSLRGAHSADILLTSIWPTGIW TGSQVALDPTNQASLAVSDSIAELCAALKPRYHLSSSPEGFFYEREAFVHPTEKETDN TCVTRFISMAPYGNEAKAKSLYAFSLNKGDASVPSGATASPFNPKTKRRAPKEESYNR YGGDEHERGHRGRRQKQRHRSPPPGPDRCYFCLSNPNLSSHMCCSIGDDAYISTAKGP LPTSATFAEQGLDFPGHLIIIPLPHNPTIPSIGPVADPNGEAAKTYKEMTRFREAIQA MIAAKSSHKLGVVTWEISRENNVHLIWQLMPLPAELINKGLAEAAFKVEAENQSFPAF KVQELTLEQQAESGGDFFRVWLWADDGEERIKGKSLVMPLPSDMRFFDLQFGRRVLAK LLNLEDRVIWKACEQTVEDETKDVEAFREAFKEWDFTLQDGDEAAA FPSE_03144 MEASSPLAALHRPSMLPSWGSRDIFRGHPHYATSSVTSASMSLR EQMHKHSTGDYFNVKDVRGASPATSLAADISQNFRLDSDSSPKFHTPRRALFTAGMVR SFQDRDSLKTPPLPTSSPAPLAELKELMEISPLPHKAPFFTQFEITSPTPGSTPAADD EMMLDSPAPISRQSSLEPPKPIMAENRRIAMPRRPSLTRMKGFSTTAVPNRQADAVDT TPFRFSAGGSRLNHTSSNLSLSECFESASPPQERRPASANSPCPGMPAGRARPQFLNF NACARNNASPSMNAHSRRQSNPFLRNRKQFRRSLSMFEHPADVMKSNSDGEETPSPAL QSVMDVEEAQEPVLPHFLLEDPTDTIPRISRETLVDVLDGKYSSHFDQKIVVDCRFEY EYEGGHIDGAVNYNDKDLLTNQLFQTPMDGRTLLIFHCEYSAHRAPLMARHVRSEDRT VNAEHYPKLTYPEVYILDGGYSGFFTEHRGRCYPQEYVEMSDEAHQRTCEREMGRLKS RKGLSRAATFAFGQRERSVEESPTAPSRPSSRHPHLHASISRLGASPITRRMASY FPSE_03145 MPNGPEKQQSKNVQGRGFFPVLGGVNKEESISGHDQQQ FPSE_03146 MVPYVVPTDEQVGWCVALGLQVAFTHQHGHSGKAEMKNHSPSSR TGFGLRLQASVQGACWQGLNLMASTTITYTDS FPSE_03147 MGSKRKRVAKEGANSLQNPQKRTKNETDPSTNGASKKTKTKTKT KTKTKSKTESSTKTKPTLVKALFVESPIGEDRKREAELYELLGSEDPDDRIEAADCIV ASLFGTDGEGVSSAILRRHLDRRLFRGLASGRNASRLGFSLVLTEILGQLYGEKALAE SKYEDLDFDTVLEILNEKISVIGNIPGQEERDHFFGQVFGLMAFAQAGILFRDISRWN TVLDLLLKLAAKKVWLRSQCGWVIVQAIDQMSKKQAEATLEKIAEANLAKTPEGVAVW LVALTRFPDLKVKPWHDPLSKKSFGDLAAVLRESFQDFSKDQPERGQKNKQASWTAQL HYVWDILLAHYLKEGESKAEEFQQFWARVVDDSLFAKSATEGQKFKGFMVFQKMLEGL VSLPSHLEGLFSKNLTLCLMNQAAKEDRYLHRAAIKALKAIEATISAHPSTLVPILDS LLGQNGAYNFDQRTNTKTIDHLIQNVSEETGKKVMKIIQKPISTIDQQETTQATSTLR VYIDYLSKTLNASASPASGKIQQKVFSAALQELSQLAYSQPKHIPADALTEGVRELCR TRLESSFAKVSRRTEDYGTLCTAVSAIDPDSVAMSEEISEAVRNALSRMQKLLKRKAT DDNEKSLFQALAMLHAVSVFQLYNEDPDAMEVLNDLAQYSDRLKKGKSAESEAGTSEF LVEILLSFVARPSSLMRQVSQQVFDAFTPQISAGGLELLTAPLSSNESTKGQKELFNT GEDEMEVDEDEEDEEGTDADEEDNSDIEIDSDVEFIDINEANDESGDEDEDEDEDEDK NDGEFDKPEELDDALEKILKSHRLDKDVEAESSEDEGDMSDSEMFAIDEQLAAAIKPR IQDRTNDSKKQKKEAKQSVVNFKHRILDLLDIYVRNEPLSPLAFPLLPPLLSLMRTTS TKPLASRACDIILNYQKALKKARSNREKIEIPDRDGLLGVLLEIHEAVGQDNAHAYAK ACSAASLIVVSALFAADKANIKEVIGVYAKTWETWVLRETKPQSSFFLDWYNWSQNTA SQA FPSE_03148 MTSIGTGYDLLNSIFSPDGRNFQVEYAVKAVESGGTSIGIRAKD GVVLAIEKVVSSKLLKPGANKRIATVDSHVGAVSSGMVPDGRHFVDRARDEAQSWRQN FKTPIPTSDLASRMGGYLQAYTMYGSVRPFGITAILGGYDTPEETPVDGEVGSGPKVG AGGKVEGKHGGPFLYMIEPSGMYWGYYGAATGKGRQVAKAELEKLDLAAGNMSLEDAV KQAARIIYIAQKDNKDKDFELEMTWISGPDGPTKGRHVEVPKELREEAERLAKAEDED DDDDDDDDDEDAKDDDKMED FPSE_03149 MAFSQQNMNSQDELAALLARNLTFNPIPEPAPVPQEQPKADHAE PIVYTSVHYTHSAHITQAAQHQEIPRRSSEPPQTQAPSVEAILLHHGVNPATLTPSQL QLFKVAEPPQQERLIELWNICPPGNGGDIPALAWSSTTVENEEQLARIRYDRLSQQHQ VMSLDGTQVQTNDGRWVSQQEPELEPYMASGYEELMRREQEREVRDSQPRSTYGLYSH ANDPVYMGPDYAREQQLLAMASQYGACQGFRAPEMDTMDVM FPSE_03850 MFRFFTSESRGSEKTPQAPQHIAELIKPLQPRSLPKTTTIASPS AQPPKRRRLSIDGPDGSSDIYAPAKRIKTEAPAGIDNLAACDAMAHSSPAVSSLENAR DEIRHQFGLEILLKHDELRLINQELAKCQVALEQLRRCHLIPYPLNCPTPEQMLNVSA GKGPAVQSRPGESVPRWAPPFGVVDGPYARHYAKWLIPDPSFDGQQPEWQFTPEASRA RMSHAEGRTTRNSFAEPTGALKGRLARGATSQKLHSLSNGYPQPKDKAGPCVLKRADG QTVKLVCLDCHRDNFSSTQGFINHCRIAHKRDFKSHEEAAVHSGHPIEVAEGGGVGEE KSAAPSVQTQTSASVHPFARPDITEQQAYVALRSRISDSLRLYHEGKLPGVTRIPSSS DKDQQRWARKAVSADEAPGLPHLSRLLQSRKFDGNLRELVADATTKSPLEDMTSGEES ELAETPSEISDAKGSSAVRTPIVMRVPAKATNSPSVMDTTRSASSKGRAPVAFKTPSG SAPHGTTIVLSDEDLDMEDADMSPNTMVSNNAPSLVSDDGEYDDTDDGSSVSGASDTL ETESVSDVAEITLDDDQDPRSLRRESSGVSGAVRLRKDDPKHITLMTPVKNNARERRP RKV FPSE_03851 MKFLWSLALFAAAASAQSVTDSDAAAPSASGGCDADFIVKRCLE TENAKVEECKPNDWDCLCPAYEAVATCFNNCPDDPRAGSAKGQVQINCQNQSLYGTST RNTKSTSATATASEASATESDDAEETGTATKSASAAENTNNAAEKARNTAGVLLAVAG VVAAIL FPSE_03852 MATPTITLDRILAAAPVTTRGQPTQISADAKGQRIAYPCGKSIF VRSIDDPSDAKEYTGHTAPTTVARFAPSGFKIASGDSSGMLKVWEPESIDSTRGEYAI ISGRLNDIAWDGESQRVIAVGDGREQFGRCITADSGNSVGEIIGHSKSVNAVAMKPQR PFRAATVGDDGNMVFYHGAPYKFNNKSAQHTGFVLGAAYSPDGNTLVTVGADKRIQLY DGKTGEPTKEIGQGEHTGSIFAVSWSQDGKNFVTASADQTVKLWDFDAGSVIQSWKFG EDATVGDQQVGVVFVPGRSDGLIISLSLDGRLTYLTEGKQEPVRVVYGHNRGITALST ASDGKGADLWSGSFDGRVCHWDIKSGIATVVDGQAHTNQVAQFAVADGKAFSAGWDDN LRIVDESTKTFLGSSTKLQAQPKGVSAANGLVYVATYSGVSVYSGEKLVSEQSLDYTP GAIAVSGSFVAVGANENSVRIYSAGSDGKLEEVKALSNPTGTISALAFSKDGSHLAAG NSVGKIYVYSVGSWELVADRWSAHTARVTSIAWDDTGVYAASGSLDTNVFVWCLEKKN QGKRIKAANAHKDGVNGVAWIEGGKIASAGGDASVKIWSVQNLP FPSE_03853 MAVSNLDRVCLVTVGATAGFKTLIDSVLSVSYWQYIVSHGFTEL HVQCGPDATSAKQQLTLLQDEIPSGLTIEIFDVKRNLVKEEMILCKDLEGKRQLGLVI SHAGTGTILDAWKMGLPIVVVPNTELLDDHQTEMAKHLSKEGYAIMSSGSTEDLKEAI HKVGLLWEENQTRWPPHKIPSQEPDRLRLWDLAPVDVAKEENATMAHD FPSE_03854 MASASTAARLCALACRRASRIQQAPRSRQIIRQKPLAQRAFTTS TIRWAREEDQRQDDVEEEDYGPVELKKLEAALAEASTPEGLKQLDQLAKANGFNSIDQ YLQNKLDYHPGWASEDRSTLEDIQKDDKGEKPNKQSFWFDEEDPETNTEELEEFDEDD ITSMAHGKLDEVREMRKYARLAVWELPLLSKYAKPFEPPTDQQVLRWRYTSYMGESHP AEKKVVVQFAPDDLKLTPVQTDKLKKLAGSRYNPETEIIKMSSDSFEHQAQNKRYLSN LIDDLITAAKDPKDTFEDIPLDTRHHVVKPKPQFPKEWRMTPERRQQLDEHRSRLAIE DVKMAENGQLIDGVQAIDQHLMKKPTDEQKKAKVAELVAAAPVKGGSGSRARR FPSE_03855 MLTPVPGIQYCEDVFTDRYEPVEETFEDSRLANIAGIHTLINLE RIPSTLLNSAPESCRLAAEADALILLYEGSSPESLEELRRMRLQVLAPHLEEVAPPTA VVAGKADGAEAAGQAWERGLDEGSDLAVSLDARFGVASALWGDGVKDVVEELAARALE NKGIDKEVLMGAGS FPSE_03856 MADNTTSYTIGPLEHTPLDEINAKVDLVRKTFRSGRTKDIEFRM RQIRKLYWAIVDNTELMQDALLKDLGKCKYEAVLAEIDWCKQECLDMTNNMEKWLRDE PVPNVPLQFRLMKHRTRFEPLGVILNIGAFNFPFQLTLPVVVGAIACGNCVVLKPSES SPNSAMVLKKIFDESLDPECFTYVNGALSETQRLLEQKFDKICFTGGKVVGKIIAKKA AETLTPVLLELGGQNPAFVTKNANLKLAARRLLWQKTLNAGQVCMSHNYILVERSVLS PFLGELNNQLRTFFPKGAKNSTDLAHIVSASHFNRLKKMLDGSKGKIVLGGSMDESTL FMEPTAVLVDDIEDSMMVDEAFGPIFAIMAIDSLDQAIDIANSVDPTPLSLSTFGSKD ENKKVLDNVTSGGATCNDAFFHSQIPQSPLGGVGQSGMGNYHGIYSIRTFSHQRTIAE VPYWADALFRVRYMPYQWPNMNRLKSIAQPKPNFDRDGNKTKGLGYFVALVFGLGSKK AKGALLRWAFLVVAAAVLEAKKGTLSQLLTR FPSE_03857 MPITILSPQPPTPRRPQAVAAANNDSDSDSEGGAGVDADEIFTP GTVITSNSQWMRGHGTYIPADSSEITSSLAGTLTKTNKLLSVRPLRARYTPEIGDLVV GRIVEVQAKRWRVDVAASQLAILQISAINLPGGILRKRTETDELQIRSFFAEGDLVVA EVQQLHQDGAASLHTRSLKYGKLRNGVFAAVTGTGGGGGVVRSKRQVWTMDVANAGGK VDVLLGVNGYIWISKHIESETAAEAAGINRMEETVSSKVYSSQNDPMDVATMREIARI RSVILALVENGLKVDEDTVTRGYGEAVDQARDSTDDGLYLGGEKGRRLATAVAGH FPSE_03858 MAGDKIPTVQSLEKPEKLEDILRQDRGDDCLPCKVVGSGAFFGL GAYSYFSGMSQLDRQKDLILRSKSPFGMRSRKLGITSISLALVWMGLWRALK FPSE_03859 MNGVIEALHIYDDNRNPILSHTYAGRPMSATHLLPLYLEHPAPR PNLIYLPNTSPPTLVFNLMHANLLFIATSSTEIEPLLVFEFLHRIIDAFEDFLGAPLL AVKIENNYDIVAQLLTEMCDAGIISTTEPNALREVVEQEGWVGKLLGSINLPGKAPLS ANFSNSSTSSILPSNSTALPWRRANVRHTSNEMYADIVETLSVTLAPSGRPLAAFANG TIAFTSKVSGVPDITLNITSPSGKHNLGSIMELPVFHPCVRLNRWKERPGELSFVPPD GRFILAGYEVDLLPFTSGKSGSLSSNNLKLPINMEVKTGLGATGSDFEVRLQVNKILG APSPAPSGLGRGGSGGRLGGPHPGSPGAPLMDDLTLTIPLPADVRNLSEIRPSKGDAS FNPGEKFLEWYIPAKELSGGTSYFGLRCTVVGPLAGEDDEGFDPNGFGFNTDYTFDGP YQSAPVAKAANNQEGKDDDKDTKKIAQNKILMPTSASVSFSVKGWLASGLKVESIQLD TRKSRGLGESVKPYKGVKYLTISKGGVEIRC FPSE_03860 MATLTMRCRRTYTLASHIRSSASASPTTTTTTIARSRPSLQTLP QRQHLSQQQRWRSTHRGGTRTALFFPGQGVQRVGMLTPWLEAFPSTASQLRDEIDEYM GYKLSDVIQNGPSRLLTETPNAQPAIMATSIFILRILEREFGFKVTDHFDVTLGHSLG EFAAVVAGGYISFQDSLNLVRKRAEAMTEATKHAIEKYGGEYGMVAVISHPEHMDKLV EAIREFVGISAEDLADLPPIEQVLIANINSKNQIVLSGNIERIKTLIAHVRQFLGYDP RAVRLKADSPFHSPIMKPAVAVMRQLLEEPSQVKGRENEDIVTFPGLLPIVSNVSARP VCCKNELKDLLARGCLDTVRWWDSIKYLDQEARIRRWVGVGPGKVGRNLVGKEVGMRG KDLVKGGGVWAITDPSEVEEVLRGLEDTAGLMEDDEYSE FPSE_03861 MSTAIPSIACLGVIGRNNNPLHISIFPSLDPGTNTFAPIRTPLQ FSLLLSSTIDVFDLRAKNNAVSGVGLSGDFGLLHAVDDRLAAYGFETNTGVRMVCVVD MRGRRVDGNAPVAASRGAAAGLRDAELKPVFRAMQQAYVKLLQNPFYDPDEHAPLGGR GGKKITSRKFTDDMKRIGEGWTPGVTSL FPSE_03862 MRRFSTAVTITDPLVKYQTHVAAGLYAPDPSQHRLARHLHKIYL RIKDYSPHIEYRQRLKQVTRLTEERPRDDPEENGNILALRNHSIWRNPLFKHLLSTPE AKESLAMTRVLANHESAIEIDSPKGLFLSGEVGTGKSMLLDLLADGLPTERKRRWHFN TFMLYTISQLEHHRKTSPGVEEGEKDYSILWMAKKLVDESPILFLDEFQLPDKAASKI LSHLFIAFFQLGGVLIASSNRMPEELQNAIGVDYTLAPTRGLIRRFFGSAVRAQGELY GSTSDFANFLEVLKARCDFWQMEGAKDWRRREETDSPLVMAGNTAEGETVVEEAIAHD KLEGTVKKPVNYYLSTDEQDVWRERVRTAITWTDPESLPWEPSTVVVYGRKVHTPRHY NGYVFWDFDKIVETFGPADYITMASTYHTFIIDNVPVLTHATKNEARRFITLLDALYE ARCKLIIRAQNPPDTLFFPKKRGSATGKPNNPNEPDDLISETIAEVYQDQMSPFRPNV AYYDTKSSTASYDPDQDSDFGIQTKPVDFANRSAFTGEDERFAYKRAISRLWELCSAQ WHARIGDWWQPLPLEARHWEGGMVTQAIEHQIGANRLSKDEGMGESSEVDEMAGLSKW RIEHLKSNSEKSV FPSE_03863 MFSPSIAQGGPATATRSRRRQRPVSSERTTQQPKAKRQRLPLTE QTFVNPDNQQEMVEVKTDAKVATLPTKNKNKNIEPPPEPASPVLRKELNVRVKKPKHG DRAANKGDGSLVLTSTNAYTVSKLPALPDRIRSDWSAYQTADIFSTGYALSLTQTHAL VWPYTSTSQSPETFSFSLPSTTRPNEPLPVGCLVSPSASSAEPGLVVVMGGSGKVVYW ESIASAATFVFMKKDRTGVEYTVSGMHSGEKVVAITNAESAGFILTFNSGRLAYMNVR DSQGRPSISVQFLRNNLSPPTGGIFGSIRHAFQHLSLKGDIAAVRADRSARTGERNIV ALTGKGRLNAWRVHRGGHNEIIGDADVREEIIAALQETDPVSQEYPEDSFEAIDFTYV PKGLESKYLDLSRLSDAMTTDDSSVQHLLVLVSLTLRSTSRYALVEAILTPRDCQIGM IRPITSYSSPFSSSQLSETPRPRLYLPRPALVAFVVFDRATVIASIAVPPQSPESQLQ TDSHILPAAFEDVIDFREDDVHEIIGSGFEEIASASSHEEHRLHRPKTKNPAVILMVR GAGAVRIVTTEVDKFASDQPPKVSAKSKIEQAVFFGVKQDNPLIFDGRQDIKFADDEI AAAALEVSHEILSSSTPFISTLPASLEDNLRARSNALERLITQLRIMGADLDRKTRWS LLYDAEKMHVATLLWKRHEAFTAARPANDKKSLVGTIVEFIHQGQKHNPVAEIGEVDR VRHWFINDVFRLELFVAWAYEVIKTLYKDNLLDDAKVNVMIYEAIQVYNCTYTAAHDF RKNSLSSYGLGFEELRLGILRDGYDDLPEPWTGSQYVANNAKRLVDLSDQWVLKNHDA GESNKAANQPDPKVVSKIFEELPTLIDGMLTSVLEYARWSATQTEQKVMSQQFAKVYA TDRFEKPLALARSGKWEEGASIAEKHECLRALSVILLDHIQILENRLGEPGLSLVETQ NLKRLRDSKKTKLQNSFSTYGQAFAFPAYEFLLQKHGVEDVLEFDLDNHGFKTLFLRS KPELARISWINDVQQEKDVGHSADTLIDLALKKEQQVWNKKIELSLGKLALMAELEEK DIKAGGLKVNADQVRAEERLKKVDRELVAIRIQDQLYHQVLPSTYDAVDDAAALNFAM EVHSMNIPRRQKAQHQIFEDGMKRLLQHEALDAMTLIDLLTLIYLKPESRAEIANPFW LALLVAESSCHGDEVKEAKRMIWRRLFIRDDWSRINDTQLKDDREVVERLEDTELYSM LTDCISFQDPREPFRPQPPNEALGAFTENLDRRFRDFEASFRTKLIEVMKHEDKLLHQ FVEKNRLGQWVHTTFEAVRAELDRTLDTATKNPSVVDTDKVMAGSIFDTGMSVDTSPG GRL FPSE_03864 MVNATEDPKDSAQSHTPRTPPLSAALESFTDSQATAAEDLPPAE DGGLDLSLMKKKKKKSKKVDDDADAAPADDAAPADDGGLDLTLKKKKKKPKATKDDDF TKQLEKLELQEGAEEAEEEPQEQEGDMHEGTGIWAHDETKAIGYTMLLSRFFSELTQR NPDHVMTGTKSYKIPPPQCMREGNRKTVFANIADICKRMKRTEEHVTAYLFAELGTSG SVDGSRRLVIKGRFQQKQIENVVRKYIIEYVTCKTCKSPDTELNKGENRLYFITCNNC ASRRSVTAIKTGFSAQVGKRRKMQG FPSE_03865 MADSSLVDLHDASMSDDKDDLDSLPSISTDDIYSDVSDSDAQAE WERSLEQLQLILTMMIVPWMGKYFGRKFAYWSWSRYMEWAHNVNVRLTDKKAFKAAGV VETAATL FPSE_03866 MRYNLIQMILRGTGLLMTLLLTALIGSVISSNIDAIGSATAAVN FIMFVAIVGWIVCIIGLLGFFVSALDKPLLQLPLDAIAVLFTFIGAIVLAAKLRVVNC GEINPKALPTDWIAWGSANDEGRCRRLQASTVFIWFLFACVSGSLFLTIRAARNTYGS LRSAASRPSMSQISSSV FPSE_03867 MSVAPQEFQSQSYYYLPYRPTSSHRQPQQQDISYFNFTNLNHSP TLDSTTTVAMAAAAAANARSQQDASVAQALEIARESPDGSSDPTISKILEGALARIWS KVAAHPDSYVMTKDEFAVFNFFQHRFIGNKMALSARKRFWDNTHA FPSE_03868 MNGRTVGEAKQVIYQVGQHGGSNASVSVVCRERKRKKKIWSVEF ILPSKNQKLKQTKNSKRPNDMHAMNLCSVSALTASSAMDLS FPSE_03869 MFRQPVRSVHAPARAFGQSARQAAWNGAWSRHFTSQSGNQTGRQ IWRWKTGTLPWEGMMARLKNTRFTPGTTTTPSMLQGVLRRGFRFTTKRKTNKGPTVGE TDEAKGLSARLRKLTKEYGWVTVGVYLGLSVLDFPFCFLFVRMVGPEKIGEVEHRVMS TVKQMIPDSVREAWHTYWQSFRKAEAKALGDDEISDKMEMATWGVEKAQERNRVDASL ATQLALAYAIHKSFIFIRVPLTAAVTPKAVKVLRSWGWNIGKKKA FPSE_03870 MSRTITYGKRRSRNILTTLGSRPQKDAANTSLSRQLRQNPRFDD NPKRTSRKRAMANPQTAEEMASWLLDDSTSEGLENGDEDSSPKKRRANTPEISHAQAN EPAISPLNLPSPLETPPNPSGKYLQRSRVDKLSPRSPGKVPKRAATTRIRKERSQRRT STKLKRQLSLDPLKFHPTNSYKVSTPVVLAVVDGNVRNRVIEGPSTPPIGEGCANGEA INGKLAAMLAATDALKPTPQRANSSSSRLTRMVPLKVLTKVSNAWDRFHPKAPSQEKG SQYKPIFDDGQCTELDTHGVDPSPASPDNMSPISNIEIRLNEGDNLNKRKVQRIVGGR VNRKPLADDGKSLRSGKPVEDPFSERDKWHTPTTFENRLMAVPEREEGGQLILSRSPF ESEKEFDNNIEDRFLNSTPVGSSTPRIILERVSASSEERNSAADSMSPSRRRLSTKLS HLPSKFDNETPVPDNHPGPNVGNPIEGPADSVSQSRRAWEQSTKGFTSFGIKRTKKHP SPSKEALEDLERELRQYAHEQASGPGGHSPEELDAGYTDESPSFRPVERNRLSLTRLA IANIDELANPAFDGGHHRRGSSASMTRYSSQSKLKLYRNVRLAPPYRPAGSSPHDVDE LH FPSE_03871 MPLTRTHRHTAPRRSIFSTRRRAPARSNRHTVTTTTTTTKPRRG MFGGGSTARRTHGGAPVHHHQRRPSMKDKVSGALLKLKGSLTRRPGVKAAGTRRMHGT DGRGARHHRY FPSE_03872 MSLSRAEFEALAATDVRDSIPLEPLAAALSSKPFIPTRSLLNIR DLGAVPGSVIRPGRIFRSGMLDSASSDPEAISWLASNVKTVFDLRSKEERAAYPSPKV PGVKFVFCERIAIYPQPVPADFTVDDGSVAWREQLMAVAAAYKPSIRAILQHVRDKPD EPFLFHCTVGRDRTGVVAGLLQTLAGTKQSDVILDYMLSRIGTEPARERLTQFIKATV GVEDTGVPGFWNMISLRPTFWKAFVKGVDAEYGGWDGYVKGLGFSTRDLETIKKNLRG FPSE_03873 MAPQAKKSGKAQKQTKKYIIDASQPASDKIFDVAAFEKFLQDRI KVEGRTNNLGDNVVVKQQGEGKIEIIAHNELSGRYLKYLTKKFLKKQQLRDWLRVVST SRGVYELKFFNVVNDEADEDDE FPSE_03874 MAADVQTEASMKETASLKSQEGQTKKKTNDYKGFIAGVFSGIAK LSVGHPFDTIKVRLQTTDPSRFKGPLQCVTQTVRNEGFRGLYKGATPPLVGWMFMDSV MLGSLTVYRRLLSEHVFNVQPLGTDVTLPSPGTASKVYTALPSFGHGIAGILAGATVS FIAAPVEHIKARLQIQYAAQKSDRLYAGPIDCLRKIYRHHGFPGVYHGLSATLLFRAF FFFWWGSYDIISRQLRERTSLGTPAVNFWAGGLSAQVFWLTSYPSDVVKQRIMTDPLG GGLNDGVQRFPRWKDAAVAVYKERGWKGYWRGFVPCFLRAFPANAMALVAFEGVMRSL P FPSE_03875 MGVSDRKSLPLPAPTETETNTTPTDLTSTADEVNPGDEKSSYSI PEDGTPVTIATRGHKANKSQTSLLIEYFEGGKSTVGSSGERRPSVRVRLTPSKRGRSD HHIQVTESARNTSVTRRIPLGDSEFDADDGQSMDSYASATEESNVSRNPIDIEIDRSS HRRQRRPASPLIPSETYNVNASDISAIPSDSFLDGTGNNTDAKAESPTGTRDMATPAG AHALARDAAEEVRNRKSRTRDRSKVSTTNSSRDKSTSDRKRRPKSRTSSVSERTEEPI KAHRRRSSRSQQLESNVSGLDSNVSASHLAPSHRTHAAHSSRSDVSKSSINNPKLLET VEDAIRRLILPELNALKRETSKREGRRDSFTSSGTSISKDELTPDRRRSSGQRHESKD RRNREARHVLEANSDLSQDSVEDDYRQYENDSVAPKRDMAKSAAAGAAAAGFASSILS DSTTQGDRKPRERRRRRAESTHSRTMSRDPYTDQHEDDHVVPQPPMPLMSEINPSEMT RTSIRSADTDIDRPQSASEEITPIKNVPGGYISGNSTPTPSATPSNVDTRLSTSHANV SQGDLRALPRGQKDYVEEYEPDEYGQKHPLERQGQYEEDDVSDNDYPEGGYDNSYFAT QDVPPPLKYVPYQAGARGLSPIPSVSGYTEGGSEYHPRNSRSMHSTSPAYYEQSGDRR DSRSTPSLNSLQQREHEHMSPRESGMDYRNSTYTDDSELGKVAAGQAVRGIAANPNVV HPPMGPESAVASLIDGSMLDPSMLSAAYSDYPTHRDSALSYDDQSRTYSSRGASPDKQ YMDGSELEPERHATPSARSNKSQEFTEYDLDDHGRKVARARSPTASEVAIATGAVAAL KAAQGKKQSATEEPEEYQGAGVFRNKSFKERTLEGHEPRNTPAHSIDRLSYDDSPKLG ASGLPDFHNPMPEFGYIDDDAQTNPSIVQDRLDGEHHDDVSEGRVTPTPRNVAAQRAE SAASQDQDEWQRTSDDRKRDTLITNPYEDTSPVANPALNDNVMAARGFGAPYHTGSPG YNPKYDEGYMSNGNNRTPDMQPNTKALHMSLPGSPSTGGQDPFYAPKDARHMSGMSQG MGSPFFDAATGQGIDRIENKDIVSLMQHLMVRDAQRSARDTEIVALLMNSAIEMRSSM NELKNLVQDTSDDVIFAGTENTEKLQKAINGPRPYPGARSVQSISQIDTFNEAAAKKN LFKRAFQGLSNKGTSDFKRIEEILMQILGEVDDLKVQGTVQAPSTSGGRGPSFDNLQP EGHFEQDRGYEPEGNSTITPSQSGHFSLSNSRSRLASERKFSDNRISTVAEHEDEYEH DHPSPSAERSNPNMLTPERTGFQRGSSVPLDTPPQPSGVPQPMSNENTPRNTAEKKKK HKSGLSGWFPKISRWSGTTASSKGKEEVKYDDYPPSRSASSLGSYNDGDYSHGPYDDQ LPAGFQQQELPTAPSAALPAPVRREISRTPGDAPKYKVHRNSLNLQHPQPRQGQTERF RNALEFSAQEYNVPMTPRSADWGGSVSSFNQMGQNTNRYSQASSTGAPQDPAYWTTSP TGPPRPPKEPIEPSSSPSAMSPQQRGSRISKLAKGSPAPHPSDESGYATMSGTHFSNY TSSPKPENRNLNAALGVPTRRPSGPRAMTPKSPEDESRRRKRETFGSVTSHNTDDTET F FPSE_03876 MATGDSNDDSNDNGFNFGQEPFAWALIPLFVILVLGLTATVIQI RRRRRRRGNQWPGSTPQGTEYPGLRGGRTSNRGALWNGTRSQEGLNELGQAPPPYDGK KERQDPLELRDLEAGGSPPEYPSEPPPALVTDGRRS FPSE_03877 MPRAIRGVLIECDPSIKSIIVSIDSANHDYIIEDLDDERVVVKE NMVSMLKAKLEDRLKENLPPEEESGSE FPSE_03878 MSTATPIPAYASYKHMLVSSPGPFVAHVEINRPKKLNAFSQEVW LEFGHVFDQLSNDSDIRAVVLSGAGDRAFTAGLDVQAASGDGILSDAAGDVAKKAKVL RNHIEEFQDSISAMEKCEKPVICALHGIAIGLAIDIACCADIRFCASTVRFAVKEVDI GMAADIGTLSRLPKIVGSTSWVKDVCLTARDFSAQEALSMGFVSQVHENKQATVQAAV ALATTLVEKSPVAVQGTKELLNYSRDHSVAEGLRYTKVWNAAAVQGRDFAVGLTSGLK KIKPRFEKL FPSE_03879 MKSVSLLSVLAAVASATPTLKEPPSKRGSLPTVTASGNAFWAGD ERFYLRGIDYQPGGASANEDPLADPKICKRDIKYFKELGVNVIRVYAVDNKADHDECM KALDDAGIYLVLDVNNPKYSINRATPGPSYNAAYIQSVLATVEMFAQYENTLAFFSGN EVMNDEKNTDKSAPYVKAITRDMRNYIKARKLRKIPVGYSAADVASNRMQTAHYMNCG SEEVRSDFFAFNDYSWCNSNFKTSGWDVKVKNFTDYGIPIFLSEYGCIESRPRKFEEI KPMMDSDMSSVYSGGLMYEYSLEDNDYGIVKIKGNTVTPEDEFDLFKSALSKYPAPTG SGGAAKASHGVECPKSESVWQVDPSYLPEMPAQAEKYMKDGAGKGPGINGKGSHFDTD SGTATASMTVGTSTSTGDSSSSNSDDDDSGAATLGFGALYVTGAATFFTLFGTLLL FPSE_03880 MAGIEQLEVHSKACHQALLCATDSYIVRWVKVDEGNTLSWSVQP HKKSINFGLVKHPGSGATTFAASTTEDLNNGPEESGGTSDGKASRFAKKETNAQELLK SKGFIPIKWIGKCEADKVSIGTFDVKEDQSGMYGLVFDNTFSKQTSKTATFVVMTYPT GAPPQTSSHLPNLQAPKAGASQTSLGRYGSPKGDGVTSASVDSLHSHNAAGNGAPSVS GRSEAGSSNYHTGVLHKRRRKKGQGYARRFFSLDYSTCTLSYYYNPKSSALRGAIPLS LAAVAADERRREISIDSGAEVWHLRAPNDKEFQDWALALEKASRVARGLETLDLPKKN TLKLNRRQTQPAQQYSPQEDREWEQVESLVSRVVGTRDALRRLTCEVTAQAKPPPVNT PQYLSPTPGGTSIAEENDSYFTPPSEHRRSFWRRKSNAPAISPATLGAATAMAVPSPS GVTTTISASTPNHSRRQSKGINREENTLQDHCQSLLTDLDSVVSEFTILINNSKRRRL PVPLSAGGASRKSIDTVSTADEFFDAEDANSAVLKIDGSEDEASRSEQAEDEEEEDSF RDNSSVSSIGENDTANLDDASHLFPVKAKSLTPLPIEQAVTRRATIPPAAAAAPSLIA FFRKNVGKDFSTISMPVTSNEPSSMCQKVAEQLEYAQLLNQAAKQSSPTDRLMFVAAF AVSQFSSGRAKERAIRKPFTPLLGETFELVRSDKEVPGGFRLLVEKVQHRPLLLAMQA DSANWSFSQSPAPGQKFWGKSAEITTDGRVRIVLRLSNGSEERYSWNIATMFLRNVVM GEKYVEPVGTMHVVNDSTGHKAAVEFKSKGMWGGRIEDVGVEIFNPEGANTGSGLVGT WTNGLKTTGKGGGQEIWRVGPLVENAANTFGLTTFAATLNEVTEIEKGKLPPTDCRLR PDQRAYEQGAIDDAEDMKQKLEEAQRGRRRELEERGETYKPRWFVKVENAPEGEEVWK LKTGKDSYWEERAKGSWQGVEELFKIS FPSE_03881 MSGIMSKRQQARNEKALQDLVQNVPGNNMCADCHARNPAWASWS LGVFLCMRCAAIHRKLGTHISKVKSLSMDSWTNEQVDNMRKVGNVTSNNLYNPEHGKP SVPVDVDEADSAMERFIRQKYMNNTVNGAGKSKSPHMGEGTPPPLPPKNSKFGFRSAS SIFPLSSKSKKDAKTIAAAQANRTESPRPPNKPSKVFGATLDLDQPDELDQKMARLLD MGFQDAKRNALVLKGVNGNLERAIETLVRLGEGSGRPSPLPTPSPREQTLRTSRSLTP LTPNSGGLGLGAGLSVPSRSATDRPTTPSSASTNPFDNLGTAPPQTAQSTGSLQNRNP YGQTNPFGAPVAQEQQPTDAFSQAFQNMSLSPQQPLFPHHTGGPVPQPAAQQLTGYQQ VAPSAPTTPGGFSSLGFSNNMTYPQPLQAQSTGYNPFFTNQTAAIQQQPQQSNNPFPQ VNTNQASAGYNPFTRSPTRIASPSLGQIPEQTQSSFQNPAVYQTSAVYQSSAVYQSPA PLSPPETTTNPFFANAGQSIAQTGQQVFGQQPAMQPMQNQAQATNNPFVQQQTGQQFY GQQQIPHMQQQQMQQQQQQQQQQMQQQQQQQQQQQQQQQFYQPQRPDNASIMALFNNY PQMTPQQASAESMQSSYQTAHQPQQPTIPENQPMAPVQQNHSVPAPMSSGTNPFMTNA PAANSIASPNAMTTSDPFAARSRESMNLGMDLAWTNGRHSPDAFASLSARHG FPSE_03882 MAPMNNQNLNYSSNYGEAAAQKVNVLASCYLVDSAANLKGLHYC TTGVAGPNYVLQGPSGIPEVPSSGLPSPPTSPPLAALTSSNELALLPKNKKREIPGRR LGRRGGAALSIREECERFFCESMKTTFRGERNSSMNGSGLSGAFLPTPPSDDRLPEHF KPVSDEKLPAYDVTAWLEMWDYAGGASFRAFVADDGEEKSLFVFFDIEGVLGRDLKKA LMALIELADGPLDCAHIVTCIDRRIPADDVHSLSKSLQWVGFDMATLDHWARDLDVTS KKWMLMGMEL FPSE_03883 MPTVHLLDYVAGNIRSLVNAIEKCGYEVEWVRSPEEVPNAEKLI LPGVGHFGHCLSQLSQAGYLEPIKKHIADGKPFFGVCVGLQALFQGSVEDPDIPGLGV VPATLDRFDDSSKSVPHIGWNNAFTAGKAMYDLQPDSKYYYVHSYKCPYEPGELESQG WAVATGTYGTETFVGAIAKGNIYATQFHPEKSGAAGLRTLRAFLTGEGLKTLGNVVDE AVANAPVKFEDSLTRRVIACLDVRTNDQGDLVVTKGDQYDVREKGDDRNVRNLGKPVE MAKRYYESGADEVTFLNITSFRDCPVADLPMLEIVRQTSRTVFVPLTIGGGIRDTVDT DGTKVSALEIATMYFKSGADKVSIGSDAVLAAEEYYSLGRKLFGNTAIEQISRAYGNQ AVVVSVDPKRVYVPKPDATRHNIVKTQFPGPKGEEYCWYACTIKGGRETRDMDVVELT QAVEAMGTGEILLNCIDKDGTNSGFDFELINQVKGAVKIPVIASSGAGNPSHFEDVFQ KTPTDAALGAGMFHRGEYTVKQVKDYLQEKGLKVRQFEEGF FPSE_03884 MATLEEAASAETAAVLKVEEQLEKDDQEEWEYEYSATETETYYL TLDLSYPEFKDRQPRTPHHSRGGYYKTWLDHNPSWRGLRAAEDNEDDNDNDNEPLPEP EADDDEPEIDPALSNDKGKGVDRGDVADDSKENGNKARHEPEDIQILELHSPHPIISY KGRTFEGSWAEVVGTEGIFTSRDSENPLPALRRLDGNVDFLGASASRIATKETIGKPN IIREDPLAAIREEWNIRIPVGKDRSGERAQQTRFLENLMALKKRKGETDQVTVWAKDG EGQDFKDNRDPEYKPRRRRRLLNEDGEEVIPKRERRRGSGRRGGRPRLRAGQGRGRGL EAGSTTWTPSAARDPEGSYPEGNLSTPTPSRWNDLHGGEYDEMDQYDESETDDDDDED MSNAD FPSE_03885 MIWLRRFSILLAFVLLVSFGAWLLPRILDPTDKGPERRERDRRW VNSSPYFLDRQACRWMSICGLHHLRSDPATRGNDEDEEPEWGDLKRRSLAWEPEEIPR QDHKRNKNQRRRILRNIPDYVTKHAPLVHLYSGEEFWPSDIGQHIEHMTAYAGDELIN STEKWTLHNLHELNKYSGNITLRSDDDVESRPNWLHSHYNVPNPIPDDHGGDQDTKIP VGNPQGQPESREPSTWYDVGKNRPVHKISDPRHRKFQNGRRSESYGHKPDENGYSAAP AILVVVDKGSGIVDAFWFFFYSYNLGQTVLGIRFGNHVGDWEHCMVRFEHGIPRGVFF SEHEGGQAYAFEAVEKRGERPVIYSAVGSHAMYALPGDHPYIIPFKLLKDVTDKGPLW DPSLNNYAYHYDYTKEGGHEPGDAEEPLSLVPAASNPHAPTSWFHYRGHWGDEVYSLA DPRQWRFFGQYHYVTGPDGPVFKTLDRGKMCQKQSCKILYNLDPKNTWY FPSE_03886 MCFGNRDKGDRGLARSREIEKQLRQDEKRLSKEVKLLLLGAGES GKSTVLKQMKLIYSQGFTKNEKLEWKPVIFNNIIQSFKIIAEAMGEHDLHFDSPDNEK YMAHILVDHEISPHDPMPADYLAPVKALWVDSGVRAAIGMGNEYALHDNLTYFIEDID RLWGEDYVPDDQDLLRSRLRTTGITETIFDLGQLTYRMFDVGGQRSERKKWIHCFENV NCLLFLVAISGYDQCLVEDKDGNQMNEALMLWESIANSHWFARSALILFLNKMDLFKE KLPKSPISNHGFTDYHGPKDDYKAASKYFLDKFKALNRNTEKEIYGHFTNATDTNLLK ITMASVQDMIIQRNLKQLIL FPSE_03887 MAESAAKRVKTSGNGPLIGTHSGHFHADEALAVHMLRRLPTYRD ADLVRTRDPAVLATCHTVVDVGGEYDAEKRRFDHHQRGFNTTFPGRPTKLSSAGLVFL HFGRAIVAERLGLSDDSPDVDLIYKKLYENFVEALDAHDNGISVYDPAAIAAAGLEKR FSEGAFGLGAVVGRLNPKWNDPTPSDPAEAQAAEDAKFNEASSRIGQEFDRDLDNYAA SWLPARTIVQEAFNKRKQYDEQGRILILEGQSVPWKDHLYTLEDGTPSVIYVLYAEKP EPGAKWRIQCVPESKDSFTSRKPLPEAWRGFRDAELDGISGIPGCVFVHAAGFIGGNK TFEGAKEMATKALEG FPSE_03888 MNPHQKNKVDVKSLTPEEQRLFRLYGKLPSRSDHFAKHLKDRKY FDSGDYAMSKAGKGDGVDAGAVGSQHPLPENIPHLSSPVNGSGTNVPKHHGSVPGIQA GSPIKESSFLKSETSVDETQGDDADKPQGADAAAAAPEPVAAGGEGIPIRR FPSE_03889 MGLLALGTALDWPDAKKRAPQQLLEIWNKAKGKERDALLWGDEV EYLVVVYSEDNKRVLLSLRQAQILEALAADKDLTKQGGCVPELQEPTTTEKKTERTIP VFHPEFGRFMLEATPGKPWGIGFKELLDVEPDMKLRRKIAKEHMLSTEYPITLTTYPQ IGVPGQFTDPYFPPSGPRLRSQFVPDEIANPHIRFPTLAANIRSRRGRKVQVNVPIFH DKNTPKPWKDPTVNLDKHDWPEDDDVRNGAAPDDFIHMDAMAFGMGSCCLQITFQAKN ITEGRMLYDQLSPLGPIMLALTAATPVYKGFVANTDVRWNQISRAVDCRTPEELGEKP LTEGVRRIPKSRYASNSTYIAIDPRLRNEYLDPDLVYDSGIKRQLLEGGMDDRLATHF AHLFIRDPIVVFEEDLQELDLNKTDHFENIQSTNWQHMRFKPPPADNSIGWRVEFRSM EIQITDFENAAFSVFMVLVTRAILSFDLNFYIPIKKVDENMERAHEVDAVLKEKFYFR RNPFPSRPSRANTTFGDDSRPGSAHPSRPPSPGGPVEHEFEEMTVNDIINGSESGEFP GLIPIVESYLDSVNVDVSTRCHLSTYLDLISKRARGDLDTTARWIRNFIDVHPKYNHD SVVNDEINHDLIGAVIAIGERETAGRNFAGLGIHGLERLLNGFRGGCGGGSSNGSNGN SHAAEIETVNGSLKRKSEWIDGQEVMAS FPSE_03890 MEAADSGARQSRHSATNSEHQLHHPPQPDQPYSDHRRHHSYSRD ILDRSSYREPPAMATATLIAPSAHYPTQPPFSSYSQSNSSAASISNMISSVEPRKQTD DQEPPNRQSLPSISEVIQGTKPGPYPVPHAPGLQSASSLPSPFAPGPRSFPEPEKRSS PQPLHPTSSFPRQDGLPAFSDSPRPHFSSRPSLPPVSDRRQSPPAKPDLPPQHHHLEQ KHPEPHHPLNGVYSHPPPPPPPAPVGYQSSQLPPGQMPLPAYPISPRHAMPPPAPGPY DPRAPPPHAEESEYAARARYEATVDRHFESWSYQDSLSRIGSSSRTIFNFAEAYSRIA QEQHGAHPIPARLPTEREVSDMLSNIELVKRSLEQVRDLVQTSIQNERAREGAKMKGP YEEEHDVNMYGDGMKPQYGITEVKKRRGVSQDDPTGEEKRLTKISVLLPQDDAIAVTE LILRNGDADPTELGLSATHVDYITPSLRENDNSRQDLSVPNLKKPTDNEPRHILGRST RDNSKST FPSE_03891 MAPVRQRGPGHDRHCAETRPSLTPYATIIGARRGLCAASLKAIV LVVRR FPSE_03892 MVTFSIPGDNELAEAGKSTPRPRPALPFARRGYVSTPLKNSRLG VPQSAPSRRVLTTRDEQPTSSLNRSTISTARNIFRASTTDSPSMTPFSPNIPQNTPKK VFALGATPEPNRVFRESTAQATPRGMAAKTTSKDLFHMRIADPDPELSGEVLTRKIPQ DWNNKGSIYADQFLSHLCPSEFDDEQRRQFFCILDLRRLKYAANEIFSQKDWKLNVIN FAKEFEKSRSIILLRYGLYEFQNVKPSKDVLKRWRREHGLPEPEEDNDEPTPTKVTAA KKRKADDEDTDMKDSSTNSKRRAPEHEEDVQEQQAPAPVPVPASTLGKNKRRSSIGDE ADSQPSKMQKGQASAAKSLFEKIANKSSTTPVSSPLKPSAKPADDNAAAKPNPFAFNK TNGSGSSLARSIFQNPKPTSTAGASGGNIFGYLSDASSAKNSGVDADAESEADSDAED DSQGDEPSAAASGAETASQVGNGLFGQKTALSSGLAAGSSAPGTRESTPGLSLFDRVT KDTDGQPVRLEDKAEVPAEKPFPKLADQTWNPSTTPIKFAPSAPASTGQAASLFGKAT SAPTSSLFANKPATTSNLFGAAKPAEKASTPSDHADKTGGDESDKENDEAPKKSMFES KASAAQPSFGSMFSKPATEAAKAPEPAKPVTSLFGAKPDDTASTPAPTTNLFGAVSKT AESSGPVMQSSTLFGAKPSGDDKPAATEAPKTSLFGAPSTSAANGESTTATSLFGAKP TTTASNLFGNTSTPAAAPLFGAPSSSDATAAKKDTPAATSMFSFGGASNGADKINGAA KPLFGAPQSPKPSSGTAGLDGSPMKQDEPSPAKRAFNGRTASGASAPIFSFGGSTTPA AAPPSFGGGASGTSTPLFGGASTTPAANDVGASFGSNSSASGSFGFQFGGGGGGNSAS SSFNNPFASGNNGGDSGAAPSSSGGMFSFGASSAPSAPSAPSGGAAPFQFGGPSNATA FGANNSTPAFGGASGSSGAPGFSFTGASPTQNATPTFGSNHSAPAFGNGNLQPPAGGS TTGTNTPFSLGGGSSLATTPAAGTPEPSTQAEGTAGGDDEGEKHEQVNLTENLEQDED VVHDVRAKVLKFVPAGDKSDDKKPKSQSPWSTQGVGALRLLKHKETNVVRLLLRAEPR GHIAMNRAVLPDMSYKADKKYVKMTTSNEMGDGLETWMIQVKTADMAKELAEALEKNK VHNKNQFWQQLCQEHGISQDGNLEDFATEGGDRKDVFYYQSDDTRYIPRAILIDLEPR VINGIQTGPYKNIYNPENFYVGKDGVGAANNWGDGYQSGEAVYEDIMEMIDREADGSD SLEGFMMLHSIAGGTGSGLGSFLLERLNDRFPKKIIQTYSVFPDTTNAGDVVVHPYNS ILSMRRLVQNADSVVVLDNGALSHIAADRLHVQEPSFQQTNQLVATVMSASTTTLRYP GYMHNDLVSILASLIPTPRCHFLMTAYTPFTGDQVEQAKTVRKTTVLDVMRRLLQPKN RMVSTVPGKKSCYISILNVIQGEVDPTDVHKSLLRIRERRLATFIPWGPASIQVALTK RSPYIPMSHRVSGLMLANHTSIATLFKRILRQYDGMRKRNAFMEGYKKTAPFSENLNE FDEARQVVADLIGEYEAAEDADYLNPDAGEKPTSIETDRRVAKSIKMTQSNDQKIAEV QANLPLPDQPPTASDWQSADARNVNVGAGKVEGSVGTDAHAQSGLREPATKGEEVDLS NVGREGVEKNQQ FPSE_03893 MASAAHTSSLDHNITIKVMFEGITRRTKMPLRDMIPGTLESNIR NFLHIPADVEVAFERYSDSAASFVLLELGNIPIYKQLYRAAKAKSKLKIRVTVKEQPK TTVPKPVTVEDEPESSASAPAPVNVDESPREIVETAEEASLAPTPAAEAPAPTPASDV MPPETTLPVRSLSRTYNAALLQDAARYVEPHDFRKEFENRLSNFTDRSSMSQCSPPTF NLAKDQQPINFLPKISAPPSFACPIVCPATGTSFAVCCNSCEKNIPNVHYHCSTCDDG DFDLCQSCVDQGITCHGRDHWLIKRSTVDGQLVQSTTETIAPKPKPKAEVKVETTVET KVEPMPHHVPLPKALYEPLPASFALPGIMRTCNCCVQEHPEAEFLHCRMCEDFDLCQS CFARDSHGHHPKHSFAPAVKGTKMPGHIEVKMSPGRNHMHHAICDGCDQNIFGIRYKC LDCPDWDYCVNCIKTVKISHPNHRFAPIYESLVDFRRRAPAAVHIGICCDGPLCNGRN AYPSYIRGTRYKCAICNDLDFCANCEASPDNKHNMTHPLIQFKTPVRAVSVTSTGETP EGMRMPEMGDRQTISKATETMPAVRSNSINAVRTIVDVKPSEPAPTKVTVKTPEPKIP EEASPILEPIRAPKAELKGVFVRETIPDGSILAPNHNFEQTWTLRNEGNENWPAGCSV RFVSGDYMGHVDSNHPAGISELMSASESTVCYAPLGPGQEFPFTVLLRTPARPGKVIS YWRLTTPTGEKFGHRLWCDVNVRVVKEEPKAKPEPEVKEEITPVEETKQEDESQASSQ MIFPKLDKESPMASIHEAAATVPVEEPKRSVNIDDEWDYSEEGFMTDEEYDILDASDE EYLEEQKKRLGTK FPSE_03894 MASAAPRRRKLIGQRRRVEDEGEDEGGLDGLDHDDDSITDGSLT DDNDPADDSDTSNIDEASPTSPNARRKTNGAIKHAGHGHKSGSGSGSGQNGKPVTDTD MMLHGLSITDESPPVQEMHFDEVVAPSPSRSPAAPMVVSSASARPPAAPANRRRQEHE DYKKKRDEDPAFVPNRGAFFMHDHRHAGPAANGFRPFGRGRGRGGRGGIGGPFAPINQ LHQPGDPTTNSPWTHDMHDTVVEPPPPGRPRHMVEEEGPANGNGFIPTCDPNPTPINR TLSTEKHIGNAQVRVSLPDMKAPVIIPRLAVKQYTKLPDHRPPLRRDKPVRISLPNNN PRYIYPAADRSFIFIPRAMRPNQQRMRGKPRSGFGSMGGFSRRTSVFGGSYYGSVYSP SVAMSRRSSIVERDYMFSPTGSVISRPPIPVENARPVVRLPPAPRPDMPLNAMAPPMA PQGPVYGQVDPAYAAERPLILDASINELPGPQTHPLPQKPTYQENRSTSALPMHQPRP QKNISVADIESPTLTQGPQAYQQAFHQQVPIQMANGLSQESHNRQPSYPSHHSTGTPL SQIPERAIHAAPFQPNTYGQQSYYNQQPYQAQSQQGYYYPPNYNSPSMGPSNAAPPFV HGQPGPPDSFTPQNQPEQPVMSNNGPPLGAGANLVAQEVNGMVYYYDASQLPPVNNYS TYSAPQSYQPSVMGMGGMVTPSPDGFYYPQQASGMVYYPQ FPSE_03895 MASLPSQHPTLSLHLSDGTLTPLITSASSQSHLESLTALTSSAL SSQTAAQRLGLGRPQRLMVEYPDRGPVVLYSYLDPRDAVDTTTNNAAPATSSRPGSAP GSSHGVDQRAPRSEPAPALYSDPRSEDAAPPLVGVVVAGSADESREARRASARLERVG REIQKEWAAESSQERANENGPE FPSE_03896 MGYGELDQKAINTIRVLAADATAHSNSGHPGAPMGMAPVAHVLF NKFMNFNPKNPDWLNRDRFVLSNGHGCMLQYAILHLFGYDLSIDDLKAFRSVDSRTPG HPEAHDTPGIEVTTGPLGQGISNAVGLAMAQAHTAATFNKDGFELVNNYTYSFLGDGC LMEGVSSEACSLAGHLQLGNLIAIWDDNQITIDGDTAVAFTEDVPKRYEAYGWHVIKV DDGDHDLAAIEAAIKEAKSVTDKPTLIQLKTTIGFGSLNQGTHGVHGSPLKADDIKQL KEKWGFNPDESFAVPQEVYDFYGKRSSEGAAREQEWNQLLAKYKEQYPKEHADLVRRL TGELPEGWEKSLPVYTPSDPAIASRKLSETVLAKIEGVIPELFGGSADLTGSNLTRWK EAVDFQPKSTGLGDYSGRYVRYGVREHGMGAILNGLAAYGTILPYSGTFLNFVSYAAG SVRLSALSRVRTIWVATHDSIGLGEDGPTHQPIETLAHFRALPNTMVWRPADGNETSG AYYVCLTSKHTPSIIALSRQNLPQLEGSTIEKAAKGGYVLHEVEGADITLVSTGSEVS IAVDAAKYLEEKKNIKARIVSMPCFEAFDLQDKEYRLSVLPDGVPSLSIEVMSTMGWE RYTHEQFGINRFGASGAYKDKFEFTPEGIAKRAVATIDFWKDVPNVRSPINRAFQQII FPSE_03897 MVKKTGPSKKAASSSKQSSKKSNAPPEASSPALQSEEQQQRLLD IFSNAFNKTLTSDEFTTTLQEIKQALFNREFATAFGREDYLEAYAARWSPTRALCYAT VLLSIKRHLNKILIPNKETPREESEIGQTKGETTSDENDKLEQDVAAGIESIKLDSTS PSRNASLHMLSIGGCAAEHIAFASYIQTTSTYGHLTLLDSGPWAQVVSLLESSTINPP PISKYASAARQAANRPMLGKDQLSLAFVQKDVLNIDLDSLSAQCADGKTSILLTMLFT LNELYTTAGIGKTTRFLKNLGQVLAPDSLVLVVDSPGSYSEAALGKEKKKYPMQWLLD HTLIETETPGYSWEKLQSDDSTWFRLSEDLSYPIALEDMRYQMHLYRLQKPI FPSE_03898 MPAIARKLLICAAIDGLVIQPLSSKGQRPFQPVRVKYGDASVSR VQREYGLDDSKPDPSFEAFGVIGLITVSKSSYLVTITRRQQVAQICGHPIYVVTEVAI TPCTSKIGAEEAIKRTSDHISRQARDSGDESDSSEEEEEVEFPSRASDEVEDAIIDDD DARPGSARSRVAEDVIRRRGSYGRFAQRWFSRSGWTLDQKRNMGLSNAPKVPETASTA AESSSDEVQESLDKVAPSEPELLPKLLRTAQVLFGSSRSFYFSYDFDLTRSLDERSVP QNTETPLHNQVDEAFFWNRNLLQPFTSSGQDYLALPLMQGFVGQKTFIVDNQPPQSDD KGKESVELSDLSPTKEHSEFPGFGSSRASIDLRSSERKYLITVISRRSTKRAGLRYLR RGIDQDGFVANMVETEQLLSTPTWDPSSNVYSFLQVRGSIPLFFKQSPYAFKPTPIQQ HSEEANQAACRRHFESLSRNYGQLQIVNLVEKHGVESIIGGAYEKAVEEVNKEASQDN KIPFEWFDFHAACKGMKFENVSMLLDQLRDKIESFGSTVQKDGNQVSRQKGVFRTNCM DCLDRTNVCQSSFAKHMLEVQLKEEGFDMSAQTDQVTAWFNTLWADNGDAVSKQYAST AAMKGDYTRTRKRDYRGALNDLGLGLARYYSGMVNDYFSQAAIDFLLGNVTAKIFEEF ESDMMTKDPAVSVTKMRELAVELCQKRVIADEKEEFHGGWVLLSPTTPDAIRSWPLEE VVLLLTDAALYSCRFDWKSDKVSSFERVELDSVTGIKYGTYITSTISLSHVDEVKNAG FVVTYSPGKSDIRRTNTRTLSSHGTMAGKASPAEQKDASLPVSLANLLTSKTSSASLP SVRRLVFKATNADSSIAVLGDDGPKQTETQQVSTICGDIERLALERHPRQPGEEHETL VETGPIISLEEAKKNTGLLEQLGHSLKKMVWA FPSE_03899 MSESAAWPLADQKLEQELLDLVQSSQHARQLKKGANEATKTLNR GVSELVVLAADTQPLAILLHLPLLCEDKNVPYVYVSSKMHLGRACGVSRAVIAASITS NDASELAGQIRAMRDKVERLAI FPSE_03900 MRAFVAPFTRLVLHVIRTVDVTSRRPSSIPFFGAMGCTCAIVFT CLGASYGTAKSGVGIAAMGVLRPDLIVKNIVPVIMAGIIGIYGLVVSVLISDGLKQDL PLFTSFIQFGAGLSVGLAGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLG LYGLIVALLMNSKATVDAVC FPSE_03901 MSSRYSLRQTPRKKELFEGMVETPIRRSRSARRQTSQPLSDVET DSATEILPQPTEVLPQPTRRRTARFKEELDSDTDSDNMGAVNRAANGKTNGHANGNGN GYTNGHANGNRHATNGHATSNGAAPIQAVMEKTEGVSHDPHVVDGWRPGQDPKVDYSG EVEFGGSFGTAAMMTLFPVLMWYMWIGATYYDGKFPSRTEGQSWGEFGAHLVNLVYTG AFPRLQVWAWYWSYLIVEGAFYCLLPGVWGYGKPLPHEGGKQLPYYCNAYWSLYTTLA CLAGLHYSGLWPLYTAIDEFGPLLSVAILSGFLVSIAAYLSALWRGKQHRMTGYPIYD FFMGAELNPRMFGILDFKMFFEVRMPWYILLILSLGTAARQHEQYGYVSGEVWFLVMA HFLYANACAKGEELIITTWDMYYEKWGFMLIFWNLAGVPLSYCHCTIYLANHHPDVYR WNRGILAAMFVGYLFWYWVWDSCNSQKNRFRAMEKGKLVLRNTFPQVPWQTIHNPKTI VSPQGTILVDGWYGLARKIHYTADVWFAVSWGLITGFESPFPWFYPVFFCCMIAHRAA RDIHRCRRKYGDAWLEYERRVPYLFIPYVI FPSE_03902 MRTSTLLTIANFGTAFAAVQMYNQCGGSAYSGDKECPSGSSCVE ASKWYSQCIPDSSPKKAASIEKTDFDFSAFGLPGFPAPPQASPAEDDNSQGDDNAPAP FPPTVPTPEGPTNTPEKPVDDPVDTVIANPTAAPTSKPIETGSGSGSITRTIPASSGA TAVATAIPVSGELDGGMTYYDRSPSVCKEQEETGEADAMFILEDGATLRNVIIGPGQA EGVHCKGTCTLENVWFEDVCEDAITLKQKSGTSIIRGGGAFHAADKVVQFNGRGTVEI SDFYVEDYGKLVRSCGNCKGNGGPRNVVIENIAAVNGGVLCGINTNYGDTCTISNACQ DKGKNCDLFKGNSDGSEPTKLSSGPDGTSCKVDSFAETC FPSE_03903 MSSPSGSSQGGKRKRNSLRVESTHDFAENGIQTSSRDASGEEGD TTAAESGRLHARGSAPIPKRQRSSSNRDNTIDPGEPSDTTEASIDIAERVGRKGRQAL KELDEEEQRRIEAMPPPPIGNLQDPAGGYKTNPPPVGRPVRVYADGVFDLFHLGHMRQ LEQAKKAFPDTTLVVGVTGDHETHKRKGLTVMSAAERAETLRHCKWVDEVIEDCPWVV TPEFLDENKLDYVAHDDLPYGADEGDDIYQPIKAAGKFLVTQRTEGVSTTGLITRIVR DYEKYIARQFKRGTSRQELNVSWLKKNELDLKRHVQDLRENITNNWSTTGQELGRELK QFWPVSRPQSPARFNSAGTAEGLRSPTTPGTSGTPKEFITGYALGLVGGVRGWMTKSR TNVADGSRPPSDDESEESDAHAKSPKESSNTPTAAAPSKL FPSE_03904 MSLKPSVCRDLLIRVLGGLCLTKFGLTYNNSPDALPFSIRASIY QLRAMASLKNIMNTEDEPIDPRSESRSTDLTSKSPSVHSYVTPLNYQTHSPSHINPHD PSGSSKSSSVIDPSSPTTADLNMNNRRRRSNMSIDSNDTHYATQHASSSNALMRPFTT AASGESRVRLTPITGKISKAKKGVLVHNCDQCPKTFSRAEHLRRHQLSHSPPELYCSI PGCNKTFYRKDLLDRHVQRHDQDAVDIIEPEHPPAQGSSKTHLLSPAETTRPKPIEAF NDPQTLAPVNSSSNVTGAWQPMTSAPNINRNYKTEPSINGPADYTGHYALGPELPAVN NSFMSNYDEPRIMPAMPILPTLHESATPELHWQGSSGSTSAFSTPPNNSRRTQFPVPV ANEPWMTPASTYQGAPNDISRTSMDHVTYSAPYVYNSTPPQVYTPVFGMDLSLPGYPE DTTFSTVGQTPNSTVRSVSPSLAVAQSETLLAVPSLPTSGGTFDLASCSSGTSGGNGL LSTHDLMPLSLSSATSEAIPRYLEVYWDQVHPKEPIIHKHTYQDVPEEETEHIHVLQC AMAALATQLIPNADDRMKGAELHAYALHKSKVFTRFEKWSMPVQHTIALCEYYARFRG KNSYSHQPSTRFTSLYNRVVSDQLASTSRPSIKDGHQAWKAWIAAESRRRLLAACFLL DVHSSWYHERQYTSVVGLDYSSPSTLPIPLTATTTKAWEACDPQSWSKLRTRRAPKSI SNTNLRTLSASDIASGPAFDAAVLLAVYSLSLPRRQSPSQISLVEDVAKFKSNVFPIS RIFPQSAIASTYLALHHTPLYHLLSVSGKTWVFNKKLTDLNLFAEHQKLLDTWRSSGT ASIATVFAARSLKLFLNLQTSLSQAKSSRGETCPVKTRPLSGISNYWGIYVCSLICWA FGLTEEQDKPRISATQDATKEWILRVASQEPSEVHIQSDRNGARGVVGLVRQMLSTEC LGGGNKLFFDAINVLIRLEGEVTSNC FPSE_03905 MVRIIPTRLKSVSRSSSSSSATISVTNGNSKKNRSNSPPMRSKN DSTSPSRDAGNGLALRVWIIRGKDLAAKDRSGTSDPYIIVSTGESRIVTNDVPKTLNP EWNVSEEIPLTSVQNLLLSVICWDKDRFGKDYMGEFDLALEEIFNNGKVEQQPTWYRL KSKRPGKKTSVVSGEVQLQFSLFDSTNPSATAQEILEKFQTLVGAAPAGSRNVTPSMT PNLGPNAAPQSAANPQDSPSDDDEFDEDDSDSSDSDSGDDQDQDPTKRKRRLRIRGLK KRRRNNPYAFASNGFDTVGVIYLEVVKITDLPPESNLTRTSFDMDPFVVASLGKKTYR TRRIRHNLNPVFNEKMLFQIQSHEQKYSFSFTVIDHDKYSGNDFIASCNLPLHELLER SPKANPETGLYDLKVPAQAEALPSRSRFKKLAMSRSNSSSSISKMIRPPLSKHASSGS TTTAVPASAPTSNPNMLAPADALANTGADPDSTAQDGGDSDFHEYTVPLKLKDLEKWE KKHNPILYLRAKYMPYDALRQQFWRAMLRQYDADESGRISRIELTTMLEALGSTLTEA TIDTFFSRFPHRDADNEENWELTMDEVVICLEDQLQGKRRSSATVGDKLKHLVPDMKN LLHVSHPGNNNSNGGSENPSVLDLSATSGTQTPISNVPTLKTPADEEGDPLDKSDSGD DRGEEHVVEIRECPICHQPRLNKRKDADIITHIATCASQDWRQVNSVLVGGFVTASQA QRKWYSKVITKISYGGYKLGANSANILVQDRLTGQINEEKMSVYVRLGIRLLYKGLKS RDMENKRIRKLLKNLSVKQGKKFDDPASKDEIEKFIAFHGLDMSEVLLPLEEFNNFNE FFYRALKPDARPCSAPHNPHIIVSPADCRSVVFNSISTATKIWVKGREFNMKRLLGDA YPEDVSRFEGGALGIFRLAPQDYHRFHIPVDGVMGKPKTIEGEYYTVNPMAIRSALDV YGENVRVLVPIDSEAHGRVMVICVGAMMVGSTVITRNEGDKVQRAEELGYFKFGGSTI LLLFEPGRMVFDDDLVDNGHDALETLVRVGMSVGHTPSEPQWTPDMRKNAENITEAEK RTAKRRIQGNVALQDSPDGSGEEEQAARSSKPTIDTMAASAM FPSE_03906 MTYTMTAHLCKQLYASWRQTRQPSPELALPNQAPSSLMRPASRS PSPPAQRSERRPSNASDSDWAPNRRH FPSE_03907 MSPIEGPPSTRSTLPWVWAKPGSVMNFESISEEPGSSTTTRPPT GRTRGFTSSSGRQTVKWPFHSFSNSRSSIPNYARRPSNSRSIPQSSGSFPRRAAGSIS RSVSSFLGSDIIPDYVINFMRGETPETLAQRHRIPDSPEPGQFHRTQPSQLDFSPENS RPGTPRAEQEKMLVEERPVRNRSLMTGWRAGVATNMVLAFFVLVASVTCLALASAKGH MATWESTLMQGNSATVEGISRGIMAAVNILAIVLIAGANYVVQILNSPTRAELDNAHQ NFEWLDIGIPSLRNLSLISSTRATLSGIMMAFALASQVIYNAIIITTEQSDKSKSSLN VNGPLLAAITLVNLVLIFTYAIAIALALTRQSFNPLVTLGDALTSFLADPDYSTQDSC LITKEEVKKGLWGDREGKYWYSQSSYWYNIPSPSRWTVWILTWITPVGLTAAALALGA MDDPKKAFSTFGKATVVYELPTGMSRPGLAIVAALPQLLLGILYLSSNALLTLFYLSH EFSQFSSDLLSFRVSSGEPLGAQTTSLYLTLPRPVSWMLFFLITAMAFLLSQGVLLVS VDGSQGSTTGIGFSSLPLLILLVLLLALASGVATLSLRKVDPRGTVESGAPAGNPLTL VGGTCSAVLSARCHRVPRENGVETLQVRWGVVREGVGMNAGHATFSGRPVGDIMVGRS YA FPSE_03908 MSPNPSSQSQPQPQLPRLLLSDVTQKRSIEIRRKKRARRLKD FPSE_03909 MRCAPRHKTTRNSPPTKTPSAALPRQIPGANSRPKSPALAPTDN ASADSNTSHNGQATTPNGSAATKRIARSNSIDKQPPPGTSPHRRNSWFSNISAKFSSS GTPPTAGPPSPAPIPESSPAPSQDPLPPKLTHTKNAVLPHAAKPEGDGPYIPAPPKSG QPGFLGMFRRLSSSNGGALTQSGKLGHGLVDRVVLNVDQNRERCPIQELSCAKLRRVA FCVDVEIAPQPRYAEGDSHLPRTTDKTQKKKSTEKGEGEALKNPKVVEEQKETNGEVK KTEETPPQEPQKEEIEAPQQTEDTTNGSATPLPEKKEENTKKKDKKKKSEEERKARKE KKRKLAEANGSIPMEIHYDSSDDSDTTGTPPTTAKAPNASQTAKPQTAKPQTAPTTNP VRVYRRCCQLRETPILKKITEQLTDTNNYTASTGTVNKLDLTDYWLQLPDLVTLGDYL AIVPVREVLLENSGLGDEGLRVILAGLLAARRPAIRRRKHKLDEEEDQGGVVERLVLK NNKIGPDGWKYLSLFIYLCRSLKFLDLSQIQFPRQPQTPANGSPNNGVQVPRSISNIF SKALGERLGGSTLELVNLGQADLTMEQLGSVIDGLIQCGVRRLGLSHNEINADGMKHV VRFLSEGHCEGLDLGGNDLSQHTEALATAINNDTTLWGLGMAGCNLTPSALCKILPVM VKLPNLRFFDLSQNPALFQSTPSAVGLLRRYLPKMKVLKRMHLEDAAMTPEQAIAIVE VLPEVQTLAHINITNNAEIVKLADARTEEAQEEACALYASLLAAARVSKSLICVDIEV PSEHSGEIVKAMAKQVVAYCLRNMERLPDADAGAVMASTLAENNLEAGDTKLPLYPDV LAHLVGHDVMDQDDSEDDNSSAPDEDYVIGGTGVVKALACCLKNRGDESRRQSGEFIR DIENGATSTAATPPANLSTGGKAKDMSKHLLMGARKIRQRLQPALIKARAHSDDEHNL RRLIFLDDTLQGIIKRFEDEYPDTREDATPPRRPRAGTKGIEELPTILPLEDSALALS DNEDEGEIRAGKPLSRSNSMAKILVEEEGRILRAGHRFRAGFFKQEQIDLLSTIDDIG SDPMHVGMLTEMAEDIGGELLELVKTKGAVRAFKEDRDVALKCMAASDPEHWERFVDA QNKSRANISIPPAAKQGEAADESAVAD FPSE_03910 MVGATVDGANPLGSVHDEQSTNKLRYVTALLQTDRGP FPSE_03911 MPFKLVKNSAYYSRYQTKYKRRQQGKTDYYARKRLITQAKNKYN APKYRLVVRFTNKDIICQIVTSEISGDKVFISAYAHELKAYGIEHGLTNWAAAYATGL LVARRALKKLGLDEDFAGVEEADGEYKLTEAAETDDGERRPFKVFLDVGLKRTSTGAR VFGAMKGASDGGILVPHSEKRFPGYDMETKELDADTLRNYIFGGHVAEYMETLADDDE ERFRSQFQKYVDDDVEAEGLEDLYTEAHAAIREDPFKKAESDAPKKTKEEWKEISQKY KSKKLTKEEKEKRVQERIQEIMQRD FPSE_03912 MSESKPKVLLFDIGGVCVVSPFQAILDYELSLGIPPGWVNYSIS RTAPNGFWHRLEKGEIPMDESFFKGFSQDLHDPTRWDAFYKREQSKDPNLSQEAPPVP AIDAEWLFNEMMTVSNSPDPWMYPALKKLKENGQFILAALSNTVIFPPGHKLHLDHFF DEPVRQIFDVFVSSAHVGIRKPDPAMYQLALTRVDEFAKTNAQSPRGRDRDWSTGVKP DEVAFLDDIGENLKAARKQGLRTIKVNLGRAFEAVDELERVTGLKLAGDHPRIPVEAK IRKVKAKM FPSE_03913 MSLPESQIDAAQRMYTERASNYEDSWHPDYSRRFMELVPVHQGD LILDLACGTGLEAVIAADRVGDDGLVIGVDITEAMLAEARNKLNQDELLARRIKLVRH NVTDLTDCSHVTEGKFDLVICSSAFVLFDEPEKVVAHWRKYLKPGGRVAIDITHEYNL RAGLLLEKVAQRLGMSFPANRSWIKSKESFSDILKNQGFIIEEIQNLEKAMGFGSRYF SVDQADEQFDYVVNGPLTASIITDELRFKGREYFKEEWNNAAIDCKVEESDTVYVYIA RKA FPSE_03914 MIPITATTTSKAGWPTTSSALRDLLNIDNGNNMKCHGQCQSGKN PGKRCGIAISKINSKKIASLLDQIVDHGSFCTSESLLSEMALLIMCPRFHRNQASKRL SLWETVLKPFKTVAFKKEDEDDSLATHKEDVSESLDTDMVEVKHEVLSKNEKNEKSIS KITNYHTSQPIPSTPTKPSISSTPSTPSKLSLPKVFTPKHEFEDFGPAWTVIEINKDI RKLLLRPLLDTEKKSDGFIYAYLFPETYRDANPHIKIGYAHDVEKRMKDWRAQCGYDS KVICQFTAEHYVKVEKLVHRQLRNQRKREKECPTCHVSHQEWFKTKSTTASKNIMMWT SWMRQKPYDDDGNLKEKWRTRIEGLDMTDPSCWELLTEGVFDDDEDESELSEGDSFAW SNNDESEFSEDDALEDLDNNVPEITLTGDRCRGKESLR FPSE_03915 MKRNVLTYLGYRTLVEEDKTLDILQGILVMVAWAHKCHIDNSQA VNLTYLGLGYAHSLGITRDTSLSSGPHIDTEDSGIAVLDPKEDADSIEKKRTLLGIYC VLSILSTRQSRTNPLQTLYINGCLKSFSETHASPSDSVAEHMVHLIQMSERLSEGFGD PYERTLSRPFAFLLEGTGRRFHSDLSRLSESVAYPNLASHSQIFELHRLYLLVRLYEP AIIVACHPDEGVAQFYYLLICLRNCLEAMQSFFELLLGLPVQMVLRCSMLTVDQALYV MLQASRLLLIETPEWDVESARQTLDLSAVLGQMIARFKKAEDLRKKEISNFVDAIREE ESGGGVSSIAMVAKEVQWLKYWFEAKTQGRQMGDASSDELNGDISHHGAKPRWFVGLL EEMPWTTTS FPSE_03916 MPQRSKQNQSKTVSVPDPLQIASSNATLNTFLGGRTHSWMTRGS SIVTNPRPAAPVAPSNSRKRNKKRKASDTAPPTQNDNDVERDNILPVQSPEQPPGTRG TTRAPTVLPSPALTDAPSPNGSNQVDSANPDSSAHVETAGPGWPVSNSNMRQGDLTHV ATNPNQLNNAQAAFAQAESSFPRQARNAEIATSSAAANPTTAAQPLQRSNVWFGAGNV QHSSAEPSRLNPRVRSRPSVDSEPSEHRDKRPRVQGRSSPELTFNQKLCRDWFKSIER RVEYVSHAGLLNDNVEKPRYRILGEACQNEDFFYVALHQALCAWTLNKEPVHHLFDGL MAPNTLDRAFEIIQTIVRRNDNMSAVHLQWFANFPTSIAEFSRVFPHTTSAAEISAFL IRMIQHWHVLLQSVQTRKYPLLAYEMIHILQCRPRGLQCMLFTMSRRTLSVNDGPAAH AMNEIYEQDRLDEITYEARGERHETLRIRREAVAMRYRQVILASQPKIPPNTISSPVV SQNSPMIEQNWQQPAARSPLATTVSSHAANTTAPPVSLPNFDALAARVSTGGPGATSP MPINQALPQGSRSLQGARRPNHLHIQTETQAVPPLPAPSNQSPLAQIHPLPRSSSAGS PVMLQQTRALQSNGQVRTPVLPPNNLLPQRRASSFAFPPPAFPSPGFPSAHGTNAHSP PMHPHSPGFQQVRGLAQMTYPHHTQAVQSPRHLPVYPPTSPPRGASASENLNYAAQMT APPAVPYQVPVTANSSRQPVPPVQHMPEAEYPPNPYGQESLRLGLHQIDVRSPRRVPS HPGKDRFYQFVKQLVYEPIHLEPSIGLRSLPFTVPEDYIRRLTKKNEGTGLPFCYYSE GSYRYRLRMCMQPETQPAPTESDWVVTATSWPSHIFFQLNKKHLEIRRKQHFNKDQPL ELTDFLHEGENFLRFSYPPGNQNMTPGYRYFMAIEIVETISHDAVCNIVRSIRRFPPG ETMAKIQRRLRPSDSDDIIIEDETLSISLADPFTATRFVEPVRGLQCKHLECFDLETW LRTRPSKPPQKGGGPQQKGDEPSMVDVWKCPICSLDARPGSLWIDEYFSGVRQSLVSN CDMQTKSITVTANGRWAPVLDADDTDDDSTPAPRPRNTVNGNAGKQSSTSAVSAVPDV IEILDDDDD FPSE_03917 MASRGPSEGASRPEVGDTLVVIHDFQARSSDELSLAKGDRVELI ERDDEFGDGWFLGRHLVNNNNGLFPEVYTRLAPRNAPTSTFSSSKPQTPHQEQAQDST TNTGTKEEPAPQPQARSPVTLPLNSVKSEPALVASEPPVLPGLSQLTTQNQDSHVLHE TLTVIDEHITDLRSPASNGGLRAAANDSGSEYSSIHPGQRASYIQGEETDEEEGDFHT REEVEAWSPDDVAEYLFTEGVEKHHCEVFRDQEISGEVILGMDQSSLFIKAFDLGSVG RRLKTWHKIKALQDEVNNQGLETRRTTQTYGNDSSDDIRRPRSRANTLTNRPTSIQTR RMSLSQNTPKPSPTFAGSYTQDSSNRASHIKRPSAASIRELNHSRRHSSSTDFRQAGP VGPAAPPGPAPVPKISTPGTLPVAEGVHKKQPSFDRNWTLGNAYSQPPQRPLSSTGLH DLLSPPGADTQDSNVDADRGYFSGTEVDGRKRNLLRKRDSNAESRKSSYADEQRVRSA TAMARQSRFGSVGSMAEGGISSAAQKYYGIQPGAHRRTASAASESTMNVPASNNDVPS PTVTKLDTTVGSGRSTASPVSTRHQGFNSDWLSSMVNKPIALAGSKGMRAISDNLSFD RSKASTPTDSSTKDFLVDSPARTGSTTPSGGASFDLESPDTAKSPGTPVNQVSKKAGK KSKKETSAYTRGLLKISPKEASKDADYSGWMRKKSSNLMTTWKPRFFVLKGRRLAYYY SEDDEQEKGLIDISFHRVLPADNEKLTGLHATITSLGGQSMPGSQAGAEDLAKGDDSI FIFKLVPPRAGLSRAVNFTKPTVHYFAVPNLKQGRLWMAALMKATIDRDDSKPITTTY QQKTISLTKAKQMRSRPPALMNLEEGAEEETGAGRKEANGLGISYDEADSGVSGVDKL AGQKAEEAQSSLDSEQGAPHAS FPSE_03918 MTSSIAGRNGLPDSLGTDREHQVVANRKPTATTTVKAAASPPAV TPSSATATSISTAASTATATATQTPNAMPKSPVDNDDKRDQKVTDPTPASVSASAPAP SPAMGSISDIVPELNSVSNPIAADASSSSAPAVAAPAGSSVSTSTSVPAPASSSTATE TVAATATATAPLALETATAAASTVPSNSSQAATSTATLPDSSNPRADLASSISPTKTS ASQPPPSTPPPSHANPSGPSPEADIKTSVEHQKSEAPSNDEASALVKAESSDQMMPDA PSASDTAVPLSAHGARQSTPLQLQMSADNMGEPFAPSTPYNSTPMPTINQHAYMMMAL ATMSGAPSAMSPPPTVTPAQVTLPNMLDDSFQNLAQPNSTRQADTHKALESFARVEFA DSLFQMTTYAIVIGRDQRALEQARRDEKRLDEYQRRAKENADLGIAPPSPIQPDRNKF SKSYVSEEGGMLGPESDTGENSRPTKRRKTSTQGSSQHDHDEAAAQAQENMISNRQYV SHTPGAAAVDLTSLRPSPYHVPFIGIHSPGPNIASKTKAISREHLKIAYNQELGVFEA IPLHKNGFFCEDVHYKSDKVVLRSGDRLQIKDIGFRFHINGVERGRTGAEEYVEEEPA TTLKKRHAQGGKEMSFDFESAHGNAEIQDTSDELSDVASPPESPDFSDDEDAKVEEST AVETVEAEADTETGADAEAEAEAEAEAEAEADADGDADAEAEADADMDMDMDMDADMD ADMDMDADMDMSMTGGESGFKQEGMLDPNQEHLMLQIPRKRGPGRPPKNGIMSKREQR LLKKHQQEMAKKTLPQAPPVEPPIKRKVGRPRKHPLPEGSSERPEKRKYKPRKPREDG AEGSDAERRAREKKEKKARPKSPPLELNMADYTEEQLQKPNKNYGVLIDETLTAAGPD GLTLKQIYKRICLRYPWFHFHTETKGWESSVRHNLIGNDAFRKDDTTNLWSRVPGVEL DAGKKRKASSPDRAAAQAYGQYPYAYNAQHLAAGTPGYTAGQAPPGYQMPAYTAQQSQ PGRPVQPYGASASPPGPAPGQPASTTAASQPPAPAQLPQGYGPPPAPAHPQLGVPQQG TYSSPYAPRPPPPANPTVKSEEGHANAITSANASVAQQQQHHLPPPPPPPTVGAKTVP GTGPASVQQQPATPVNRTPSLPAAPAPARPAIEPKLLTAVVNLKNGLIENLKKAKNPK AAGIVMSALNRCIGLKKEATENDKMEAICMKGIRQLIDGFLLKSKSPTPGPASSAASP SATETPLFLDSKVLASLNGFKEVSVKALSPKLGEAKAEAVTLSAIDRVLGIADASIVP PPGEGEAVGNFEGIEQHLMKSIRQLLTGMNQKV FPSE_03919 MAPSWLNDIRRINRNNFATLRAAPWAELSGSLGDLGTLLPLMIA LTAQGSIDLGSTLVFTGVFNILTGAFYGIPLPVQPMKAIASAAIQNHSSMGAVTAAGQ WVGAAVLIMSVTGLLRWVVRVVPLPVVKGIQLGAGLSLILGAGSSLLQPLHWAHPALD NRIWALVAFLVLVGTQKLPRFPYALHFFILALVLAFVKVTASHESLPWFYAWRPRFSM PRWIGNGDSPALWMAIGQLPLTTLNSIIAVTALSHDLLPELPTPSVTSIGISVAMMNL TSTWFGSMPVCHGAGGLAAQYRFGARSGSSIIMLGIFKLALGLFFGETLVDLLKHYPK SLLGIMVVAAGLELAKVGNSLNQGASDLWHTASGQGPRRQRDLSEDERLERWTVMLMT TAGILAFRNDAVGFFAGMLCHGAYRLSERLAERYSHRISPVEREALLR FPSE_04553 MQTSFPARAFVSPFTNTALDYYQYFMPRCPVSHSQVPGISWALL HNSLTQKLTLQAVPAKSLAWKNRKTTHKNLKAQVADSSPEHLAIAAGQVTGATIAGYY EVIDDYCPSSSKGSKKSLVKKNCACD FPSE_04552 MAAIKSLMTRDSVAHQLAKRQNWAAKEAGVIVVFCIVGVVAIGL LSLFFYKKAAARKANKASAV FPSE_04551 MSPQHSIVSSTHAIQKSMNRPIIWRNAPAPTLVRYTYRKQQPIV QCRSFTYSRCVGREFDPRIKAVGRAISDDYALIREKYGRFSKSWFLAWGNLTDNHATD TPKYPIVLAHGLFGFAELSLSPYFPSVEYWHGIRDALTAQGATVLTPAVPPSSSIADR AAALRSALEAHAPAPEAVTIVAHSMGGLDARYMLSHLEPLPVRVAALVTVATPHRGSA FADYLLDEEVSPVHHYLPQLYKTLERAGLGTAAFSQLTRRYMAEEFNPSTPDQPDVRY FSYGAAVERPALLSPFRHPHAVMTQAEGPNDGLVSVESSSWGTYKGTLLGVSHLDLIN WSNRVGWTVREWMGIKKNFNAIAFYLDIADMLAKEGF FPSE_04550 MSIRIALDNQPEFYTNLDFIQGRVILGINRNEQIGSIVVKLEGE SGTALQAPNVFDPQNMGRIQAGPQRPPGSIATENHKILYKLQQVFPDDYYASSSNPYG AYPLQAGEHDFPFKFKLPINNACSDPMAMSKIGGMANVGGFGGGGMFGIGGVRVMDGS KQLYLRHVTRTLPPSLTGYPGEAEIRYYIKVTIQRPGLLKENWRYQIGLKFLPIEPPR PKPTTQEAFARRPFTFRPQSPQPEKKRSSLFNFGSSSESKSASNPDPASEIAPAPAIE ISARLPHPSILTCNKPIPLRLIAKKLNNNPEQVYLVSFQMELIGRTDVRALELHNQKI NRWVVVSNPTINIPLTTGPDDEVGKELVIPDHVWKSIPLPNTVAPSFATCNLSRKYEL EIKLGLAWGQPKKGLTTKSPPVIILPLHFAQVEVYSGITPPAELVETARSTRPGRATF TNSTPPRLPPRQNSVHVSPPQQQQPRPSQAFSQAPPQPAHDPLYPPQLAAGQDAPPYD DAPPSYDEAVAENLAGPFDGMQSRPAYSGVTNENAPSQLPPEKS FPSE_04549 MPGDCGSTCPASDGFYTYSPSVGGNAVLLTVFALLSIAALYFGI RSKTYLFSIVLTAGLLLEVLGFIGRILLHSKRDDQGHFFLVLFGTILGPSLMSIAIFI VLPHILCIYGRPICPFRPLVACLIFWGFAAVTIIFELVGVIFMAYETNSFSRKQGAAI AATGLAIQALSLVACTGLHFWFTLSLSTRRGTLDARHSQIYSSSQFKKFLMAMEMASA LLIVYSFYRLVELADGVSGDVFQNQAAFMVVGGVLPLLAAGLLTIIHPGNAFAEAWDP TSPKSLKRQSRPDPVQSPSGHPVHHLYDPDIRKQVSPTTPKHQRNSGPPELPEGSMGL PAHPKPAPKPPSPQNPREPGAPRKGPPLPLNLSAVRASRNSYRAEQRGIAPKDLVPDG ELW FPSE_04548 MDESEEMALLARVKVDPEDSDGHAISDLNIALIVITSVLVIVRL YVRGIVVRGLGWDDLFALLAWGNVTALSCVEVVLVTKGVGTHMDRVPKEALVEMFSTR TGSFMTFIYGASMATIIVSATSLLFLVFSCSPPADVFNAGKPDRQCVSNFTLGRMRQA HSIAATIINIFIMGLTIKAISTTQRTRAKTMKRLLILILGVFVIVSTFVRVAMLLTTD LSVDTTYKMLRTQAWFPVEVHVGLWCGCLLALELLVDPSSNPRNYNHYNMRKLSKSSA WDPQDDVWQRDLMTANPKVLIHGEPRDPKGKRKASNADSEVDVDMFENERGIKLTTEF SVHVESSDRPNREGLEERVARTPAWNAF FPSE_04547 MEEYEANRKARLEHDHQWWGDTHVEPAEGNPDRQHIYAHNPTIS DQEFGEVVQAFRKSITNTETGELDSLSVQLRPTTPPLERATKQLQGLKDQDVEMSDEL EKEIIHQFTTNNGMVAALTEWCRDKLDVPVEHANQLMKMIHRLNLEMSKQQRDLQYEL DQTKTSLKESVEEAYNSKQNIATLEADLAMAKAKNEVSGTLLEKSAEEFQDAEEEFKE MTAFHDEVHKKLRAHAATWMATKNVYDKLSQDDQNARPEIKTLINKTSLNWAELDSMY DRKWLPVAKALEQTDNRNGIGLLGLIEQKGEELRDKENEVDQLRGSIAEQTKKLEQKD QEIERLKLKLHEMFSSKLSGIDTPEHSQYSSNTSMASSFNSIQMEEEIKRLKQQNSGL QKMLANNSKGKDKDKDKASSSADTSPQDGNELKVALEEIQNLRAQVQTQTGDIEKLNG IVNRKERVFEKQFGSPRQESNLYRNRLKEVETAQFKTADKLILAIGKNDSASSIDRKM GLRDRINYLNLVCFFRTRNYIQLAIREGANRMANALLEDIEGWAKFCHKDFETMDPSV NILIEASIRILHDVRTIVANDTEEDLKAAQESIQAARDVFEQYPIEGAFFQLDRLADQ ILQIAQEQAAESHTKKMRFIFADKLHVGRTRRVDIRSKVRNASGMKSAGLRLPGVHSP VSSEK FPSE_04546 MSDLHPLNGGNFVHDNNRLVDGGSILKRFSLSGKTAIITGAAAG IGFSIAEAYAETGANIAIWYRTSNKAQERAQELANKYNVTVKAYQVDMRDAQAVEQAV DQSVKDLNGRLDIFVANAGIPWTKGPMVDGPIDHYRDVVQTNLDGTYYCAKSAAKHWR RQKLEGTDLNGQPLSNYTSGSFIATASMSGGIVNIPQLQAAYNAAKAGVIHLIKSLAV EWARFARANAISPGYIITEISNFVDQETKDMWKDKIPVGREGEPHELQGAYLFLASDA STYATGANFVIDGGYSAP FPSE_04545 MATHRPYDSKLGIVTGGSRGLYSPLLVYALASRSSNCVQGIGAA VAARLATKGCNLLLVYTSDSSTEPTRKLCSELSSLHNIQCSSVQADLGSPSEAAPKVI DAARSFHASYSSNKPFQIDILINNAGVSSNQHMNDTKQGAIKELEFHRVYNVNVLAPL LLTQAVAPHLPTNRSGRIVNVSSVSSSIGYQGQSVYAGSKAALEAMTRTWSRELATRA TVNAVNPGPAWGDMYAAAGQTFWDINQPYVDVAPLAQYDGEADILNKAGSDAERFDRI VRESMGGRRPGFTSEIAGTIDMLCSEESGWTTGSVICANGGMKMSIS FPSE_04544 MVSRASHSDPAFLAKLARRQQMNYDAMTFFAGAMASFYFTICIF LLLRRLCVETGASRKPSIITAILRHMRASSLGNIPGLPSGGYTFVILGYLIINAVVTF TYLDNENMPFLSNVASRTGWMAIGNLLIVILLSLKNTPVVILIASSYERLNVLHRIAG YTTLIFAMVHASTYTAIFGAQNFLERLLAKEEIFGMVALSSFIALSFAGAVLRAWWYE LFYYIHVSFWILAIIMTGLHQPEPSKKVLYIVCVSAGIWTIGRIVRCGRLVANGVNNT VTLTPLPNGGTRVTLAKTPLGSTSGKHGFLWVPAVRTLETHPFTMVAVNPLEFVVAAY DGFTQKLHDCALRNPGIKLKASVEGPYGTLPDMGYDKVMLIAGGSGASFTVGAALDML KKLDRDAEVAIEFIWIIRNQEYLSWFARHLETLHQDHRVSTKIYVTRASATESVPQRQ HSTSSNASSNSNFVDPDPEKEIVTQASTATTARPSPDLEQDGISSPTSITTHPSLGDN VVLSGRPDVASLIKESIESTPSDKRVLVMGCGPKTLMSAVQNATADAIMDNGAGVELH LEKFGW FPSE_04543 MSDSASTDDGGIPDRGPAVFAVTTATLVLATVFVVARIISRTFI VRNVTWDDRVMILAWLFAFFLSFTICFGVHNGLGRHDENIDPQRLPALRRCEYVFSIL YNPALMATKTSVLIFYLRLAKHTQRVLRFASWLTLAVVNIAGVILTFMNVFQCRPTQA AWDVKYDDRPSAKCIPLLTEFICSSPVNIVTDLAILALPIPVLTGMRLPSRQKTILVL TFTVGIFVTVVDVVRIYYLQQAITAPTSTSSDPQSRFGGQTDFAWNASRSLMWSAVEV NVGMMCACVPTLKPLVLKLLPAMLYDPDGTRASTPKGPNDSDSPSTGHRASIQQPPPV AQPNAQAPLNANAPMSAMEFLTTPFDEPAPPRPAQRAAQSNGTYQTSTTMTSSTGTVE GVYFGFVNMTKPKSMLKCNKKESFKYCTIVSILFLLWGISYGLLNTLNNVIATVDNMT TPETLGMTSVYFGGGYFFGPLLVGEWILRRDEHNRSKRHKGNGHDSVGGFKVTFIVGL CFYGIGTVIFWPSAVTQSYAGFMLSNFVVGFGLSILEVGANAFLILCGPPEYGETRVL MAQGVQGIGSVLSGLLAQKVFFKGIVEQNNASSMTLINVQWTYLGITLLCVALGLFFY YMPLPEVSDRELEQSATHLPMDPQKKRSGLQLRTWSLILAVLAQYTYVAAQECSSIYF RSLMISILPNQPNTGEEAAQGASDIPNTDKPPGISLSIPDYLLIAHTAFTVSRFMAAG LTYLSVYRSRFPRPRTILTACIAGCFLFALLPVVVRPPNPNLLIIPIVLFYFFEGPIY PLVFAIGLRGQGRRTKRAAAFITMGSSGPGFFPFVMYGIIKHGGTVKTAFIVVVVLQA VAMSYSIFLQSVRDVKRMVDPCPAVRDALGQPDEEMPSPMETAMADRARRRSTIRAKS PGIFGKVSQSTRVIGAKFLSSRRRSSQPSIQQCEDSRDNVPL FPSE_04542 MTSPTQPSGSGSGSSSATPGPYSNIRNEPPTSTEETPLLGRSSS SSSSSIRSGTQKDSNSRHVEDAQSKVHIPLARGIAIGLSLWLLIFLTACNMSGMTLIQ GAIAAQFDSYGSSAMWFTGAYLIPMSSLAPVTGRLATIFPPRTLILPIAALIATGGLV CTVSTSFGVFVAGRVIAGTGAAGVLSLAIIIAIELASEKSRGLVLGLINAGFTAGVSF GAIVFGGLMPVIGWRPLFWGQIPFAIATGLGVFISIPAAAEPHDDKTPLGQKLARIDY LGAALLTTSIVLFLYAIADEIQILPLCLAPIILLFFLAVEIYVATDPIIPIKVLSSPG ILFSCFAQLGLMIARWSVLFYAPIFMLVVRGSSPAAAGSILIPTNIGFGLGGILVGLL HVRRNGAFWLPSISALVIFTISTYTLSLISTTNLPLVLFIVVVLINGLATGGGVNYTL AHILHLSYDDTRYIATSLLGTFRGSGSSFGTAVAGGIFYRFLRGSLEAGFLQLDGGER LSDDRQRLVSSLVNTPGLVHGVDLSRAEQAIAIEGYAGATRGVWQAMAALGVVVVLFQ ALTGKKAPDDKREADHVDEEAARMVVTENEGVGEA FPSE_04541 MTTSMYDAMNVPHMTGVHPVAISRPQVGIERLPTRRMSNEPRES MNCKSCRKRKARHTIKCNRLRPSCEACQVFQCPCVYDAVPKKRGPKTDVLEALLKRVD GLEAKLREKGEDDVSLATSNLPEVGDVEASESGSQATEPGKSATKRATVDARASSVDA GSLSPVARDLSPPPAQAEVLLDTYFARFHGKPYYIVDESSIRQRHQLNQLPRFLSFAI SAVAARHTTDASGYQAAANLSENFAARARREINTDEPSIDGLQALLLLVSAFTATGKG KKAYMLMTSATGMAMALEIHKETDSQARMTPIEREMRRRLFWTCYLLDRFQATGSKRP SLISDNTIMLRLPSWSPNSASLPVEGEFFQTGSNLQYFQGSDKKPQGSMGMLIDITRV LGNTNRYLAAGGVKADSHFPWHTLSTISKIRQDLDVWASGTEDAFSNLGTLFRQADGT ILLLSKLIYHLIHCLIYRPFLPIDLAELAETGQHQSWQIEATNMCFLHANAIMELIQL GKQSAIVDWPAFVGYCVCTAGTVHVHGAHYSQQGSQGEVNVFSSATEFLSSEMQFLNE LRYAWATVQHQRETLQDISHAHGELVKALARSSMRYTPGFHSEDFFDRYSNIGGPGGP SFSFDAANLRLSDVGVDMGAGPAAEGTLRSIDRPQRPNLKRKNTAPPGPINRRRPDVK VISSLAPSATGLPTPGTARRSFSYAPGGMPHSSPGLLATPTSLPGHHENHDMYTMHEH MGDASSNNAAVAAAAAAGFNMSPTSHAVSSHHMHQIGGAPFSPPYSYGSGSSITNSGP GMINEANGGYDAMFGTVPTNAFGSPAVWQADDNHGKMHLAQTRAVAGSPGARSNNGST GTGQGEEKDPFLALLEQLAENEHRAQNGHGGDIDFFLGNSGTSV FPSE_04540 MAAVQSMIAESLPAPTPTIALNGSTDPTAMQIDEPSSSPATISD STFGCTPTRGDSRIVVIVFGPGQDKIVSVFAEVLGTPCRIRNGFDKVSADDSGFVVGV AAGEAKGDVAARNQDLVVAINTHCVNLGMPPDIHLSANCDYEFLYTESPYLRRDLARF ISFTLGQINCHEALMAKPRTYFISTTFPDVRAALPNIDILTVGADAVEIRVDLLREPL SEGGFSEVPSLSYVGEQLMLLRQRTELPIIFTTRCVRENGRFPMDRPELYYEYLYRAI QWGVEYIDVELWLPEEIRKKLYDQRGNSRIMSAFHDFSGSFRWPLAYAQEVFERSIPY ADIIKMIAIINEHNENFELEYFRSKMRAEYPNAPPFSAVNMGEVGQFSRTLNPVFTPI THPLLPLIAAPGQLSAAEINAALSLLGQLPRKRIYGITSALSRSATPQAPFYEKCINE LGLPHQFAVVERHPNNPRSIEAWCNQKHFGGAYLNPALPHHTLTKTSPFFAGLNGGNG PVLTEAARVIGVVDTIVVQSGTSSRSSSPGSMPSSPRHRQSDSVEFSSQSGLGSTTSL VFDNAGWKGILSTLTRDLAPSAYFGRSAVVMASSSDDAAPVFFAMRALKISKIYTVGF RTPISLARNAPPIEPFISLESLQRARSVENDSAPFVIVSALGSDKSHLVGMLLRAFGG VGPKGVTHTKKVFLDLADGAGRKSSDPGLIAEKNGFAAYGADDVAAFTTVESLRLLVG QNVPYSFVRLASGSHRYGA FPSE_04539 MSDVTEQTAPVVDKAAATSNESLAPPADDVANVTEKVESATITP AIGTPSVGTPNTDFLIANDQDPNSKKVTLADLSAKGAALYARKSYEEAAEVFSRASIL QAELNGETSPDNAEILFHYGRSLFKVGQSKSDVLGGTAAPEKKKESAETKPKKSAQAE TEKVTQEGVGIVAEQKEGEKKPEDIKGDKKALFQFTGDENFDESDEEENAEGDEDEEE DDDLATAFEILDLARVCYLKRLEALEKEEQEESGKGKEAAEGDSPVMRHVKERLADTH DALSEISLENERYPNAIEDGRTSLKYKLELYPEESEIIAEAHFKLSLALEFASVTTPG DDGANAKREEMDQGLRDEAIKEMELAIKSSKLKLQNKEVELATMASPEDNELARKSIQ EMKEVIGDMEQRLVDLRNDPVDTKDILGADASIGGILGAAIGESAAETKARVEEAKKT ATDLSGLVRKKNKEETATEAAPEPAPEAEANGKRKAEEPAEDAEAKKTKVEA FPSE_04538 MAAPGSLTNSHVLALLETLADGKRHNFLQPSASIPTDSLNLVKQ TLEGFASQVGDEQQERLKENRKRKRGAVGSEDVLKMRKVYVDGFETGQVWQQAKRIIG GVLKYSEETLAELEERREIAVNGADSKTIEFGEDGFEVGSDDEDESDEEMSGEEDEEQ ISGDEALEDGQENDWEDEEEEEFEEGKDDYQEDDEEDDEEDEQAEEYEADPNGLNDGF FSLDDFNRQSQYFEEQDAKGDPYTDQASDDEEVNWDADPLSASKPDSKSKKAQPAEDE EDSDDEGGPTFGNMDLNAPEGDSEDEGMDMDNVDDEENDMNANGVYYKDFFAPPRRKN QGGKPRKSVKFQNEKPDDADVERAMADVRRDLFDDESEQEDSDDALSDVSAGDPKSRR SAHERRQAKLAEEIRKLEAASVAKREWTLSGEAAAVDRPVNSLLEQDLDFEHVGKPVP VITPEVSESIEDIIKRRILAQEFDEVIRRRPDTEGASAGTRRGLIELDDSKATKGLAE IYEEEHVKNENPDSYVSQSDEKLQKEEKEVEQMWKDVCARLDSLSSWHYKPKPTAPSL SVVADVATIAMEDAQPTTAQGVTGESSRMAPQEVYKAGSTENAANGEVVTKAGLPVAR QEMSREDKARRRRREKERVRKAGGVDGGKVISKRAKMQRDTVADLKKGGVKVINRKGE ITDVDGNKAKNVKLASGGNYKL FPSE_04537 MVSVKLFAVVVTASSLWAVDAGKCKPHTSFLTLTSGASTTTSPS SSYFKSTDDEVDVASSTEHPTSTDSVETMSSGMSDSSATTLDQPTTSASSLSDPTATT DSTVSSDDIVIDASSFSSSFTSEILDDTTTFGPSTTTTDTIKILTDATTSADTAVLSD TTAPADTGGINASTGSDAPHGATVSTGLTTSIEKTTTTDTATSAQSTPAEIVDTTETI VATETTASMDVTTTEFTTISALDSASTMESLWASTTAVSCPVYSNLLDDPSFEGGNTD TNKWEYSSQSIVPYQKQSSTSQEVPRAHTGDQFVLIGTGVGTDMQRRVSLDSNKKYQI WVTYAAISDPDEDWDFSFIIGTTAGKAFTKMVNVPKGSPFVYRQESTIFQGRTNDGIA TYMRASMGSKPRSVAIDDVYVAEYVPSCVVPIAKTGLCGGIRGNPASVAQPYRTVNLD QGNEEICAQLCARDESCVTFGWQVEPNFRACTLFKYTQDQLGIAIRDRGRVFYDRSCW QCTGLECLPS FPSE_04536 MAIHYLILLSRQGKVSRQRLAKWFSTLSPKDKAKIVKDVSQLVL ARRTRMCNFLEYKDTKIVYRRYASLFFIAGCSSDDNELITLEIIHRYVEQMDKDFGNV CELDIIFSFTKAYYILDEILLAGELQETSKKNILRCIGQQDSLEDMEFCDSPATCTQT TQHRRKPADANLFHKVEDEVTKIM FPSE_04535 MKYLPVQDFEAVTSTLNFNTPDCNVTGGCDLYTTKASGSDKKLY KNIDNDLNSQHEALLKLGASLSPPERAHMLATSPSMQMFSHSSAFGPLSELSSRKTFA YLIATLNASHPHYDFSHVLRPNDFKRERNLRRVMINLDSILQNVRPNFEPKSLGSSLG SEATSSIWGPQCWSLIDKEMHLNECTIFSYNPDSDPFEEDESAIWASHYFFFNRALKR VAYLYVRVVPVISSHSPTLRPANLARNHNQQLESAGAQKRANYWLGENAELVPYNEGD EYLDDGLFWNRGENGDLVAYSDDEFEDDIDDMDMNMLDRSLDRSSDRFMSEDIAGRME I FPSE_04534 MTRLILSTANVMLAGPSIIRKPGNARSNLELVNSLRDNIAEAQR DYAIDTEGTNGFTNGNALNGNHAAVELWTERQDDALYVPRINWNAAGLREEPSQYEIT VKFFVLPGMSDKAREQHVKKALDLVRRELGITTIDLLIVSFPGISFEGSCEWEADKTN AHQGNLEEELATWKVFESLHKQGLVKRLAVAEFGSEKLSAFIKHTTVRPVIDQINLRN CCDVPPPLKKLAEDEGVELHVHNDCTDILPHGTLRELLSHGSKGAGLLADSSNNGSGL TGELVPEWVVRYTAFVQDRGVIENKGYFAGAELVRS FPSE_04533 MTTTTATATADPAAQAHQFPLPKILEYPASTPPILITQGAEGRL YKTTYLLPDIPCALKYRPPKPWRHPILDQRLTKHRILSEARILAKCRRDGVRVPAVYA VDESAGWLMLEWISGGPVRKSINERLGNRTEGIENDAELKDLMRRIGTAIGNMHKVGI VHGDLTTSNMMLEPLANPQDDNPLHGELVIIDLGLSSGSISDEDRAVDLYVLERAFGS THPRAECVFSEVLDAYGQTFKQAKVVLKKLEDVRMRGRKRSMLG FPSE_04532 MAEFNLLQWTIILILIAVVLSLIVLVQIVSNYTAAYQAAPLEIA TFVDTADFSVQENESYDRDVAKVQRLDDKIRLTRLLREIQKTGDDLREDINGMVLEED TTKLKTAARLLWASKRARLEDRVRRLDMLRMRFLVVYMGVVASHTNTINNTIYEKPSS RAPPPPPLPTSRDLEKSPVFKTPTRPALPRGMSESIVNRPPLRRLTTQALINHPEPIP KASRKGWAGVVHELQTSPKMQQRHASIERAMSKSYSRSP FPSE_04531 MRIPYVSNPPETNNEEHAAIVKRIEERRAPRPLQSLDLALLHSP HVADGWNSFLGAVRTKTSLSDDLRELAISRVAVCNKAWYEWKHHAPLAVKGGVSEAGL EAIKQDTLGERPAELSEKQWAVLLFTDEMTRNVQVKDETFDRLREFFNEQEIVEITAT VACYNCVSRFLVALDVGERNGTGPEAVTGH FPSE_04530 MAEIKIDSKLFQERISHFATAWKNDLRSKDGLFNGAQSLVVMMG KVEEVPEFHKNNAIHFWLLGYEFPTTLMLFTLDTLYILTTAKKAKHLEQLKGGRFPIE VLVRGKDAAENEKLFVKLTDKIKEAGNKVGTIAKDTSRGPFVDEWKKVLAEHCKEVSQ VDISAALSTYAFAVKDESELRAMRTASKACVALMTPYFLDEMSNILDAEKKVKHSMLA DKVDKKLDDTSFWKTVQLPSKGKLPSDLDPAQLDWILGPAIQSGGKYDLRFAGESNDD NLHAGIIIAAMGLRYKSYCSTIARTYLVDPNKAQESSYKLLTLIHNTIIKEIRDGMTA KEVYGRAVGIIKSKKPEMEKHFLKNVGWGVGLENKDPTLVLNAKNQRVLKDGMTLIIN TGFQDIENPHPQDKNSKVYALVLTDTIRVTSSEPVVFTAEAPTSADANSFFFKDDEEA EPAPKKEKKDSRVGAVATKNITTTRLRSERTTQVANDDIEKKRREHQKELAAKKQREG LARFSESTNDQNGGEVKKFKRFESYKRDNQFPVKIKNLEVVVDSKNSTVVLPIMGRPV PFHINTIKNASKSDEGEWSFLRINFLSPGQGVGRKDDQPFEDASAHFVRSLTFRSSDG ERYNEIATQISNMKRDVVKKEQEKKDMEDVVEQDKLVEIRNRRPAVLDNVYIRPAMEG KRVPGKVEIHQNGIRYISPLNAQHRVDVLFSNVKHLFFQPCQHELIVIIHIHLKDPII VGNKKKTKDVQFYREATDIQFDETGNRKRKYRYGDEDEFEAEQEERRRRAELDRLFQG FAQKIAEAGRNEGIEVDMPIRELGFHGVPFRSNVFVQPTTDCLIQVVEPPFMVITIEE VEIAHLERVQFGLKNFDMVFVFKDFTRAPYHVNTIPVEFLDQVKDYLDSSDIAYTEGP LNLNWPTIMKTVTADTHQFFADGGWSFLQADSDDDGGDPSDEESAFEMDEDEFDEESE SSDEGSDFGSNASDDEGSDAELDSEDEGEDWDELERKAKKRDRESAMEEEDRGANKKK QRKR FPSE_04529 MADEQPRAVDRTDSMDSNDGPKQPDKKKSRRPANTAFRQQRLKA WQPILTPKTVLPLFFAIGIIFAPIGGLLLYASSQVQEIRLDYTNCIVDASIRTKSGGN FTGMPNSAVSTAFKSSNSSVNAQWAREVNVSSTLDNGVKTFNPRCHLKFTIPEEMGPP VLFYYHLTNFYQNHRRYVLSFDREQLKGSKRSISDIRNSDCTPLYGEGNKPYYPCGLI ANSMFNDTFTSPELTNPPGGGNDTWTYLMSNNSGISWDSDKDLYKKTEYSNDDIVPPP NWQKRYPNGYTDENPPPDLKKWEAFQVWMRTAGLPTFSKLYQRNNTQAMWPGTYDLVI DDHFPTREYKGTKSIIISTRTVVGGRNPFLGIAYVVVGGVCILLGTVFTVTHLIRPRK LGDHTYLSWNNAPGGKSGPSTAAASGRELRPGEA FPSE_04528 MRIAIREQLAALVLLAVLVSLAIVSIPTWIYVNRFVVDIERDGL QLTASLKASRISAELDLIETIAMTISSRILVQNALTNYYNTGRNEWEDAREDLESALS VSTASGLLQIRLFSRNNTKTKGGVLLNVTSLSVPEIKLPYKDSEGSNVRLGNSDLGYP PSLFPNLTYIDLERPNDVRNNTTAYAAEAFDDVRIARDGGLLLGPLVLNESASLLSVT IPVRDNNDTFILGYMSVVTLAQSLTAIQDSREGLGETGSVLLIGPTDRSNRFNKTQPA SNATYTPNRDEFGNVMVKFILPPKSPEGHKDLHDIHQFVSEYGNRAFPVKNYPAAFDS FTKHYNTVSNASSLINTHNEQNARVAVGFARTQTPLANWTVIVEKAKWEAYKPINTLR NILLGTVFGTVGLIALIIVPCAHVSVLPIRKLKAATEKSVNPPGYEDSFIDSDSDFDD EIPSSGGQSRRSKKKGPIAKFIRRLKGKSNAPSSNRDVARRMFKIPAKVDDRKHFVTD ELTELTRTFNDMSDELVKQYLSLEVKVAERTRELEESKKAAEAANESKTLFIANISHE LKTPLNGILGMCAVCMEENDIVRIKQSLKTLYKSGDLLLHLLEDLLSFSKNQIGQQLS LELREFRLADIRSQILTIFDKQVREGKINFSVDFISSDNVEFSASPDRTNGETRLPAL GPHGTGRLKDMCLWGDQHRILQVIINLVSNSLKFTPHNGTVSVRIKCLGEAEALAEND SRASSISKNSRNNSRTGRPRQRGSASSANSGASGRNSAQKFVSTGTALSINPRDPKAT PHVQVRERSPTPPPPGAKSYIFEFEVQDTGPGIPEHMQQKVFEPFVQGDLGLSKKFGG TGLGLSICHQLAGLMGGSIKLRSSVGVGTTFTMQIPLKYVKDRTSSTASSSIKSRPTS VDAGDLELQRTAPSTPKPAAETKSAGALNPQPRLVGLSQPYFAANPPAVKSTQQKMAE IDRAMANKSGQRVRVLVADDNSTNIEVVSRMLKLEDVYDVTIAKDGQEAYDLVKATME KNQSFDVIFMDVQMPNVDGLQSTRLIREMGYKSPIVALTAFSEESNVKECIDSGMDEF LAKPIRRPALKQVLKKFATIPEEPENEKRSSSLKPKARANGHAVPPHKEGENGAPKEK HG FPSE_04527 MTAPTIHTEEALRRVIYTTPIIDHHAHPLLKLIAIRKHPLLSIA TEANGDAIGDSKTSLAHLRAVKLLSRHLGTEPTWDAVEAAVIRKQKGNYDEWIRTCLS GIENILVDDLLGDPADVEPYHTLDAYTRSPNKRILRIEEVAAGCIEKACGQFSHPSEA FSGSVENFMNAVYDALDDPEIVGFKSVICYRTGLAVTQVTDLEIIMQKFQLIFDERKE DGAQIFERLDHEPLNDYFLHILAGLIQNSEDEHKKPIQFHTGLGDNDITLSKSSPAHL QEFIKTYPDVPIVLLHASYPFTRELGYLATVYANVYADIGEVFPFISREGQEGVVRQI LELCPTSKILWSTDGHFFPETYIVAVDQLREVLQTVLVDYVNKGDFSWTQAAQMTRDM LFNNANKLYDLGLDFKPLLTNSSHGLECSEQNNIATLSRFLKNKEEPRFLQVTWTDFT AMTRVRAIPMRRVWSLLRSGEDFSFGVTKAGLGIDQRDHPVPSVTPTGEYRLHPDLST LRLGPRKGYITVMGDFKEKDGSAASLCTRTLLKRTLDQAAKQGLEFTLGFEIELVLFR RCGTAKYEPLETDGHAWSVTRALDHEAATEVLEDAIEKLDAAGIYIEMMHPESANGQY EFVMPTAPALEAVDNLLFTRDVISGCATAKGFRMTLHPKPYATSCGTAAHMHMSISTP IGSEKDVYEPFYAGILKHLRAIAAFTYSSKVSYDRVVDGCWAGGTWVAWGTQNREVPL RKVEGSHWEIKCIDGIANPYLSLAAIILSGVQGLDDNEGLVLGDCTKDPALLSSSERE ELKISVRLPRSIQEALQALQQDKQLVGLLGADVVQRYTEIKKAETAVLEEMSVDARWQ WIMERY FPSE_04526 MRSRPFTVPQAILLPFLSICLFTIQLGLSISDLPSIKLMQDIVC RQVHQIDSPELLPEEFCRDEPVQYRLNFIVTGMQIAGTISGININLLHSFGNSGLTLF AAALVAFPLGLLADRIGRLPVLGASISSVLLSQSYAMIVCWQSGNIPLEAIWGIGVPL LFGGGRSVAEAMVFAMIADVVPEDKRSTWLQYIVASVLSAQLVGPVVSGKLLQSSIWM PLFLSLSLVSVGGFIIIAFTQETLKQTQPQDNGSTNIDPYTYGLELDNLNFELPKKTP ALATVKSMFFRPLVWLLPGAVMTIPLATTQTEIIIRLMPIQFDWPLHRSILIVSLQSL VTLVTLSILLPVITYTWTKFSRSSAHFRYSILARSSSFLFFSGCLCMMMVTNESFIIT GLIIAALGSGLPTLCRAMLVGMVDKGRAGTLFGILAVGEILGFLIFETSMGALFGVGL GSWIGVPFCLATTAALLITLSTALTPTRMLTANNAQVCMA FPSE_04525 MCRGENVQCKECSQLSSRIVQICIRGEMMVNCPEIVIEGVNPEK EECHLCRCGGGKGTITMPSSILANANNYDREVWRASKEQIMPKPIRRLQALKPQENSG RCSSDKNESKSSFWPPYPEEHQLHRSVSTALQSDPNQGMDFLDDTTQLRPVALEIEQE FSEKESCDIVLALRSKQKMLVVAT FPSE_00511 MATSNGDPNVSRPTSGIISPRDVRSRTQSFSSDRPSTIAHSLML SPLSVSPTAAFIAASAASQIITNDHDSHADTWYDQHGIEPANEPALVTIEALQLVNNF LDQLLFNFLSKSHATTLANLRPAVSEVLKPKLAKDAVNNADEELREYLGEGDEEDYVT PQGDKARDWDLELVWKRTRLRCMVYSSLGDMEEEDEDLYMEQENLELGANEQASEVIS PAVAIFLTSVLEYMGELTLTVAGQAAYHRLRTKCQKEINDGTRNPSDVADRIVVEEQD MERVALDRTLGRLWRGWKKRIRSPVIDLSGRSFSRASDGHPRQDSVISDSPALSGAAT RDVEEEPNAEVEPSQIALPMGDKDVDEIEVPGLTYYSDDDNQEEEDEAVEETSGRRPK SLFIMPLGIFQGLPTPTVSQPNTPDFTGRKRSNSLPTPGASPYRAAVKRSKEGVPVLK TTGEDATKLSENDKAEQSSTDPLKEAEEDKSQNEPVPEPVVKKNQRLSKIITSRIQSR QETAEEDPAYEKAEILTSARVSVAGSSSPALSDTGGPFLLRRSSSVHSARIIDVAGPK SPSGSRSPSADAADRIRRASLTIPSSGSLTNVASAADAQAQNTLTTTPRPVVTVPKSR SPVDVMRGSVPSAATISESDEEGDRNRIREQRPHKYLAYQPSTPTVPEAVSPTESKRP QGIVGAPPQSPDLPQSASAQSNRNASRNEKVPTSPTHSIGMVSIERSKTRDSDEEGAS AHTPRPTHTSGSSASSGISRLKAVRTSEDNGSRSNVARNFEELIQSNQTITYTLTPEN MRNMDHNQPLDASTFKGSRKGEDSLSHHRSRSSSATNDIKRSSQHPSKPFPAPNTGRP RMSAAPRDARVPSESIADFADFIKSTGPPGDSRPIQRNVSNPYTLGKSSLDSRRVSSA SNRNRYTPREAVTQSRSGSSDLIDFIRQGPPGANSNRIPQSIAPWDTTGAAEPSGGKA VDANIPDIRYSQASTHGTESSMPSIHSSINSNTALLKNKGQPASANKMFDDDDMMPKR KTRRVKDPYAIDFSDEDEEDDEVLLATPKPPVKKEESLAEFLMNCEPPPEPVSAPISE KMPKKKASAPSLMGRFSRKESQSSTAPASPKANDSRSLSSRAGLRNYIPIQVNVQSGY DKYGIPTGENQSRPPPVSSASSGRRVPMKKFEPREATSNVSRTSDLAAFLRSSEPPPE PIAAPSPPVKEESGSSLSKMFGRLRK FPSE_00510 MALSCRFLSCILYDRRMCRLALMKAPYSAEARQVQSSDDCPKAF RKLAKRRMSVRGAEPWMVAIVAMADYFIYTNGHLCYTIENKHLRVLNTLQQTPTTELT VDVPLLLKLAVRDYDHLRPHTIEPLYFAEGVLSCLATQVLEDSTTCSWLIIFELKENP SWVVVQRPCSTHPIFVRNDKNYLFWGSRSHLTLEGSYRWGLHCLNLQTRKWSDSQLIL WDFHEASIGSDICFEIIDGQFYCVSNMLKTRTENGVCNNFYQVVRFPVHDAVQERCEK PLMRNLWRRHDSEGLVDERWTSLQISKSEKTGKVSIVETRKEWCPGNAGSQRTCYRRE LQFGQQETDHSPESLLPTPPDSTEGSPAEEEWDSKADVEERAGDDFHVGDGPKDTKAY TLQECFIRSYNPSCNSFIDLISEAYNPDSSLQLRVQPKEQGSSVKLWPRDQHSYHHDG VLAQLHSVVNPIQPIRGVEWSMDERILVYSPTHMASGQLRPLVLISFDPGFILPGFPN YGCCSGEMEYQLNGPPHMQGTIAIGPPNKKLSPSTRGADECSSVSEEDQNTGSNFVRV RPSLYRTMNMGSGNAHGFNMSYRNPSALNSVM FPSE_00509 MSFKGFQKSLTRAPQQFKQKFNIGEHTKDAVYIDAERRFQELET ETKKLHDESKKYFESINGMLTHQIEFSQAMTEVYKPISGRMSDPDAIKFEGNPEGIRA CEEYEAVVKDLQETLAPELEMIESRIIRPANELLDVIKVIRKSALKREHKRLDYDRHR TALKKLQDKKERTAKDEKAMWKAEGDVEQATQEFNYFNDLLKDELPKLFQLEREFIQP LFQSFYYMQLNIFYTLHEKMQNCDIGYFDLTLDIEDAFHEKRGDIQEQTEALSICRFK TTGRPRPMRYGAKPALEGPKQPALLTQGGEDSKPSSSAVTSPKIGGWQRPASTANDSA APPPYTPPTGANAGLAAIAAGKKKPPPPKPKPKPMRLTAPGVETVTALYDYAAQAEGD LSFRTGDVIEIVTRTQNENEWWIGRLQGKEGQFPGNYVQLNS FPSE_00508 MSSRIASCALRSAGRVSLSSGSRLTVAPLRMARAAAVARRTSQR GYVTETKRDNAQVETAIKLDKTAFADIPPPGTPSNAKVSPMAEVLKSAAVMEEGQRPI YLDMQATTPVDPRVLDAMMPFYVGVYGNPHSRTHAYGWESEKAVEDAREHVAKLIGAD SKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIITTQTEHKCVLDSCRHLQDEGFEV TYLPVQNDGLIKMEDLEAAIRPETALVSIMTVNNEIGVIQPIEKIGKLCRSKKIFFHT DAAQAVGKIPLDVNAMNIDLMSISSHKIYGPKGIGACYVRRRPRVRLDPLITGGGQER GLRSGTLAPSLIAGFGEACRIAKEEMPYDTNRIKYLSDRLLNGLLSMEHTTQNGAPDS FYPGCVNVSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALGNSDESAHSSI RFGIGRFTTEAEIDYVLKAVQERVSFLRELSPLWELVQEGIDLDTIQWSQH FPSE_00507 MSDQPQPSTLKSVVDSTTGAVQNVIGNLTGNTSDQAAGDLKKQK AEAEHDASHATAKLPGATISGSGAAAKDDPNRTDGSWNQTAGSAKETIGGLIGNESLK QQGREQNLEGRNQEAKGQLSDLGSGIGDRVQGTVGGAVSNLTGDKEKEAHYDELRAEG KTRQRGVEHDVQKQAEAEHRG FPSE_00506 MSGLINKVKEAIHSDKDKKHDSTTHGTHDTHNTHNTHDTHNTHS THSSGLPEGATGPHSSRAANAADPRVDSDLDSSRRTGAGHTTGGLGSTTGTAGHTTGH TTGGIGSHSENMPGHSTGLGSTGHSSGLPEGSVGPHSSRAANAADPRVDSDLDSSRRT GAGHTTGGLGSTTGTAGYTTGHTTGGIGSHSENMPGRTAGMTGTGMTGTGMTGTGRHS ENMPGHSTGLGSTGHSSGLPEGATGPHSSRAANAADPRVDSDLDSSRRSGGLGGNTYD QTTTGTSGFSSHGAGAHGPTGTHTGTHSSGMTGAHSGSHMAGNTAYDSTNPGPAPKTA GPHKSDMLNKADPRVDSNLDGSKTVGKEKTFETSDPNFAGRDPTDATQVPPSIMQKHV PTEIAHDNPESDHGRRHSSTTKEHHHGL FPSE_00505 MALDRVVSLVLRAAELVFAAIVAGVNGEYLHNARGASSWQLGRF IYTEVVAALGMLFSLLLLIPFSSTFVHWPLDIFMSINWWIVFGLLVDLVGTSCGRVFD WNNVHPVHGDQCGKTKAVIAFSFLSALLWLVSALVGFFWVRKRERTARRAEDAHHNRR RGPWFRSRV FPSE_00504 MSSPIPQALWSAQIPLHITHPASPTTPFITSIPRFSYLALLIPR LSTFFNSPCSSFHFEDVQLRNLAVGLLVDLYQPALPWKLTVNDGVGWDIADTFLNCVK EADFIRNGNANQIMKMSKENTTQLWNSVIDNDHPSFNRINSHLLNAPTALKHVPIRIY VPTSGPDSSATHPEHATFKVIQSLMATTSPDRRPKLLGQALKEVLPGLFPSSRDPILA KVVMHGAGVPFDAPLEDLMREAAYPDGWLCLVVIVL FPSE_00503 MQSNLLAREVGDFAPQGFSRSITSELLRSFAPAPQHRFNGERAA RTDPSGVDERAHNIKAHGAGVNSIALEKFDGRILLSGGAEGGIKVWDLEAGGNPQNVH TFRPVSSIARSAREENSPGHSHGITHVAFYPFDPDAFLSSSYDKKLKLWATQRCALSA TFDLNATIYSHSTSPVADHLIVACATQNSAVRLVDLKSGSAIQALVAHGGPVLSTAWS PRHEHILVSGHADGKARIWDIRRAGGVVALLDQEDSLGIVHKMKHLNAAGVDSSQIPH FRASAQAHDDAVNGLQWTDDGKYIISAGLDRRIRVWDAATGANTLASFGSLIQNQHAK TASILVTPSHLSTGNNFLFWPNDQEILILDLHDGNIVKRLRSPGVTNPVGPRGSEMGR NRITGIVWRSSGGSGRPVGSVMGGGNSIGAVYSSHMDGQIRAWAPQVPGPEDIAEEEE VQEDEEVKQRKRKAVDDAYKSLMGKKITFT FPSE_00502 MDISKNRTRIIPVHEADLPSERGSAAAEEHLNKGTKRRHATVYD AVAGKVSYNQGPGSEAEEAQYAPRHPKSIRYSTKDVPLAPDEVLFRRKDAPERYLEHD IYYSHERDLPRGGQGVLPESDLLKAIHAYASSYYSARNRERQATDDRNVTDRSMDETA LLAFGILLEEAGKEVLGRRGDLVFTEGADDAPGDGPEPTRDATQFVGHHDISLRGRPK RRKVADSDME FPSE_00501 MVVTSSQFKLEPWIQKWGNVRFERVARLNAEIPDHAMNEDQRNH DAAHT FPSE_00500 MDGWMDMDGWTLTSGHATDRANQKVQAPDGWRAGDMADVKSCSS TRSGTVKRVSNVAVGQTRVWISYSWGELKVHPLPKFGETRSTRRRRIGTYTPHTSSLE CFIMEKKVCRPITGR FPSE_00499 MDPAPPDSKRPRLSSWPVGTPQQGVSLPHPHAHQLPPPPPPPPP GALHHPHPPPSPYQHYPPRPIEHSSAPPTPSHAAPAQPHHESDRRHHEPEPYPPVQEY HRQQHPHQHPQQHPPQHPHQHPQQHSQQHQPQHQQQHPSLPPSPAHPAHHPYPPYGPR DPPIKRDPAEDQRRPNSTGHAPEGMSSTPHLPPTSLPPPPPPGAYSDNSRHMNYDSAP SMPPTPGGYRAPSYPPPTPVPHPASYEQHGGYPSAHEPFYSVYSSSVPAKKKNTRASQ ACDSCRQLKAKCDETKPCKTCKEKGVECKYRDPVPKATDKAQADILDGLSTVQTTLNS IISHLGQVDKRMIKIESVLPKHLMTSALKTEPSVEDEYKRAPASPYVANGASVDPYYA DPHRDHPSSESMPLRMMAEDEMEVEPGPPVPPGEPAIPINHTTLAGLLLEWPSVRELT KHHIEREGIRYISEYPISQEQNRGVLIVYGRGEDSHPSRHVREPTDHGNLDMADDSSD MASPSPAADWGQLGGLSPPDQVEYKGGVLAFDGNPDFSESKVWAYVESFKENILNMHP IIQPKLLDYWVRHFLDNLPASHPRSTKPQASKPTFAVGGGSQTPEAAGSKRKRSPGPD GSEPPTPAPQRAGRPDRSIHSALVLTVLALGKVCLHRDNVPDVVHPTEQLPHGSPAIR NGAIPPSPNQGSPPGYSSHSHSSGLPSPKEQERNAHSRRSSIHGVGGFRSGYSLKKNY EVIPGLEYFAYATDILGNHLGAYNNMKNVYANIFAGLYHGQLGRPMESFAFIHKASHK LQVIMRPSLDKMRRIKRNSEFIQETKYNQLALTFWTCLQLESDLIAEMQLPPSGLLSY EDDMPHPNMSLLEGFDQRILDSYPGQLYLRTHLNSIHRMFYAPEDPAKTGKDKFRNVG VVSDAVSGMHWVAPSFAFREDDPPADDILAARLRAKYWGAQVITYRPFIRQILQFSHS IKNHASSPNFPSVSSEFRQDVTAPVIHPKARKIGDIDPQVVELAKKGIKALIESTRAF HGLGDKRPIITNIFGTAHAQWGNLLVLSAAFRDPVLHSYVDEELLRTLFHKTIQFLRQ SATATSALRTDMHILEGLQRDLFSYDPRTNSSFSSGTSAPGYHTPRPVAMAAPPQMPH SMSDQGHPRSMPHHLQMTSHGQ FPSE_00498 MDSKDTTKKLRDWYQDQSPLTVDIVGDFAGQELFAIHGESLIRY CLTEAKVDLDEGFQLLHAVHAVEKVLSELKRRDCNFDVLFFRDHENICVSQQAVGSTK GAKYRLTRRILIQHFIKSNLDFRILEFDSFKSNECKNYLSGHGVHFMLCDDGRAANVD QATHLQHVIMEVMESGRHVGIINSITWRSSKVFVSLLSGKKNALCGSSLQLPEIEAKE PLSLSKAVLLQTTQHSFSDTNLAGRDKHAVVFCRAYIEHCASTNKIIEADLHRVEAFL LQAATLRHCSLQERACVEVSKDDEIVSSQDHEFLHMFCATTQGLMEVPSELNEQEGCE LFDIVDGRVFFYFLRAIRSEGEVPTEILEHANVLYNETFRGRNPEPKKPFATLKPSKV SRPPPKAGKNLTALPFSHPVLDTFLKDVEIGESEETQDPNAELVFEDLRHWHAYKPIT QLKSRERYPIWVEKARQKKMQLRMAEVSSYAASLTSITGKTFNRETIVVSSRPKQSIS TASKIAQPKHHKQKPGKGGKQSAIMEAQKLIDQKAQVKLMDILNHWRDKYNEFEKVNS PIDRYLKALDFQSKGSSGNHDAVKPEVQLYLCHVLMEIWTQVRKKTCEYSLEVSMIWN WLGKISKSANCTHEIASAVQQILKVLAATPLPIATLETSRTLPFFIRPITLKGIPKLV KNTRLLQLEHGGPYMDRQFDSQPDAKVQFEPNAWQRDVLDCIDLLLLAPCPIIALSAT VGNPNELKDWLAILSLNRFVLDDVSLEARDCLTLWKHMRKTFAATLLSDTAKLDPVDV LPEWIEKHHVIEWEKDLKVVLRGAMQMENSPFPDLQESLRNLESPDQPFVTLERHFEK LFPLEGIRVPRRSEALDDEENLSKLDLAREEESNERDFDDMVSSLTKETVDLWLIDAL RRRLGVHHAGMNRRYRQIRIPIKRTPKRVTLAARSPSEIFLRQLPEPAEKLMISHNQD VLSIFKDYISSYICQHLHDKPDRELPLTKVLVGSRKPCDSSFMGRNPAVIRSPFTALS GFGDSFTTIKELCSDMRVRVFLEESAISYIPIWPHDTDTKFNAYLYDFFKYSSLKGDI WFYLKDFSLVLKTIVTSLKGAMSAEGDYNPDGFGEDDTFMEEPEETETQKPEEVVEKT VEKTVKKSKAVIADSWEDDSDDETEPENTGSTAANTTSQSSADRKGGLILVLKAFKLL EEEFNPPRITNVLEARTETPKKATNKTFWKAFSFSGVTLRSGAGRRVPGLEGEGLNGV IEHTGNTGLKNKMTVYVMLSNHEWYLLRIPPRQKGKIEIHDVQKTICHTK FPSE_00497 MAAQVGPLPQLKLPSGPTPITAEQRYWKTFKNQILIPSPTNYPI VHISSNSESFAITTGTRIQIFSNRTRKLLKTVTRFADVARSGELRRDGRVLAAGDDTG KIQVFDISSRAILKTWAHHKQPVWTTKFSPTELTTLLSASDDKTVRLWDLPSNDPTAT FVGHSDYVRCANFMPGTMSNMIVSGSYDSTVKLWDPRTGRNSAVMTFKHAAPIEDVLS MPTGTTVLAAAGESISVLDLVGARPLHMITNHQKTVTALSLASNGRRLVSGGLEGHVK VFETTGWNVVSSTKYQSPVLSVKVISSGDDSDSSDRHLAVGMQSGVLSVRTRLTAPEA NREAEREKEMAALVAGTIDAHDAKKKKRKRRVTAAKKLDMIGEGADVVIANEPRTHKK KERSWQSDLRHARYARALDLVLDKHSPDHSPLNVLTLLLALRHRSALRDALESRDEHT VQPILKWVCGHICDPRYVSVCVEVGLHLIELYAEFAGGSAELQEGFRTLYRRVKAEVE RAQSACQTGGMLESLMAGIL FPSE_00496 MAAKVKNLMSAAHTAGIFADIAVDGPEIGTLVAIVDRAKNLPNR KTIGKQDPYCAARLGKEARKTTTDVRGGQTPKWDQELRFNVHDCPDYYQLKLSIFTDD KRTDLIGESWIDLRSIIVPGGGQNDFWQTLTCKGKYAGEIRVEITFYDSRPKPDKPVA KPKQITAAEPDQGSVKQRTPVKRRPLPSDPVTGEAPSASPPATAPASAPLPIPAAAPS ETPAGPRTHTKQISHSGNFVPSQSPLQVVEYNTPPSQSSRRQTDQYSTSPHAHLPQEY TTPTRGEASRPSRRSMIAYEEPRKYDDREYSPRYAPQQEQMDPRGHYTPQQDFYEQPQ ALLDDPRQYSPVENERPPPPPAHRIRGNSSGQELVPRRSMETSPNKLPQMRHDVLRHE AQRQQMVPYPGRPAFRGYDSAPATNQVSSPNSNAYDGMPRHSSYDASYDPHYRSMQPT VEDVPDASGPSQKYAQNGSQFQRSDELCFDENPSPAPLVLRRSPAPGQFREDYSPTQS PRGYQNPDDWQVALSSSHRRSYSRSPVDNGYYSHNGQGNYSGHPADMNASHMQSPHNH APPAPPPALVPGLDASQSLELTNLIYEDRRHDRRPHSQSMSSMPIAPRGRPRNESFQG YNQPPHDQGYGQPPPEHAYAVQPYNRRAITYNPPEQQLVKHRGVSPDPRTSPNPHHRI KRKSVSPAPPPLEDQRRKSDIPFGPDSYDALNPSLVSSKSGASPSPDFMDDEPKKIIT YDGREIDPSDHLPMETWAPEPETKKPAPGAESRSRPQLSGVKPMPPSNRRAPRSGRHS MSAVNTSYSFGDEARTPPQAGRTRLQKRTNRISADNSPAASSPLAPISKDNYQERSPY GNASLRGMPRAGTWDFEDENRGVYGGPPIPAKIPMPTMSGANGTGQELALVQEMQRID IGTGRSRRRGGY FPSE_00495 MIPSPTEGFYVLDKSSQDPQLILGPFQGKVACQTIKFGKGVCGT AAATHETQLVRDVEEFPGHIACDGDSKSEIVVPILVAQDDGTKKLVAIIDIDCAELNG FDEVDKAHLEQLAALLATSCDW FPSE_00494 MSFRIPGRLCQSSRTLRHWPLLTTNILSRSPIPLQIASRFIHND GPKNLNPLINPPDVTRPPPLTLPRRRDFESAPKYYFELGKGYLKFYKQGLKNVWTSRR LLREKLQRTPADDRPSIFKPHYVPKTFSRADWVLLWRVRHDMIRLPLFGLMLIVIGEF TVLVVAYVDSVVPYPCRIPTQIFTALEKAEQRRKASFDELEARYPHGVLSPRVTQSVA RAHVLKTLHLSGSIWDRLGFLPPGMWQAKGRYRMAYLEGDDRNIVEDGGPMGLHYEEL RIACAERGIDTLGKSETELRGWLGDWLRLTASEDLDERRRRMAVLFLTRPENWPQQRD FAVPEWQL FPSE_00493 MSLKNDKFPASAAFDAIQEAINASDADRKDAIKQGNGVYAFTLK NASGDEASWHIDLKETGKVATGTGEKPDVTLVLSEENFGKLVAGKANAQRLFMGGKLK IKGNVMKATKLDPVLKKAQTKAKL FPSE_00492 MSPSQLLSRFPWATSPLISNAPMLGIATPRMAAEVTKAGGLGFL PCVACIEPDSEHVNRLADHFDEVRALLGAGHSSDGTLLVGASFITSHSSISYFEETAL PLIAKNRPAAVWLFAPDGDLKPHSKIIPSLKRLEYPPIVFVQVGNVAVAREAVEDGAD VLVTQGVDAGGHQFRQGSGIVSLVPEVKDMLNREFPDRGISIVAAGGIADGRGIAGAL ALGAEGVVMGTKFTIAPESNYPEIRKQKVLEAVDGGVSTFKSTFNDRITNSPLWGPLY DGRAIIGPIHEKFIAGASLEECQRSLKEDYHEDEARYIINTWAGTGVGLINKVQPAGE IVREVREEAKREIQRAAGLV FPSE_00491 MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCGR RFSIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPGTKASSVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFLYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTIIKDLCEGFPEEFSKYLTYVRNLGFEDTPDYDYLR ELFTQALKNTGEVEDGEYDWMKISKDSGKGWDSKNHSNAYLHNPNVRPGPSQMELHSG HRPGNTTSHQQAQNLTVGRLNAAQPPPPSPIKQMGKQRDRPSAPGALSAQRGSGVGGL RDMATPTGSTQAQFQNSAQNLPQQPRTSQQGPATQPTQASGQPANPQPSGFQKLMKTL CCG FPSE_00490 MKFLLPVLSLSAAVNALHFFIDGTTPKCFYEELPKDTLVVGHYT AEEWDERVSAWVKHDGISIYINVDEIFDNDHRVVSQRGLASGRFTFSAADAGDHKICF TPSSSSGRTSWLSAKNPNGGIKLTLDLVIGETNQIESSDKGKIQDITSRVKDLNARLA DIRREQVFQREREAEFRDQSESTNARVIRWIVIQLIVLGITCTWQLSHLRSFFIKQKL T FPSE_00489 MTSSDVRDVLNLGDGTAGPRSSKKQKLAAPRPNLKGLAREVQNL GGDNPIAIVPEVTHFKKRRFTSRKPAAKWEMRPFKNSARGDSNFTLRHWRRKDEKQEG IDESQEQISQGDQPQPPKNELEDSAFAKYNVQVSVPQYSEGQYQQSLQHVDWTKEETD YLLELAQDFDLRWPLIWDRYEWNPPATNGEADDDGDESKAIVPATRSRTLEDLKARYY EVASKMMAAQKPVQYMTQPEFSLHELMAHFNPQQEKLRKEFALNALTRSREEAREEES LLLEIKRILARSERFNDERRELYNRLDYPRSDTDINAFKSSAGLQNLLQNLVTADKTK KRKSLMPGDVNSPAGTVPPQTAAAASAAATAAAAAQEAGRRESTAASTGPRESTGPAP TPAAANNKKGQQQQQERRKLTTQEELLYGVTHHDRLGSGPTFRTEKINKLFSHKSNQQ QMRITNVLNELDVPNKLIMPTAATTHQYEQLLAAVNSLLDARKVSDKLDQEIKTEQAK KAERQKAMPPPESESTAEKGQTGQEKKDGDDGEARAAAVTADTSKEETNGDATSAAGD ATKDGSETVALTSEAPDAPPKETEQNIDERPGSSGAPHKRSASVLSSVSDKSNKRQKK FPSE_00488 MDMKESPSKGPDVLYEEGTREAQVRAGQRARHLLSKLTSTSTST SIYFPPISTRQVDDSVTPEALELFQFVSTTQISSTIKQNCGSPIHSS FPSE_00487 MSTFTALNGGSPRTSDPPTVTIEKPSAIDERAHSTAASQPPPTP EVPSSQVPAQSADRPSFQSPGYPDVEGSHKRKRSDSVEIRREHVIHVHTPESAHPHHD SREAYGTPLRDYRSYGDEHRDKEGWYPHQARDERSYDSQQNSATTPHGQTEEQIGDAL RRANSQMDHEGYSNASPDGDDHSIIYGGQYSSDQRRDGLMQQDPKKRKRNFSNRTKTG CLTCRKRKKKCDEQKPECGNCLRGSFVCAGYPPQRGPGWQKPENKAAAVPLESKDPTY IPPGAYGMPQQSPYGNQPVKREPLPPYRGQALRIDPPQGRPLVTDDDRPTASTIPSAS VASPENKLSALPYTPANVFPTPVSANPQPPPPPFGERMAKEYQRVPPLHDLSRTEPDS NHLGNQLPQINILHPTRTNSPAPPPPAPTSNAQVAAQLALSHSQFPQRRTQKEEMLSG RHFYPFDKELCLERERCSAACWRFNTLNGISHEERARLFLEILQPRDPVRVSPTEASP ITNVGRVGRNVAVEKPFACDYGYNITIGHQVAIGRNCTINDVCEVKVGDNCVIGPNVS IFTAGLPVDPKKRQGSQGPQVGKPVVIEQDCWIGGGAIILPGNTIGKGSTVGAGSIVT KDVPPFTVVAGNPARVLRGIAS FPSE_00486 MKHLTGVFRATGTHKAARNRKPVSCTICQKRKSKCDRAKPSCSA CQKRGDPNACFYGDASVSGGRQEMQLKVAKLEEMVMRLAAASEASSALASASTESSTS GLSPQRIEEGSNAAYHGETSWEAVFKGIHDIQNVLHTQDESDGDESEILPPSPDIVIG GISPITITDVRNSLPPRHDADALVRVYFNSKFIAIPFVHERHFRRRYELMWSGSHDPN FLWLSIMFSVLGLGAMISKAQTPCPGYVEEPKFYIQRSAQCLVTGEYLKAKPYSVEAL MMYAHSRNVTKKDSDATIWSLYSLAVRLAQRRGYHLDAARVSLAITQFEAEMRRRTWF MVQTSDLLFSFQLGMPPMVYQEVCDAGHPRNLSDDDFDEDTEVPESRPPTEPTPMLAW QTKSHLCRLLRRALRHVLRVESPPYEETMALQAELESYHNTVPECFRIRPIASTPLDV PGYTIMHRLIIEISYLKTICVLHRPYLRSEMNQERYRASRELCRATAVRVVELHLEFE HEIRDGGRMHEDRFLLSSLTLHDFLVAAMILCLDLLGSTDITPQVHLQHVRILERAHE VWQERGLHSKDARYGSRVIRATLDRVAVPLSAMSSPEAHSLVNTAYPYTEPTVCGAIT DFTMPDMNFSGDYEEFLPLNTIFGPTEGFDWVVHLLSTRLVKEGVASSQRQVGKELIH QELPQNERMGIKKYKTLNKRVATQDNNNNNNKQVMPPSRPSSSNSSANSSPMRLSASQ NGR FPSE_00485 MPAALMLRACTRLKSSVTAQTWTRRAAFLALRQNTSRNRSIFTR TYHLEAQSSYPEEGTQILSQLRLRKAPSNPSDTSTVEDTQGSQTWSGSQRRHERDEHS SHGHNYKQEARKINKSPSKASPGARFYQNRPPRDPESIRKAAEYRQKAKEREKKRRER YDQWGYLRHQYSSDELIAVKKQFNFWNSNLDSIEARTKPKISSWLDDGKFLFELESSS DMENAWHELDVESREKTWPCVMLSTLYSRPDKAIQVLEATLDPLPPGYAMAGVARFCI SALDLKDIKIMRDRVTKADEVLELFAKLVEDIPSGHVPFRQSTLGLVAAKLPIEQTAE MFQILQRSEIRLHRNTLLKFADKLARSHEHKEQAFQILRNIADEGHDLNSPSIASVIT TLLHTQPSAHSWSDSEGAFSPQRAMEYFLEHGFSPNLVSFTALISSLCFQGDIEEAVR LSLLLAENGAELDSRCYTTVFRGAKNSLKASNVRQALDVARAAKVPHIDVLNNTLHSI FYFAEMESRDKRYPAPYVVPVFGPMLRIYAKKFDLEPLQWLLPDTLPLLLSQENMDGN EKFMHNPHREWEFRSAILPVANEFFDGTAGPLRKPSMQTLAIMMRGYIKTLHRPYDLM SFYTWFKSRLEERGTERNLAQQLVKEQGSIVHDTLILVMLERKVLLRPALQVFGDMLR DSLIARPPEDGTQEEVLDENFPVHPSPNLFTFSILLHGLLMRREVMLAEQVRQALREH NLEPNIVTWNTLVKGYASMQDLSQTVGTLQDLEAAGYKPDMHTFKAFAKLKDQTRALE MMEKIIDENRKRLEEEHQPQ FPSE_00484 MSSSHASLSAASDDRKARLAKLKNLKRKQPADEIVAPESERAAS PPTEPDVSRLHLSGRNYDPETRGPKLGFEQDPSLSLENPTLEEQAAEVEAEIKQKAAE EAQDDKGVDLFKLQPKKPNWDLKRELDTKMEVLNVRTDNAIARLVRDRITGAQKAAKK DRAVDDAQGTGEATGMDGVALVEGLRVREKEDEDEERREREEEAALGA FPSE_00483 MVRSRQDDLCLSLHIAPRFCEESVETWWLLYTDEVQLYIGSFKS IQCINGITSTAQERPYVSRPSRSQQLRNPKLVPKLTNETLNPLEKKDGVADAELAKVD AERARKREREERDDELIDSSAKRHRSVSSHSVSTISTGASRSPSPGRDRAGSPRARGR SPHSDNSRGRDDIRNRSRSFSSDRSQNPRVESKRRKSMSGDDRSPEDYDRRNHYRSRD PLPPGRETTSMKQSRRGSSGSRSPDGYRGQRAQGSRSPGPSGRHGERPGPSGTRHGRE RPAPRGGFDGKRNAHGGRHQENARERSLSPFSQRLAMTKAMKQGGR FPSE_00482 MAPAQVSPDIPKPSFAKVAASVSKDSASPANRQIATPSEEVSMS STTPISTGPVIANGRSSKAPAINTPSPQLGTTASPAQNRSGVDDFAGSLNDLSLREKT PSLVVNGSGPLAPERPAVIAGRDSGSDDSQRADSSSELGTKPPSLDGKSITSGTTFAL DEKESLRPDDSASVKAAAEDDDAFSIRGSLVAGSRIGSEVAARARGIPLGDIPERRLP QQAPGIVAQGVLTPQSSSSEPPASAPLGASGSSDALGVIYRQAPDEKLLEAMASPKDR LFLLRLEKQVIDFVQDSKEPYMDLPPSNSFCRMLTHKLADYYHMTHSFEPHVGSVRIF RTPFCRVPTSLALINPSPSAPSSSTPPPAVLPKKIMRRGQDSEGGPSAGASKPASETG SDAKDKPAANQKLTREEREELYKLARERIFGSSEENVAEDGDNGISRASSVSAKDKAN AGKRGKTGKQRRDDSDSFDSRHHYTPYWGPQQQTWVSQPQYMPPPNPQYGAQVPPGPA YQSQIGPVYTQQGPSYPAMPGVPGVPGVPPNQPYPQYSVPPYPPQANQQRYPSGGSPM TNYGAPVPPAGSQQPAWQPGFAPPAQLQQRGGTPTGPQGHMGIPYAFGQLPANINPHD PKSQHPIPGSYNRNHAFNPKTQSFVPGGGMAPVPPPQPPFTAPGSHHGSPQVGSPHLA YPGAYHQQPIPQPYGGGYGMARQGSSSSMPAYHSPRVAHVAPHVMPQNPQHMAPPTHP HHPQAYNIPNRPNIPQGPSQPYSHLPTYGNPATLPQKPDTGI FPSE_00481 MAAAASESFIHLARPLAPNTVGLQTNLAPLTVNIQPQAVLSILD HAVRRDIRDTQSTRVIGALVGTRSEDGTEVEVRSCFAIPHTEEEDQVEVDVEYQKNML ALTLKANRGESLLGWYTTSHELNSFSALIQNFFGSPDTGTFPHPAIHMTISTEPGEDI QSRCYISAPVAVNAERAADSCLFIQVPHKILYGDADRSALEAIASAKDSESRTAPLVS DIEGLGRSIEQTIGLLDRASEWINGVLDEDEEPNNVVGQYLLNALSLAPKVDPSQIEH DFNNHIQDVLMVSYLANTIRTQIDLSQRLATANLAGNEKEGEGKSEEKGGRQGGKRGG RGGGRGGGQQREPREPREPREPREPTE FPSE_00480 MPPRGRGGNFRGRGGRGRGRGGRGGKATSRFGPNRRFDGARLAE NDGSESSGSESESAPEDTVMEDLESEDEDDDKETASAKPYMALLQSFNNERNAPNSKR RKLDHKESAQSQPGEDSSSDEEGEEGQDDPEKDIDRVEDEAEDQIEEQVDDDDDSEDE ENPTDPFDVHFAHPNEDIVAKRVKSAQEGNWATKRALIQTLRATVTYPASDAGPEVSK PIAGLGGLQLKEKLKKISSRKIGEFSAVQRNLSPLLFNYNDVLFCDRTVRNSDSLREL TCLHALNHVFKTRGRVIKNNRKLKEGQDPDLELRDQGFTRPKVLFLLPTRNSCLRTVN MIRDLCEPDQQQENRKRFDDGYVDKEARFGADRPADFKDLFEGNDDDMFRLGMKFTRK TVKYFSGFYNSDILFASPLGLRMAIGSEEDKKLDFDFLSSIEMVVVDQADALLMQNWE HVEFIFEHLNLQPKDAHGCDFSRVRNWYLEDWAKCFRQTIILSAFNTPELSELLRLHC HNWAGKVRLQPEYPGTLAQLGVKAKQTFSRFQSKSVDKDPDARFEYFTSAIIPSLAKR AKDATGTLIFVPSYLDFVRVRNYFATSSAVENVTFGAISEYTDVPEASRARSHFLNGR HRVLLYTERAHHFRRYQFRGVQRVIFYGLPDNPIFYTEIAGGYLSKSEQDLRLEPGQG TAKVVFSKYDVMKLERIVGSKRVGKMIQDRGDTFEFI FPSE_00479 MAGGTVKYRHLSRNSAARMALLRGLVTQLVHHEQIHTTYAKAKE AQRMAEKLITLAKRDNEPARRSAQGILYRPDIHLPKLLGELKSRYLTREGGYTRVVRT ESKNTYDQGESAILELVDGPKDSRFMMTAKTVARDRMLGKDHTPVTRTNMKKVTQFRG EEPFEEMVRRFMVLKASDETVPQKDESSLAEVEAEQTADQNAERAREMAAGIVPESVK RKSQ FPSE_00478 MGFTTGFTGGVTLTLSLAYLSVLAHQRTREQQGSALRAQALALQ GLIDPLPPLPPPTRSEVAAAQRAKTVEIAKDRWNTEVENAARWVQHTDWVQVREGLED TVSRLWSRAVGASPSEVADQAGQKAKEAERRAKPVVKEEAAKLGDASGKVAAAAKSAY KKAKAETKEFASVILDHSKDKENVDIAQEDGTLSILTPVERALQQRFNKPEDKVNKTV EDALKERYKPMDSRDNTQLRGV FPSE_00477 MSFSSLVQDLSLRDANGARRPQMSGPRSSASTLDDRASHVSRAM SYASTTATSVSISGDISSQLHGGYFHPLARSWQAERQLTKSMLIYPLFVTDGEGDMIL VPSLPGQHQLSCDKLIPFLEPLVHKGLRSVMLFGVPMQAGTKDALGTAADDPEGPVIR AIHIIRRRFPQLFICCDVCLCEYTSHGHCGILRDDGSLNNQLSVDRISDVAIAYAKAG AQCVAPSDMNDGRIRAIKLKLIEEGIAHKTVLMSYSAKFSGCLYGPFRDAAGSAPSFG DRKCYQLPPGGRGLARRAIVRDINEGADIIMVKPASQYLDIISDAKDLGKDLPVAAYQ VSGEYAMIHAGAKAGVFDLKAMAFESTEGILRAGATIVVSYFTPDFLDWLEN FPSE_00475 MSRRSPSIYSFSDEEKMGLLDNRSVSDADSDSGRDEQDHRPHYD KWAGPILAPLDYIRSTPWRVCLIRFAWFFVPSYLQGRHARDQIRPAKLSPTAYLDGMR GVAALVVLFCHYFYQAFVIAKGWGCDDAHYNILKLPILRLWYQGPPAVCLFFVISGYA LSYRPLKLIRSHNIQDFSTTMSSLVFRRGVRLYLPTAISTLMIVCFIRTGLYEKTRDF AMDTTYMRNVREPHPSRLATNYAQFADWAKDMFKFVHVFSWKTHGGSTNYDQHLWTIP VEFRCSLYLFLTLLGTARLRTQYRLITVFSIMMFTYRNSRWELLMFLCGMVLAEIDLI RGAHVSPPALPMEEKTSPARPQWYQRIFWALVSIVGLYLMSQPDQGGERTPGWIYLTS LIPKWWDAEKYRYWQCAGAVVFILAVSRSASWQRVFNSACVQYLGKISYALYLMHGPI IHAFGYHFEKWAYGVTGIEGHRFTAGFILSSFLVIPTVFWWADIFWRAVDIPTVKFAK WWENKLISKAD FPSE_00474 MTAAGSFIRWGLILPLIVVAFAVAYRLQQPLTASENENPVTYCY KSIRTHDTEQVEAQCFTVTDGVFTAVGSSDADQTTMDGHVIPGLWDGHGHLLQYGEFL HSVDLFGAQSLDEARTRIKSYISENPGAGSKDNWVRGVGWDQTFFGRMPTAADITQDP ELSNVYLMLDRIDVHCTWVSQPVLDLLPADLPEVIPGGEIIRDPGLGVFCDNAMDLVI SIWPQPGQDFKARTIKTAMKKLNEVGLVGMHDAGSTPETLTMYNELSSSDDWTLRVYA MLECPQRNSYCPDEAVKFARDDDRFAVQSVKLFADGALGSWGSALLDPYSDHPWTSGS LLINASALTDVTKRWAADGYQVNIHAIGDLANRNAVDALEAALVQQCLNEATAQGASP STPGQSSHNSQGQIEARAACQSRHRFRIEHAQIIHPDDQKRILSLGIIPSIQPTHATS DMKYAQSRLGKDRVSSSAYRMRSLLPARPVLGSDFPVEPPNPFQGIYAAVARRSPHTG RGTDESPDGWHTDEALSLYEAMWGFTEAPAYGAFLDGRAGVIREGALADWVVLDKPIE SYEIEELRSLRVKETWVAGKRVYTRSDDD FPSE_00473 MRFSFALVAALAGHAAASPCAPWMVAHRASWRSATTRIDKILAA MPTLYPGGLTAPLSVILAAEATATITDAENKATATPSAAVDSNKISSTEALDSSTASA SPSASSGDSVSDSNASSTEEVSVTRTTTTITVTPTVFDITSASESTLFSESTLFSEST SSTSVSESASSTSISESTSASDTASASDTSSAPDTASTSSNTLAFGTTAGFGTTSGLK NTSRTTASTNEDSDSDLDRRDENIDKTEEQTDEDETLAIRTQQAIRKLREAYELQELF NMAVLKWGRSQEEQERQRKDLKKAEKEVERRVKAAVDFAKEVQADAEAFEKEYEEEEI REEKKNRINKRDKINDCGCGNKNGKRDKVNDCGCGNKNEKRQDRINPDLEHEKHEDEL YAGIEDQLEEGLVKDEDRTGLKYEKPVYLITKQFKVYKCEKLNGCELYDVRQVDDEGP GGNWNTYEDLKKYKERLSKAKEGYKEDFEKNIKEKMKKAKSGEKTVTSIFEIEKPEKE VEEKGDEKGQAHTESPLLAGGDEAKQYERISMLYMQYRGMLVEPIQKDLDPILRNSKY EEDKEMASQYQEGNEKEQRQRLSRLYMDYRYMLVPKWQKLLDPILWVDN FPSE_00472 MAPPSPTLSKKDKKKLKKQKDKATAAAAEQAAFMPPPPPPPPQE PSAQDEPSASSSSKKKSKKSKRKDSKSLPEPPSIPIPPPPTAEASKEPEKVEEEKEVE AKTDEPETAGTQDNADAKDASATEDKAAGEETPTPEAASKEDESAKDTKYDEADKEEE PPVKEGAPPNETPTSEAGEEATPVEALGQEDSAEQDAAPDEAPTEEAPVAESPAVEAP TAETPATEETSTKGDDATAAQEPSTPSEAPTSEESLPKDEKAAEPEVVDTQEKGSDGD DDEPSMAAASKSTSKSQKKKKKEKEKKEKKEKEEKDKKKTAAKSEKSHHHKSKHSHSS SKEVGSSKDKALDPPPVAKDKQEVVTVPAEPKAEETKASESEVESQPVEKTLPPSEEP ASSKEEIPTEESKEEQKSVEEPEPSEEQASAEETKPSEESKPSEEPTPVEEPTPVEEP TPAEEPKPAEEPSPSEEPEPSKEPASTEELKPTEEPAPVEESTPGEEPKPADEPAPVE EPKEEPKTIEAPEPSEEPTSTEQPAPAEEHKPADELELVEAPEPSKEQAPAEETKPFE EPKPSEEPDPSKESKPTEEPEPTEEPTPVEESKAVEESAPEEPRPSEDPAPAEEPKMK EPSTKDENAAEEPKTESEALVVGTSGKDEPEPNPTDEVPPAPEGPAPTKESDPADEPL AEDKQTEEPSTAPVVEEVSSEALGTKEQTKAPEAAQEPETAADLKSEEDKPADVGEAA TSEAPSEPEKAPENEAAATLEEEKTSEHGNENSSQSDNKPEPADEAPAATEEAPAKDT AGEPESKPVANDEMPLTPDEAPSNDRTVRKSDEAEQSSDKDAQVTMPTEDNKDAEDEK PAISAETQNSTKSKESAPVEEAKKNDDATAAPPEKEDAEDADDIPTEKEAPIPDAVHS EAGNAASDETAEEQVPITEAPKDEAAQAEDATASTSKKTKKKKKKKGSKSGIEMPVTA EPAAVPTDEAEVQVTTEPIIEPEAPVEAATEPATGPEVSEQASNEPTSEPKTAAKPKT DNPTTESATDPEAAEKALPNESTTEPEPETTVDSEYPSNKSVTGPATEPEATEKAVES VAEPKDAAKPETVAKPETVIDSEAPSDKSATESPTESEVTEKAVESTVEPEIAPEPET VIDSEAPSDKSAAEAVTEPKVVEQAIEPVSESEAAVEAEATQPESDDSVVQPITEPEV AEKAAVDEPALEPELETVAEAEASVDSEPEVVEKAASDKPPSAPETASDQPETEPSAE PTAEPVLKPADDSKPGTEPEVDLDKPASEQALDEPSAELKPAAETEAAEMVASDEPSS TPETASDQPATEPAVKSAPESESVSDKPPAVESDSSTKFEPTVESVKDTPEVVKTESD VESEQVVVPKDDVSSEDEDESDSDSESESESDVEPDNGAPEEVANNKDIGNSDTESLE GKSTERATNADSEEEPSLLEKKDDSQEASAETEAPESSVEPENNDVEVSEPKATAIEG KDNTVVANMSESEATSESEDDDEDDEDDDSDSEHQPAESDKATDALPTKTAEEEPALA EKDTLDSAKEDDSDSPITESTDEKKDKPSPPETSAEKVDVPAPPSNEEAEDSTETVEL ADTVDKKEPESAAEKSDSPQVKPESTAQEGAAAEIDPAEDDTSEDSASEASDDDTIAS VTDKAVDGETLQISVNPGDDSPLEGKTSHAPDVLDTDEANAQVISEASKGSVVEAENV VQEPLDEGAQDKPVPDNEAPKEPESNDKISPHTDAEETQEPVASGADDNEPEESTLIS TKEKAALDEPVAEEEAKQPIDDATGHDEKPETESPPLDNPADDEPALDDKDLDATQPA QQTAEDVEMSDEDSADEAPAVDEKQETPDDPVPSKPEEVEDSTLEEKPVVETLADDND IQGATEASDEDQTAPEATPSTSDKPEEIPVVDVDPGESEAPSAETDGAAEVDDKPGGA TPPDGVPEQTVAEVSDNESDTPAPAPAPEDGESKEKDKTAEQEPTTEPPATDEKPEET AQASNDEPEPLVAPSDEPKEAETPEVTPAEEPTVVGDDSKEADVSEAESVVEVPVQDN PPEAEAEAEAEVEPVKEASVSEEKLAEEEQTAQAQEEPQQGSAPADNTPEEEEKSAKD EYIEAPIASDDKAGEDVKSAEEPPASAPIAEDELSQSPPTAAQEESKEITTAEETTEA TPVAEPVPASEEPPAGDTVSTDDQAVDDPKNAEDVATPTVHEPRPPEGEGQSKPVDET PASEPEDAIVKPIDDSADVPPAEAEKKEDEPVKVTTKEDTRAKEDEESKDTLGPIPRT KNPVKKGEENGSLQNAPFDFAQNYGNSTELDKKMDNDASELLSDDTQELSERQHYEES SELKSRSLMTEAPSDQNNQTATGTTRPGLQETLSAVDEDPQDRVVSKTLDLDLQDSDL VTSHPATSNAREPTPGTPHEQGTPDQSEDAVNEIDPVNVDSIATATPQPLQPETTETL AGEPDSEPSYNTHDGNEKSGRMDSYFPVIIARSASSASASVVSDDDSEISTSSRTAAE KSQVQLLDNKDSIGHAEPDANNSPSGLLQPIDTPDATHQSKILETDFGHVLQTSRELP EESEASVAGPDDQDSDSDLSEDESVEDEKSELEESLMIHSQVPEQPQATEPAQTREDD VVPQDGHKYEGLGSRTETAVEEEESDVASNASSLDESEDDEHEASRSIHGRSSPVPAS PADVHHKDSHQDVDSDDDPDDDPDDDPDDDPDDNVSNLDQQSATSRRSSIDQPSYPVT KPDLEVQGNDVSFELHEPDLGQDEAVGQTMTLKDNDTDSDDGSEASEASEASEAEKSE VSHVHEQSRDPIEEHGSSLELNKPAVVVDYNVLAAAKEDDDSSLDDEEDEDDDEDPEH TPPGPERLDTDVTLDGPQAGTIEVNLDGDDNDDDDDDGDDNDDDESGMDQRSQIIDRD DSPAPEPTLIPKSTIAEHQSQSGNETSLDHSSVSPAAHQTEPSGSLDHIAVEAEEPDL SDSASSVSTEPDTVGKEASDHQSRSHSPSPDLLQSTPEAILLEPSAPNQNEVDSEPDV SAVITRSPSPESVVEKPPNEIPVPDVLDELSEADSESEAEHEENEPQARDPSLHSIVL NEENESDSDVDDESEHDEPTPSVLPQTLTTEAKLSPERLTSSPVATRNLAAVDDDEPL PDDLEDRDPEVSDSEVDADNEHIVNITKDDMDQKHPEETATDDDSDDDNSDDDTHVSR DISPIGIDRIGAPRSLEQTTQVPGTTEDTSEDNRAVYEEKDDRLSDVQLPEAPTEATR AIENEAHTVDEWQPQQETADNMLREVKTPDTDDTQLSEPKPAQATEVKSVGLGRSEHD AVKVVDIPSRDKGKAIAVMPETPKRSGSKSRSSRSSSRNEPPRTFLTQQQRPRRSLRV RPVTEVYTKDPIFVPAVSRPADAKPTQRPQPPRAESEPVRVPVRPPLGRPSLETQGES SRTAVQADAVARPRSFQADGESSRAPLKHDLRHQTVTVDEGLRDAAFRTPISVDTIAG SEVQRPPSPGIVIPDAEMIDLQRSRTLRRTRKKSIQQAEDTLAAAVVIYATAEALSPP GSPSPFDHFRGRDIPNLEHQMNAEPNDYNFPVVSTPRGSFEDELDEFHKSNADLFADD RSRESDSSRSDRDRDRHRRRRHSHASQRSEGSRGEEDRERRHRTDEERRAHRPRDDDE SRRHRYSHRDDESRHRRHRDEEEMPPRDSRGEADAKSRSSRGSEESKIKGYRRDEDLR PRESRKVEEPASRSLPQEDEGRERRRRSSHAHRRHRADTAESGARDTSPRTPRRDSGF SADNSSGSSGRKRRTADEQAAHDRRKAERSERRTREEAERRREPTRYHESRQEENDKE RERERRSKGKEPAREPEPEREPFPELEPQPEPEVERHRRSRRQSHSGRPRHEEPRDRA REESASPEKLFTAKNSERVLDATPPPREEAPVAEPVRERTRDAPPEDSPKRSSTRHRR STHPTAEEPRPRSSRVRRDDTVPEPPREKERDRERTRDKSRARDGEKEARPVKHVRMD TEDTRRKARHEERRRAQTRDDDRKPSGIKGAFKKLFSKS FPSE_00471 MGGLYARDDPKADRSSELNTTTTIVLVLSAIFVALRFWARYVRI GYGLDDWLTVVALVLVFITGGLNYGMIAHGLGKHATDVSLEDQAIFFKILLAFECIYV TAVMMVKLALLQMYLRIFPSRRFKLVSAIIAATVVAWWIAICAVCIFQCRPIRRAWMP WLTEGTCIDLKASFIGNAIPNIATDIAILCLPVRQILKLQVNVAQKLSLLVIFLLGGF VLFASIYRFTTIMQFQITDTTWTLATACTWCVVEVACGTIALCLPTLRPLLLRVSSKF ESASRSRKTAATRSNRPNELVTIGGTGKAGTRQFHRIEDDRASNESQLELGTGHGSLP HYNMSERGSGDELPLHKDGKGNLRM FPSE_00470 MPTNPTIVFAPGAWHTADCYDVVRNDLNSRGWSTEAVDYPSVGA EPPTKGLSEDVSAVRAVVERLVEEGKKVMVVVHSYGGLVGAEAVKGLSLKQRAQEGKT GGVIQLVYLSAFVMPKGKSILELLGGQYLPWMRVEGDYVHADTPENVFYHDVDAETQG KAIAALKHQSRRVYADIVEYEPWHDVPCFFIFCDEDRAIPLAIQQNLASMLGPNAGSF HIAASHSPFLSLPKETVEGLELAVKDGLEKSAN FPSE_00469 MADLASRITKPDEVAAETPVETPAETAPAASGELGQADGNIEDL GGSGLQEPEWDVEVSLSELQNNEATPFHSATTWQDLGLREDLLKGLLSLNFLKPSKVQ GKSLPLMLSDPPRNMLAQSQSGTGKTAAFVTAILSRVDFSKPDQPQALALAPSRELAR QIEGVINAIGRFVENKKVAAAIPGVLPRGEPVRASVIVGTPGTVMDIIRRRQLDISQL RVLVLDEADNMLDQQGLGDQCLKVKNMLPKEIQVLLFSATFPENVMKYAGKFAPNAHS LKLQRSELTVKGISQMFIDCPDDNMKYDILCKLYGLMTIGQSVIFVKTRDSANEIERR MVADGHKVSALHAAFDGVERDNLLTKFRQGENKVLITTNVLARGIDVSSVSMVINYDI PMKGRGDTEPDAETYLHRIGRTGRFGRVGVSISFVYDKKSFDALSKIAEMYGIDLVKL DTEDWDEAEERVKEVIKKNRAQASYAPSATEPKAAAGA FPSE_00468 MAAPPPPPPPHGGLSLYENLLDPNDKSSASATISSAPVLYNQTE NTPAETAVKKAIDPALRFQPIRRPQVKQAKPKPTFPKAAAPKQALTAAAPAPAQAKTT LADWAATEDDEWMYGMGEKRQRGGRKKKKRRQDEDAETNWDDIYDPTRPTNVEEYLRS DEKVQEVRDWKALLYRHRRKRVESDLSDEDEEANARPVPSNQFAPPSSYSFVPPPPQS PPASAPAPPPDDDAFARRQALSRNQPAPPPSPPQTSNPATISRAPVRYTQTQDEKNTT GGGVEDDGDSPPPALGAGSPSQPDDGDSNARSSRPGQSGFAQRLMSKYGWTKGSGLGA DESGIINPLHVQVEKRRKKADADGGGWAEPGSKGKIIGGKRKIESTGRFGTMSDVIVL KNMLENMANLQEEIAGGLGQEIGEECGEKYGRVERLYIDQDSRKVFIKFTNQVSALRA VNELDGRVFNGNTILPEFYDTEKFEQGIYNR FPSE_00467 MHSVKVLSAIAALGISAVSAATCTSDIKVTEPTPSIDCTVVKGD IIIDKKVAGAVVINGPEKIEGNFVAKNGGDIISIASTSIESIDGNFQLENLEALSNLE FSSLKSLSGLSFIKLPRLGELNFGTEGVTKIKSIRITDTFISDLSGLSVATVESFQID NNRKMNAFRSDLVNITSELKIFDNGNDAMEIIMDKLELAAEIQISSAKNFSVPLLKEV TKSLKLNANPSLEFFSAPNLTIIEETLSLIDMKKLTNVSFPLLEEIGGGFTIQNNTKL EAIDDFPKLEKVTGGIALRGSFEKVKLPKLDQVSGSVVVSSTTDIEEFCKYFDDLKSD KKIDGEEKCTFNNKNANKGEDGGEESDGSGSSQSNEDDDSAAGSVSINMAVLALAGVA ALAQIF FPSE_00466 MAVTRSSSRISTTSETKAEPVAKARSNTNTRKRKATKDETQAPS TPPRKRAQGKTAAPAAPPPVTPTPAAARALAEATSSTKKPRSAAVTRLANPKLTNATL LSPETSRLVTSRDLETVSPSKASQAKTTTDNLLKEACDYLIKVDARMKPLIENHHCRA FSPEGLAEEIDPFESLSSSIIGQQVSGAAAKSIKGKFLTLFELQPGSRFPHPSQVAIK SIEELRTAGLSQRKAEYIKGLADKFGSGELSAQMLHDASDEEVMEKLIAVRGLGKWSV EMFACFALKRMDVFSLGDLGVQRGMAAFVGRDVAKLKAKGGGKWKYMSEQDMIELSNK FSPYRSLFMWYMWRVEETDMCIMEQ FPSE_00465 MLSSNGRISWKAAKAHMSQSRALRSNLTRSRLLLILGLTGIVML LYRGLRGAPPGPQNVRCWGPNKSPMEMTPNEHALWNAHMQTPVLFNHHKPLKIDSSSI QHIDLNPITSSPQAVVRNERILILTPLKDAAPFLKNYFKLIAELTYPHRLIDLAFLVS DSKDDTLAVLASELDHLQKRKDEVPFNSITIVEKNFHFHLSQDAKQRHGFEVQAPRRK AMAKARNYLLATALKPEHSWVYWRDVDIEEAPRRILEDFIAHDRDILVPNIWFHRFEK GVDIEGRFDYNSWIESDKGRKLANSLPKDTIIVEGYKEFDTGREYMCRMGDWRENKDV ELKLDGIGGVNILVKADVHRSGINFPAYAFENQAETEGFAKMAKRAGYQVIGLPNYVV WHYDTQEKGGNL FPSE_00464 MWGWFGGAAAQKRKDTPKNAILGLRAQLDMLQKRERHLQNQIDE QDGIARKNVSTNKNAAKAALRRKKTHEHSLDQTVSQIGTLEQQINAIESANINKETLA AMSDANAAMKHIHKGLTADKVDAIMDELREQNAMSDEIVNAITQTPMGDAIDDDELEN ELDELQQEQLDEQMLKTGTVPVSDAVHKLPTPASAEPVSSKKQAVEEDDEEAELRKLQ AEMAM FPSE_00463 MASRPFSFVPSWLIFCVTAVLAATNVPNEFSSFIPSCAAECFAS FLNVNYVLDTCTGRHLLDCLCPRIGALGFTLGEGAMQCISAERSIGLCSTVEASERVI ERAYAICNGRPNAAHPTHLTITATLVMDPSGRGVVTFPPVVKSSTTTSPETSTTTQSL PTTLVMDTSSPPVIPRPSTRTRIRSTTKEDISTDFPDETTSASDIISISEPPFTRSTT FETTKSTSTFTSETETTTEAAGGAGGTSKDEDSDDSNKLSTEQIAGISVGVLAAVGVA VGAIVLARYCRRRKYPHVKTGFLPMRDTWGYKADRSDNGGHNSWMVHQLRPDLDPGSQ APPPPAYNRANARPENIGVALSPPSSNRNTVNSSPLRRLSKLLPAKPTLPHQSSESTG EKPLPPIKPEQEDNGQPDRGTSPQLPQPALTAASVAAVTAIAASSPRSRPMPPKLHIP PAEMSAGGAVGANNRESNVTEFEEDRTSMSPNNVNTQVWRPPPSTPLSATTYYVADQY GNWILGNPKRASVIARGSQDSNGSQGGALKTLSKDGNGSLGNTGQTSARTLAPPAEIV PASAQNKPYGPRPQYPSPFFSSQSHPRRSASSRRSITRPLTRPREDSNSSSATTITTS TESSMSIPSVTLEQQVSLSPVAESPQSVRVRQQQQQQPQIPPRDPRRASQMGNPGDNV NARLAPPSRQIFYSPPGQPSPTLGMMQPPSAYNAARQQPGPQNTQAIPNSGLETTSPT MRIVEPSPEPEDNIADPPAVKPEEIRASPFYFNPPYPQPIQGRQPSQQHRRSASGSQP QNYRPYQRPPSFQPSPREQQAAWQPIQRLHSHHQQQRHQPQQQHNVWHPAQRNPQSYQ SFQQQPPLQPYQRPPHSNIYQQQPHMHQSFQARQNQQSQPQSQGSYQSFQPYSAPQQT QIHPSQYQNYRPPQPMTTPLAQSGSQDSLLSKRVGFDRAADMTIGTDPAGKSKWNRDV QNSTPPSYPMSPHWQPTLTPTRRGDDLVLNVQ FPSE_00462 MSDARLPRSINSSTQAIQALAKTTSTDQPPSPQPLSVQGLQDGG SRSSSTGSVASATRNSPSFPSSEQDIQDSVMFSTIIDHTRGLLPRRESQGPAVGVGDF DHTPPASGRPSVDIPRKKHKGEGIRSQQLLHKPSQIDSLLSTKDQHTLMVSKPLMNLN REEREQPVPHADTQGHVDGLPFWNFRPILSQHSPKDHATRQRSRSSSLNYFSDHAKDP SCPNLNTDLAPVSPGSQEGEPSPCNDTQSPSAGIPEVQLIKLHDRHDSLHDSNAQLST PAGSGEPSIAYAQPSGRSRSFGSIMDYLWKSQWLKRLSRLSGSLDPMLKETSLRTKLI RADDRRPSEPVLPSNQVPHRLSSWSPLPGQPDHHVDGLLFKHTFSNLERLVDEALSLT LEVADHSETAIHDNQNSLPEAGDPDSVTKPNTWPEAQEVNEVVTEAESSQNHHGKPQY RRAATYTTVPIRPRLADIVESYSGTYQELRTRTHIDRARQGSFSRQIPHGVFSRSPSH ATKVEPKRKSVTLEDLLSTVTSLKPDGHSKGTEAKIQKGASSISLPSAIGSGTGRDAL QENDVAGRRLHYEHGINLRKRSHVSLRDTRGFNLPKSHVRHPIARDWSPVRKRFVASV ACLSTALIGVLLGIYTGLVPSIQYYIVDQSHVAIHGNTGCFLALALPSFFLWPLPLLH GRKPYIMSSLIIAMPLLFPQAIAVSNQRLTNTTYWRAMLLTCRTLMGGSLGFASMNFH SVLTDLFGASLMCRHPHQEVVDQFDARRHGGGMGIWLGIWTWCWIGSLGVGFLIGAAI IDRHPPAWGFYVSIILIAVVLILNVICPEVRRSAFRRSIAEVRTGGDISRRLARGEVM MHRVKTGPKWWGQEAYHGVRLSLEMLEQPGFAVMAIYVSWIYAQIVLVIILMGSLVSR FYRLRSPYVGLHVAAVAVGALLAIPFQKASIFSRSRRREGKSNRETIGKKVAWSSHLI RRAIFTISLPIGAACYAAVSSGPPISSIVPAFFALCMGFLSCLAISECNGLIMETFDT SDLSPGMVGLHRDPSGQDHRRTNYSSFPRVTAGFAIIHSLSYILAAGATALGGHVTRK LGQQVATCVVAGILFLLTVLLLLVLIRFKNVLIIPRSKSEEMEKLTQARRQSSQRRAT MPNDIRALMEEDRAWRPAMTGNPMGKNRRMNVLEMGNLTRWEDIRRRNRLIDSGVHIN RETLDHGLEALDVALETHVDDMRQNAQGFFRRGSLRRHGSRRLRRSNQSRSSEQTFHD LELDTFEPVGTPGESSQQPRQFAERDCVMGQAIKEEDKGENQAGASNSRKDV FPSE_00461 MSREHKAPAGPRPANFSPTRLGKTTNAQPRPPLPSTNPGFASDD PMLSATPSSNHSLFSSFSRDGEQNQLNDSTASFDFLPSVSFDDLHSSIESASTDFKLA QFPSSTGEGGSLDAHGADDSSMSSRSQIAPSSNGAANRPAVQSSTRPARSSSILRRPS NSIRQPNNTTGVSVQTEIATGPGTARSRRQSQYPPVSGTTVAKPPRKSIGPGIIGDGD YGSRPAQKRRPSLYSEGAPTGSARVSMDANPSWLENTTRNFSTSRATKAKSVQPPPRS NQANLGFGNTLTPEQNRHSTLAPRSPRVGGRLSTPSSTNKRVSMMPGAHHSSHATGLG ARTISPTDTRRMKRMSTMPQSVSLGQVSTPPPPPPVSMNNQVERAESKSPSMIPRRTS GTPSSSRTTPDMHRKSYNSVLSATSCLSINSARTSTGSLNRISASSSTSRLPAPKHTT VHNPIPADDDEYVPPVPAIPKAYESPKDSPADTYFMEKKKTALSNMDAMGANSNPTGV ISMPVFPEPTKLHRKPSGQRSYVPMVVAEPDNKATPPNKRHLQPLRLPPMNLGPLSTP TTNKIAALQDHNSVDRHETPPPPRHLPKTPTTPMTASKSSFFAKTRRGDTPELPSLRS SSSVHHAHFTPTPPDVSSTESSLAYKELDHKQSMSPFLSSSLPKGGADHGFLKRSKTG ADFTTSDASIFQDQPHHKPAGPRAPKDAESTSSKSPPPEPTPEEPQTPSSMSSIRRKL SLSWKRGNSKSNTTAAGDGPDKTHAKQPEEPMPPPRIPISATLNNLSNVKQASPSPNM KQGPGGYLDTRRRKSSGGSLNGLITHDKTKSDSWTAKKPVPDPSTMPVGRNTSVMQKI LRPRNSSGLAKGPDSYSADLDKDDQAAEEEMAKLGSRRKETDVAARTLDALKKRATPK ERVGAHEAIRIAMLNIYERGEIVDYTDVFFCGTQNAHKVVGDLQSDAPNFGYDDERGD YTIVPGDHLAYRYEIIDVLGKGSFGQVVRCIDHKTGGLVAIKIIRNKKRFHQQALVEV NILQKLREWDPKNKHSMVNFTQSFYFRGHLCISTELLDMNLYELIKAHAFRGFSIRII RRFTKQILSSLNLLKQHKVIHCDLKPENILLRHPLHAEIKVIDFGSSCFENEKVYTYI QSRFYRSPEVILGMTYGMPIDMWSVGCILAELYTGVPIFPGENEQEQLACIMEVFGPP EKHLIEKSTRKKLFFDSMGKPRLTVSSKGRRRRPSSKTLSQVLKCDDEVFLDFLQRCL RWDPERRLKPEDAIRHEFITGRKMPLPRMPPTRESSPMKRHNTISTPRPLPDPPGSAV KPLGSLRSGASPHKPVSGPVRKASGQMGPISSMPTKRTSAGTTGLAQGTSGLPRAAGR TVSGKQDLAAAGATAAMSRRS FPSE_00460 MDDFTAEMFATGRGSDSAEDSRRRRGHGDDDDKTPATGADSNSP SNLPSGSDTPPQKTGIRGGFAAIRQKANIQDRLVEKLLQQVIPTDDDDQNDVHFVGDE QTATQTERPNFNITTMSFNFRRFNARIGVVFKFQARVERILSWKQASHTLSLLAVYSF VCLDPYLIFVLPIAILLLGVFIPSFMARHPAPPKGTLSSEQNVGYSPQGPPLAPAATV KPVKELSKDFFRNMRDLQNCMDDFSRGHDQVVALMVPVTNFSDEALSSTFFLFLTVGG IAMTVSAHLLPWRIIFLVGGWAIVGMGHPHVARLITAAHREHLQPQEAKAQSWLENWT SSDIILDTSPETREVEIFELQRKTSGGGEWEPWLFCPSPYDPLSQPRIAGERPRGSRF FEDVMPPEAWEWSEKKWALDLWSREWVEERIITGVEVETEGERWVYDIWDERDERTGV VDLPVNDKGKQKATPRPSWEENDDGSGRRGNWRRRRWVRLVKRKTIPLQPN FPSE_00459 MIPTADPILSLNPETLPPSALHMLSLSPKAMHTMSAISNPLVSP NAIPPRTSSNGVPTSFSPTPTKSVLRPVPEGNWLSQKQASAKTHASNPGYGVMTAPNP PPDPERYAHEDLEFTAKRSWNGDKESVVRGPYDYVISHPGKDFRAQLIAAFNAWLDVP APSLEVITRVVGMLHESSLLIDDVQDSSELRRGFPVAHNIFGVAQTINSANYIYFVAL QELHKLGNPELITIFSDELVNLHRGQGMDLFWRDTLTCPTEEDYLEMVGNKTGGLFRL GIKLMAEEANGPTDCVPLVNLIGLIFQIRDDYMNLSSKEYSHNKGMCEDLTEGKFSFP VIHSIRSNPTNLQLINILKQKTSDIQVKRYAVSYMESTGSFEYTRKVIMVLIERARKM AEELDEGRGSTKGIQKILDKMAVL FPSE_00458 MAPIHRIFSSVNNKPYRTTKLEDENPPYLNEDGYVDFAPNDIRN PQNWSTPRRWGVTLAAVMLVLNATFASSSPSGCFPSLAKHFHVSELVAGLTITLFLLG YCAGPLVFAPLSEVYGRRWIFYITFTLYIIFNFLCAWAPNFGALLVGRFLTGTFVSAP LSNAPGVLADIWSPLERGNAMAGFAAMVWVGPALGPVIAGFLELKKDWRWSFYVLLWL GGATAIIMLTIPETHAPTLLTKKARRIRKAKIPGYENVKSQSEDTDRTLAAVYKIALT RPFIIFFDTISFLCAIYMSVVYTLLYMLFSIYPIVFQQRRGWNSGVGELPLIGTVIGA CIGGFIVLIDSHIRKKKIEKGGKQMEPEDRLPLAMVGGVTFAITMFWFAWSAEYNSIH WIVPTIAGTLLATSLMLIFVAYLTYLVDVYLMYAASAIAANTIIRSACGAAAPLFTNQ MFSALGIGGGGSLIGGVATILAVIPFWFYKYGKQIRIRSKFAPTKDKQEAKERDEEKD AVAGTGTGDRQNSVLSNDVSDGSDSTAAAR FPSE_00457 MGRTVVAFDLYGTILSTESIAGELAKLYGQDKAQSIASLARRYQ LEYTWRANSMGTYRSFSDLTRWSFRQATKEIGVELTPEQEEHVMNAYNGLDTFPDVDD ALKKLAESPSIDPYIFSNGTADMIASSLKTSPSLSQASNVFTREKLVSIDSIQVFKPD PRTYKFMAKTAGLELQLDKVWLVSSNPFDVVGATAVGLKSVWIDRGRKGWSDGLAGSL DVKPTVIAATVDEAVQTILRQSESSE FPSE_00456 MESLLSLAFDNLSSYDGPKVRKGLRQVEGLLAQICLSNANTKAK ASPRNDRAHRSRDSDNDGSDTSSTPPQKDLARLSRDPAFREFFKLQEGFEWNVAMRLI STLDRLMAKGGDGGNDLLILSSLDLIQGLLLLHPPSKSLFAREQNMNLLLDLLEPYNC PAIQSATLLTLVTALIDTPINTRTFESLDGLLTVTSLFKSRSTAREVKLKLVEFLYFY LMPEIPSIPRADQRDSVPAMFQRSPSKLAGAFNADSRRKRSGSDPEGDTYLTTEEKQE LLSQHLSSVEELVKDLRTCAPFGGVVC FPSE_00455 MRFFATYIVFIPLAIAHRRYETLQAAPGDLMPRFFIDRSYPLAK RAGDCGSGNHNCLEVGFPDDCCDNDSYCYVNQKGDPKCCPIGSNCSSDSPCNSTAYFC TRTATASGTTTEQQGCCDRKCPKTSLYLCPSNLGGNCCGYNSECRTGGACASTRPASR TDLLSPIPSGCTTSQHSCTIGEGCCDNDQVCTQVSGEGYCAQAAPTESGTTVVDDDDD GDNKLTDGAKAGIGVGVVVGASLIIGGFTWMCLRKRRRQRSAAGLSAPGHANEPSRDG MTDISGSHIRSGPTRDYFGPDPAAGPYTEQASSRVTSPGRDAAVPMHAQSPGDIAAPV EIDSTTRDGSDLLSPMSSPGTVYQTPLSETMDGRFELYGNDSAVTPNRPLSIVPTPPQ SIMGDRRPRQD FPSE_00454 MRRLYNTGDGTIISGSTNGRLDTTNYGGPVGRLTPETLIESVSE VLDIAQIAIVNFTGGVDGATSANAGSDRFLNISMDAQKRLCSPDSDIVGAVMIHGTNT LVDTVFGVDLTLNCSKPFVATGSMRLNSALSADGPSNFYDAVRTTVHPEARDRGALIA MNDHLVSAFYATKTNEGFDADLLYSAAKNGAK FPSE_00453 MGVGPGTLSTAAFEAAEDLYSLGVITVASFRPYLGLSVPSPKTE KIISSGVLRGENARIQLQLALGAGCDFKGIQKLFEFLRVRLGRLCTMMPPLSLMAQFC EKQIKQSNILCALRISQYEKVVANHPK FPSE_00452 MIRLYILLCLLPCLLLTAPFTRAALLPRLKTPFKLPGAVFTEPE TRSLKVVPFKELPGQSHHGHRLHHTLSLVKRLVEDQGDMIEVTEEALEELLSQINRLH DQVNGMMPSGAPDKQPSRETSASSNGQPGQLPAGSSDGSSSDGQPEQLPKQSAEAEVP DPADIPGPSEVPPIVSEPSLRSELPVPTDEVDTVREPQPPNAPDQPDVPGPSQTKGLE KQSDSALAAAAANPTQAANDKSANPPGEAEAEELPGDDTAVALTEPADVSENKETFQS DDLPNNAVAQPTGKAQAPTGTQVNTAEDVRPTEEPQDEDSSSGSSDNDTGEPSAVPGG AFVEGPDDILQTLSSDASPAEQTGDPAPKETHDECIEDEEVSGLPVTRRNPNCTPGNR SSASDPAQDASVTPSLVATAETGPAQIAATASELASLEPTKGDSPVATKVEVPAPALE TEATLERISPGLTTLVFTSVTTRSSTIMITTTRTEIVNANEPTRSFKPPGHVFKEDED EDAGATFNKDGKLAAEDSNDKDTPDTPLQKTPLGTEVTSETTSLRTTTMAMTTTISLS YRSTMPTPEATQNFVPDALVPSAIIRGNGTYDATPASGFKTIRSTASLAERALI FPSE_00451 MAPPAKSADARRKSSTKSTLIITRKVSPDRLRAVIAPDSIKEES PVKDTTAKAGSPAASVSGSQPAASVTNGDNASDSNAATPVPDVTTADGTPAPSAMGPP TEGPKKKGVKRSAATANGSNDGIPKPRGKPGPKKKPRLEDGTIDHSGTKPAGGHKLGP KANQGAINAGLRALDRSGKPCRRWAKGGFQLKSFTGVTWEIPRWVAPQKKAPESGAED SAAASAASAEGSTKENKENGQEANSASNSNSGNDVEMQSAPSNHASSPAPLAIAAAS FPSE_00450 MASPLYQASSSSALPESASPSVAPSPSARNARFSPQNNPSIANI TNFYTHPARTSIFNASGELNIPGSEPANTVDMEVFGAETGVSTRRTGVPSFSRAFSML VASEAAATVDSSSSNDEDNFFIPSYLENSTYVQKLQEAHKAKIQTRETTRASANGVSH SSAASYNQHPLPPGSHRGMSHTVIERPPVVEENDDLAPLPTRWNKDDQMTGIEVQQDG LSVKHVGPKNQHDRDHEASAIRADHYMPPQCGIYYFEVQILAVKRDDATVSIGFSTKA AALSRPVGWEPESWGYHGDDGRCFTGQNIGKHFGPVYNVNDVIGCGVNFKENSAFFTK NGVKIGTAFHDVGRGKLYPTIGLKKPGEFVRVNFGQTPFVYNIDDMMREEREKVRKAI QATDGSAIQPDWSETDLIQHLVLQFLQHDGYVETARAFAEDMAIQKEALNLDANSVIE YQSDRDDEDANNRQRIRRAILGGDIDRALKYTNAYYPHVLEDNDHVYFKLRCRKFIEL VRKAAQLSMLNEAKGNKHANNQTMDIDLNGSENSSWETDGGENATELAELERSMLEYG QKLQEEYANDPRVEVSKALEEIWALVAYQNPLKEPQVSHLLDRNGRVTVAEELNSAIL LSLGKSSRAALELIYAQNTVLLEELRQDGGEGAFASVSDVLEGIPQPYQN FPSE_00449 MTSAVEPPQSNRWFVVPRPIRNLFNHFPLHVYGPEELPVRSPAT VRQRPALYVFVADQDALLGKPSYNPSCLKWQTVLKIAGIEFDIVPSNNHASPSGALPF LLPSSSQSSKPLTGEKIHKYAREHAAHTFPNISSPRLEAYQALLTQNIRQAWLYTLYL LPANAPLLKSLYLPSSILLRAPLHQTLHAAATAEILKTTHRATISPSQLFSEATNALQ ALSALLGDDKWFFDAEGPGLFDADVFAYTYLIDGDALAWEDKSLSECLDGLQNLRRHR ERLYKQCWGGEKS FPSE_12061 MDAPSTSNSSAQQSPSVQMVPQPAPTQGNNPSTAPFLQDFTLIA EAAKRAQMAIMIRDFEDCGL FPSE_12062 MVLPLFQEWKEKHRLYPALADAPTATSSGTQEPNRVLIEDSNEA SQPETPDSEIFDHSCFSDTELVPTPLTEADSVRTPIQGPTCILDSSIPDTPPGPVDQA PSSIANNDNSTVNAITKDISTLSLSHARSTSDKFPVDSKPSHAESIPEDDEVSFQGTS ERLSRKAPEDEDESFDHPINIEGIGIGRLYRQGTLKRGSPILKALTTPPTFNQRKHGV VYVLQHTKDENVFKIGYTAYNAVKRQHQPRNCYGKNTKVIYESETDFAGANKAELLAH AFLGNHNLKVPECESCGGSHREWYHDRTGDVLIATLKVMEDFVRLPAYELKAGEKEGG EMVVSQEAERRIKSMFDISVQGLQGSMTTHNMPASIQMDSRESAEASDMTTTQTTIPQ AKADIPLESIEAEPSKNSERFTAANLGRFAGTTMGKLEKLTQRVRVSFSREGTPESSD SRQPPSEGENLFVTFLWTLGGGNSEDAASKEGKVPRGLNALVQAVIHKKDKLKEEFAT GVQEGKAAS FPSE_12063 MATENPLEDRISSVPFAEQGPKWDSCWKDALTPWDRGTASIALH DLLAQRPDLVPPSQHQDHRGHPLRDAAGAIQKKTALVPGCGRGHDVLLLSSWGYDVWG LDYSAAAKEEAIKNQKQAESEGLYMPIDGLDKGKIHWVTGDFFTQDWSKGAGDDGKFD LIYDYTVILRPSTPALFLCALPPDARPKWAKRMTELLSHDGRLICLEFPSTKPMSANG PPWGVSPELYEALLAAPGEEIAYNDDGTVHEDPCSKPWADALHRLSLLKPTRTHKAGM SPEGAVMDFLSVWSR FPSE_12064 MDNPAPTETLEQIQTRHRRELKDLQGRITGKKKNATKKTRKGVN DECAEMERQLRDKQAAEIAALNPSESKNDDDNEDDAAPEVEDKSEGKLAEEAQKLSLS EPVEQQQQPGKKRNRQKERMARRAAEQEAEAQRAEEEASNMTNHRAKESEYMKTTFEK HGLVEQDIAPDGHCLFSAVADQLGQNDIPLSDGTTQEPAYKTVRRVASNYMLEHRDDF APFLEEDLEGYARKMKDTAEWGGQLELMALARQYKAEIRVVQDGRMERIGEEEGAESG KTLWLAYYRHGYGLGEHYNSLRKAS FPSE_12065 MGVWETDDEYLSGPAATSLPTPLDTPYRTPSRTSKKSRRSVTPP GPDSSPPQLPNDMGSKRSSRNVTTDETISILDPRRFTPTLHANLVSEILNLRRDQEEK TRQIETLEATLHAAKEEKDTLKQNLTETGKESRSLKRQLSLLEGGSSSALGELARERD DAVESVADTRKRLETAQKKIRIQEEDSVRVHDLWAQEKEGWENERRKFEHRLHVAESR LQIVLDEFAAYQQAQSKNAHGGTHQGATHGHDSDAEESGRENDVTSIRTMSMTNSVRF SMASGMGVLRMNGNTLADELNFDDEDEQSDFDNGRESAMSNYAPSFHTRNLSRDSPVK RFHARNQSLESIKRTGSVTRGRLFMNDPVPEALEDEENETLIVTAPKVSYTDTGIQYS PPPSPKLSPVKPATPERLTRTKPSEVECSPRDGEIEANQRRKRVHRPLSFEPPASRQV MVSAAVQTSEEPLAPPMTPKSPTRPPPPPPVQSPVVEKPLMVASFTQTDSPPAPPSPA APGRLPSPPPLAIPSISVHPPSSRPSTPREPRLPVLHKDFGCQVKLSESTSTAEAAVQ TEGIQTDKRMALLPPHLQPSAISSRPSSPNPSSGIDATKNFTPIPGNVPPRNPRRLDR QSFSDQPSSPISLPEDDSFHDVYPGGNDDGPLSGQRAPMRRPPRFSSLFAGFDGGSSD EADEFGDADLSDLEYRTALSAPRPKSISSRFGKRSSTGTTTTIGTIPTSPEQIMVRQG PRVTTELHQPFTVKSGKSLEKSPASMPPNRASVMRKAAMIQSGIASHQGRPRSPSLTD KTPPPFPIPTRASSRNVPTSTSAPSDGQRSPTRGDFFQRRGSGRIYRAGSVRKVRSAA AMPRHQRHRKQDSRSTAPLSPSTEAPESPGLPPLPKNDITTPRSRARHSDQYRHQHKL STNTDNTFNTYNTGNTGNTGNTLNTSSTDPASTQSAAQSTSVVDAIAQTMVGEWMFKY VRRRKSFGMPESNGKDDTGNDRHKRWVWLAPYERAILWSSKQPASGSALLGKAGRKLT IQSVLDVKDDNPPPKGVTSVFNRSILILTPQRALKFTADSAERHYIWLTALSFLAHSS QAVPENIATPPPAVQNTPMPEFEAPQPKLKRGGIRDSIRLAKGRTVGRAAPPSVPSIP SIPSMPSSQVGDMANFPTIEPPGGFTSRDDSIDAAQPPLIPRFHDRNQAATHGRKRSN TGGHVPPPLSFRGFSGPITGHQSANSTTDHSIGTAGSSDIYQSHASSNGAWAMSQTGS QRTSETSSHPGNFFDAIGTVRMEAFISPLAFSQINSHPNDQDQFRHSLRRRSKEQRRR RSRSRHRDSYNSRSTRTTNDDYYHGSRTAGEEDFFRDDPFRGF FPSE_12066 MAGKDRHKSEKLDGEKGKIRNDEGMLKNLEGSRALQIPTDEGRL SSENNPARAWDNDMGPRKL FPSE_12067 MSPEAISPLAKVKGLTFDVFGTTVNWRSTVTEELTLRAFRKTTS DLDPDFKARIESLTEEDWGRFAQQWRRSYGKFTHGFDPEKHTWKTIDEHHYDSLLELL VAWDLTELYSPSEVESLSLVWHRLTPWDDSSEGLGKLGKKYKTSTLSNGNVSLLRDLN DFGNLGFQYLLSAEKFGAYKPNPRVYTGAARELGLEPNEVAMVAAHLSDLAAARACGM RTVYIERPQEEDWGKDDDRYQQAKGWVDLWITADDEGFLTLAQKLEELA FPSE_12069 MAGRMVLYKLVVLGDGGVGKTALTIQLCLQHFVETYDPTIEDSY RKQVVIDGQPCMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSISSRSSFTRIKRFHH QIQRVKESCASSPSYPGSPISPANPQLPVPIMLVGNKSDRVTEREVSTQEGHALAREL GCEFVEASAKNCINVEKAFYDVVRILRRQRQQASRPSERSSGRTRTGNGDARGGDRDE RHRNRNKDRNKSKCVVL FPSE_12070 MKERAGYLIARDLDELSHAFQLVPRSKSCVKCDDATQLECPKCA DNEVCQFTVPLDCTMCATSICEKDSSSSSDSGSKGPNVGAIVGGVLGGIAVIAIVTYV VWRFCIKPKRSHIPASIYVEDTDAIHEKDAGPRGIRPPSTHTVHSIASTVLTRASNII QIAYIPGVTNRATPTSPNVLVPPVPPIPMHHHAEANRSLGNDDQHFFVPGDLRDSTYS GLSGYSDRTSYARTSYAPRSSVASTIYGKQAQVLTPAQTGMRAKPTMVSVKSIGTNGN ELMAPPVPSIDFGKFGNSRPKSTASAFSVGSTFLNSANTATQARAQVVKVGTLKKVDM GSKADSDTMSSSSTMAPTPPRTPSGNVTRDSSITVIEESPSADQGPFSDPPDRSPLRA NKTSLGAVMEDPVNENEKGPSLIRRDSCPFGDKHATKE FPSE_02608 MAYNGRDQEYGGHALQDLPAGSSQYHLPPQENDEEQGRGLLNSG YEQDRLGARTPPDRPVSAYSLTESYAPGASSAMPGQGPTGYGDTGGSFGQFGNLDANA PFPRPDSAFDPEDSWVERQQQPQMGGGGGLGRSKTRKIKLVQGSVLSIDYPVPSAIKN AVQPQYRDAESGTEEFHKMRYTAATCDPNDFTLKNGYDLRPRMYNRHTELLIAITYYN EDKVLLARTLHHTMQNIRDIVNLKKSTFWNKGGPAWQKIVVCLVFDGIDKADKNTLDV LATVGVYQDGVIKKDVDGKETVAHIFEYTSQLSVTPNQQLIRPTNEGSQNLPPVQMIF CLKQKNTKKINSHRWLFNAFGRILNPEVCILLDAGTKPSPRSLLALWEGFYNDKDLGG ACGEIHAMLGKGGKKLFNPLVAVQNFEYKISNILDKPLESSFGYVSVLPGAFSAYRFR AIMGRPLEQYFHGDHTLSKMLGKKGIDGMNIFKKNMFLAEDRILCFELVAKAGQKWHL SYIKAAKGETDVPEGAAEFISQRRRWLNGSFAATLYSLMHFGRMYKSGHNIIRMFFLH IQLIYTTLNTMFAWFSLGSYWLTTSVIMDLVGKPNATSGVHAWPFGDTGTPIVNALLQ YLYLAFVMLQFILALGNRPKGSKFTYIASFMVFGLIQGYILVLSAYLVVRAFDTPIGD QISFASTDAFLNSFFGGSSAGGVILVALITIYGLNFIASFMYLDPWHMFHSFPYYLVL MSTYINILMVYAFNNWHDVSWGTKGSDKAEALPSAHVTKGEKNEVVVEEVEKEQEDID SQFEQTVRRALAPFKEEEEVEKADVEDGYKSFRTGLVVCWLFGNILLIVCITSTNFDN LGWGEPATERKAHYFQFLLYATAVLSLVRFFGFLWFLGRTGIMCCFSRN FPSE_02609 MLKIWSMKKEQKDAENAEGQASGGKKKKVTAAQLRVQKGMAKCL ADTKTEKQLTQAIADLSELSLGSTMKTEFPDPDDILNFVLTIDPDEGMYRSGRFTFDF TINQNFPHEPPKVRCREKIYHPNIDLEGKVCLNILREDWKPVLNLNAVIVGLQFLFLE PNASDPLNKEAAEDLRNNREGFKRNVRTAMGGGVVKGTNYDRVLK FPSE_02610 MASRNLSKALRGPARQLASAPRVQQRTFVSAARAAVRAGAVARP VAAGPIQQQIRGVKTMDFAGHKEDVYERADWPQEKLLEYFKNDTLALIGYGSQGHGQG LNLRDNGLNVIVGVRKNGKSWKDAEQDGWVAGKNLFDVDEAIGRGTIVMNLLSDAAQS ETWPAIKPQLVKGKTLYFSHGFSPVFKDLTKVEVPTDIDVILCAPKGSGRTVRSLFRE GRGINSSFAVYQDVTGKAEEKAVALGVAIGSGYLYKTTFEKEVYSDLYGERGCLMGGI HGMFLAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMYEACSTTARRGAI DWSPKFKDALKPVFNSLYDAVKDGSETKRSLEYNSQPDYREKYEAEMKEIRELEIWRA GKAVRSLRPENQK FPSE_02611 MADISKTPPLTRDSVIEAHKLIGQYVHYTPVLTNRTITELASTP RTAEELEGTRYAGRTPARPMLRLWFKCENMQRIGAFKARGAFHAVERLKQEPGWLEGG GKEKGVVTHSSGNHAQALALAARESGIKAHIVMPEISNPKKIAGTKGYGARVIFSGST STEREAMAEKVITETGARLIPPYDHPDIMLGQGTMGLEFQQQVAELMTTDAVVDGQRK LFRAPSTGRDNEAPRTKKEKPGLDAIMTPLGGGGMLSGVALSCEGTGIRVFGSEPSFE GADDGKRGYESGSRITAVKTLTVADGLRTPVGAHPWSVIYERRLVSGIYSVTEDEILS AMKLVFERFKLVVEPSACVPLAVALYDEDFRSMVEKEAGEEGWDLGLVFSGGNVGLDA VGKLFA FPSE_02612 MKSKSEQEKRREDKKEVDNGDEKISLGPDEESQRPPTSGSTSSK SEHHVQQDTIEPVMLGEPLGHIDTRPSVKRAQSRASSARSKARSAVPRSQRRGLLARF TLVPEIEYPPDYKNSTKWCLTAIVALATAAAPLGSTVVYPALPILVDEFNTTETITNL SVALYMISMAIFPLWWSSFSEEFGRRTIYLISFSLFVIFSILSAISKNITMLIIFRMC AGGASASAHSTGAGSIADMFEVFERGRAMSIFYLGPLLGPMIAPVVGGALTQEIGWQA TMWFLAIYGLVVLLMILFFLPETLQRKPEAVLPTTDTQELSRMRTMDSAKIKTRNFAK SARRFLIDPLGVLLYLRFPPVLITVLLAAIAFGSLYVVNIAIQQKFSREPYNFGQLSI GLMYIPGGLGYIVGSLSGGRWIDKIMAREARKAGRYGEDGKLIYLPEDRMRENAWVMT TMYPLALLMFGWVLRYGLHPAVPCVALVLFGISSMLVFSVATTMLTELIRKRSSSGVA VNNFVRNTLSCTGAIVAAPWINAIGTGWVFTILCICCLIISYICIFLLRKNATKWRKA MDKALGQ FPSE_02613 MSLTNASPEAAANAAKTASFTLATLPASERNNALEAIHAALTAS KDDILAANAKDLELAKKAAAEGGLSQALVSRLDLGKKGKWEDMLKGILDVRDLNDPVG QIQMRTKLDDDLMMERVSCPIGVLLIIFEARPEVIANIASLAIKSGNAAILKGGKEST ESFIAISKVISSALETTKVPNSAIQLVTTRDAIAELLAQDRYIDLVIPRGSNELVRYI KESTKIPVLGHADGLCHIYLTASADKEKAITAIVDSKTSYPAACNAVETLLVQESALN SVFPGVASALAAKGVSLRVDEASKAAIASLSLEGVQDATPQDYDTEHLSLTIGVKTVS SIDEAITHINTHGSHHTDAIMTSDSVEAERFMNEVDSAGVYWNAATRLADGMRYGFGT EVGISTNKIHSRGPVGLDGLTIYKYKIRGDYQATADYGDGEGKKPWKHEKLTL FPSE_02614 MSAAEALSQGALDVIFNDPDKATKLFPVPVLQCLQVKQMAPSAQ GGDRFRLVMSDGQHYVQTMLATQANHVVHDNKLVRGCFARIKQYTPNNLKGKNILVIL DIEVIESLGIQEKIGEPSAFDAKPAGQESAIAGGDFYGAKKEESKTQPQQFQEQQQSM PSRPAMHAGSNIYPIEGLSPFAHKWTIKARVTAKSDIKTWHKATGEGKLFSVNLLDES GEIKATGFNDQCDALYDQLQEGSVYYISTPCRVQLAKKQFSNLPNDYELTFERDTVVE KAEDQTNVPQVRFNFCSIQELQSVEKDNTVDVIGVLKEVGEIGDITSKKDGRPFQKRE LTLVDDTGFSVRVTIWGKNANSFDAAPESVVAFKGTKVSDFGGKSLSLLSSGTMTVDP DIPDAHRLKGWYDSAGRTDTFATHQNMASVGSATGRKEDLKTIAQVKDENLGMDDQAY YTIKATIVFVKQENFCYAACLSQGCNKKVTQMPDGTWQCEKCNLSHEKPEYRYILSLN VADHTSHQWLTGFDDFGRQVMGRTADEMMELKENDDTKLTAAFEEANCKKFTFRCRAK MDNFGEAQRIRYQVMSVTPLDFKSEGTKLDELIKQYENTSM FPSE_02615 MADAENATRAPESDAQETLAASDNIQAPAPAPAPAVVGEHCVSD RPTPSGQSSTGEIIKLNDVDVYISKPTDYPHAPSRLLLLLTGGTGIKSINNQIQADRF ASEGYLVLMPDLFAGDTAPGSTAIADDSTSILEQFKLKAVEVTKSFLIDMWLARVTGE KVMPILHKVIDAAKEQYADAIKQGDGIYAAGYCVGARFVLLLAKKTNATEGDAESGGV KNGPYIKAGALAHAASVVPDDFKGIGAPISLVCVENDPLFPEEVRIGGEDSLSDANVE HEVQVYPGVPHGFAVVGEYQDQSIKDAQATAYDQMLNWLKAH FPSE_02616 MTDYIKAVDIRGGTGERDALFINDQTPKPSAAEGQAIVKIKAFG INRMDIIQRRGFYPLPPQAPKTLGVEFSGIIESFGPGDHGAFKEGDEVFGLAYGGAYA EYIAASTRMLLHKPSSIDFTTCAGVPEAWITATQALHLVLGFTKGKSILWHAGASGVS VAGIQLSRVAGASAVYATAGSQDKLDFITSKLGATAAFNYKTQDWAEEIKKATGGKGV DYIVDFVGGNYFQKNLDVAARDGRMVLLGTLSGAKVPDADISQILFKRLRIEGSTLRS RDEEYQGELRDRLEKYIPEFESGNLKIFVDEVFPWEQIQEAHKHMEDAKNLGKIICTI P FPSE_02617 MKFAAALAFAAGVAAHAKNVTYTTEVVTAYTTYCPGPTEIVHGD KTYTITEATTLTITDCPCTVTKPVIMTSAVVCHDCPTGEKPGKPNHPGMGHNSTMVPV YPTGKPTHGGEHPVGPGATPTAGSPSEVPTAGAGKVAALSGAGLAAVVGLAAFL FPSE_02618 MPDNIEDYHIQDEVLRHSLEDPEQFWRHQAEQLHWHKPFSSTIQ LTQKTLRSGITHDSWEWFPDGEISTCYNCVDRHVLDGHGDSVAIYFDSPVANTKEKYT YRQLLHEVETLAGALREQGINKGDVVMLYMPTIPAAVIGILAANRLGAIHSIVFGGFA PFALAQRIDSCHPVAILTASCGIDGNKPPIAYKPLVEEAIRLATHKPKDIIVWQRDQL RWDINTSSGQLDWKALTEKAQSQGIKADCVPVKSTDPVYIIHTSGTTGTPKGVLRDAG GHAVGLHLSISYLFHITGPGCVSFTASDIGWIVGHSYIIYGPLLTGAATVLYEGKPVG TPDASAFWRIVDEYKVNTMFTAPTALRAIRRVDPYSQMFIDYGKRGALRSLRGLFLAG ERSEPTLIESYQKLMTQYCAENAQVVDNWWSTEVGSPITGRALVPHAGKDRRTEVRGH QPPCLRAGSAGKAMPGFDVRIVDDEGVEVERESMGNIVLAMPLAPTGFRTLWQDEERF WKGYLKRFDGKWLDTGDSGWIDRQGYIHVMARSDDVLNVSAHRLSSGSIEQAIATHPR VVEVCIIGIPDSLKGQLPFAFVTLSGQVASDPAVPNQKVVKEIQQLVRGQVGAIASLG GIIQGKCMIPKTRSGKMLRRVLKEMAENAIRGEFEKEVMVPSTIEDLATLDVARAKIS EYFGQAEARDAEDAMVKARL FPSE_02619 MTSPIKKAAQPQHAASFDPWNSSSTGHQRAESRPGTGWRESRNR KLNSQFRSGSSGGERLSDTYGVGSEDYDEERKALIPKAAKERAQRSVRDMLAQPGKMR ESLGLKDEIQGGGEEALMESRRQEDEAREAALSKKGIFDGAIIYVNGSTFPLISDLKL KQVVTEHEAKCHCISDVGESRTLFSGSQPEDM FPSE_02620 MLLSVIVAAQAYRTPLCDVADYDISVCVRRRVLQPYSEKDSTNV STRFASPLVGLYSSQAGDNKMSEERDGPFTGDMFEAEVTRNDVPL FPSE_02622 MDGTPSSVPPRVEAPHLLAPLQTHSQLVALTPQFKSQQSQSQSQ SQSQYPSLINPDSYSSSSPYRLDCGAGIGQGIEDGEDYDQEESNCVTNPEPQPEHQHQ YLPQLLDLVADNLEQALIVPRIHQHPQSSNCNSPESFHMMNQSHHHQQSEMYYPQYPT QQYNPYPYPTNGAHAPAVSTPMPGPQNVLPVPSALSNQGAMPQAAYSNNAPAGNFDTT GQHNPPGMKPRVTATLWEDEGSLCFQVEARGICVARREDNHMINGTKLLNVAGMTRGR RDGILKSEKVRHVVKIGPMHLKGVWIPYDRALDFANKEKITELLYPLFVHNIGALLYH PSNSNRTSQVMAAAERKKNEAIVGNRSLPSLVQSHHQMMPAMPTGYTSQQPLTNGHQS MANTPQPLTNGSQPPMNGSQTPMNGPQPPMQNGGGMLKRVREDDDDLHRSGPNGHDPM NNIHGIPNGYPHQSPLGSVHQPPMQNGNDGGLKRGREEHDDMHRAGPNGHDPMNSMPG GMPSLPNYAPPPLQNLHQPLSNGDSGMLKRGRDDDDDVHRSSPNGHDANGNFELKRRK TETSTSNDMLPQSPYYTLSNGAYQGPMMNSMNHYKRRDDEAETPRPGPNMNDLNNFDL KQRHKTMESSVPAPQYDAMNRPHSSIGNSPAYASAPTGYDHLTRPASTVAVSPSYPAG PGYELARPGTNVPRRQQSFG FPSE_02623 MNSPLSSVQDSNLNIQSSATSEQYDNFDVQAVSVHTDTQSEPHR GSSPFVSAINDSALSDQENRSPSKSRHSRILSGATLSPLRILTDQQEGRDGRPTDRTH GPRSPRKVSPEKRFPVKISNSLDSPRTSRKNTMSLEDAVQQNEGLKQAIDIFEDEQSV LEDGRDAMDVSAGTINMNPEGEMEVDESLFPDESMVSTFSTFSAVPNLTMFAKLGQSP TKLSDMGGLTPRAKTKADPSPSRAPQARAGHDSGNTTSLLDFTEQLRFPQKTPSRGAL SPSRTAPNVAATPSRGFSNLIDFDIPPMPTPRSIPSITARELESLKSNFLSEISSLKA SLSGKEAEVQSLKAAVGDAEKRVGESQEQLREEQTIKEQLTAEKDGWENRGREMESVL RKVREEIVESQREQEELEQKLEESEKRREAAEMLHQEAESKIAGMRAGKDTEKSSPEK PKNTPDVNHEVEIAVERVARELHALYKSKHESKVTALKKSYETRWEKRVQGLQNKMEE LTEENERLRASQEDVNMTKIDSAEAEERKAQAVRDSAAIKELNADIQRLEAVVHTVQL DNESLRSMLERERVEKGELVQLAEEMMSMQSFVAQTPKREPQQQLQRPQSVSRQPYEK QHEREPEAKTPKRSADHFRSSVSRVSGLRAPGSALRAPHERNKSAGGLPRPGGARSGI MSSIEKMGNHRGRAGE FPSE_02624 MSDDQLILPIIDSHIHLYPASEVDSLSWYTPENPLAGQHSLEEY REATSSAPSLLGFVFVETDRKNDVEAGAADGSGWEGPLAEVSWLKRIALGQPREGEGH TAEDAKLCLGIVPWAPVVSGPEVLERYLDRVKEEAGDAWPKIKGFRYLLQDKPHGTML DDKFIKGVKLLGRRGFTFDVGVDQHRRGKKQLEEVVAFVERVHDGVPEEEKVTLVLNH LCKPDFSVINVTSDPGFHAWRTAVYALSKDSHVYMKLSGGFSEMPDSLRKQDPNHIFE ATLGWLGITLATFGASRIMFGSDWPVCTADTDNAWPRWRSIVERMCWMATLGDEERAM IFGGTAKKAYNL FPSE_02625 MSAIQLSFSLRVSAGVKTVHLLGSWDGYVGQLPLSKDKSSSKSG SWKGTFRFQNSTLEAGQRYWYYYIIDGYHVAHNPSVTSTVEPTTGRELNVLDVPTDSH KSSSRSSSSKSSSKSSSSSSKSSSKSSSSSKSSSSKSSSSSKSSSHSSKDKESRSSRS SRHSSKLSVDIPKGRPLSISQIQAPKPMSPHATKHILDASYYDNGELDELADRLGSAN IEDEFITDFSTSPVSSSGSSLSYRSDSSSPNSSLSGYSTPGSDVSSCTCERYGITRKG ERVKLDCGGSRCGFDDDSDSCSSGSEDEYEYEAVSPASSRRHGVVA FPSE_02626 MAANNFSVDDALVGKVTAYVEKYMSNYDASHDFNHIKRVLRLSH QIQSHTPSTCRSLVTLAALLHDVGDKKYLRPGEDPSRMVASVLVSFGAPQDLADTVQA ICLGVSYSSEVKDPAHTRALVETYPELAVVQDADRLDAIGAVGIARTFAFGGAKSRTL ENTMEHFDDKLLLLEGMMKTDEGKRMAKERTERLRLMKQWWQEETAE FPSE_02627 MDTLPTEIIIQILDNLPSPAVKQARLTSRTFNSILAKRTFEVLV SFLDPEVAQNTLVTIAQDPVRRRRRPSIWSPRCSVPRNLPVNDSFLMALWVGLRGDSW VANVKGDKLDVSSWQDGVGKDMSEDELRGVLFRYALYLSYMSECEKEQDIPQAWVLNL MCGKTAY FPSE_02628 MKTSTIILAAAAAVANAHYDDTHVGFTTVYKNGTVTEPTQYTTS TVYSTKTYTVTQCPPTVVNCPVGHVTTETIAVSTTICPVTEVHNPTEPAHHNPTEPSK PHEPTHPGQDVITKTQTYAYPHPTNHGESVTKTITYTVPVDHANNGTAVYPPHTYHPV PSGGAHPTGVAPPPPHSGSEGGKTPQGEQPSNDDGTDSGSNGGSSSGSGSGSGSGSDS SEDHEPSTSDVPEPVTAGAGMNTVTGLLAIVGFAAAYLI FPSE_02629 MYGKRKVGLRSALQDLQQKDSDSVYEHAMAGEKRDVRAGYASDA AD FPSE_02630 MAPSADYPSKAPFEASPTGQLQFKRHKTLPRPRSERASELPIRV ASPLTRHDLVVDTSRSSNGKQPSSPRTLKHQSRRISSGPDLPPTPPRHSRQPSDNSSG KTSSPAATDVSLRTPQPSHLRSPSTPPNQKSPPTPDVTPPHHSTSRPKLLRPVASDRA GSNTTIGESQSGSFTTAREDPLSSEDEGKSANTKNSRQNARHVSDTSTRVPRPDALAS ALALANFAPRSEGSSVSRSLGGDWGHNDSDWGSVSEVEQEWDHNLQRMVTVKKRPEPL DVMRSSPARRAKLIEPNKTTSTQAAKAVRGMPLHQRTEALSTRGPSIRDIPLSAASST VGSSDPRRMSVASNKSTVSTVVEAYLLDTTPKRQRTLRHVRKQTLLREPTNASSVSTT DSLRSDRSQNDARMRPRPSAPKSESQSSNVTVNSIASSRARREIWKAGAIPVVVVPDR LSSHKTKSREPSLRSRSSRRSSQTTTATSVSSTVNDSSSRRSEPPIVRRPVRGRSYSL SESSDERTMDYPPVIPARSSSLSAPTSRNGSRASSLTAESMKLHNALQEYLNKKKDAQ EVPDIRFSEAARATQTLSSPSQSRRLSSSEHHDDFLNPKGYGSQNTPFSMASIDTNGT NPVVSEAQAVQMYPHQNSSLLMVDHSTKPSESQTEAEEDLDVPLSTDSSEDIPTTPPQ PNLSLADVDSPLRNPRVPPEPPSHPPFINFIPATPSGTTPAHEKMAHVGNYFEAMNEK PPRRPSVVRRAFNRTRRHSVDYTPTSRRPSSFLSRTLSLSRTGRIKGMPTMEQEPEYP SPDDSPVEEDKLHPFWRPQWSSDDLYECDGDCNDDCDIHWPVEPAEETYRYPPVDNRP KGPQRSFSSRMKRTFAVLPSREDSHYTSYDWPTTERRTIGRTPSGNLRVMRHRSSFDS LRRSYTDEERPHSADGEVKRSFWRANTLHRRASKEKRRLSLGSKLEELQNLPRRFSEK RREKRSQELRKKISGPKEVRDGVGEVIRSSTARDHYQSLESMASH FPSE_02631 MPKFFCDYCDVYLTHDSMSVRKAHNSGRNHLRNVVDYYEQIGHE KAQSVIDSITSSYAAEGQAHANPMLPQNQPGHGFPPPPFGFPGGVPPPFPGMPGAPPG QFPPPPGGGRGMPPMPPFPGANGMGIPPNGFPFPPPPGGFPFPPPGAPGAPGAPGAAF PGMPGMPPPGQGFPPGGIPPPGFAPPGAGSPGHEKR FPSE_02632 MALQKSLLQYICSFLLLVSSVSALKFDLIAGSKERCVRNFVGKD TLVVVTATVDGYKGDGMVVNLYIRDAVGNEYGRPRDVVGESRTVFTSHADAAFDVCFE NVPSGSQRLNSPTRHIELDIDIGADAKDWSAIQATEKLKPVEAELRRVEEITSELVTE MEYLRSREQKLRDTNESTNNRVKWFGIATTWLLVGLWAWQIMYLRAYFRSKHLI FPSE_02633 MADLNPPATAVQDQDINPWSVEGAQGENGEVAAIDYDAICSKWK TSKIDHALLERFEQVTGKKPHRWLRRGLFFSHRDFDKILTKYEHGEPFFLYTGRGPST GSLHLGHTIPLEFTKWLQDVFDVPLVFMLTDDEKALFKDNLTFEETLKYAMENARDII ALGFDQKKTFLYSDLQYLSGHFLMNAWEFSKLVTFNQVRGAFGFNESSNIGKIFFPSV QCVAAFATSYPEIWSDEPATTRTKALGKIQCLIPMGIDQDPYFRLVRDNAHRMKNPSP KPALIHSKFLTALQGAGGKMSSSNPNSAIFMTDTAKQIKNKINKFAFSGGRETLEEHR EKGGNPDVDVAYIYLTYFEDDDEKLQKVYDDYKSGSLLTGELKKMAIESLQPVVQSFQ ERRAAVTDEVLESFMKPRKLQWGGNPNPKPKEDKKKEKAEKKPEEKKTELPDRTVEKS A FPSE_02634 MASFVSRSLRPAVVRRFVSIPVQPLSTTVSLRSDNVSSQEFEVG ELQGAKFRVEPLRRVGEDDATKRARLVYQSRKRGTLESDLLLSTFASAHLASLSPELL DQYDRILDENDWDIYYWATQKEELSSTNPSSADSPSTSNIDAKPSADQVTRQPPSGEW AQTVGNFKPAYRPVPSRWKDSEILEKLRAHVRSRSVDGGEGGGMGFMPPLGPSDVKN FPSE_02635 MTTLVTTRRPLQVISMGNERERRLSKRLAGKWIDDEGEGFLKGR RADEENPAAVTDFEHDDDFAFVRKSKRIKTNKGPELDLEPQPEPVKKPSKGRPVTKER VAQSFKTNGTILEEEPTEKEPSATAKSTTRKSSRQKASADASDEPPTKTTKKQPTKRS TRRSEKLDDDTPQPAPISEPEPEPALVPAPDPQQETAPPPPITKASRANGASKKRGNR VKSTKPPPDWDKSPQREAPVQSATIALPMSDTPIINRNKEMRKKGGNSNRRSSLGNRG RRASSLIESGSTAIPHREVNPADFYKHIEAEGLTEPRRMKQLLTWCGERALAGKPRHG TPNSNAILGARAIQDQLLKDFAARSEFSDWFSREDDKPKAPVVSKPNPRNVELDEKMA QLEINIKRLQEEKKSWQAIRKPLPEQPPLFAPEETGPIVLPDFDLLDPEEGKIRGFLA DEKVSFEAVRSQTESRLRTIQSTLEFQVDQLADNVHKLEQRVLIAGKEADKVLSVSAL RLRQREEREKASAGTRDMPVIEVLRSLGNILPEGGG FPSE_02636 MGTTTEASAGGESKSPKQSNSSPRPDTTTDARAADPPIKIEAAN AEQAKPLAPPPRPGQQPGNTPDYFAVQAGGSLSLEPNPFEQSFGGAPETPGGTKLPSV AALTSPSSLLPGSNATPFNWGGGSLRTGPLSPAMLSGPANDYFGETHHLRGGFPTPNE SSLRTGLTPGGSGSMFPAPSPNSQALFAQLASGGATPSTLDFHRTAISAAAKRDQNGA VPRSQAQPASQPQQPQQPSVTSQPQDMPNGASNTKSEAKPTSGPFDPHDNDAANGLFM LAQGRNGAQNGNQFAVTSGASGHAHPAPAPQNMNTSPQMSSINGGSVGSGRGMSEGSM MSDESEQARPNTRGRGKKNPPPTNGRRKADEPPSKTPVHKKSKTNSMDMDMDMMSDDE SKMKYEDGSGKSKMTDEEKRKNFLERNRVAALKCRQRKKQWLANLQTKVEMFSTENDA LTAQITQLREEVVNLKTLLLAHKDCPVTQQQGIHGAFMSQVVEPYNPQMNPYGMAAPM SNQQVMAGQGVQRRFS FPSE_02637 MADWGNDHGGSASGYGDDGHNNHDSTNDAGFGNNGFDGAEDLGD GQPGGDDKCFGCGEIGHRRAECPNPQEMACRYCKKEGHMRKDCPEAPPMVCENCGEEG HFRKHCEKPRKINRDHIADVHPEVAWEKIKQAVADRDVDDAKEAVNEYVKGIEGEITY RQLQEALIDHKIGLWLIPTERTLIQVFTNMDLQGNIDKKYTVSYRFVEKADRPREIEG WPKTREELLERLDDAGEIVDRGLPLCNNCKELGHVSKFCTQEKMERTDGPKISCYNCG ADGHRVRDCPEPRIDKNACKNCGKSGHKVADCEEPPNPANVECRKCSEVGHFAKDCPQ GGGRACRNCGQEGHMAKECDQPRDMSTVTCRNCEQQGHYSKECPLPRDWSKVQCSNCQ EYGHTKVRCKAPPAEEPADDGWGADDSGAAAAVTVGDGDDGGW FPSE_02638 MAPATITIDNSDDFVMPVTKSAQTTSPPKRTLLLAPPSLAANSD ALSTVLADYDRSVTDLQMLDRLSVGLVTLPSSTYDLVLILSDASSKLDESLTLMNRTV LGPVAESLKPSGRLQSQNGNSLEEASLSKEAVLAGLVSSHGGFEKPDYGDNDGAVTLK FGKKKAQPAPSADGSVPLNLKRKPAEATPKPVVPAGVGFIDLEDDLDDDDLIDEDTLM TEEDLARPIDIPAECLPKAGKRRRACKDCTCGLAERLAAEDADKRATADKQLESIKLA TDDLAEIDFTVQGKVGSCGNCSLGDAFRCDGCPYIGLPPFKPGEEVRLLNNDVQL FPSE_02639 MALMLMIPSPRRWVTLLSLSLAPTLVAAVNPATSTKAAAADYYV RDLPGLPADGPKVNMHAGHIEVTPESHGNLFFWHFENQHIADKQRTVIWINGGPGCSS EDGSMMEIGPYRLKDQDNLVYNNGSWNEFANLLFVDNPVGTGFSSVDTNSYIHELKEM ADQFVIFLEKWFALFPQYDRDDIYIAGESYAGQHIPYIARAILDRNKKDSKTAWNLKG LLIGNGWISPAEQYPAYITFGIEKGIIEKDSDNHKKLQADLRSCEKMMASDVGHVDYG ECEAILSNMLKLTKKGDGDDACINMYDVRLKDSYPSCGMNWPPDLVHLTPYLRKPEVT SALHVDAIKKSVGWTECNGAVGGAFNAKNSKPSVELLPNLLKEVPVMLFSGAEDLICN HVGTENMINKMEWNGGKGFEVTPGNWAPRRDWDFEGETAGFWQEARNLTYVLVYNSSH MVPFDLPRRSRDMLDRFMGVDISSVGGDPADSRIDGEKGPKTTVGSAKNSTQNAAEDE HQKQLDEAKWAAYYKSGEIVLVIVVIGAVAWGYWIWRERRKGAAYSVLADHDLSRRST GHRAKSQPGDLETAAFDESELDDLHVTTPGTSTSARFPKNQDGSEKFVTKYAE FPSE_02640 MAAPGKTFIVEHLDPELGPWSELEYLAIARETQDTRGSFILSSL PPTFKVPADLASNPAFTAEQRGVEELYAANKSKVCLLDPSATKDLSPEDGETFDAFLF GGILGDDPPRDRTSELRKKGFEGRRLGPKQMTTDTAVRVTRIVVQDKVPVDQVPYLDF PELKFNEHESTEMPFRYVKGEDGKPIMPKGMVELIQKDSDKAVNDLF FPSE_02641 MFSYLTKSRLLLGRKIPQWQRCYSQNTPRRVSDPLRILFCGSDD FSCASLKAVHEEHGKNKGLIESLEVMALPPKRSGRGFSSLKEVPCKLLAEELGLRIHQ QGTFRGWDLPEGTNLVIAVSFGLFVPPRILGSAKYGGLNVHPSLLPDLRGPAPIHHAI LRGDSHVGVSLQTLDDKSFDHGTVLSQTPRPGIPVPPDCTVQELTNLLAPVGAQMLVQ GLRDGVYVPPHQNKGWRGEELDQGQLVHAPKISKADGHIRWSSWKADDIVRARRVLGS VWTEAVNRKGETKRLIFQDAETASTSDVETDGTTVRFLGHGSDSFSALVSDQGDGSCA IKTSDEKIIRVKKVKVEGKPERPADVALKPYIEA FPSE_02642 MATPTAVKHASQQGRTPSQLAAATPPVSTPFSNPAHVAFSPRGP RSSPQQFKKSPATSSLMAHMSNVPLNFDSPSTAAAMGALGITGGLDVNLNNVDVGNLS GLPSLVNEDDKLKRLETIIATLDKKKGLVSEAGLERLAQRIGLDCLSEDTTAPHGRKQ RTLVIAGSAIQLDIILDNNVVQNISLAFPESAASVTKHVSRASEILLKDLQLLPNQSP LTKTLDEFAVNLERLAVLDKLSIIPGLDCHEAITGIYASLERLHQWDVAKLREEPGMG SKSNGALSTAAMCTRHGYPVMHSKDRVGLALQYWKVLRHIEPTSEQMASFTASQEQVW SLLIGCAPIVDEVMPVRVSEDWISKDIVKAEPSMDPKRPNLDWQQPNNVVLPSTEENK NAGMEVLQPDLSTARVPQVMFTATFDPPIIMSHNDWSHLYNIVNIKAPQLYGYLPTFD SLLFPIPPGSNQDPSELRAISRKRDVRIYDKDQKPAVKPHRNTLYIYKQVYSHRVTEI PFSHPQQLIYMLPLLRQYAFLATLLENSFGSETKEAEPLPKPGDASVHPPKSNVTTRD ELADFMKSASVSDDTASSELKFDVTLWVHPMPHLQVVFPFKNSIANIILKILEDGVVE VAEENVLPRVEEDKRQGKELTRADLGKVLEHMEDLCKWAEWIRTRLA FPSE_02643 MASSGNLSRTVARAAVQVSESSIRTSATRSSRHELQERSLYLWV WPAPVNSTERRSILTALQQNGPVEYFKWLPGQGSSKVLGSIFISLMKESQDAAKAIAS SPISITVPKFSTETTSIVKIDGRKAEFQKQDSSKVGSSEFVVEISETLTYRHEQSAKN SPLTRPWPEFVVKSPTLASKTLQHSLPDSIAAVGLRHWDIDLGVQEVADNKRFEREQL RNWLPSKIKDLPARAKAEHGQRGTTPMDGSAGASTQTFPGTFIGSLIENTTEGSTEVS AKETTETSTETSAEIPTASSTQEDSTEAATEGLTQSVAEKSPEDLKAGNQ FPSE_02644 MGFGRFIHYVGAFFLLAATIMLVVVSITAPVVDHIALLKVRSGG NGVNFGTFGYCVMRSAGSDRCSSAHIGYDPTNALQGLDLSEISAGTAKAMTYVMVLHP IGAGLCFISFLLALGAGIFGSLMSTLVSIAAFLVTIIALACDFAGFSIIRRRINRDTS ATASWSVGIWLVLAAAILCLIGTIAVFITCCSGRRRKNREQKKMAAYNTSPTRY FPSE_02645 MKDNCVPLYTSHQLGKTVSNYAEQHSTALPKYLTDYHADISANR SDSNYMSSLFQSQYHTFLAKSTGAKRVLEIGVYVGFSALVWADAVGPDGLVTGLEFEP EYAELSKKVFKANNVKNIDIVVGPAAETIPKLNPSEPYDLVFMDADKTGYPGYLKQLL ELSKPGSTNRLLRPGALIVSDNVLRRGMVVVDNAVSDDGLPADQLDSILAVREFNEMA LKSSRLETFLLPLWDGINLSRLVD FPSE_02646 MKRNVLAVMAIVNGLAQANRFSWQSEAETDVAKHGHNYEPKSND VYKAKEDPQSVESLDDDWDDEKEEEKKQSELDYEKLKLLKEKLRAEKEKSEVKEKEKE YEHDKNKHHDYNSDPKSHKTYDHYKPTVTGHHKHHGDHYDVYDYKKPIIVDGKKYDYV HKPITKHHTYTKIHEPEVKPGCYGDRCDRKPCNKCGDNWAQPGCWHCKNHEGEGEGEG EGEVTKTTKYAPEKTDDKYQGAKTYTHKGVTVIVPKETKAPEAPKIEQPKEQPKKEEK YEDICRKVTKGHGEHKYEETICDRVKHHKGEHHKVYVEPKKEEKYEDICRKVTKGHGE HKYEETVCDRIKHHKEDKPKKEHKYEDICRKVTKGHGEHKHEETICDRVKYQKEEHPK VYVEPKKENICDKIKGCPAPAPAPQPHYPKVEHVPAPAPAPAPHYPKVEHVPVPAPQP YYPKKEDICDKIKGCPAPAPAPAPAPQYPKLEPGPIPAPRPKPEEIKPVPVPAPVPVN PEQVSPEGKGFEEAPRVPLTVSKSGANFNTISIAGTIVVGIAGVMLL FPSE_02647 MPERNTGGEGAAGARRRRSSSILQVYHEPPETLEQISDQASLPN LNANWTNAKGAWTIHLVLILSAKIIFDAIPGVSQETSWTLTNMSYMFGSYIMFHHVRG VPFDFNSGAFDNLNMWEQIDNGAQYTPTKKFLLSVPIALFLVSTHYTHYDLTYFTINL LAVLGVVIPKLPFVCYHSPMFLLGPALNPKRFSSITQSIYFHMELITDFPQSHRMRFG LFSGIPEE FPSE_02648 MATEDPGDVIYLSSGDEAEAEAQAAATKKRSLEDASDAKEASSA PEAKRLRKNGPVTRSSASKNLSDEDQKSSNGSLNTGDTSTGDSVPGGSDNIPDFKHLE WSFKLPDTSDNKSGSWLKRFQVWVKAFVKTNSAISQGIDVHVAEAAYAWYIDHLKGIK PKKKKSAKQIAKEFESTGELDALLKNILPKGPGPNQPTLDTWVKKQPSKEPVRKGKRS RGSSVSEGEIDEDESESEAEYEPTLSTAERKEEDVKEANGDSASKVLNHHQSLPIIET HRRVPTGNDALEQQRRYFPSASDPAQMCLLCGLNTHLAPSCPTLVCSCGSLDHSIVCC PEKERCRKCRQVGHQTSGCTEKLALTKEEGLACVFCNSTDHLEEQCTEVWRSFHPHVS VVRKVAFIPASCSMCGSDGHFSSDCKPQRNDMSNPTWSVKNRDQYVDPDCGMAAIEEA TGGKPTGRSAVAPELRIRGHAARTTNVHYSESDDSEVEFLGNKRVEKPAVGQIRMASN IQMPNTQNGRPRRNPRQNGPAQPPLPPGPPPPGPPSMRGLGSFSSLPRKPPPRDFRNV PPPSPPRGPQGQGRPYGNNAPPSFKPRGGGRGGRGGRGGRGRGRGRGK FPSE_02649 MASSTEQLFLAILRSDHIKDSLFPHLSTTDLCNIRQSSSACCNL LTKRLFTRVHISFSASTFTKSARVAALARIGHHIEHLTFYFPHSDATFLPPLVHPVSG NEICFLYTPHTSMGSVLSRPKYANAELGDILTQQYPPLFHAATNVPSFINAMRHLTRM RHLTIRCPGQDPRERYRRDIVDYALISLRISLERAPLDKLNKLSLSQLHPAAFNYLRH VNGFGTVPSAGRRWRQIKKLSVSVDAWDFYGTSPGRDHLKVMDEYIRAFAPNLEKFAF TWLGRKGPCPVALAADPMFAPPRASRKLFHEVTSPMSPLPETPGKGAIYFPKLRYLQV RNATMNAPQLSNLINSHRPTVKEFDFESVVLADNGNWDDVLAPIDTDDSWSRSSSMTA QSDCSLVTSPSSENLPSPSAAVTAASRELLDFDLGGFPFSDIENEVIDDFQPYDVRIN DDVFAPVDESDAGFSTKLRKKRSRRRRRKHRSSDHDTPETPSPSPKSSLRSLSRRLQS KHQPSMEQQTSRPCTPIPNISAPLLISDPQPVLLQPTTYDPTARKSNSRDPNEGISPV QRNIEQEETHRLLAEDAAARVSALQKAKAAVLSKLSREFCQNKKPRVGEANACRFLAG RDLGTAFGPNMVMEDRRALESRSLLVPLMFSRS FPSE_02650 MYESQITLVMDTICPWTYLGKKRLDEALAQFRSSPSSSSISFDL QFSSYQPSPSRPETIPDRAAHALHEKHNDNKEAQKLFEEHMISLAKPLNIPIAFTGPT GNSLPAHRIIQQVQESHGPEVTNKLVDALFRLYFAEGRHPGEDEMLIEACVEAGVDEK EAKDLVGDKTKGERKTKEDIRSIGMDIDSVPTVIIEGRRRDLTLTGLKEVSEYVKAME TIKKEST FPSE_02651 MATKEKKEKKSKSSRSESKAEKPEKVVEIEKTETETTEANDVDM AESEPASSSKRKIELEEIEVNVDAPEPPSKRAKRALKKGKALPAKQDSDDEKKEDAKD KKTRSEHSVWIGNLPFYVTPVEMRKWLVNNSGGVITDEMITRIKLPTNKEPGRDKSVK PTNKGFAYVDFSDIGPKVSAISLTENDLGGRKLLIKDATSFEGRPKKEAEAAEDAADG KTATEQKQDTNASRKIFVGNMGFKTTDEDLSRNFEKCGEIEWVKVATFEDTGKCKGYG WVKFVEPEAAAWAVKGFVKIKEAIETEEDFKDDEEKDKSQQKQFKTRKWWVNRLMGRE LKIELAEDDQARYKKRFGKDRKAAENDSNPRGRPPPRRNDRGDNRSGENNGAGEATTK PLKEAEDIAVARLTGAVVKHTGSKMTFD FPSE_02652 MNVLLSPQPPVFPHQHENPRLSPQRSHSVSPFHNMNPRKRKADE DGDETMSPRCSPTISARALARPSKKVRGNEIIGRPLTLPRLLETLDTSQLRTVLERIC ESHPDIGHEVVTQAPRPSVSSAVEVLQGYEQKMKDAIPYGESSPEYTYYRVKEPLVAF IDALSDFTPQFLPPNETQPTKSLEFLNEATDFIHRLPNWEPQAYRHHKETAYEEISKA WALVINEAGKKAGGLNLHSGGWDQILSRHHEQSGGRLSTAIHAMSMSVGWMGSDANTV SGGPSDSILNQLMSGSYGAPPLSGILNLTERTYSVFP FPSE_02653 MPAPGDQHSVVTNPYEEPRPRIAEWAAKDIATISAKLDKQLGPE YISARAGPGGTKVHYLTAEKCITLANEVFGFNGWSSSIQNIQVDFADENPQTQRFSVG LSVIVRITLRDGTYHEDVGYGSIENAKGKAMAFEKAKKEGTTDGMKRALRNFGNVLGN CIYDKDYVKQVTKIKAEPVKKFDQDNLHRHSDFIKRDVARAGPAAPAPAPLAAPVVKT EPAPPLPVAESFDDYLGELDEADFCVPEDGHPDEIMLSTSILGQPGNEKPASNPHAQQ NQQQLNRSNSTGPPIRAPQTPIQGQQRSNPSNGPNALANRPQGGPSGRTTPNQIGNPR PPPNVPQNIPETVGFFSAKAVSQLPESTIEGSGSGALALPQGQQAFNPKAESPSIRKT PGIDHNSSKPVARNGQHVAPTSSQANAAPAPRSNPNSFTPVRPSMPSSQSARGNVINP SLDQTRRIGAPSGPGSPLANRGSYRPPAMKRPPSAADGRTALADLPANGNGGATSTVA TGLDAKRQKMA FPSE_02654 MSVSAIASLRPLRERCWQHRNSSLLSIQLQSRTATLNFRTVIHL RLDQHRFQTTNSSNRHHDKDLQAKRDQLPQRNQPSTQPQITFRQFAGRALAAGLRSLA VAMSPTGIKTAYRQNPGATTLGIFVLGVVSVIGAYTVYLYFNYFYHYQFTRYPKPIAN SLRRALYYTNINPDAELALKYYKRAMDLCAEHGLDPYSDEVLGIRIQTSYWLEKITNY NGCLQVLEGILADCNRWISVMEQSVKDGKVSGDGRLIKPKSETPETPSNQVATSAAST PEQGNTDEEEVPESLWHKRQRILAKTVGIAIKLGELYADEHVLDPDNSQKHLIWAVET ALKEARRRKVEGVKPGEGDWLSPGQMGGAMESLGRDYERKNQFHLAIPLFFQALRLCE TPCHRPIIMNNLAASFAQHPIFVPANSEPSDTIKELQDPAMPATRKECLEAAQNWAKN AYKHGKDVTGDERTAECDEACAVALCNWGDVAAMLGNNDLARKKYKQCIEMAGKLGFP DAVKQARSGLAKLP FPSE_02655 MAGKFEPKTAVTLDPPKDDIISKEELAKANGATEGGKCYVAIKG KVYDVTGNKAYLPGASYNVFAGKDASRALAKSSTKQEDALPEWQDLDDKEKGVLNDWI TFFSKRYNIVGVVEGATNQE FPSE_02656 MDSFNSLQDRRNSSTMSPQIAQQNVNLAGLGQPRSQTTSSFYPN WSGAGDDLMTPLSYDSSSARSQIFGSANFQTSYQDYRSKPLLSECDTNPEDSAYGSRL THSIGNHSTYGEDLDPDIQTLDSQNADTQLVNSNLESLQLQCQAAGNASQQYQEQWAR PRRPASVAATPTSGEKRWGCRECQQSCRTRSELRKHELKHTRPWCCNVSGCSRIKGFT SKNDLDRHKRTVHSDQTVSGRAFVCNIGSCAKKTKIWPRADNFRNHLERMHHKSYSAN DDLTEYVYRPIPSQGLEGIGGSAMAYLQAQEQSPNLAHPSAILSSRGHSGDRRTSQLQ LGISGLSRGPACISIERDISGLAPVRESEENFIRPDILSGPIPVPQDRWSGPSPSGED APGDYITTSESGQHDDATPDNMDGVQESGTSETEASSISDNSSSQQPDIGMMDVDEAQ QNPRAVTLSDQSSLDSSNISPDLTYEILDKIPKEVIASYMKKHSTEIRDETPKSDVPG GKSQGNIYKCQDCDKTFPRLCELKKHQKRHSKPYGCTSINCNKTFGSKNDWKRHESIQ HYQLETWVCNCTKSGTNELCGKICHRRESFRNHLTKEHKVSDQHELEEKVDTCRKGRH CDAHFWCGFCEETIETKETDNTWTKRCDHIDDHFSGRDVAQRHISEWVHEKDPSTGIT ATLGAAPESSSISFVPDATPPQPSTSEDNSIEDRRNWKDTYMWICCDCNNENSFRHNT VPVLKYGRSTNLLDIAAVW FPSE_02657 MALHHYHEETDGAGYAPSIRYMCQSESDYAEYQDLTDRIDPLLR YHTPCSGSLDGTSGMQRYLQEPPISFFATPHQPAVQNAYYNGVYPYTSSSLHQNSHLR HGSPVSYQHSSAASGPQSPPLTDNDGYVDSTRGPSTPSDTAILSPHISSLGSPSPSVS PYGVSGVSQGYVNPAVIQSTQPLHSGHVEEEVPEFTLGLEMEYFGATASTSICPASCS SNSFSAASPDFPVEFEGHVGVSYPEMEAMDASIKVEDETSADASSTMADDDDEYKPNR RARASRTSRVKTRRGRTTRFSPDRFAGTSVTKPQRSPRASANRNLLSASSGRSVRCIH CSMTFSDAVVLQKHITAMHNRPFICVFHFAGCSQVFANKNEWKRHVSAQHLNLNYWLC TSGSCGNSPSISKGASNNPTHCRVFRRKDLYTQHIRRMHAPAEVAQADKKDKAFPLDW ILKEKELQDKAMKQRCTLPTFMRCPAEGCMTVFDNGPRTWDNRMEHVAIHLERAANNE EPPVVFGGDNDEALTQWASQPDVRVIKVTDAGWETCQPLKAARIEISNLLGPSEGLDE DAEGEEC FPSE_02658 MNSDKRAQSRMARSAISSDPISTDGSYDIIRSVPSSLRKEYSGD HNAASKFAEAMNNPDWRRRRESCSAYDSIATTSSNAGWVTIGSSESSQLHTNKDASTY SRSGRSCTWDSINSTNHWRSSLDSSEVSSRDMSEAPIDKDRPSVDRYNMPTAVNKNLG YSAHGLCALLDNSEIIDAPKDVRILKLVPLLPEDGNPQDSGRVIMEHDKSYPIAHIQP ARGILPRWDVSVEAADERYRKYLSFFSDRSSEERYNTSKGSGAGNIERAREAVYQDPA VTNVGNRIGESQEKTEVPPPFVSNNLRTHELSRRNEAFKKILSRLQAAKTNKSEKVVT ERPKEETGQRSEEFQRLLKKLRHQSGSETRHPTEEHSFQPELSKEPYKPQRKDISSDP AIVSAYTSYSKKKEWSQDSGVFMDSELNPRAREFLSFKSFARASTSSDDPSISERGLL QQMGLGKGSEESEYFVNQPAEMESTSLNHNMPPMKSDYLSASEKGDTNPETNPIPIGQ QGLVGFDHTSNILPFSNVAFPFGACQTPMQNIAAPELLSSLGLVTPIGKWPPTTSFGN QLNLPTANLPFQSCAVAPNPLMGINATPQLPCNPTLGSSGYSACPPPVFKPILPDPIQ QQKYEAYIEWRKANEPGYALACKSRQQRRAQRGSIPPLPTLGKVQHSEVQPV FPSE_02659 MSSEKATSTHSPISPPGSPSSTQIQPQSIDVLASRLGNSSLSQY HQDSTLSTVTPPESALSPISLPDDNVVNISSMLPQYHSRSMEIDADHDTNMATLQNNS TSEKPTTSINPPFDPSSPIAVDPTALVEVPATIVTSMYRPNAFGGFEVDEGYCENDDD FSWLPSVASLRAASTPDGVKKRYELGYRRSIDAATRCRNTIHSAPRMRRRDKKKSKHS QSTVGSIRSRSDSQASSMIAAQ FPSE_02660 MASWTLPYRVEAVDRDPGFYWQEIEDGHNNDGSADFFGQFINFD SEIPSSMADHHGHNPGMPTLADGLMLDHPSESTASASSGVSTTEDEFDLFSCSSQVDA IVPSQPGSSAAPGASHDVDPRSLALADSSGLMVEKHSMVPRVSMSDPELPRVDGISLQ SSPGRRVPVSQPSSPTPPNTMTRKPNKFVEALSSTIRKASKLRKPRKPIAMDRPGSPT MDNPPRALRLQHHEYNGNDVFPPSPTTCGPDSTNFVHGFCDDPFDEIPQQHPNNNMRF FKTNGIHTPAESPGIKTEPGMYQPEMAGQAVWPHQQHPHPHPHPHHPQAHAHPHPHPH PHQQAVAGHPQHAVPVVGPAPETWPGHEYMAQNAHQSGWWDLNLLNQNGEYVVVDPQQ QKNANLNLAMHAQHAELPYEYQVHDPNSAGLMIHMPQPRNDSTPAHELALNAQTYLPP PPPIPPTTERHRPPRAPSSGARHLSCSPIRKTRQPSIPPTPTTAHSRHSSNGSVASAR SASGRGMVPGTPTAMRKQRRSRDSSGGSMGDIGFVNFTPSDGGLLMTGVAPSGSSKTK ARREREAMDRRRRLSEAAMKAVQEAGGDVDKLMEQGFAF FPSE_02661 MWSCIMKPLAVIASVAALASPVSGEKILYSNSLNSCQKDNGFKA TLFNVALTPNNGSAYVKAVATSSIQGKVKFDIRLSAYGYQFLQQTVDPCSINLAGLCP MVSGKIPFKFNLPVGKDNLAQVPGIAYNIPDLDATVRVYVNMSDTGESVACVEASFSN GKTVDLKGVKWATAIIAGLGLISSAIVSGVGHANTAAHVASNSLSLFGYFQAQAILGL TSVGLPPIVASWTQDFQWSMGIIRVGFMQDIFTWYQRATGGKPESILYNVRQVSVQVQ KRSLDLASTSIDLFKRSAAMMPRGITEPIGNAAGALAKRANIQTEDGTYVVYGIQRVA FKSRIESTNLFMTGLTFFCIFVVITCLAIAAFKGICELCARQRWMAQDKFLEFRNGWL TVLKGILFRLTLIGFPQMAILCLWEFTQQDSPAEVVLAVFFLLGMAFTLGWGASKVIR IARRSVAMHRNPAYILFSDPQALNKWGFLYVQFRASAYYFIVPVLIYTLVKAMFVGLA QKSDITQAVGFIIIEVGFLIASSVLRPWMDKPTNSFNIAIAAINFINAIFLLIFSNVF GLPKIVVGVVGVVLFVLNASFALILLLMLIVSTALVFFRKNPDARYQFMADDRASFMK SQTQVNATTELDALAATARGDKSGYNKHLDLDEEDSVSSESLARRAEPNNQSQASFQR GDAPPRSPVNPAMPLFPADGRPSSPFRTASPNPYQQSNSNLSQQRSADNNSPGGYRSQ NNASPWQRGAGYEH FPSE_02662 MAYRDDRAEEPFHPYELYHPSGSVAVSQSQRPATSQAPLSHRVS AMSLYPDDNPYDPAIHPPLHGRESMVSLASHAGHQSPPQNEYDGHSYAPGSGWSASFG YNGAGGSYAPVSAAGPPPSRFPSRAKRMSAYGSSLGRTIPEEGDNGEAYDLSLLGGAA SLGYSSSYNRIKEDDDEDDDVSPGFDVTAALGPMTSHDEDFVKKLQAQEANGMLTGGL GQGFHADVKMRDEELLSTTPVSVQRSLSRSFSRRNQPLRMCRAETIRQRGQDEANRKG EVIEVILDETPGADLSSIEGLSTVASNDFRRSTFPVKEGKTEIFYPQPNWKPPTMRWP YLTLLIIISVVLAIVQEYLFRTFHEKPIISFTEPKDIDTFVYFAVKFLPTVVAVIFGV FWQFTDFEVRRLEAFYQLSKQQGALASKSINADYVTSFSFLRPFRAIKLGHYAVALSS FASIMAVSLVPTCAAASIILTPSRKDRMASPDDEKKILVAAMWSRLLTVVLSFCALLG CGLLYVLQTRRSGLLADVRGIAGLASMAVVSHVLMDFKDMDTAKHKDIHQKLKRRRYM LRNSSLAPYEGTSAKLETDREQDDAAHLSEHPHPLMLRPMGCIPFIIGLLLFAGLIPT FLFTPAQVITDKAAWVVTALAVILKLCWGAMETSVRMMEPYYILSKRHAHSKTLTLDY TALPFLYMPLRALLNGHFLVFLVGFGSVMAEFLTILVTSLATVDGQDFIVGYGLHLGK GKWKGDDDDDNKKFNSGQETVRSFYITLGATLFILLYMFVVANMVFFRRRHPFLPRQP NTIASILAFIHQSKMLYNFVGTAKLSNTDMAKKLDDGKTYGLGWFTGRDGQIHCGVDQ EELTSSYKHGVDYTTMNNPWNAQWDVL FPSE_03709 MKLYTSVFAALALTSGRVAAQTVDISATSELTFFEGTRTQRVTR QTGPPTGAYSSYASKITLSFSSDTESDELTSTLTGLFPTDYTGSTTITSNLTETATAT GTTNGTSTTATKAKPTNTQPCNNYVELCKRKYGNITMVGCHNSPFVRPGNSGSNQELQ VETQLDDGVRFLQAQIQFPANSSVPHFCHSTCDLLDAGPITDWLTRVRKWVDSHPYDV VTILLGNGNYSHPDLYVPYIQESGILKYVYQAPYLPMALEDWPTLESMIVRGKRVVMF IDYVSDQKKYPWLLDEFTQMWETPFDPLNRKFPCTVQRPPNLSDKSAKNRLYLMNHNL NAEFNVFGAEILVPAVALLNETNGDKGYGSLGLAANNCRSDWGRAPNILNVDYYNYGN FPGSVFEVAAQMNNVTYDRKCCGLVASLAPRAYQAASMTALFTVLMSAYLLF FPSE_03708 MVNVHRRNTAIAASVFYFLTIPFLILVIIGNTHINSTLNDIYFF QLDVSQVIPISVENSKLLNSVARSLGLHDFYQVGLWNFCEGYNDEGVTYCSNPKQWYW FNPVEILVSELLAGAQIALPAEAVTVLNLLKIGSRIMYGCFMAGIVVNFVLIPLSLLV IRTRWWSLLLSLLSAITAVLVTLAAVIATVISIAAKVALTAQDQLNIRANIGIKMFVF MWIAAIFTDLAFLLHAAMGCCCKPDRQAQTSSQHSMSETKSNRMSLPGFVRQRKSHTS SS FPSE_03707 MVVIHASGALALMAIALPFIQATPAIPIGESMEDKSETRIPVVD YLLDPSIPSENGIDRIIDVMKKEKEEKSIVSRAELAAARLPWGRLYLNREEEHIPDDD DDSERHEMRSHGKVKRDVVEGVVDDTGSGSAYETVHHKTLDTNETTTANEPRYNRMEQ YAHRPTLLHGKTHRVVPQIEKAESKHD FPSE_03706 MDAVTATLAAFLPTQAATESAKKYDSIIKEHIGAVKSLLSNQRQ AINENTSQILQGIDPSIDSIAFLAILHSSLSSPTPPPGIDRRTLLDETLRFLLNFNPL QVRYVGVIFRKLLEHVAEGKLFTSAVSVEAVASALLRLDPTGSMFTSTHLALVKIAYQ TAWIEPALKVLDCDTTFFPGTVGQKDSKPLCDSSMHSAAFISVETGLTESVKSTTILE YYHLSALCYMTQRDWTKAHRALEHVITYPSKDKGVAKIMDEAYKRWLLVGLLKDGKEP TLPSYTSLHAKNTYSTLGTPYKNIATQFATTNAGQLKADAEANRLVWEEDGTSSLIAE VIAAYQKWQIINLRDIYKRISISQLRQSTLSAETGENLPDDDTAIQIVREMIDSGLLK GDLESSNDGNELFLHFHDDSETMTEAEFAQEIAQRYHNIENLGKQYKAANERLSSSKE YVKHVVKEQRRADKDSGDPGVGFDSQIEDEDLMTGIMAHG FPSE_03705 MQYQAQNQNLSSSYQVNNPPSAPRAPGVHRGTQVPYNQFDGPRP PGLMSHPSSMNRQLFRSNSHPPGAFMNGYPAGVAYGDFQQNSSYQTSAPRNVASGNWR GSQPSVDGQASRLPGANAYPPTGYPAQAASHFSSVNNSGGPVSISDCLNGFAYCLKRS NGRYTRLIPADMLPVLNELPASQISAQGMVLLPDLNMNPPQGIIGMNQPVTFKNKIDH IVAKTSPAQQRPTKIYCDKWIHDGTCAFTQQGCKYKHEMPLDKATQQSLGLFHGFPKW WRDHQEQLQKQHNKNSSTTRSQSVLQIDWRGDSDEDTDNAVAVQAPIGAERLQPPAKG GRLSQTREGMSAVVEKRPRTGSETDCAVSPSTVQGRHGSSSRSSTGSLPQSSTGSLDH AYNNR FPSE_03704 MMETESMDHDPLQGLVDQDEDAIERSLELLRAELSEQHIPVDVA MMEVEQTLPNQDNNVREENEPDEKEEEILRIEGDISDKVKPPTKPSYRVQVVVPEIPL EERAQYSFAHSHIVESVLGEAIHDTVDYRVEFTDGREELISFTQLVALDNGRAALARF NQGLGPLDFEIMTGTRKRKYAPEDDWDSEHESVISDQMDIDDEEDEEPFGTKRMRSSR LRSRENTKQLSRSPSRTDEDELDDLEPEPERPTRSLRVRQARQSTSTSFKNGFGSQDQ DELHGDVPPASEEEDDDFMPIVVSDINPKKGRPNKAHQRLRRLQTKSMRQKALSQRPS HLKSNDSDIEFEAPRRSSRATRNTLNMQDDDLMDDESLYTVDDRTPGAPKIVSVREIF QPLSAESEFATMHMDTCQSCGGSRHRGQMIYCQGCTLSFHRSCIGPRSAREHMVTKVG EDSFVLQCKFCIKIPSKKDNNAPNHDMCQACKAPGSSCAPFSEKKTSRQEEKIREENG GVDPVTPVSPKLINNAGHVLFRCVECRRGWHVEHLPGARSGTIGTDLKSERLKDHSVD WRCKECATSRHKIHRLVAWRPVRKDLAKQVPRPTYDEVREDDKEYLVKWDSRSYAHCV WKSGAWVYGKAAATMRISFSKRDAAEDLLKLNEQDAIPDEFLMPNIIFNVKTDPSVRK GTREQEMNNLAHVTKIFVKFQGLGYDDVVWDSPPTDEDGEIYASFAEAYYEYVEGKYF SSESQNKIRERVKAFKAAPFEEINTQPAGLTRGKLMGYQIEGVNWLLGNYHSGRSVVL ADEMGLGKTVQVVGLVTSLVQDSPKCWPFLIVVPNATCPNWRREFKQWVPELRVVAYH GGREPQALAYNYELFPNGSTDMKAHVVIMSYDSAQDPATKNLFKSINWAGLVVDEGQR LKNDQNLLYGSLRSMRIPFRLLLTGTPLQNNKRELFNLLQFIDDKQNAAELDLEYEVL DKETLPKLHNKIRPYFLRRTKAGVLKFLPPMTQIILPVTMTVIQEKLSKSIMAKNPEL IKAMFSNSKMNKKERGSLNNILMQLRKCLCHPFMYSEAIEERHHDPTVLQRNLVEASA KLLLLQVMLPKLQERGHRVLIFSQFLQQLDIVEDFLSGLSYDCRRLDGSISSLEKQRR IDAFNAPDSPIFAFLLSTRAGGVGINLATADTVIILDPDFNPHQDIQALSRAHRIGQK KKVLCFQLMTTDSVEERIMQIGKKKMALDHALIESMDDDELAGDDLESILKHGAQALF NDDYEKKSIHYDSAAVDALLDRTVENETKADDGTSFSYAKVWSNDKSGFEAGLATEEM AEPEAINSSVWDRILAQREAEAQRQAEANQETLGRGNRRRQTINYKTEAAINPVLGDP EADSADSSDDFAGGDSGDESEEDASVPKGAEADAILELENPKARGKKGQQLHSQQVQN ANKPRKETATGQQRQRQWSTWKNGGPQNTNQQGYGQETRIAIPSQNPYQQKGVQVIPG SSTDSARYTMGNHAYQGYNAPPVPHQRVVVTQSHPPPPDQITNFRSDRLCSEAEVRLA LDYVQHSNSDTGTKQKHRALLMDRLRSLTPESAAQHT FPSE_03703 MIYPAQLRVSALSQPLARLHIAQKSFAPVFVRGKGLSAIHRGIR REFGRTEPGAPNPSSFDRPTRSPRRETSSIRREAPPTRRDPFADKFSARAVDRGAQSN TRNWRQEQNLRKKLRKKDEEKTAQEEREVDGTARRTRRKRFADPENEFGSRSLVHRMK YGDLKEVADKLRVKQPIQPRSFRQASRDRALEFGELDGTSRNERRPERSQQQSDRPEQ KSDRPERQFERSDRRPDRSQQQSDRSEQKFDRSDRPFGRSDRSDRRPDRPQQQFDRSD RQSDRPDRQFDHSDKRPDRWSDKPPQRFDNSDRSAPRRDNTRNDRWNSPDEDSSDVYA VERAQRNGMMAMTIKYTTAASQFLYGRSVVKAALEQNRRKLYNLYIYGGENRMDNKDN TIMTRMAEKHGVPITIVPTHEQRIMDKMSMGRPHNGFVLEASPLPQLPIKSLGKLEES SGRLGFHVDLDYQTREEAAVNGTDTFTRRSNDVTPKPFVLLLNEIMDPGNLGGIIRTA SYLGVDAVCITNRGSSTLTPVVLKSAVGAVEEISLFTVDDPVKFVEESGKAGWKTYAA VAPPDRKLVRRHGDKFISLDSIESTSPLNEHPCLLVLGNEGHGLSKPVKVASDYELSV PRFVQGSCVDSLNVSVAAGLLCHAFFKEPVVEVQYEKIEQKPEVKAVESVEVESITAA VETETHPAEEAQIESATVAEQSETITEETEVATKEEETNSEEKDKKVEESNEQMF FPSE_03702 MRPVSTVLFSLAALLPSQATAESSSPTAIKKLAPGSNDKLLREH LAFAPLQILSPRDAAAAAISFLDNQDDELLKLNGTERFYRPAFAPHSEESRDSMLRRA AEALALLQRRSSCPKGMNICSDINPEVKCCQEGTYCVEVGDSVAGGVACCPDGASCGG GVGSCPSEAASCPESLGGGCCIPGYVCQGLGCVPSASATPTSTTQAPTTAAQETTAEP TVEPTTETKAETTAEPEPTTVSEVETETETEGTVTAPTTATSSVTSIAPYRPTGTSVT TSSEDGDTQTGCPTGFYGCLATHGGGCCRTDRNCDTHNCPAPSTTIVTDGATIVVLAT DAPPAPGPASTCADGWFLCGRDAGPVAGCCPDGYDCGTASCFTAHASETGRIQKAFPE AATAGHVKPAVMMATAVMVFVCIALA FPSE_03701 MPLSGHCLCKAVTYKVDDEPLVVGYDHCDDCQRQSGSTYSLVAV VKKDKLTINGPVKTYSGTKGSSGNIVHRLFCSECGSPIAHDPDAAPEIIALKAGTLDT EIKKNLKPDTEIWTVGKLPFCQEHLAKPFKHMPE FPSE_03700 MHNIFGERHSYFAARNFEAEAKHIQDRNSDNQKLQLTQVKATVQ KEFHVSPFNSRKGSYSVLASDPLGPDMCGFRELDITVNLFSSKGYPKLVAKLVSEKQA IDPREMSVVQKASFILTWFWSAVATLPRFIKECAVLLYRHNLHFWYRPEPRKNSIGRP SSDTEKVLERVFRAYLRNLVERSPTPVVVRYTPSGDAEVSEEVLRSPLATHSSEPAGE IKIKILTPVFYSRFVHYAHDSEAVFCELAESCTFWTDKPEQLTNIFLKKGSPSLHTSS IIEYAWFQLIKSMRRRPRKIERPLTSVGKSPPPTAGIDIRGFRISSIDAFVLGQEDAE AKRAYRKAVLRVFVADRITFGSTALLGMMELLGRAGISWTLAFLIAHDFS FPSE_03699 MDDWDTATKIGSRARGPGNAQRETVVRGKAALNAAQRAGGLSTE KKYSSANAGSAPEGQRMTKVDRSDDIIKPNTIGKTVGDVIAKARQQIEPKMTQKDLAT RCNTTQAIVAEFERGSAAPDQKVLGAMERVLNVKLRGTDIGAPKFPNKKK FPSE_03698 MDPYSTEGELINIHNYFHQGQYQEVVDFDTSSFSPDNALPVRVL VLRARLALGQAEDVLDEVKGESEPEFQALGALAELNLGKTDSAVQTIEKLVSSAADNT TVQVIGGTVLQAAGKSEEALALLTQHQGSLDAVSLLVQIHLQQNRTDLALKEVSAARR WAQDSLLVNLAESWVGLRVGGEKYQQAFYVYEELAQAPSTSSVRSLVSQAVCELHLGR TEEAQAALDQALEKDANNADAIANLLVLNVISGNKSDEFTQKLQSAKPDHQYLVDLEE KSALFDRAATKYSPKVSA FPSE_03697 MVNIPKTRNTYCKGKECRKHTQHKVTQYKAGKASLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECVKCKTKLQLALKRCKHFELGGDKKTKGA ALVF FPSE_03696 MVAQFESAEARNKLEDLSGIQLRSGENPYNALIKACNDDAAEIQ TLYSVHRTKRNAQQAEKFLANGFEELIIDQTLLRLEDPTVEPGFLDSRNCLVFWARPP DHIIRLASKVNDLLKKSAPGVWLMPSHRMHLTALEVAFSKTPQEIAAYVSTLRQSIPA IVNYPYSHRSRLVKPMVSYDLSAFALSFLPASGEASLSPPLTEPVETEGITQGDSYTY HHLRRDVYDKVQEGGVVVGSRYQVPSAHITLGRYLNHDDHDTPEKRANWVKAIDEINA WLEKEVWDNPDSEYNGEWLVGHERGLDARNGTLWYGGGKTIMLGEGF FPSE_03695 MSVKVNVGNLSLRIKTIPLTQEEFAPFGDVVYNPRPSLLPSKYA SQSGHLPYSGTSANQGSAIRYADVSKPQDLLSQAPSKDGRLIMSQFVCEARTLAPAKD DLTQDEFAVNILERHPFTSQTFAPLASTASSYLVIVAPTLPPSSQDEGLPVPSGEGLP GRGLPDLKCLRAFIATAKQAVTYAAGTWHAPMVALGRKETTLDFLVVQFSSGVDIQDC QIVTFEGHDSKDADIKVRLPRSGNIVAKL FPSE_03694 MLLRKLGRALAVTAALLPVAFAKGKDDSGQSTFVSPDGDVAFAI DIGENRDTEVYFSIRVKKSRSWGAVGLGSDDMPGALYFMVYKSRDSGKVTFSPRLAYG HYEPYFWDGMDVEILSNNTGLIDDHMVVSGRCKSGCRSWPSHGGNKGYLDVYSDDSKA IFAFGPKEDFYSNNKDASVKYHAGYGTFSINIDRTHGDSELPFLDDSTKDVGTKLIYD KKAKPNWASPLHGVFMVLSIVFLMPVGVVLLRSGGWVKWHALNQTIATLGVFAGFGIG VANSFYYQRSRSFDDPHQIIGFVVTGLLLGQFGLGVMHHTQYKKTQTPTRYGKIHLWV GRIILFFGTLNAFMGFTFALNRRFGMLLALLIIFITITSLILIYGRRYLDKRRLGPRG PGLAGGSQQYAPPPWRQPPQNTGYPSDPPPGYQPPSNQGLGEVSPGMRSPSPWQSHKD DEADMNLGREQRPREFS FPSE_03693 MFPLHIIVFSFFTVAVWAACNGHDELCKRKYSEIAFIGAHNSAF VGKQPSQNQYISVTEQLNFGVRFLQAQTQEKNGDIQMCHTHCWLLDEGPIEDYLEDIS SWMANNPDQVVTLLLTNHDALPIEKFDAAFNSTGLKEYVFRPEKKLALGEWPTLQKLI DDGTRLLVFMDYNMDESKVGYIINEFDYFWETPFGELNPSFPTCEVDRPENGDPAELM GIMNHMLNDNVLGIIIPSQHRAKQTNSAKSIQGQVDLCQGEWSTRPNVILLDWVNVGD AMKVQLSLNGL FPSE_03692 MSGYLSMIGWAFLPGLATGWLQTIYYGLTIRAGDPKPTPGSARY NSHRRNIHILVVAAYLCYTIFEADYEQRRISSYYADLGVPLDAADREIKTRFRRLAAM HHPDKAGKDAGDSAAFFMHLKVASDTLQDSAKRFAYERFGPEIARWQKCVTIRDFVTR GVVSGILPHYAVAAASIYVLGLFGYMDFGKYYRWLVLIALCVFELQAVTRPDFPPFVN TVNAVLARITSLPPYLPFQVISLARRLTITFYIALNQIGPLVAVMITGPEKSEEQDEK ALRQSLNRLEMLAKQLDSDALRLLDMEMAPFKGDAEARTNLQGKMREWLVQNTIRADP MVRDAMGTSLRKRRVDAPAGAKGNR FPSE_03691 MRISWRLGSGYVESVDDYIGVLVPLEEAHLYSQAAKAKTASHGG KQEENEMGDLEMKEECEGMLGGDAAEYTVEGLRKEMREGRRGQWTTYEIKSKLMNKAV GDIGMGSYNWQLFVLCGCGWFADNLWMQGVSLCLPSLSGEFGVDEKTVRYTTSALFIG LSIGSFFWGIGSDFLGRRIAFNMTLLITSVFGIMSAYAQSWGSVCFFFAALGFGVGGN LPVDGALFLEFLPDASSALLTLLSVWWPFGQLVSSLIAWFFIGNWPVEQGWRYFIVTI GIVTFAMFVVRFFIFHLFESPKFLLNKGRQHEAVAVIHGLAYRNGTRTWLTSELLDQV ACEDGDDMAHVAEKKVPALSTMGVIQEKLRSFSGERLRPLFQNKTLGMATGLVWFCWA TIGMGYPLFNAFLPQYFARAHNEASGNVQSETDTISSDTYRNYAIASVMGVPGSLLAA YLVDHPSPFLGRRGTLASSTLLSAIFLFLFVFYGTTSTAQLLMSCVEAFAQNIMYGVL YAFTPEIFPAPVRGAGTGVASFLNRITGLMAPIIAATVPGDGATTPIIMSAVLILSAF VGICLIPIETRGASRL FPSE_03690 MARYASAKKRAADSDDEPEIATKRVKNATSVESDGKDDDGNPFW ELSNKRRVGVSDFSSKTFVNIREYYEKDGKTLPGKKGISLSIEQYNAFLKAVPRINAA LRAKGLVVEGDTEELDTAMEIVPTKVKREQKKSPKANIETTSEEED FPSE_03689 MTSTTFQDQDPPQSGVSLATPPKPKAIELNGAPIPALLHPQDEE PPSTEKLPDTNGLADSIATITTNDTMTPDKTLMKSTNNNTAKTKTTAEDDTPITKVIH FFATATPGSLAGVAVGFAAFTYITMGRLGLVLIGAFGGVAGFISWEARNPELAKMVRG ERGIDVIGRLLEAKTNQAAEKPEDSSDDEEFTMKNFDEFRPETREALGGLVDAVIKDY VKWWYNPIVPADHSFPLACRKTLTSYVLSVSNHLSRKRPADTFLDLLTNSSSIIIVFM SELASAFSELPPDSNMTAADAVYNYLAANPDCHLANLVNQRQQASKFKMVAEDLLGFM DRNAYNCDPARVFLREILANSVLEQTLNTCSQADWINGWIVYLLEAGEPDLNQAIDVG MKRAPDPSIAAANVFADLDGNVGNIGIAKPGRSSLENERARRKEPLGHKKKLSKAEEE MDEAMQEMKRMNELIAREEVRRKRASMASIQEPSTDLAKDEKRMSSTSVTASPEGFSS KSDLSQTPLTPRSPMDSSSPESSPRRSDGTRFTSFDQIVPPGQVADEGEDEAPKKAPL TLHNATLTLHDDTPGEATRIRNKPNWDYWVQVEPSVTAYPGWMIVRRYADFETLHEIL RRIATISGATAFTELHKDLPDWKLHTRESLRGELERYLRDACWYQSLAESEGMKRFLE KSKGHTHSESKAGFGWESMGKGMLDALTTAPKGAVEGGKSLVGGVTGVFGNTFSGLSR KSTQSVDLTANPSRLSLSTPPAQLSGARSPVRSARDSMDSQRSSIVSLQPGKMPPMER RPSYQSQSESDAEGRTSRSERKESGSASASALPSREHSRAPSLAQLRSPSALSLDFSK LPPPPDQIADDYGLPENQSDMQYNGAKGQSQERKTSQEIPTPPPSVTSGKRASLKPAK QYTALSEPETRVAVELLFAVINEMYTLSSAWNIRRTLLTAAKSFLLRPGNPSLLTVQS LIQKSVIDANTSDSGIAEQLRKVRENVMPTEQERATWPAELTTDEKEQLRIKARRLLI QSGLPAALMGVMGQAATGEALGRVFDCLQIEEVARGLLFGLILQVVRIVTH FPSE_03688 MAHIAKCLVDIDTTYITNKTSHLFSDLKTSPHPQNEKPFTWRMQ QSDSSKKYQLFPREKRLPVLNSNKGLDSDKATAMAPAASSNEKTDFQTGLNGLKKRLN QHSLARRRKISVPEVGPMTTVQEMPMDSPTIPGRPPFHERSISAPGNSCRDYSFTDTF LFASSDDEGPDPQLSKKGKVEPISRTATPTSPRHLAPLVIPRHGGQSPLLRRQQSLNC FPVGSEPTRQGRSNGSSPQSRSAFTPTSSMPDLTTPRSATTGSITPTPVSAPLMESRT ESPQLSDGRYTPPIASNESVRGGHRRGGSESSVMMDRGRPRKRRDPRTTNGQIIQRPE SKRGQSTEKTAFEQLPVGVKHTDALKELRQPELTLLQRQAYEQVGRFEVLRIEDVEAL SKELRHLDEKTEYLRRTYTALRSGRKNLHSRICQYLRSPRVAKFSNESMLKQEEALTE LDASIDDWVNKLEQAENRRTRVRQKLLEHVAAAACLPIWGSTLTQEPQMTGTSASGIN YISTPPRSPSKESPISPHNTSSSPSPHRVVAQVPSTIVEQPVIEEQAMEENDKRATSP ASMKRNDVESIRIYAGDDVYSLLADVEDEITKMSKQVVHEPTPPTNVKESNRIAVHRQ RSHELLNGLSTENHSTKRRDFSEGRAVSPLAPTPNPVTTTNTTTSTTNAGQNVPPADG LPLLANVVYRP FPSE_03687 MSWFSSLKKPSILRTCQVTITHNLRRTFATVNPNMTANRDPSTL SNYSAWRTRHTTANFKIDFDQKALKGSVVLQLESQTDKESKEIILDTRYVDISSISIN SKESKWELKSFNAPLGAPLHIAVPDGVAKGELIDLAIDLQTTSKCTALQWLTPAQTSN KKHPYMFSQCQAINARSIFPCQDTPDVKSTFTFKLTSSLPVVASGVPVGDHEATPGKE KLYEFEQKVPIPSYLFAVASGDIATAPIGPRSIVATGPNELEGCKWELERDMEKFMEV AEKLVFPYKWGAYNVLVLPPSFPYGGMENPIYTFATPTIISGDRQNVDVIAHELSHSW SGNLVSNASWEHFWLNEGWTMYLERRIQAAIHGDAEFDFSAIIGWKDLENAVELFGKD HEYTKLIIKHEGVDPEDVYSTVAYEKGFHFLYYLEGVVGRENFDKFIPFYFTKWSEKS LDSFEFKQTFLDFFNNFGNEEVAKKITEINWEEKFYTPGLPPKPEFDTTLANQCYDLA NKWKDAKFEPNAKDLEEFTANQKLVFLGEVQQSGDLTADRVQLMGKTYDFMSSKNVEI LSAYYQIALQAKDSAIYQAAANLLGTVGRMKYVRPLFRALNKVDRQLALGTFEKNKDF YHPICKGMVEKDLGL FPSE_03686 MSSESPPKYIYKIIPSPPEDPFPKELPLSELDRNDGFVHLSTSS QVPRTADLFFTKTSSLWVIKLEYAQFAADSMKWEGGYPHLYANFGADNVDSVEKFIRE QDQTWGQVMEKSSWLQ FPSE_03685 MSSSMNKYWIPHQDIHRKVITQELQCYLGPQATVRPYTLEGEDG FLISTPGSCLTDVGHCGLVKELLSLIDTIDRNKSMIFAESRKIFGIDKPQPSLSSFRE GRPETLIDVTMIDPGMIIIEPRYDSAVMIMLPRGGCQDSGRQS FPSE_03684 MPPAGGGNIKVVVRCRPFNSREIERNAQCIVEMKGNQTVITAPE GKGVKDSGPKAFAFDRSYWSFNKDDPNYAGQSNLFDDLGQPLLDNAFEGYNNCIFAYG QTGSGKSYSMMGYGKEIGIVPMICQEIFKRADEIQKDGKTKCTVEVSYLEIYNERVRD LLNPSTKGNLKVREHPSTGPYVEDLAKLAVNTFQEIEHLMDEGNKARTVAATNMNQTS SRSHAVFTLMLTQKKIDTDTKMALEKVAKISLVDLAGSERANSTGATGARLKEGAEIN RSLSTLGRVIAALADLSTPGKKKKGSGQVPYRDSVLTWLLKDSLGGNSMTAMIAAVSP ADINFDETLSTLRYADSAKRIKNHAVVNEDANARMIRELKEELSLLRGKLGGGGGPGG AVVAGETYAEGTPLDQQMVSITGPDGVLKKVSKAEIAEQLSQSEKLLTDLNQTWEEKL LKTEEIHKEREAALEELGVSIEKGFVGLHTPKKMPHLVNLSDDPLLAECLVYNLKPGT TTVGNVDTNADHQANIRLNGSRILHDHCSFENAADGTVTLTPSEGASVMINGKRITEP SQLHSGYRVILGDFHIFRFNHPMEARAERAEVPDRPQSLLRHSITASQFQALDRGSPS PSPRPGHERSFSRVSEFGDISRPETPSIFQRNGRESDWSLARREAAGAILGSDQNLTS LSDEELNALFEDVQKARAERVNVREDGDDSDSSYPIREKYLSNGTMDNFSLDTALTMP STPKQGEPDDRLREVREELQNKLEKQKEEYQDQLKSAEAANVEIEEIKQEKVKMEAAL QELKEDMQKQLNQQRKQFEEKMEKMDPLKMPKKSPTLSDEEIETAKSIVKAWRGRHFV KMAEAVLQNASILKEAQVMSHELDEHVVFQFAAVDVGHILCSSYDMVLNGLTGEGDDV ALEEAHKPCIGIRVVDFKHSVVHLWSLEKLHDRVRQMRQMHQYLDQPEYAQHLSLDNP FIETCMPSYTLVGEVDVPLKAVFERRVQDSTLDVLSPYTSHLVGIIKLSLEPSHARAP TNTVKFNVVMHELVGFAEREGTEVHAQLFIPGISEEDGITTTQMIKDFDEGPIRFESV HSMSIPLFAPKDVTLRVAIFAKVSAMHLDKLLSWDDMRDAVPIREDKAKASRINESQF FTQEKHDLLSRIQIMELNENGEYSPVEVTQTSELDTGTYQLHQGLQRRIGINISHSSG DALPWGGVTAVRVGKVRLVDSAGKTPDMGSNEPDISLKLSQSPIFRDNANGTKSLTIY AQWDSSLHNSLLLDRVTQDKYRVQMTICWEISSEKLAEPMKFSQKVCVQILSRSFVRQ TSMFSALWQNVRFVRSSTGIFTLAMRPAPVKKVGDLWRLNSQHDYVKGEENLTSWTPR GVSLVSDFLMARKKKKRAADMEVTETVLAKLGLDGASMISDKKEPSPEPSPELKPIIP SDDDLLNDTPETSQTLLDDDDEQPATDTPQAEHSEETSQLEAGESETETERQEEARSE IDAAEQNGSDVPESEGLEDQEGHPEENGVEEVASDAADAADAEEDETVQVEEPLPPPP KPEYDEEQTDLLNKCLKLWKKYPDPSDNILSLTNMAPPTDGLTTDAPAQPTLVATVIR VPKNPKVLKGGYLMIPNSDSTRWVKRFVELRRPYLHLHSATDGDEVGLISLRNSRVDS QPGVMGLLQGPTDSGAQGQNGQEGPDFTPGHRRTGSGRVISTIWTGSGGNASAGGSGL QRLPDRMQSAVFAIYGTDNTWLFAARSERDKMDWIFRIDQTYLAGNDSIPGSGISSPY PGSDF FPSE_03683 MPASSPPSLNHFQFTFNCSASNSGGNSFIEPDPDAQNIDSESLT DSVQNFPEEFGRTYHAYRAGSYAFPNDELERERLELQNEALVKLFGGRLFFAPLSERT PPVSILDIATGTGDWAIKMGDLFPHAEVIATDLSPIQPRNVPPNVNFFVEDSSEPWDY SQPFDYIHTRVTSGCWSNFKEQIADQAFETLRPGGWFESQEYDANVMCDDETLPLNGH LATWFREINEASVLMGRPTNVAATVRDAYVEAGFIDVQVRIFKMPMNGWPKDERLKEL GRMWERNFLMGLSGFSFALFNRAYERTPAQIEVSLVDVRRELIDTRIHAYIPIHVVIG RKPFPGEIYAPRTPFA FPSE_03682 MGDFIDSKLDLSTIKPSFSTSSEPESPRSKSLIEALSLELHIEG GYFRQTDADPTTIPSTYSSKPLSDQTLALTGGTREGYSTNTRRLSTTIFYLLTPRQSK GNFHRNRSRVIHTLHRGRGRYVLIYPDGRIESFVVGNAVERGERLQWIVEGDVWKASY LLPNESNPSHETDGTETDGLLISETVVPGFEYEDHAFLTHQGIKELLKEEQVRELEWL VRKSE FPSE_03681 MSAPRLFRRPAIFFIPCTATLSIPRQRLLSQCRSRLQQNSGRDI EDRLQAARPLVSHNAARRFTQAGSSRNSRITVIATVVAAIGFYFYNSQTVPVTGRRRF NFLSDSMVARAHSKAAAQIIEQVRAQGGHFLSDWDPRTILVKRVMKRLIPVSGMTDLN WEIFVIADSRTANAFVLPGGKVFVHSGIINVCRSEDALAAVLGHEIAHNTASHASERL SAAWVGNLTAGSLFFLAGALPGLALFGLWNVVGGYYLQDLLFYLPMGRKQESEADYIG LMMMAEACYDPRAALGFWQRMDALQHASGQEAPEMLSTHPSNENRITKISEWLPQALE KRAESDCRGTAAFADRFREAIRRRSATVVV FPSE_03680 MSETYTTAEVQKHKDEANGFWLIVENDVYDVTKFIDEHPGGAKI LKRWSGKNATKAFWKYHNEDVLKKYGKDLKIGAVGESAKL FPSE_03679 MSDLFNLEAQRARLSRRQGPEPGSAPTPTLPEASAIQTAEAKLP RPDLEGYLQGISQEDVVRHIIEDIVPACNGQGSSSRYYGFVTGGTLPIAEWADNVVSR MDQNVQVHLPAQTIATTLEDSALQMLVSLLKLGDWKGRTFTTGATGSNVLGLACGREA ILEKRGQSVGEVGLLAACLSAGVKELQILTSAGHSSLSKAASIVGFGRDSVKELRLSE SEPWRLNLDALEKELQKSDVASVIALSAGEVNTGRYALTGIEEMRRVRELADKYGAWI HVDGAFGIFARVLPEQDDYKTLHKRVEGVELADSITVDGHKILNVPYDCGMFFTRSPA ILQSVFTNPNAAYLSGGASTIPSPLNIGLENSRRFRALPAYAVLLSEGRPGMAKLIDN MITLSRQVAAFLRDSEHYELLPEDGAGLDEVFMIVLFRAKDPKLNENLVQKINETRQM YVSGTSWKGEKAVRVAISNWMVDVDNDFKVVTSILTRVAEGKEFNIEDC FPSE_03678 MAQGTVKNLGRAIPTKAVHSKRQASKVHKPKQTKKSIDKVHRKF TSGMTAQTEKLLGERAGHLELLGQKKKKGEKKSTDKRATTKGGSKKFG FPSE_03677 MNFLKSAVASAIAQGPPFPYNFGDKVDIDESIWTLYNGTKREDG SNCSIFSFDITTNRSQLPLAKNALKKLRTLRHPGVIKVLDAVETETYIYIATERVVPL RWDVRRKSLSPETIKWGLHSVARTLKFINDDASSIHGSIKVGSIYTSESGEWKLGGFD VLSSLKDDESIIYTYGSLVPDAARYTPPELARGGWDVIKKNPHTAVDAFNLGTLIFEV FNGEYIAADQAGQTKNVPPSMQSSYKRLCNANPKARISVGAFLDQGNRSGSFFDSSLI KLTEGIDNLDIKTPDEREEFLAGLDEISDDFPEEFFKLKVMPELMKSAEFGGGGPRAV TVVLKIAAKISKEDFDSKITPFIIRLFGNPDRAIRVCLLDNLPLMIDQLSQKIVNDKI FPQLITGFTDVTPVVREQTLKSVLVIITKLSDRTINGDLLKQLARTANDEQPGIRTNT TICLGKIAKHLGASSRSKVLIAAFTRSLRDPFVHARNASLMALGATAEYFTDEDAACR ILPVISPALIDKEKIIRESATRTMEVYLQKIKKAASSMPDTVLPPPQAADGAAPRMAT PQPNENNAAGWAGWAISSFTNKISAAAGEIHAESDSRTASPAPAASASSEPKKPATGT ASSLHRQAVKSPPAPLSRNSSHTASVVADSFLPVDDGDDVGDSWGDMNDDFDSFDTPG QSSKQPAATTATATPFEDGEPDFAGWLAAQSQKKSGNAKPLPKGLSKSSTVKKPLAKP AAKPVAAKKIDMKPKEADDDDGWGDGW FPSE_03676 MNHTSRIGGTCAAPDCYHTILFSSTAFCSLHQSLGQASPINNDH GGASQLGTSRPSGRVLTARRGRQPFWRPGLRNSVRSREASRESLSTRPDTQAEQPNVT QLESRTTSAQSLTRRGDGEEREKDDAFRGQKEEDTVSNWLSERSSPIDRSTNAALQTG MIQSSAWTAKKVTAARIDLTDTSSLLRAPRINSQPGVEILTSIPREHPVTERVVSPVA NKEPPLHPSRKPKLDTKSSGHVPLSFSSKSSTPTAKKPPENLLNMSKPAFDSEPKRSQ GRSPQTTFSPKVLIRPSRPYEVSPWSKHPEKALPKEALPMKASLEKERPLRDILLPKV CAKTDNGANKASKSDSSDGTTAQAIQAALLSLRTKTGTDKEKPLATFDPVAFDSIIYR QSGLRPPPGVRLSSRIVKNGSASHKSERVYLPVNPAIHKMHKRSQHWYKQKCEEIKRR PSRKAWFGKVEARLRWLRAEEERLEQSRQNAQNDGSIPPFEPPKPRCFKQILDFGDVP EKELPEDVRSDPAWLKACAWLRKCEEQATQYQRQRNKSKREAERYFKMNFGAG FPSE_03675 MVSMEVASRSGGAMTQLLRRSGPNAIRSTAALSSNKNSRRNFSS VKSLPPTYEKLYTKYTDVRRVLGKQRLTLAEKILYSHLDNPEESLLSNTENGQSIRGK ANLRLNPDRVNMQDASAQMAILQFMSCNLAKPAIPASIHCDHLIVGSKGAKDDLSAGI ETNKEVFDFLESAARKYGMDFWPPGAGIIHQTVLENYALPGLMMLGTDSHSPNAGGLS TITIGVGGADAVEALVGAPWELKAPKVLGVHLVGKLSNWASPKDVILRLAGHLTVRGG TGSIIEYFGEGVDSLSATGMATICNMGAEVGATTSIFPYTKASARYLDSTRRSQANKN IEALESFAGNSSDPDARWQFKADEGAEYDDLITIDLSTLEPHINGPFTPDLATPLSKF KEVVKEQDWPELLSAGLIGSCTNSSYEDMTRVESLLKDAQKAGLKPAADFYITPGSEQ IRATLERDGTLQTFQQAGGIVLSNACGPCIGQWKRQDGVEKGTSNAILTSYNRNFRGR NDGNPDTMNFLASPEIVTAMAFAGSTTFNPVTDSIKTPDGKDFKFSPPHGLEGPQTPF ESGNAELGVLSQEPDPNTKIAISPTSERLAFLDPFPAFPSSDLSGLRVLVKVTGKCTT DTISAAGPWLKYKGHLPNISTNTLNTAINKETGEVNAAYDLDGSKHTIPELGQLWKDR GQEWLVVAEHNYGEGSAREHAALQPRYLGARVVLTKSFARIHETNLKKQGVVPLTFEN EADYDKIAAGDEVATVGLYEMLQNGGKGDVQLRVTKSSGEEILIPTKHAVTKDQAGFI LAGSALNLLSKGI FPSE_03674 MAARFIVDSSPPGSPSTPARGTGFSFLPEHPTTTPGGPPPPSSV ASTTPAGAPSLSFMGSSFSHGMSNTKPLNLGVSNNTGSGRSLFGRNSTTSNPLARSIR GRQPSGLSRQFSVTEEEDEDQEQYVEEEMELPPHRGSLFRMSAAPEERPREVDAEIER YIAQDIAGHDGEDAEYEDEEHAFDRESSEEADMFLNMRHDDRAYGQPMIGDESDLMVL NTPAATSRVRREAESIFRQTSTHLGISGRPEGFQFASIAKNLYNQYEPAQIVEPADMI LDTEQLVCRLYEEGVGTEEDFEKMDNSLSNITFRLTELWNKCVDGLPQPEGEDFASVG PGLNTEPFEKAAYIAQLILRMHHTRFDNDTDEEKTPPLSEIMFDWMQKSHNLYPDQVR DISRHSPSPACHSLFWQTVRCSLLRGDVRSASYLLREAGWEHVRRGPRGDYAYIGKSL ENVRRFAAAAADVLDQSPAARSEWDIWNSNWTLFRIQARGSLNRMTLFAEGKEQDVQD LMDDPQPQSLSSMARKASSQIPWDIYENLQTVYGIILGNHEDIMEVAQDWCEATIGLF GWWDDGNQRHKALRFSQSQLRASSRFAGSEDYFDRLATAFHMVTGSDLNPNVMNPVEV AVASAFEGNVNAVLGFLRIWSLPLACTVAEVASLGEWLPAPDVPSLFPTDSLDMDDLA LLGVTQPGPDELEGIKDTTLVLYARELAGIEELSPERDGWEMAIQVLGRMDSPEKSEE TVGELLRDLLDTLTENSGPTVDKIWAILNDLGMINYAEETAETFAEILSRESHRYGEA LWYYALSHRSDKVREVLNLLMSYSLVQSTVYPSEKDLDQELKDLLRKRTETLEKRAKQ DLEAAQLLGRMLSGYATLRKFYELRDAEDLDNISSTKALKLKKQAASALVAVISSSDD NIRGGLYDDTRDSVVSEDFLLALLGEATVFINQSPAVITLSQIDILLKAIEDIQTVGD RVYSACDDFFNLVLASAQGLKGSTPQDLMGKSTGNLGGSFMMTGSSVLVNHLHKSISA GAKVNRGWDWRKGWLATSKGEDVLRKLRLGLAKDLAALWLEDADGVVSY FPSE_03673 MSTVGKTITCKAAVAWEAGKPLSIEDVEVAPPRANEVRIQIYYT GVCHTDAYTLSGKDPEGAFPIVLGHEGAGIVESIGEGVTNVKVGDHVVALYTPECKEC KFCKSGKTNLCGKIRATQGKGLMPDGTSRFKCKGKDLLHFMGTSTFSQYTVVADISVV AIEHDAPMDRTCLLGCGITTGYGAATITANVQAGDNVAIFGAGCVGLSVVQGAAARKA GKIIVVDVNPSKKEWGEKFGATDFVNPTELGDQSIQDKLIEMTDGGCDYTFDCTGNVG VMRAALEACHKGWGESIVIGVAAAGQEISTRPFQLVTGRVWRGCAFGGVKGRSQLNDL VADYKKGDLKVDEFITHRKTLGEINNAFETMKQGDCIRAVVDMQKLEPKI FPSE_03672 MRSSRISKDASKIFDRVKESTSPPRRVTRSALAKFAFAATEDTK PAVGDIEDIEDIGGPVRKRKRVATIKTETSTSPLAVKSEVIDEALDEIPSPPAKARRV RKPARKAIDATTGVTKIEPPSDWEAIYDTVRKMRAPGGRAHGAAVDTMGCERLADEKA SPKDQRFHTLVALMLSSQTKDTVNAVVMRKLQTELPPFEPGAPPGLNLNNVLAIDPKT LNEFIWAVGFHNNKTKYIKQTAEILRDQWDGDIPDTIEGLVSLPGVGPKMGYLCLSVA WGKHEGIGVDVHVHRITNLWGWHKTKNPEETRTTLQSWLPQDRWHEINHLLVGLGQSV CLPVGRKCGECDLGLQGLCKAADRAKVSAGRKLKTEEIKLEAQNGAAVKTEVSQDIVK KEEGDSVW FPSE_03671 MPPKRIRCTAATCREPAQRIVGDCTFCQGHFCGKHRLLEDHKCT GLEDCKKQSYERNAIQLESERTQVIRGV FPSE_03670 MAAASQSRGIRIAIDRGGTFTDCVGEHNGKEIIIKLLSEDPANY KDAPLEGIRRIMSHFLGRDIPRGEALDTSKIESIRMGTTVATNALLERKGEKIAMVVT KGFKDCLTIGNQSRPKIFDLAIRKPDVLYEKVVEIDERVTLEDYAEDPERTQTEAEAQ VGTKEAEGKTLVRGLSGETVRILKRAEEDDIRSKLKGVYDQGIRSIAVCLMHGYTYPD HEALIGRVAKDIGFQHISLSHELMPMIKLVSRATSVCADAYLTPAIRKYIDGFQAGFE GGLGTRSVKEETGTKGARCEFMQSDGGLVDVEKFTGLKAILSGPAGGVVGYAITSYDE ETKTPVIGFDMGGTSTDVSRYGEGRYEHVFETTTAGVTIQSPQLDINTVAAGGGSRLF FRNGLFVVGPESAGAHPGPACYRKGGPATVTDANLVLGRLLPEFFPKIFGENEDEGLD VEASRKVLQELADQVNRESDKNLTADEVAYGFLTVANETMTRPIRSITEAKGHDSSKH RLATFGGAGGQHAVAIAESLGIQQILVHRYSSVLSAYGMALADVVDERQEPDSLVWKD DDKTVSELKKKMEKLKDQSRKSLNDQGFQESEIAFEEYLNMRYRGTESALMIVRPTAE EAKEHFDGKEWDFGEAFVKQHRYEFGFTLDERDIIIDDVRVRGIGKSFRHQDDTVDKQ LKDLKQQEVSDKKKLNSQQVYFEGGRKDTPVFKLEGLQVGDSIPGPAMLADGTQTIVV TPKATAIILKTHVVINLEKQGSKIESAKASGDREVDPIMLSIFGHRFMAIAEQMGRAL QKTSVSTNVKERLDFSCAIFDATGGLVANAPHLPVHLGSMSTCVRRQAEIWKGKLEKG DVIISNHPSYGGTHLPDVTLLMPAFDEKGENILFYAASRAHHADIGGISAGSMPPHSR ELYQEGASIRSEKLVSGGKFNEKRVVELFYEEPAKYPGCSGTRCLADNINDLRAQVSA NQKGISLIESLIAEYGEETVQFYMVHIQNNAEQCVRRLLKDVYKRFEGKDLSAVDFMD DGSPIRLKIRIDAEKGEAEFDFSGTGPEVYGNINAPQAITFSAIIYCLRCLISEDIPL NQGCLKPIHVKIPPKSILSPSPGAAVVGGNVLTSQRITDVIFKAFQACAASQGCCNNL TFGFGGNQDGAEAVKGFGYYETIAGGSGAGSDWEGTSGVHCHMTNTRITDSEIFERRY PVLLREFSIRSGSGGQGQHRGGDGVIRDIEFRIPLQVSILSERRVYRPYGLNGGGDGE CGLNLWVRKVEKANWEASLKQFHTKDEAGEVEYEERNVNMGAKNTAAMKAGDRIIICT PGGGAWGAEGAESVAKKKVDHTEAWRKGSGSARDETALQA FPSE_03669 MHYPYFNDHTDLFLEDILKRLKAVACDQKQFAIQTALPFLSPNS NSMMRIAIAGGTGLGYLLASQLSEAAYAYQVVVLSRSQHPEYAGLDVQVTVVDYNDEE NLSFALQGINLVICTFSGNEQLNLINSAAQNGVQFFVPSEFEGSIDKRPDNDQLYPDS YSTEARQLLRRWTRLSQMKWTVFSCGVFMERFLPGGLGSMFIGYRSNLAMAGSYLLDT SSYTAECVEKNTRGHTVRVCLTSVYDVAQFVVAAIILGPASWPRELTMRGDRLSVRDV VGQCSRALNAPFQLSQWQATDPDRLATASYQQGAYGKYIFYRQLQATVEGRYDFSQAS LNDLVNTLIMV FPSE_03668 MADEHTMSNEEWDEVAQDIPSLSDPFLQQYLTGRTNLISQEKKS RSDASFRASLSPIAQRASDIVDRIRDQENDTVWTPQVEEDLAQAGNECIFPGMMFMLA KDRMEKTNLWNIVRRMPKGALLHAHMDAMVNFDFLFDQLVKMPGMHISSDRPLNTDES REDATPNFRYRKQADAEASIWDESYKAGTFVPLTKAADEFPHGGRAGFFKWLKGRCTL SVTDSHEQHHGVDAIWVKFAKCFMVCATIIHYEPMLRVFLRELMKNLKDDGVNWAELR MTWSLNYCRDQQEEPEKDYNHLFEVIGEEIDNFKKSPEGEGFWGLTTIWTSLRSWPTR AIVENMDFCIATKMAFPDLIAGYDLVGPEDMGRPLSDLLPELFWFRKQCAVENVNLPF FFHAGETLGDGTDTDSNIFDAILLGTRRIGHGFSLFKHPLLIDMVKEKRILIESCPIS NEVLRLCGSVTAHPLPALLARGVACSLCNDDPAMLGQDTAGMSHDFFQAVQGWKNLGL AGLGSLAENSVRWAAFEDQSQADWIDGIKQASLGSTLKAKRMQQWQIEWEKFCLWIVE EFGDEFGDEAKEKANDA FPSE_03667 MKSFSLITLFCTLVTAAVIPRDTVFDGHCCFTLYDVASSAAVQE NSNGNLLLNAGSTNGFFCIDLSNSQNILRDRSFNACFLNPSGEVKCVDPTPGFESWTL KKSGSNTLLRRDGATAFNSCSKTSTSSKGTVLFGDKHTGASTCKKTTLKVKNLKGTCK NF FPSE_03666 MEASGADKGFFQKPPVLNNQFYDDVAFQRCFKLFLPKHIIRQVE AEVAALGGDVLSDEVFSWITDAERNKPYVKGSGRDVFGQWKGDLVTGEGWRGLQNFGL SRGFVAAGYDTEYGPFARAYQFLRIQLWTPSCANVGCPSAMQDGAARLLQTHLMSPEL SKRLTDTQRRVFENAFQHLTSRDASYAWTSGQWMTERTGGSDVSLTETTAIHQPTSGL ELASKVEDIPLGPWTISGFKWFSSATDSRMTILLARTSKGGLSTFIAPMYKHDLHALT ATGQAKENGEKLNGVRIQRLKNKSGTQSLPTAELVLEDMRAWLVGQEGRGIQEIANVL TLTRVHSSVSAVGYLGRGLAIARAYARVREVGAGRGTRMKLTESSLHMRTLSKISCEY RGLMLLSMFTSYVLGVSEHGVSSTSSLSPALETLTPSKQHAAPLVRVVTQLTKAYVCK ASVQLIFSCMESLGGVGYLANEEQEYLNIARLHRDCAVLPIWEGTTDVLSTDFLRALK HPKGGREALDALEDFVRRGIASQGKIPQPQGWDPLEAWKELRQRIESESLADLMGDAR EVLWGVADILASILLFIDAGSDGDQVSSDIFLRFLETKNVVTKREKVSAKEQLVRDIG VVYGSTASDMSPKL FPSE_03665 MGQSQSNEWVKVPDLENSADNTKPTENNKSTENTNPTDVLKVME PSNLSPTSSSLEVHLKQMSNDFDVYQKRLESITEHMIVIDELVAKISGPVMEGVCRFR KVRDEMGSLVNEDNASQKHQKQVEMLEVAIVTHRKMVITAHWLNRVLSNLSTDMSNSK FYLDK FPSE_03664 MAGAAKKMFGLSQVVPVVMPLIAGSAGYAAYRVNWGPLIREFLT GPGRTSRILLLFFIVFNWKSMPFAWTYRVLYTIFLHGYWRKSPSLGPNALFKPMISTT HAPILEIDYNLHKSNSTYFADLDVSRSHLVTYLCRASYMTLANNAKTKLVLDPKTDQP IKGNVSIILGSVACSFKREIPAFKNFEMWSRILCWDRKWLYIVTHYIPKGAAKPTSWL DPTFKETSARGPQDAAGGWHRRIYATAISKYVFKLGRFTVNPAIMLGGASGLLPERPG GWTTGPEQLGDMSVDLSDVDLEVPGEWDWQRVEAQRRKGVEYAKHFQELDTLHELFDG GNEGALGKFAP FPSE_03663 MSSPPPKGIYVPVPTFFASKKAANYNAISSPVDVETQVAHAVYL AKNGIKGVVVLGTTGEAVHIHPRDRHAILSGVKAGLEKEGFKDYPIVAGTATNSVEET VEQLKDAQKSGAQWGLTLVPGYNAAAVTQEGLVQWFTAVADQSPIPVMIYHYPGVSNN VKVAVSTYVTLAKHPNIVGCKLSHGDVSVAAQIASNPSIDHSHFHAYTGLGQQLLPVV SVGFVGAIDGSAGFFPKSLVRLYELSTKTQPTDAEIQERRVLQFKVSSMEELVVKFGT VGIKEAISRLRGFGDSDGTRLPLMGGIPGGDAEWANWKEVVGAVDEVEKSL FPSE_03662 MESFIIKTPSSSANIGPGFDVIGLALSVYLELHVTIDRSKTKSE LPLNCRITYEGEGENEISLDPEVNLLTRVALYVLRCNEQRSFPVETHVHIKNPIPLGR GLGSSGAAVVAGVALGKEVGGLKHLDNDRLFDYCLMIERHPDNVGAALYGGFVGTYLK PLTPEDVARTEIPLSEVLPAPAGGEDTGKKPPNPPHGIGHHIKFPWAKEIKAVAIIPD FQVATHAARGVLPANYTRPDVVFNLQRIALLPVALGQSPPDPELIHLAMQDKIHQPYR QTLIPGLTEIVETMSPKTDEGFLGVCLSGAGPTILALATHNFEAIADKIIAKLREHNE KKDLPCQWKVLEPAEGTHVVRS FPSE_03661 MKFTGILSALALTSAVSATTVSYDTGYDDKSRPMTAVSCSDGSN GLITKYGWKTQGNIPTKYVGGVNIIAGWNSPNCGGCYRLEYKGRKINVLAIDHAASGF NIGLDAMNALTGGQATQLGRVNAQVYHADPSACGLKK FPSE_03660 MAIIFEDQLDRLKGGESLEFAHARAEILRSAYDSYIESAHARQF APPPSILQLIASPDIAVKLSRCRKSGAAIDLTIAKKSDASYENFMGYCKEHRCVVFKG ETGLFYDFVDFVESAAAKHGINDTTAVLRAPDKIRIPFGGLKYGLEYEQFVDLVEVLQ CAMWIRTSPSEQEQAGKSFIKIATDSRTPPPSTPEYVPSSPSDTLITNGTILEHPDVP SQSLSDSDSPLSSCGSSISTPPLFRDMPSICSDDSSSDDSSELSDPPMVINTPPWLRF VFDE FPSE_03659 MQNLPNELVTHILTFIDPEADLQHRIYQDPAKLSRPVSSGPNTS LKNASLVCRLWRNSLIKPLFRHVVWSFWRFNKPAAQDIVSEIEVLSFIRRNNLASTVE SFTILVDAPRRSGQDRYADGQLWGLLPPAAHLPETPSLNLSRSVLDNEREGENDQSRN GEQRAQVLWDNNWLWHTIFDVIDPLRITVISPIDILASLLSRTVDLSSNWAFDSTYYV LSLSRPSSSAGQKKGSDLLPSQLSGLRDRIPCDLFHIRDWPSLLINEGSFAPVYSTYE FFHYSAATLLPAIFDASDPSFSVICENLKSLSYIATFPLSHHISDFLIPHCPPVEHLF VQLMPKNRDFWESSSLAKVNLSDLWLECDTSYALLVRQMLEPIPQQGWQRLKVFETGD KPAEGVWRMNTYDAHINGVNGWREESEGVFVKDDSQSESQEQAEEEGP FPSE_03658 MSRRNNREQEASLLQGRDRVSLDSDSDSDSSTSSSDDTTCEPAS SSAARPRTVGARGTANHPSNASAPDTKRHDRNKNTKSKSNLDSEGLELDDMNPNDLRR PNYHRHGDGKSGEPLLFKEDDPERGRAGYSPTSDSGRRPSQGSRASSEDDLPYHPNET MTRPSMSRRSTMRSRSPQTAAEAAADSTKQRYTYAGFFLIVSLIAFCVQTELSAHIQH DLGWDKAYCMMYFTHGSWVVLWPIQLLILRFQKRDVPWPVFWKRHKHQLRTTAIMIEK QTLDVFHISIQHRARPVRYFVRFTAIITCALTVAGLSWYIAVSLTTPSDLTAIYNCSA FFAYVFSVPLLHEPLRLDKSVAVLIAIAGVLVVAYGDTKEGTESVEAGNRFFGNLVIG IGSVLYGLYEVLYKRYACPPEGCSPGRGMIFANTFGSLIGLFTLTVLWIPLPLLDFLN IEKFEIPEASTCWLILLAVLSNATFSGSFLVLISLTSPVLSSVAALLTIFLVAIVDWM ITGEPLSFAAIVGGAMIIIAFLGLTWSTYREMKEHAAREPVADFTDSDKDGDIDSDDD FPSE_03657 MPLLPQSVKPRVILGLMTFGPPGTEKFDARIFDPETFNKALDVF QSKGYSEVDTARIYVGGNQEGWTGKETKWKERGLTLDTKVMYPKEPRENTYDKVIKSV ETSLKELGTDCIDLLYLHRPDRGVPFAETLEAINKLHKDGKFVNFGISNFTAYEVAEV VMTCKYNNWVRPTVYQGMYNCLTRSIEAELFVACRRYGLDIVVYNPIAGGLLSGKIKS MDIKPETGRFSDQSAIGTAYRQRYFRESTFKALSVIEQAVEKNGLSMLETALRWTVHH SGLKMKDGNDGIILGMSKVEQLEENLELLEKGPLPDEVVEALDQAWLYSKADTANYWH GDLEYTYDVHEALFGASAK FPSE_03656 MRAQLARHARRVLIQQVRSPSCAVYSTPLRRTRLPRTINNGYSR RYFLEALFSKAPREIRQPEFEPGWQQIMIWRSRMLDNLRPPPTPELVQAWRSFFEGKL SSRRPLNGTQAMQCSRLLPYLVVQRQFPFTAKIEIADVINALNVLCRLRPRERTTEHL KLANALWTAVEDPRMHEKPGWTTRGGLWCTFIEVLCLYGGSKEALQMIYDKKDEVMQY CDKKTKNPILWMCQGLAREGHEEDLVNFVNWAQENGYPFEKHIQRVMVDFFAERDRVE ETKYWFEKPIPGTRSNLVIPLIAHFASRNNLQEWAVPYFLEIGEKLNGKPEKHYWDSL LQAILIIGKGLPEVESMMSRMESSHESFKSNTATINSLLRAGLELKDMLLVEEILSLA ADKGIPIDGESHLILMEMRLEAGYIPGVHAAYKKVTHHEPWHVKPDLWWDFGRLVNTF MMTLTTQTTPNFKLIGEIVEMCEENQVLLEPSTVASLCIRLLENEKYYEVMDILSVHA FQFSAAERETIQEAFSKFSADLKTSTSRAWNCYQILRQFFQDLSFDHRVQLMEAFFDR KRPDMASHVFGHMRQHRNNDYHPKRETYITFFERFSRCPDDESLEIVHNMLKMDTTVV PNTKLYTSLMLSYASCGKSTQAMDFWQAITSSAEGPSYASLEAVFWALERTPRGSIHS HLIWKRIESMEVDVPQSVYNAYVGAVAGSGELEKVQDMLIRMSVVTGHEPDAMTLGIA FNALPGQQLQADFKAWAQGRYSEAWAALESKGKRLNQDSLCQYKLNRILRA FPSE_03655 MPPRLPALSTASAIARTVIRPFSTTAPAAAPREPSSAGAHNHRL ANLNNSNNRAGGYRPQRGDAAARLIERYKTRNTSYTMEKTAQMDFLKNQKMSNDFLRQ MPRRWEAGDVYSPHDLSPVEMQKWRKRSVKNNDVIDALGISPLDMYKNFSLIEYFTSS SGMINHSNLTRLRPVNQRKVAKMIRRVQGMGIYPSVHAHPEMLREDFFSRNK FPSE_03654 MVRKLKHHESKLLRKTDFITYKGDNGHRDKAVMRRYMIQKPEDY HKYNRLCGSLRQLAHRLSLLPPENATRRKHEELLLNKLYDMGVLSSSSKLSAVENSVT VSAFARRRLPVLMTRLRMAETVQAATKMIEQGHVRVGTETVTDPAYLVTRGMEDFVTW TVGSKIKRNIMKYRDQLDDFELL FPSE_03653 MAVLSTLKELAEQLIDPYLFMSIAVRFLPSTILEVIRNKDFRTL LSPSRFKEAWFGNFWAFIGPQVKANAESKVIPLLEGRVKNGRMGDEVVERPINGVVLE IGAGTGMWADVFAKVNVGVNTEESEDGELRRRKGEEGGLTRVYGIEPNPKSAATLRQR VKEIGLDGVYEVVPVGIESINDPKAWDGRIEPESVDCIVTILCLCSIPEPEKNIKLLY QSLKKGGRWYAYEHVRIDQSRSFFLRAYQWVTGLVWSQVMGSCRICRSTGKTLREAGP WEKIDLAPPVGEARFRLLPHVLGTLTK FPSE_03652 MPLPQPEVIEILDDDDTASETGLNSPTPSKSAQKRKHETEIEEK VEWTGDEDDLPTKPKKRRGKRKSTRKKGGQDADAKNEVPEEDFEMADLPEYLIERRRK FDNKRKLHHDAALMIPPDYSGIHFEETGRLRELVERPKFPESSGIKPSRPYKDIELPQ SAGLIPASIAQYLRDYQVAGASFLHRKFVYQEGGILGDDMGLGKTVQVAAFLTVAFGK TGDERDAKRLRQVRQYPDRWYPRILIICPGSLIMNWKNELDRWGWWHTDLFHGANKED ALSTARAGRVEIMITTYDTYKNSRSAINLVQWDAVIADECHRLKDRSSETTKALNEIN ALCRIGLTGTAIQNRYEELWTLLDWTNPGHFGTLPEWTQRVTKPLTVGQSHDATVAQL SLARTTADKLVHNLLPQYFLRRMKSIIAKQLPKKTDRVVFCPLTDFQRDAYENFLSSA DIETLRTLSEPCCGGKKKGWCCNSLLSDGRRWQNIVFPSMMVLQKLANHLTLLVPQTT DLEGKHETDIKTLQTCLPDTWKYVYDNRDRIKNLVNPEFCGKWKVLKKLLKFWHSNGD KVLVFSHSVRLLRILQHLFTNTSYTVSYLDGSLSYEVRQEVVDTFNSDPTQFVFLIST KAGGVGLNITSANKVVIIDPHWNPSYDLQAQDRAYRIGQTRDVEVFRLISLGTVEEIV YARQIYKQQQANIGYTASSERRYFKGVQQDTDRKGEIFGLANIFLYHNDSGLLQDIVN KTNIAEAKAGVHLVDVDMEKAAKDEENLGIIKNEAPDVEDGGMSQLASLLTSENQQRM LDAKKPKKPKSDAIQAILTSAGVEYTHDNSEVIGSSKIEEQLSRRAAMASYTTQEGQS ALFADPGDERGEGLFGIYNPPEEAKLRQFCEMAKEFGFANATEFALVVESWTQEARRN CLDLFYKKREAKLIQEGIIKEEDVKDVAKNETHDPDAKEEVKDEKMDISSVLKDEDIK DNKENMLKRENIKTEMISTKVEEEKKVKTEGAGSSIRTSIFLSDDDDDDEL FPSE_03651 MPFTASDICKIILAIILPPVGVFLERGCGADFFINILLTILGKS FVNSTPAPDPSDAGSSQHSKLSLRVAQQLTNSPSGYIPGIIHALYIILKY FPSE_03650 MSTTLIKSGPAQQQPAPKAAVPAIPLVNSPLALPASIAHSVLLS GLFYWRFDALVADPVTTLQTGLPVVAAIQAVYLMLSLPPAGSSLSSKKPRPGEKKKSS DGREAKSFPTAVISLLLALILTPALHILLVLFGAPFLTHVPHTFLCCAHIAVLAIYPV FYVRGSDPIPLQAVVGVSAPFDQTFGGFLGTVVGAWLGAVPIPLDWDREWQKWPVTIV VGAYLGYIIGSQLLGTVFYGRRWEVTPEMKEE FPSE_03649 MSPIVARSAARAFQTRQFSLLTAMRNAGRAMESHPFERLPITQK PASPDYAKMFKRVGSQALFFFPGFAVILGWPWAAQYAFDGKL FPSE_03648 MVNKLLIVAAMASMIPSVNAFDPAKDRWLCQQDDAVCVTSFIWC STIGEDTAKGCSYPENTWPESPNNFGKNPALVLWDQEYTISWKGTDDKYATLIEWHFV RDTDRPSNSSSLTWSKKLKNKEKSYTFKFSDLASDFPNKDHDDIDAEQVKAAASNLMN VWAVSQPDRPYEGKGQVHGDPWMDKSQHFIVVDDDVVPYLETQRTIAKQKQNVKWHNG VVLGVGIGAPFLLAAAFVLGRFTGRKKVKKVSGYKSVESYHSGYNSAYLGGY FPSE_03647 MAKPLQFAPFISEIELPFYSALFASKLDHDKLDDSARSVLGLYE PRSEEPESSCRLQILGNALTSGKTNEPSSPLAIMRAEGIIRNVNTLEDFKNTDKPAML RTAGRQVWDAIKDGSIYSVPSLLSSFIILSYADLKKYKFTYWFAFPALHSDPVWKRSG PVERLTSQETTALVDRVGTWRYSVDARERGFFLAKKVPGRRETDDPDIPQELPFHWEI GSLRDFETGFFDQVPEEDRYVAFTDPSTYPEAPGWPLRNFLILIRHRFRLTKTKVICY RDTWAKRHEAKSVILPIEMDPVENLDITEMPKVTGWARSSNGKLQAQQVNLGEYMDPA RLADSSVDLNLKLMKWRIAPNLNLETIKNTKCLLLGAGTLGSYVSRNLMGWGVRKITF VDYGRVSFSNPVRQPLFNFHDCLEGGKPKALRAAEALKEIYPGVDSEGHALSVPMLGH PFTDETKTKEDYQKLEKLINEHDAIFLLMDSRESRWLPTVMGKAAGKIVMNAALGFDS YVVMRHGTETSPEGQTPLGCYFCNDVVAPADSQKDQTLDQQCTVTRPGVAPIASALLV ELLTSLLQHPLGKDAPAPQPISGVIPERDPPDHALGLVPHQIRGYTSTFQQIVIRGQS YDCCSACSPKILNAYRHDGWGFVKRALQEKEYVAELSGLAEVQRRAEEMAAHVDWEED DDLVDDGEGELI FPSE_03646 MLIGVCGSICSGKKTVAQYLVEHHGFKHLYLQPSSQTAPSNSSL DGESAYSESQSTESQSQSQSNDSTAFKGALTATALTTNNGHKSSSETTLTLRAGSQYV FSTPEELLDFVTKQWRSRYVTTDIPTEDVLDVFLRRPFFLLLSVDAPLTVRWRRFQER ARQRHAGGPDMSLEDFVAESDTHLYDAQKGLSPLISRAVVRLLNTSSSLAHLYATLGK LDIPNPDRLRPGWDLYFMELASLAAQRSNCMKRRVGCVLVGKERRVISTGYNGTPRGL QNCADGGCPRCNDGNSSGVGLSTCLCIHAEENALLEAGRERIREGSILYCDTCPCLTC SIKICQVGISEVVYAHTYSMDNDTARVFREAGVKLRQFIPPPNGLIHLEKMELY FPSE_03645 MSFSNSSGGTLALPSPTHAHHIDVTSAVRTLRRSISRSPSKFLT RSSPQSLSPESPHQTSPQSPCQSPCRRFGATPQNQHSLPSHTRSAPPNNGILHPAFTP FRPSVRLSLRSAKAGKTSPSRQLTRTRTSPRSPLKRALNTAPDSGNSLPAMPAPSVID ATGQENNALGATSPLTVSPDKRSSLHLEAPGSSPTAFIKSLDGHYEFQMVPNNGSLKR SDATMNLDQPSQESPVAKRRSLHGISVLGQNEDQNVFGSNTTLSQSFFIHEDASTEYE IAGTTGSPFRDPIPSPTPTNSNVPKRSSSLRKSTLQQRYGERGSWGRRSGERQLAQMS AEYSPVRSRPRLSQDQFTPPAVPQESPFASTTPASKPPTFITDVDYESNPLSTTPTSQ PPSFASADTGYEPAHVSTTPTSKPPIFGVDKGHQPHPLSKTLTTSSSGNSLTEETPMY APVARVPDRQRSHIFSRSLPLNATRPTRPNDMARTMATPSQNHLWVGAFNSTGLISKV NRNPEEDADKKMAPPDTPCKKHSNPFATFPPPAGSAMKKRVNNRNSFGGIPSTPFSNG GAQVPNSNPFSRPGKGMSIFQRGSASRGARRGSVLSLDGDEHNLFNDTLDFSTPMEGD APPTPTKNTLTPSLSKVSEHSFESPQENHSPTANRIIHAPTPIFGNPVPREATSSPLD GRRTPQTPRESLLPLDTSRLSISQAGDSFSDNMAPPVTPTAGRDLRSSTSLLVTPVNV RTSNVDLDVSLSSRFEKVEQIGKGEFSLVYRVTQADQQQMTFDDFIATPSKSPTKGKV FAVKKSKQPFQGPRDRETKLREAEILKALSHSEHVVQYFDNWEHNYHLYIQTEYCEEG TLDKFLGNVGRGGRLDDFRIFKILQDLCLGLKDIHDAGFMHLDLKPANILVTFEGVLK IADFGLAQSCSSAEGVDVEGDREYMAPEMLKGKSCQSADVFSLGLIILETAANVVLPD NGPTWIALRSGDLSEVPSLTWNPSIEVQRDATGNPTEGVLSDGFASGKTHDPSNLFGS YKRSELQQPPDFMVNAMHISSLDSIVRWMTAQEPSERPTVHQVLQLEGMQWVSHQRAA PATVYEGNWGPADMFPISEISIAEGGDSDTEMTDV FPSE_03644 MMIPQAFQGQAELPSVDSTNSYWHKEPSKKLLGHRSTADLPSTA KVVVVGSGITGTFAARELVKEGCKNVVLLEAREACWGATGRNGGHCQPLLYATKEPVA QFEMDTFHFLKDLVAEHNIPCDWETVGGVHRIPEQVLEIVAKHLEKLRASNPDLVHNI KIVTDKEELKKLRVADAYAAMYQPNAAKLWPYKLVSWVLEQLLEANDSSVFNLQTKTP VERIQRTGDSWILHTPRGQIEAKNVILATNAYTSHLLPKLTGLIVPVRGQVAALTPSS GSSPLEHSHVWWTPTGGDDYLVQRPSGELITGGERLGSSDSQAGLSRDDAIDPVIAER LRASLHAAVKLKTPEEVEDATLEATYEWTGIMGYSRDGYPWVGKLPAALGGEDSGIYV SAGYTGHGMPVAARCGIAVAQEILGISGGVNLPAQYRIDGGRVDRAQSMKIPSTLLDE VMMMIGD FPSE_03643 MPIESRFSVQVPNCSIQQWIFGSSSGPLPDKKAFIDADHPQDRY FTYSQARLFAKRIAVGLTDNGLKPGDRVLLFAHNSIFFPTIVMGIWMAGGIFTGANPG YVARELVHQLKDSQATFIISDHSALGVALDASSQVGMTPSQVFVLDSTWPDTSIEIQP PKGIRHWTELIAAKSRGETFEWTEPEDSKNSICSINYSSGTTGIPKGVEISHYNHVAN SRGVTLFHQLHPEFEARRDRAAALCFLPMYHAFSQGYFITSFPYERVPVYIMPSFEFP KILTHIQTFRITKLLAVPPILVLISKHPLARSADLSSIDMIASGAAPLARDTQREIAG MIPLGEAVVRQGWGMTEATCTALSWDPNKAPSSAAGELTPDSQARLVDIETGEEINTA NTPGELWITGPTVTRGYWRNPAATQEAFVVDSDGTQWLRTGDVAYVEEYAKGTLFHIV DRVKELIKVKGMQVAPAELESLLLEREDVADAAVVGVVIDGEEFPRAYIVKTPNGENT SKQDIADWLASRVVKYKQLIGGVVFVDSIPKVPSGKILRKVLRERAKREVSNGLEVRA KL FPSE_03642 MASRFTPLIRSVIRPACRVARPQSRAAFSLTASRRSDSLMVHRN TEDNNPDIPFKFNAENQKVMAEILKRYPPQYKKAAVMPLLDLGQRQHGFTSISVMNEV ARLLEMPPMRVYEVASFYTMYNRTPVGKYFVQICTTTPCQLGGCGSDVIVKAIKEELG IEQGQTTADGLFTILEVECLGACVNAPMIQINDDYYEDLTPASVKDLLKSLRSKATAT DPSTVNVPKPGPLSGRKDCENSAGLTNLTSEPWGTETTRKDL FPSE_03641 MESFSLLKRRTTDLFHNVQQNLPTMPTVPTIPSAPSPQKKKDSI KGTWERIDAPDVPRSSHSLNIVHGTAYIFGGEIRPREPVDNDMHVVTLPWSSAGADYF KIKAVPAKPDEQPKKVETQPPAQPKAKEPAEPVAKSDEEDIEKKLDEVSLEEDSESSD EDEDEEEEEEEPVESKSKGKEPAGPEQPELGNVPSPRVGHATAVIGTRIFLFGGRGGP DMKPLDEAGRVWIFDTRSNTWTYLDPAPAIPGGNIVPQPAARSFHTATSIERPRDFAP IRPKQAETWGQWALGDTSKTGIPQAPIVGNVAEEARDEESDGYGTFFIHAGCLASGER TNDTWAFNVHDRTWTELPAAPGPARGGSAICVSKTRLFRFGGFDGQNEIGGQLDFLHL EVESFDDQGTKGEIAVRARGGWQTVLASDPKTDSTEIHAEPNQVWPEPRSVASLEAVT SGAGYEYLVLTMGERDPSPEGHESAGKFLSNVWVYQVPPLGMTAASVTAAMWQAVGRK TGEGKWTELDLVPYDDDNSEDMPEPRGWLASAPMGELEESGILIWGGLGEDNERLGDG WILRVGDA FPSE_03640 MDEIYHKIAEFNPRRLKHSHDILSFKCSELWCNDATGIVDYHEG IVTIPDVASWLATETRKVPTGSESLILRFVWPKFILDDNRVELPEDTKDQILEKFGLG LAYSYFSSCVAGVAAFPGVVKPEATQRAYSFCYAPKLASIWSCTCFKPPASRPSVTYG MILAGDKEQESLKTLLKSRSKWQLNTASHALFPAFLFAFMFHREIEITQNNMKPGIQQ IEARTGYSDFKTKRKHIAAGELGTLSAQMSGFAVRLASTERKDRTVQKLLGFAQEQLR LGDSATPVADELMEHHIGVLQRRLDMQAMDREYTLKRVQIQIDVLNNLISENYSLSNS IISISTLRDAASMKTLAFVTMFFLPGSFISALFSTNLFDWDNTKGHGIGVPATPQFRL YWAITIPLTLVTFILYFIWAEFSSFDRSQRRERKRWGFPRTDSMEAEEQTRSALQVLK DQRERMNEAYAMVKKRQFVFGRGEKDEQMER FPSE_03639 MAAPSTSGTVVPAQLGFLAIFNPTLGNTDETIEDQIVYYASVTT QASQNKRRRTRGQLTQNISPEERNERLRQIGLAQGMASFSRGFASGSSVDTIDTEKSR VVLHELEPGWWILASIDLTKLPLPPRLATKKSAPAEERFEYSSREMKPASLLLRDLLR AHSIFLMHHDSSLSALFVRNRRTKFITILSRYWDLYLSTWNVMMHGNPARDIFGGVPI ASSGELGVGVGEEDRGSGERAVLEGLVGNIEGLVDLVVSKFGDYNPESPPPNDTYGDP EQWLGTGREPGPDDGAIFLGTGAISRKSLRDVTHWMEDLYTWGNHAYGIIESPTSVRR AKRKRAGDRASQQVPQEQAQAATANEPAKTPTEHATTDDSAGKNQPQEQTPEDGRLDK MVSYLKLGYGTYWSLPGGVGASGDTSPDQPETTKQDGKPAQDTSKTTRPNLTERTPSY EAAGHYLIGLKGEIDQEYSGTDSASSDDAGDGENNSRTVLRTVNIELESEALDRPEAI TVRDFEHPASALVQSQVVGNMIPGYDSHDLNKAMKLRVVVYVNRPFMFTFLFNLRTDS LAMDSLYRSLHHQLAPLRKPLLLSTRYRPERPGLDNGSSSNNLTNSIYDLVWDPVSLT VHTSIPNIPESYDDSETWTRSDALSTHLHLVNLYVGTRSRSTALERTEKSSRGWWIVW TRLLDRLEDVTTNSTLSTIQEGGAGADTEDDGALGEEERKYSGPDSRHPDVAKEIFLI RRASDHVGFRTDTTEGAGKLAQGIGVDTRRYVEELLNLL FPSE_03638 MKSFTLASAFLAAAAVAQPHGSPHGVHHRRHHQNDKRDVVTEVE WVTEVEYVTKMVDATTTVWVRPEAATSAPAVEETPEAEPTTAAPKKEKKPAPPPAPTT TMVTSVYTPPPPPPEPTTEAEVAPEPTSEAPAPVETTQAPAPKPIIKAPVVKAPKKEP EPEPTVEAEPEVESPVVKAPIQQEKVAKPASGGGSSSKSGEFTYYDIGQGACGEDDSG KDDSINIVALSHLLMGPSSNDNPMCGKTITIKANGKTAQAIVADKCMGCAMNDIDVSR KVYMEIWGSLDSGRTEVEWWFN FPSE_03637 MVLVCPHNLCGLGGSTQGMFAHRAVAIGQPARGRTVRQLPSEIL SG FPSE_03636 MSSPPSSPLMDPVTISEDIAPLPSFKASGVADVDFDGVLSKPLK VHEDVRSGCGGQTWPAGMLLGKHMLRYHKDRLVDAQILELGAGGGLIGLAIALECSLR NPLLVTDQLEMFELMQHNIELNNLQDKAKAMVLNWGEDLPAAVLEQKPDVILAGECVY FEPAFPLLMSTLKALLELNPNAVVYFCFKKRRRADMNFVKMAKKAFKVEEIFDEDRPV FQRQGLFLFSFTSRPSQTKAAKS FPSE_03635 MVFTIAILLFSIVRFTNSQPTINYPINSQLPPVARVGEPFSYIF SKYTFRSDSNISYSLGNAPEWLSIDSERRRLYGIPTNDTIPSGDVVGQTIEVIAKDDS GSATLSSTLVISRNKSPSIRIPLLEQIEGFGDYSPPSSLTSYPSTDISFTFDSETFDH QPNMINYYATSGDGSPLPAWMRFDANSLTFSGQTPSLESLIQPPQTFDFELVASDIVG FSAVSVAFSVVVGRHRLSSDNPIISMNTTRGRKLVYRGLADNIKLDSKSVDTEDIEIS TDGLPKWLSLDENTWEIEGTPGKSDHSTNFTITLRDPYQDTLNIYATVNISTALFRST FDSIEIEAGQDVNIDLEPYFWDPEDIDLGISITPNTDWLRLDGFNITGKAPVSASQDF RISVTASSKTSDDSEAEILEVNVLQFEPTSSSTTGSRTSSTSSSTSTSVAPTDTSSSP GVQLADSDGGLTTGTLLLAILLPLLVVVFLSMLLICCLLRRRRKQQTYISSKFRNKIS GPVLESLRVNGGAAAMQEINKVSTIAGAGQQPRRPLRTQNSEVDSETLVMTSPTLGFM VTPQVPPMFVAEDSNTSFSRSNSTSNSEDGRRSWVTVEGPAMAAGRQSRASFRSQRTN SGLSDSTHQLIPPPVLLSDARPRSFRRDVDPTVPSLNGYPSIHSQRAVFQQGSEYYTS ANDSSLAFASSHQSSPRLLTGGFSAHAPGARFNASTADGEGPSMEAAQSMPVLRRPEL VRLSSQQLLGESSRPSSRAWYDLDIPRGLFADPSFGSRENWRVYDAQGDTTNMSYHQL VDESPFHPLRPSTAMSSTRDGVQPGQRAGSELISPSQRGDGPNSIRDSLASLRQGLGH SMSKMSRLSVDPLVVPGSRDTRPVRSSSTHWKREDSGRKSDGGSYAFL FPSE_03634 MSCLSESAGYFGPSSVLIQGRGKYRHHFSYIILLMSDPCNHRNE NATGNDGLSGLPDTTQKPEVPVRSGRVSAIAMTVRSVSKKSIPHPCVL FPSE_03633 MGQTLSEPVVEKTSEKGEDERLIYGVSAMQGWRISMEDAHTTVL DLDTAKTHDSKLSFFGVFDGHGGDKVALFTGQNIHNIIFKQDTFKSGDYAQGLKDGFL ATDRAILNDPKYEEEVSGCTACVSLIAGNKLYVANAGDSRGVLGIKGRAKPLSQDHKP QLENEKNRITAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELSPENQIVTAYPDVEQH DLTDEDEFLVLACDGIWDCQSSQAVVEFVRRGIAAKQELEKICENMMDNCLASNSETG GVGCDNMTMCIIGFLNGKSKDEWYEEIARRVANGDGPCAPPEYAEFRGPGVHHNFEDS DSGYEMDPENKGRSFGVGGYRGRIIFLGDGTEVLTDSDDTEMFDNADEDKDLASQVSK NPTSAEKDTAPVAAPGAAENASADTKPADSAKTEVKKESSPEVKKE FPSE_03632 MLRVKSSRRPAVLKPTDYDHEIALVDHDAAATPTGSVTPEPNDI TRYSTTSRLLGVEEEGEESQTQNHNGQNGEHQDNSNKKPDDAPANGHDDSHTEDGNEI HPSSNQAKGEQGLPPQARPSIEIQEPTPDAFHGDHPQVKPRKPRVKRETAIDILYENE RGGFLCGVPLFSSQALGGLDPPAWTNGYHKASPSNIHNAQVPDPTWEWAWPEWRINHQ NGVDEHGWEYSFYFSKKFSWHSGKWWNSFVRRRAWTRKRIRKRDEDVSADPHMLNTGY FTIQSASHKSRLSHASVTSSRLSQSSMSQMTTGDGDEKPPEIDNVEDLIHALRQARID REKLDAVDSYMEHAEDLQNLQHEMHEIMSLFVFQQSRRILLSRLMEIHDETTAQVKKT NTTELRERNQALKDAIHHADEEVRKLAYWSDVKQMTENGEAKEAVKGDKGWDESWEGV DQSGGAHPLRDKATVAGKKPADT FPSE_03631 MSKSRMPLILGGGAAAGIGYYLYAAGGNPRAAEKKFESDAHSAA ADVKSHLPGRTANVEGELRGAGAATGQKIDQITAVADRQAGVLKSNVESVAKDAKAEA MRVVDKFDNRVEAEAAKAKGGVSSWFGGGK FPSE_03630 MSGRRDFLNQAAPENYVAGLGRGATGFTTRSDLGPARDGPSEDQ IKEALAKRAAQLGLAPDKKGKDKEEDEGNNDEERYQDPDNEVGLFAGGVYDKDDEEAD KIWEWVDERMDRRKKQREAREQAEQDEYERNNPKIQQQFSDLKRALATVTDDEWANLP EVGDLTGKNRRSKKALRERMYAVPDSALAAARDAGQMGTTVMDEDMAPSASGGDAADG TMTNFAKIGAARDKVLKSRLEQAGSDSVAPGTSTSIDPQGYLTSLDKNKTAMGNPEDN VGDVNRVRELLQSVVKTNPSNALGWIAAARLEELAGKTVTARKTIDKGCAQCPKSEDA WLENIRLNEGSPNAKIIARRAIEANNSSVRLWVEAMRLEVIPSNKKRVIRQALDHIPE SEALWKEAVNLEESVDDAKLLLAKATELIPLSIDLWLALARLETPENAQKVLNRARKA CPTSHEIWIAAARLQEQLGQGTKVNVIKRGVQVLAKESAMPKREEWIAEAERCEDEGA VITCQNIIRETLGWSLDEDDDRKDIWMEDARSSINRGKYETAKAIYAYALRVFVNSRT MWMAAADLERNHGTRDSLWQVLEKAVDACPKSEDLWMMLAKEKWRAGELDGARLVLKR AFNQNPNNEDIWLSAVKLESESGNEEQARKLLEIAREQAPTDRVWMKSVVYERVLGNV EAALDLVLQALQLFPASPKLWMLKGQIYEDLGKIGPAREAYATGVKAVPKSVPLWLLY AKLEEETGLTVKARSVLDRARLAVPNNALLWRESVRLERRAGNMAQAKAMMARAHREV PKSDVLWAEQVWHLEARTQRKARILEAIKTVDGSPVIFVVAARIFWGDRKLEQAQKWF EKAIVRDSDYGDAWAWYYKFLCQHGTEEKQADVVTKCVLNEPRHGDMWPAVAKKPANA GKSCEEILKLVAEELEQ FPSE_03629 MDKSSAVSDGPSGVGRAAQNMTDRRRSNTPDPSATSSSASKQSS ANNSIGPSPLASRDSSPTRRPRRTASANRLTGARSRKNSQTDNSPSRQKRPNPSAATP LRSLSSTATPTLVYSQEQEQQIQAPTPLKPNFNVDLKDSPRWPISPRLRSPPPQFSTR PPVPARHSDQELPAINVQRPTPSPQPPMENQAASESEMEEAYPSGMRTPARGPLETVQ EVSLPNSPNPPSSTALVEKIKEKLSNPDNYSDTALVDGRTLRARTSLIGQESGSDTSN NKTEARRPTSVPPPMITRQSSALSNKQMKSKQDGSIQTMTVETETVPSVPQVALTAAQ KAEASTGTLKTKQSTETIKPKKDKKKATRKQPAVNAGNASSKADVFEATIASAVDEAN TSDSEETFVYDSNPPDNNDRAGRRFHSRTPSATSMASQADRQNLRSIYGIIEGVGPSH GPKKTMKFVNTFNPNGNETLAVETEDGKGSNRSGGSGSTRGTARHHHHIGRWGRQPGN GHASLFDNESPFPNAARSKLASNNSRHSSGPPSPRQAHSNRNFGHKRSAMQMSSSYDM DDTTGADDERTPLIGSVRSGRSGRNRHGPHNLRQAESQTFARRSSYLNRFAACLVLTM MFMLVITGAIGFMFATSQPMTGIEIIAIHNVVTSDQVLMFDLTVKAHNPNIVVVTIDH ANLEVFAKSDYTGTDSDWWARPFPHGPDDLRITDDPENDPPLEDPDPDDDLRPNVLLG RIMEFDSPLTFEGSLFHQGTSSSTGEMQLQYPLNNTIGGARRWERIYQNEFDLIVKGV VKYTLPMSARIRSATVSGRKAVSPNSSNDPSNSTNVDLST FPSE_03628 MSAATKKPPAGGVGRVSQADSSSSASPSPPRPGSRSTTPTSNGH SRTRSLRTNAPVSARAAIARRDTLSASDSDARAEAAAAVEDLQKRLENEEKSSLQYKR QAEVLQSKLDEAVKESAKLEEKAHEYEEQIETLSNEKREVTRQMREMESIYESERSSI LKEKEEMANREEEMQAMIQRLKESLAQRNNIVEDPRPSRQHSGNSSPSLENGSFAPPS SIQRSDSRNSSKLILQKDKLIESLRLELAEAQIKLVETENQGGGRLHEVERLLMEARM ANARLMEDNESYQLLLQERTLKGDFGQNDFSYMGSNSNQDALAALEGKAQGSSLADEL SEATEGESVSDGDRRLESELRSMKEQNKALTLYINKIIERLLQHQDFESILDTSGDLK SLPDTNKELPPAPADNKQQQQPSATILQRAKSMAAAAPTKPRPRPISVMQPSTSSDHT DPDKAPSIPIGLSRSTSSRRSPRPQSDQFTGAASLVSQMYRGPDGPVSPPLGTPRHSQ TFFSPPNNSGNPNAAARVPSGASVATSGNFPGMRSETSSLSGESGELSMSTPPSQSPP RAHSDRHTTSFAGGKPRPLRLVQENPDAVKENKRASWYSPFTWGAKKEEQQVPSGNPI PE FPSE_03627 MAPQKKTKKDANSINSKLALVMKSGKVTLGYKSTLKSLRSGKAK LIIIAGNTPPLRKSELEYYSMLSKAPIHHFSGNNIELGTACGKLFRCSTMAILDAGDS DILSDQQA FPSE_03626 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKRANVD DFPLCIHLVSNEYEQLSSEALEAARICANKYLVKNTGKEGFHLRVRAHPFHVVRINKM LSCAGADRLQTGMRGAWGKPNGTVARVNIGQILMSVRTRDANRAIALEALRRSQYKFP GRQKIIVSKNWGFTPLRREEYLDKKAAGRVKVDGAYVQFLSNHGSLERNIRRFPDAFK SEA FPSE_03625 MAFHQPTRQSVQRVVRPPVDEQELPRREVPIAQERQPEESQAWV LFAPTDVTTTSYLTETDHSLQTPGRSRVGDLGSLKPAAKSEDASRQSAPASSLDDEDD AELDSLDGHLPGFQSIPGVHYQQLREENPASSPVFPAHDGLGSFHLDQPTLGAVAQDH IYQFERFNPRRQFQRRESFDLRQLDVEHDQVHETEKRQRIEAWRLEHSRVLLGEIQRE TRRRRFSQASIPKRPSSNVPSVPSALAYDGESDNMTWHDEDAVQTPTDSEGVFTQLTK KFLRDIVGMDDHSISVMLGETLPDDTEDLSSTPRASQHLAWDSSQQFTNREEWQLNML ERMSKELGSIVSHISHHPGAFSTYTRVQQMPLPYAGLPIIPETNSSHSATDNIRPTEP EQPSFPQFKPTMQPSPHIDILGRSAGPSTETEHASRQDTPMSNAFTQEEWEKDLDMKL VFRYLRSRFMPRTSNPPPPTPAHLATSSSQDIAAKLARVRQHHPLVSRMRPAAERRTF KATTPSSPVAIRHPSSCASQSTRRSARRSSVSSRHYWDIGGSLGTGSVIASNGPMGSW GEV FPSE_03624 MATTQFDPSHFNPNAFDIYTDAVAVRTPTPSLPGGSCGFVDLNP GANGARCGCRRFWSRCPTGNPAPDQSQWCMCNHHACYHDEGPRDIPQPEVSIPGQENE RPRTGREPLSPVMDLTTKTPPVIPGMDFTSFNPGALSFIQRTPDPRLPDQRMPLDIGP HPRITASQTQGASASIPDTLSWGGLIQSQPCPSSIPPIPMQCLMASQTASTTSSIQAK YIRPFAGKGLHTLNSVPENRPSSPTQPMTQDSQPPAIFKDPVPQNGSFTWTSQGHKSA DTPGAGISTESETRASSFSAAISRRAFKNLSDTVSGHDQRLDRLETVSFTVAGHEDCQ EKHDHADIRMTDLESRVEEVEKIVNDNNSNASRRGDDASVLSVSTSVTSRPSHSQELW SQVQSLQAQMVQLQSLMPSPTHPFEIEVVFLPFPLKKVWQEAQQFKNEPQISNDDWTQ LPMSHSATTLRSEMSLYPDWITSDQETKWLLPKACADKSIIDRRLRSRGLIKTILVKG SDARSVTMAMNGAFGQLFREMNIFSRAQTTDPRSSTYLGLQSTWVPLRKIHKDSRLRF LSPAEMMTPAVWDVQFLSQVMMRASEPRLFITHPDAYLQDFPAYEMGWTWQMLREMSP VVPNPSESLDDRKASEECWNWAEQLDEAPSADISMGMRNEKAPASVSPSQTFYPALQR MKSSSPFMARGPSPRLGSRRGSHPPHIRTSSTPMAAPIQASPALSRRRVSSYGQSRRQ SPALLTNSQSAIMKRRRTRSPSHRFTPRWTASPSPMPMGLSDRQQARGTTPFAYATPF SNAPLQERPLRSGSVAPTAAQDDLDDDSDFNIDIYESGSDDMEDNESVGGMEIITHDQ ALPGRRSQGWRLPEDEPWPGIEDQRAAEDHENVDPQHSDPASNVSSQPSEYPSTQNVW PNNNSAEFHIHEDDDNNNRS FPSE_03623 MSNEEITHPTIVDGWFREISDMWPGHAMTLRVEKVLVHEKSKYQ DVLIFKSTDFGNVLVLDNVIQCTERDEFSYQEMIAHLALNSHPNPKKVLVIGGGDGGV LREIVKHDCVEEATLCDIDEAVIRLSKEHLPSMACGFDHPKSKTHVGDGFKFLNDYKN EFDVIITDSSDPDGPAEALFQKSYFQLLHDALREGGVITTQGSESPWLHLPLIARLKK DCGAIFPVAEYAYTTIPTYPSGQIGFMVCSKDPKADVKNPIRSWTKEEEDEKLRYYSS EIHKASFVLPKFAAKALE FPSE_03622 MSSTTAPVLKQRVKLSLIQLASGSDKKANLDSAASHVARVASSG AKIVVLPECFNSPYGTDHFPQYAETLLPSPPSKDDAPSYHALSSMAADNKVYLIGGSI PEYCPDTKKYYNTTLIFGPDGSLLGTHRKVHLFDIDIPGKITFRESDILSPGNKVTLV DLPEYGKIAVAICYDVRFPELATIAARKGAFALIYPGAFNTTTGPLHWQLLGRARAAD NQLYVALCSPARAETGYPAYGHSLVADPMAQVQVEADEKETTVDWELDPEKITEARKA IPLNTQRRFDVYPDVSEGKVQFEES FPSE_03621 MSSPTSSYVFDDQNMTWITCDLCAGSFQRGADVMEPNSAEYLTV ILLREHRHLEYKEALLHHHLLLQRMTNLITLTGTQVVSASTAVAADELDLWQG FPSE_03620 MSVILCTAGYDHTIRFWEALSGICSRTIQHPDSQVNRLCISPDK RYLAAAGHHTVKLYDIKSTNPNPLLTFEGHTGNITGVAFHCEGKWMVTSSEDGTVKIW ETRTGTIQRSYNHGCPANDVVIHPNQGEIISCDRSGSVRVWDLAENNCSHELIPEEDV SVSSVTVASDGSLLCAANNAGNVFVWNLIQSFDRTQLVPVTHFNAHKEYITRILLSPD VKKLATCSADHTAKIWEVKNIEPTPDQEPKPYPLEATLTGHQRWVWDCAFSADSAYLV TACSDHYARLWELHSQQIIRQYNGHHRGAVCVALNDYSETR FPSE_03619 MTDAQIAGGHKATINNPNVSEEAKEHSRQVLENEFNGGDVAGAD ENKEKNPNNVAGGLKATLNNPNVSDEAKKNAQERLDKEDF FPSE_03618 MMESADEKPPVLNYILSFILVGLAWGFTTPFIRRAAQSHNPPAH PVLGSPSVQSSWLKSKVYGAFFGVVDLLKNPRYAIPLVLNLTGSVWFFLLIGQAELSL TVPIVNTLAFVFTVLGDWYVDGKVISKDTAAGMALMLVGIGLCVQSKR FPSE_03617 MRVAEVLSDFRTLQYYIAAAPVDPVDTADYYTEGWAALRQCALD GQHILECGADTSVPNPPGGEQEQMKAELKQVLLDAYSRRHEGQKIYLRQAAAQRWVEY RSQVLQGGVPNSRNQSQFRACDQQLRAELSAVVDEVIYNELKASDEQMGRWTAEDPSL RSVLRWLRARR FPSE_03616 MSAGAYDRHITIFADNGRLYQVEYAFKAITAANIMSVGVRGKDC AVVLSQKKVPDKLMDPASVTHIFQLSPSVGCVITGSIADARAFAQRAQGEAAEFRYKY GYEMPADALAKRLANISQVYTQRAYMRPYGVATTLISLDSEYGPQLFKCDPAGYYIGY KGTAAGPKQQEALNHLEKKLRNKEHAEGSWEEVVELAITTLSTVLSMDFKKGEIEIGI VGGPRPDGQEGTHSGFRTLTEDEIDDRLQAIAEKD FPSE_03615 MSGQVPAWKRLGLKLKQPSDAPEPSFGHPASSSSTPIKRKFDAP QPSNSSQAAKRQKSVSFADAPSNNNNPKFSRTPAKPAKQSKAKSKGPAKKPKPQVPTD LKPALEYLSLWKTARDSWKFNKNHQSNLIKHVFDADGIPASDIETFYDYIQDLKGFVR MRLRESACEVRDQDQSDGAKAFPEGTKDLEATQQRYEEVLTKYLNLPVGSKRKGFTEV DYLSDSEEDEVIIRRLVKRMRAELVIDELSDSDETDATTTSSQTVTSSENNATAAKNT EKSVKPEGVSGKRRRKLRVNVDDSDSSSSESESDDDDTSSSGSSSSEDESEKKTPAAR KAYRKPGEEDSSDSSSSSDDASSEEDSSDDESDSE FPSE_03614 MTDTPGLSPEQLEAFSRDGYLILPGALSSSTVKSLLDETHNLLE NFSLDDHPLTRFSTGEKRDHVGDDYFLTSGDKVRFFFEEDAFDDEGKLIKPKARAVNK IGHYLHALSPPFARLLDHDTSKVSPPAVARSLGFKDPRCLQSMVICKQPEIGGAVPPH QDSTFLYTNPPSAVGFWYALEDATLENGCLSFLPGSHRWAPVEKRLVRKDGNAGTEMV NNDGPRFPAADGYGKDEPKDKHDYVPGEVKAGDLVLIHGNLLHKSEKNTSQKGRIIYT FHIIEGQDRDYDAKNWLQPPEEGFTKLFA FPSE_03613 MTRVSVYTTALAAFVAATVMIVVPIWLPNWLTYSVTSATGETIE KHIGLHKSCSTLDDPYCRDFPPKDLCEGGERYFCSMWRTVGFLASFTTLLCLGGLVTF VVIMSGGKYKRETGWPFAAAMLTLVSVVEFVSISIVAYLYDHDDQFTIPGWHLDTSFY LSTVGAAISLLSAFGIAFSAYLLPPEEGYDFLSDPLDA FPSE_03612 MMNHARVKALKSDGKTVSKKAIKSGRASANLTPHGSPFASLLTS PAHSAAPSRAASDESEDEFEYDDTMSIASASSLVDTNDDGTNTFDAKKFMEELQDRKH NNSDTRTQLLELYIKVLRHRFAPATHEWLDESANELAELFVRGANRGMEARERLLYLQ AYLLTLSSSEEVDVYEHGEGTLKQIIADDDDEECKAFGIYALCFTVLYGGGGETEALE LLDYLYAIIESDGDNIDSFDNGFIVAAALRGWAFVASHVDDFSYAATMALDGFYEQLD SVDVGVQTNASACIALIFESARTHEEETGESWDLPVNPEKLTGRMSELAKQSSKSVSK KDRRDLRDSLISAITSLEKGVGPGYSSAGYTPQKGERLASKPNEDGVVEFGYRHKLRL GNYVAVIDSWSLSSRIDMMRLLFGGHLQKHIFENPVVAECLSDADFTEQGSRK FPSE_03611 MAVPEYRDEVLITLSSVNPPPDFTFKKRHVFLTKKNPTIQIGRT SKRKSSLEAGKFNAWFDSAVMSRKHALLVFDAENQKVYIMDTDSLHGTYKNDILLKLN EKAEISTGDKLMFGTSIDRGTQKYPPTTLETTLKYGSLDPAHRGNCFRVPDDFEDMSS DEDQVQNSCKMLHAKKVRPAAPGASLLNDSPIDLTCDEDRSLLPSPHAAPSQPPPALK ERPTSNDDFTPPKDIGFWTDEDEDGDMYDGEDEEMGEDSHVDQSTFKGTDDDFPVAHS QSSSDMDVDDRYDSESADDGFDDQDEFEEENTLGLPIPANNNAKTTGGISVQASSADS GTPQQQKINVIDEETTKDLNPPAFGKPLGLKPNHSKTNDFWKPPQQSLPTPGPLPSIA ELTRKQSHYTIDTPNDVVETMGRKTGKPEFFAAREVNKVLSAGAKLPWPLDYIPATPP DHTQQLNELFSNLQSLQGDRVVVPLPSSDLTSSCARFLETTQGPFRQVEPETVNAEST LDGSAAFDLEHSKKTSAVDEPVADTLDHPMTTQNDDEEVCTLQDAAQAPTASESNKDE AAKVTDEPTKTPKSSKRKAEEISELVAEEELIDSDEPPLITQRAACLSPRRAARSRPS PPKRLRRMAEVVGYATLGGVAVMSALIATAPAL FPSE_03610 MIDTDNLRTASLYINNQLLSRGLLRDGQSIEFAGVAFDGHDAAA TMGRIVSVLNDLILRRDRDAEHRESLSTTMRTLRADNLKHTNDIARLTEKHNEAKRKL DIAEASEASLKTQMKSADATIRGLKEELTRTKGLVAQTRAACATDVRRRDRQIDTLKK QLNEAGRARGARGNPVVTSITITGDIGDERSSTRGASTSAEDYDLHNETNAFLANLAQ NLSEENEAILVVMRKTMQKLRDMSGWSNDNQDTLVTQQQGWQDMATELDSVLDHMRTI LTNPSFVPIEEVMVREEEISRLKDGWVKMESRWTEAVHLIDGWRKRMAANGRPICDEE LQMGLRLSPVRVRDVEETRHASGLRLSAVAEEEDEDVRMNSPCPSRCAPSVQLVPESE PEDDDNAHESDAESDYDVPIEDYDVDEPNVQILQQSTAAPLYHREQESSPLPEPPQLS PLKDSASAGNRGSHRSKQPGKRDFTTIAEEDNWDLPIETNPIPIQFNPDARDRVPSSS SLEEALLRSSNAAPEAPSTPSRQGSQGSDQNDANQGSPNRSPRRTASRLPLPRNVEPA PQQSPLTMATIAAKLAASEREADAARVRAKLRAARSTRGVQKPKIASSQPEPQAADPP AKEPELKRSDVENVDPVKRDPIPADELKPEKRRRARRTSKKTSRRRSTLSPWELESLM NGNVQ FPSE_03609 MAPLEESGDQFSVLPVKIPATSSYPETAIHEIRIRKNAPKIPTA NDSRSLFLKNIPVDSTEPHFRSIFTQLVGAGRFETITFENESRTALVVDPEQATKVAG FAKKRKRGDVEAEEREREEEAAQLPQIWLRRVQRSSSTAVVLLADEKSVQLVLKAITK LQKNKKYPTWGSDLSDDVPDLSSEWIASHLQLSRVDKTATQKAVHAFFTSFNRKEKEA VELAKRLHNEPDEDGFVTVTRGGRAAPASRNEAEEAKRRMIERETKKKSELKDFYRFQ MRERRKAEQMALLKRFQDDRRKVDAMREKRGKFRPET FPSE_03608 MAKQKALGAKYDVVVDSGDEDAAEPAEEFSDLELDDSDLQILDG LVAMQGINSKTESEEFCDCDMSDLSEEDLKRLEQETRLLAAGATLGLDANNQMDLEFM AWLDAEDLEKTFEAFGYSDAESCTLRGSARRQMAPLAMLIETVIMLLTGSVSSDSIYG LPTANLVANIERKLAIEGCLIYQPKALNRALPVWQNVAFPTVFQS FPSE_03607 MAAPITSRTETLQKFLKLDQKGMIMAEYVWVDAEGGTRSKSRTL PEQDYKPEDLPVWNFDGSSTNQAPGENSDVYLRPCAVYPDPFRGSPNIIVLAECYDSD GTPNKYNFRHDCLKVMKTYADEEPWFGLEQEYTLLGADDRPYGWPTGGFPAPQGEYYC GVGTGKVVQRDIVEAHYKACLYAGIQISGTNAEVMPAQWEYQVGPCTGIEMGDQLWVS RFFLHRVAEEFGAKVSLHPKPVQSGSWNGAGLHSNFSTKAMREEGGMKLIEEALKKLE PHHAECIAEYGEDNELRLTGNHETGSIDQFTWGVANRGTSIRVPRETAAKGCGYFEDR RPASNADPYRVTKVLLQFSME FPSE_03606 MRLLYAVPATCALIYRAKSRNSLTPAGIFAATLTAIAHAYHPWN LPFALLCIFFLAGTRVTHIKEGIKANYTVRSKGTSGGEGPRTHVQVLANSLMASVLSL LHANQLRKREAAFADPNTPDPTGSLCFSWGGDLLVIGIIANYAAVAADTFSSELGILS SGQPRLITSPTLRKVPRGTNGGVTLLGLGAGLLGSIIIVTASMLFLPSCTEESAQTPA GGAPWTMLERRKFMGFMVLWGALGSVLDSFLGGLFQRSVRDVRSGKIVEGEGGNRVLV AESAEGSAAHLKKEDAKEKSDDSAITDDHDAHAEVYNPKDKHRKSSFGDQRPSRTIEN GWDLLDNNDVNFLMAAMMSVGGMAAASWYWDVPIQSVMGV FPSE_03605 MTSKHPLRRSCAFCRARKIKCSNETICEACRKQGVDCIYDFESP RAKGRNLSFDGSKGNNLLQVRSDHDLPESKRRRSCSANSASSMSPRRAHEDLVPLGDG VESLAASLEQVFQDRFSQMAFGEASRVDLQATCNKARCIGLLPLVACDLVGSVGQGYS ILGSCQPDDSNSQLIRSGLSNDKTSSMFDNNASYCSSPISTFSHRQRNQLIDVWYSAH PLTFLISKTLLLREMREDTCDEILIAVMLADASFVIGETPMVTRGHELLQWAKTQLQM RPNHALEENDAVYSGVPTRVYKGVTTAQTLVLLAWNALSTNEFRRAVCYIQVASKMVT QIKDCMSNDVSPPSSSRINGVDVLDVEKEVVSNLWWTTFSLNLWMSIQAGVSPDASLS AFTHDSLPATENSSVSIQLDLVSENFNTLQKQKRNIGEMRPLAHIVNTVAYLFSQSDQ IASKHGLNACREAMREVESSNTKRSNQGDQPDESRHLIVAFHQTMIIQLLFPKNGSFY DQVIIPTDTLHQFCSSLEHIIRSLSPPAGQLDHTTSASSFQESLSKSLCNLLDACSRA FSLVSENLGLGIDPGYFRPDWDSRLCSLASSLYSISKTSSFYQTTSLRNVRKQLKACI RAFSDQESSNALGLLDPRMNNIRSLSHSPRNEAPNTFIVTDDSSTDIPSPFRYTEGDP RISSSMPSSSASSTSVSTQSFTPFEEMTKWNVGENYSPGVMNTGNLSSPTVVQSGLQN GTPMQNVWYSQPTHMLNFQAAGPASMQNNQWVWPSTRAEDTTYMSFQALDMEDA FPSE_03604 MVATKLDGNAIAKSIREKLCAEVNEKQKINPRFKPCLKIIQVGD RSDSSTYVRMKLKAAAEAGIDSQLLHYPESISEAELLDHIRQHNNDPAVHGILVQLPL PKHISEYTVTSFVADEKDVDGFGTKNIGELAKRGGKPLFIPCTPKGCMILLKESGIEL KGKNAVVLGRSDIVGSPVSYLLRNADATVTVVHSKTQNIEQHVRNADVVVAAIGQPLF VPGSWIKPGAVVIDVGTNFLPDATKKSGHRLVGDVDYASAVEVASAITPVPGGVGPMT VAMLMQNVVDATTWYFESQKLRKTIPLPLKLEDPVPSDIAVSRAQTPKDITRIAAEIG IAPHELEPYGAYKAKVDLGLMQRLEHRRNGRYVVVTGITPTPLGEGKSTTTMGLAQAL GAHVGRMTFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNMHLTGDIHAIGAANNL LAAAIETRIFHENTQKDGPLYKRLVPVKNGARKFAPVMFRRLKKLGIDKTNPDELTED EIHRFARLDIDPETITWRRVLDVNDRHLRGITVGAAPTEKGQTRETGFDITVASECMA ILALSNNLAEMRERLGSMVVATSRGGDPVTADDLGAGGALTALMKDAIKPNLMQTLEG TPVFVHAGPFANISIGQSSILADKLALKLAGTEADEDHQEKAGFVVTEAGFDFTMGGE RFFNIKCRTSGLVPDVVVIVATVRALKVHGGAPPIAPGASLSPVYKEENVDILRAGCV NLKKQIANAKSFGIPVVVAINKFATDTDAEIAVIREEAVSAGAEDAILSNHWAEGGKG AVDLAHGVIAAAEKPKDLKFTYNLEGTVQERLEAIGKQMYGAEKVELSELAQKKVDTY TRQGFGHLPICVAKTQYSLSHDPDLKGAPTGFTVPIRDVRLAAGAGYFYALAADIQTI PGLPTAPGYLNVDVNVETGEIEGLF FPSE_03603 MRPILLAGHERALTQIKFNKDGDLIFSVAKDQQICAWFSHNGER LGTYHGHVGAIWTVDVNPTSTMIASGSADNTIRLWEVKSGRLIKTWEFPTAVKRVEFN EDGTKLLGVTEKRMGYLSNIVVIDINPDLNAEQNDEKSLTIVCDESKATVAGWSALSK YIIAGHEDGSVSRYDAKTGDLLDNVPVHELNQPIVDLQWSPDRTYFITACKDKTSKLI SARDLEVLKTYVADTPLNSATITPKKDFVILGGGQAAMDVTRTSARQGKFEARFYHKI FEDEIGRVRGHFGPLNTVAADPTGKSYASGGEDGYVRVHHFDKGYFDFNYEVERERIN RMQ FPSE_03602 MAPRSRANAVDTDASMSDAQEHRQAEDMEVDETPDYTDTENPST TASSVAGEPTGDGRRRRTEVNQLRRSIFGKKHDRLGESKEDDTIRRFRYLLGLTDLFR HFIETNPDPKIRDIMTEIDRQNAEASRAKKGAGRQGGATSERRRRTEAEEDAELLKDE KQGGSAETVFRESPSFVHGLMRDYQIAGLNWLISLHENGISGILADEMGLGKTLQTIS FLGYLRHILDITGPHLVIVPKSTLDNWKREFAKWTPEVDVLVLQGAKDERQALINDRL VDEKFDVCITSYEMVLREKSHLKKFAWEYIIIDEAHRIKNEESSLSQVIRLFDSRNRL LITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSGQDRDQDTVVQQLHRVLRPFL LRRVKSDVEKSLLPKKEVNVYLGMSEMQVKWYQKILEKDIDAVNGAGGKRESKTRLLN IVMQLRKCCNHPYLFEGAEPGPPYTTDEHLVYNAGKMAVLDKLLKRLQKQGSRVLIFS QMSRLLDILEDYCVFREYKYCRIDGGTAHEDRIAAIDEYNKPGSEKFVFLLTTRAGGL GINLTTADIVVLYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFVTENAIEEKVLERAA QKLRLDQLVIQQGRAQQAAKAAANKDELLSMIQHGAEKVFQSKGATGTMGSKDGEVDD DDIDAILAKGEDRTKELNAKYEKLGIDDLQKFTSESAYEWNGENFANTKKNINMTWIN PAKRERKEQSYSMDKYFRQTMYPNPKADAKPKAPRAPKQVPVHDYQFYPPRLRDLQDR ETAYYRKEIGYKVPLADGEEDTLEEREAERALDQQEIDNATPLTEEEREEKETLSLQG FGDWNKRDFQQFVNGSGKYGRNAYEGISQEIDSKSPPEIKAYAKVFWQRYTEIADYPK YIKTIEDGEERTRRIEHHQKLLKKKMGQYRVPLQQLKINYSVSTTNKKVYTEEEDRFL LVLLDRYGIDSEGLYEKMRDDIRESPLFRFDWFFLSRTPIELSRRCTTLITTIVKEFE DVPARNGVNGKSKRDPDDENDEDSILGMAPAKKKAKNGVKNKALDNVKSVKSSKNSSA TPSRASSVASTASAGGSAKSKKGRKK FPSE_03601 MEPMRGVSGGMGKQPAQQETPEQLLDVFKAAALSVTKLYKISAA GQSKARADGYQDCLDDLLQFLDKEGLGLRDGEGWKVRKWATERLDGRESSSLNTESED EAEKAETASSPELSRQSVPPQQPSQMRTDSAPPSIPPVLEEPTPIVVPSQDSFNFQSS HPYPNIATLDLSDSRSHDDTPTLTRSSKSRLTGGSSRACPRGPGHLVQRAGSKRKLNF DEIFDLGSLSGKDAFGNGGKRSRHA FPSE_03600 MSDLGIQNDKPMVEDQHEIDHDREHDEQEQGTEMSATEEEEITA MKRRVAEMEEEAKKLREMQATLEQQSAELADDKESIDARSIFVGNVDYSASPEDIQGH FQSCGSINRVTILLDKFTGQPKGYAYVEFTEPSLVAQALVLNESMFKGRSIKVTPKRT NVPGMSRGRGRGGFRGGRGSFQGRGGFPRGGGYRGGYRGRGRGFAPY FPSE_03599 MTSRTDSNRPNLRVTIIAADGLYKRDVFRFPDPFAVATINGEQT KTTTVSKRTLNPYWNESFDFRTNEDGILAVQVFDQKKFKKKDQGFLGVINIRVGDVIP ELSADADDQMLTRDLKKSTDNLVVHGKLIINLSCNLSAPARGGQTSTARPSLGTGPSN ASNLSAPPPENRPGSSMSGPNGAGGSQVNLAHRPSSINSVGGASATGPNASGPTRQAN QLSPFEDAQGRLPAGWERREDNLGRTYYVDHNTRTTSWNRPTATGAQEQRNDREAATQ VERQRHQNRTLPEERTGSNSPTMHAQQPQPAASPATNGGAVMHTGATSPGTGELPPGW EQRWTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGGQNNANGQIQQQPVSQLGPLPSG WEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKLIYFRSQPAMRI LSGQCHIKVRRSHIFEDSFAEITRQSATDLKKRLMIKFDGEDGLDYGGLSREFFFLLS HEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVVGLAIFHRRFLDAFF IGALYKMMLGKAVALADMEGVDADFHRSLQWMLDNDISGGILEQTFSTEDERFGVLTT EDLIPGGRDIEVTNENKKEYVDLMVKWRIEKRIAEQFQAFKEGFQELIPQDLINVFDE RELELLIGGIAEIDVDDWKKHTDYRGYTESDEVVQNFWATVRSWDGEQKSRLLQFTTG TSRIPVNGFKDLQGSDGPRRFTIEKAGEITNLPKAHTCFNRLDLPPYKSLEMLQQKLT IAVEETMGFGQE FPSE_03598 MTEPENFEDDLFADLYDDNDAPKPAPAAPVAAPAAPPAPEVQPP TNNTHDNDDHNATYQHQDVGADEHMTQDQDDDDDDVDFNLGGGGGYGSHTGQAGMHDD DQAPPYGTVHKASAKEDGKMFIGGLNWETTDQSLRDYFAQFGEVVECTVMRDSSTGRS RGFGFLTFKDAKTVNIVMVKEHFLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQETTDQ EFKEYFAQFGRVVDATLMMDKDTGRPRGFGFVTFENEAGVDACINVPLEIHGKPIEVK KAQPRGNLREEEEASRRGKFRKDGDQSNQGSMGQQMGNNNGMTPQVMAQYFQRMQQYF TMMQSQMAMSRGMPMNPAMWQNMMQMQQMQQQMMGGRGGGANAQNMMQNMNPQMAQQM QQQMQQMMQQQGQQGGQDAGSGSASPTASANGGAGRGYDNNNNFNQYQQQQPQGGRRG GRGGYGGHGGGHGGYNMGGGGGAPTSWEGMYDDVPQPNPNQGPGSFNRAGSVGGSSDP QHAPPANAPTGPKNAGKPGANYRGGGRGGNRGFHPYSR FPSE_03597 MWSSSGSGKKPAQSEMVQVDRSDSASPFWRPPPRDSLSSTSKRN VTSPTGHGSGLIVGAAYSHADMLKFDSLNSSNSSRPRTPPSSSSTKIRIQEASPPVKA TPGKRGSPPYKSYINFLSNTNDDWKADEDEMMGYEDDDGDDFGLPSLSNTKRRTRRIA TQNRAESSTLSPSIEGPSNTFLARRYSNSADIAIERPAPTYPMPKKSEGKILRPQYKE ILKDPANALHLINYPTVPANATPKETDAINSRITRINKFKKLLQASTISLPDLRQLAW SGVPQEVRAITWQLLLSYLPANSERRVATLERKRKEYLDGVRQAFERGGGPTTTSANT ASTGRTRGLDEAIWHQISIDVPRTNPHIELYSYEATQRSLERILYLWAVRHPASGYVQ GINDLVTPFWQVFLGIYIGDPDIESGMDPGQLPKSVLDAVEADSFWCLTKLLDGIQDH YIVAQPGIQRQVTALRDLTARIDANLSKHLEQEGIEFIQFSFRWMNCLLMREFSVKNT IRMWDTYLAEEQGFSEFHLYVCAAFLVKWSDKLLDMDFQEIMMFLQSLPTKGWTEKDI ELLLSEAFIWQSLFKGSAAHLKGQPAREPVTNLQL FPSE_03596 MMWPGFKQWLSNWPSRLVFRYLSTLFLICFAITNYILWTSSQGW DVTEPKGPVTMGPKHPIKKLMADARARHESLLSKRSYDLYDASERYRSRRGRHPPPGF DKWVEAAIASKSIIVEDYFDRIYKDLSPFWALDAHTLARRASAWHWVVKVRNGEATGV GDTTDRVPWLTLWTDLVKEFAKDLPDVDMPINYMDEPRLLVPFEDLSKLVEQERGNRR IAPMREVFTKFKSLSKLDDEKPQPYDPYWYNSSANYWELARVTCDPNTPSRNVQQVSD FKAPVEYPSNWSPEYAYRGYIKNWTASQDPCLQPHLRQMHGSFVAPLSLSTSTELIPL FGGSKLPMNNEILIPGAMYLTADEFYSGGDKMGPAWHAKKTGIVWRGDASGGEPRPDV WHRFHRHRLMQMLNGSYVDSVEHQGVKPKTFQLPNPDHYNSTHRATNTLGQWLKDISD CGFKRLLCEKVGCEQFSPYYRELKHMPMKEQYAFKFLPDTDGNSFSARFRGFLRSSSM PLKATIYAEWHDDRLTPWVHFVPFDNTFQDLYPILEFFTDEEAGGDTAARFIAEKGRD WASQVLRREDMRLYTWRLLLEWARVCDEDREKLGFIRDLVEPRKDSIRRYSY FPSE_03595 MLSSTRSAASMALRAKPTTTVIPFRAAAAAFTTNSRRDASALQT QSATGVGNVRREVPLPSEIPPKGALQYALTTLDAVTNWARQSSLWPMTFGLACCAVEM MHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPEPRWVISMG SCANGGGYYHYSYAVVRGCDRVVPVDVYVPGCPPTSEALMYGIFQLQRKMRLTRITRQ WIRK FPSE_03594 MLPRVKNEESEGEGVDSTLEEKKDKKRKSTGDQGGREPIKCYNC QGIGHKAHQCPEREKRRQADRADGFQLASVATNPRLDALERRVEEQEKSILALENKLK KEKKEKKQTEDKVKKLEKEVARLDETIVHIEEFLATLPAPPTTE FPSE_03593 MPETQHDPKLHREILQRINLCENAEEFSPAFSVLVSINGTPISR PTVSEARYLSDIENGSLHMSASFRPATHDYSTFHISCYAYGFGIDETRLGSLTVFARE FSSVSCEKHPEPDKSLEEYCSGAKPASDPVVVTLKAKTAQFRLPRGILNKLTTLSATR ASKQLRTIRDELSKNTVEIKLLVPGYKHMFAEKFTDIIEKFQKLDAEDDPLRTYFEHA PEAKTFCVGHTPKPGSEEFPRPQDIQLVHFANAEQHLIHNICSVIWEQFILDNAADRM LKNTFEAIIVPLPEKDTDALIVKAGGQMDLFPQVGETCTVNIPKAVFKFRPAPVGHTA AFESFSQPLLSSFSQKVPLEYLNKNCSQYDEDDEGYAVEDSQKSFRGSVADAIPLELP REYQVICIKRPRRFNVTLPYAKLKESESLPQYLSRIGWADWEKITLQTDVSDKILRAQ SLAMNSLKYPTSAQPFIPSDQSQEAYNYLLNFDRSNAKVVNLLQELPGVDNVVTNKAG PPSIQAVFDKLPLQMKRCLTDMSESFARLHFISGVAGSGKSYLMETLMLFTMFGDGDE NRPKRKILYILNNNVQVEMFCQRLTRTFEDWGFSADGAMPMPNIMRLYPFASELRSAE SSFNPKEEEIEAEDMAKELRMIESLQATCANILACDSAAKLARNANRDKSLHQFGIRH MEQDLERYRYMNESINRIRKGKLLNEEERTFFRQELKTLYRDAITDFSGIVVTTAVGA TPVPVREQLNPDLVIIDEAANMDEPTLLIPICHYSPKAFVITGDIAQKPPHLTMEHDL GPGKISSHPFVIQKQVSTMLRVVEGGAKHSKLNANMRAHGNVAVPVNHLFYNDIMQTT HGWTDMSSLEAIIAWYTEKVNKQHPHDQLFAQVEFTHARVTQRSSSKVNVTHAKWIIQ QALSLVQSGLTGVGKNSGKPLTVLVVAAYKQQVCELRQMLLDKTRELNLNAVDVNRIQ LRTIDNAQGDEADFVFYDMVTTSTPAFVAEDFRCTLGLSRSTAFLVVLANRGNFIGHE KNEITRGRAQDLARVYMFFAQHGVNKRFKSCKKCETHDHTADDCTADDINMSDKVCER TSCGDKGHTAAFCPKRFCGNCAEINHTSGECPLEHFLCSACGRKGHHFFNCTTSADTQ WCTKCKTRGHTHFRCPETREHSQPDEFRFKCHNCDKQGHKAADCTEPPKTENRKCFNC GEYGHMKSNCPERQCHFCGDKDHLKKDCPHERCNRCFQLGHLAPTCKNPPTQCTKCGG PHLEQCCRGKASSKKRSERKERKDEKNIMSESAFREMKLRYLKRSSTSSAVQRLNALF HGDDGQEDWGAAPSGDQNNGQDVWGTGKKGDQKDDQGCGDGSAQSGDQAVYWAIVDDD AW FPSE_03592 MRDVWTHTIPMSSINLDHCRLLSDLADLDLRLASHSNLLKGLLG VGNAMSGLATEVVRDEMIREELLTEETVLRLHRVISHLKHNNQVEMFCQHLTRTFEDW GFSADGEMPVPNIMRPYPLAGELRSTENSFKPGQKETEVDNLTVGNTILLLRETREPR GYRFWSLFRAWSPCSDLYQPAHQMFEVRRSSFGTSLPKKKVPGKVRGARLSPAKRASD QDVRSLGSSQHNHTSVSFVISPLFLLSSCTQILNPGDLIPNSEGGQSLINKYFQQSVW P FPSE_03591 MDPLDYNLLRGYRVIRITHPDTKIETADGSKDGLKASLPYASME KDEPLVTYITRVGDEGLVKIGLKKEVSDALFQAQLSAIKFL FPSE_03590 MSTLFGSASSAAATSIGDLKQDVALSDPPTDTISGLSFSPAPNG PDFLAISSWDNKVRIYEIAANGQSQGRHAYEHSQPVLSCDFSKDGTKIVSAGADKSVK VCDLASQQDIVVGTHDQPVRTARFFDSGNGPMVVSGSWDKTVKYWDLRQQGPAATVAC QERVYTMDVRDNLCVVGTADRYINVIDLKNPTKFYKTLQSPLKWQTRVVSCFTDSAGF AIGSIEGRCAIQYVEAKDSSANFSFKCHRDPPANGVTNVHAVNDISFHPVHGTFSTAG SDGTFHFWDKDAKHRLKGYPNVGGSITSTTFNKNGSIFAYAVGYDWAKGYQHNTQSYP IKVMLHPVTNDECKPRPSAKKR FPSE_03589 MPRITASVSKVTRTLAESHRHAGVALMPKYAELLGSSSDQSESR GLKTTHRPTPQPSIAGRTRPLMQSFSSSASSRAPVNHVDTMVLPKIYATPSHNEPLPR MPLLPDNYGSYHNSMSDATDLSTGHRPIIFAINPDIVVPGAPLAHMDATNMDSIDVKF VHDQPKISTAAAGEQYNPERSTFMIDMYRSMKEDLLSVAPQLKRN FPSE_03588 MTDSEPRKRAVDSPKVWTTLITNLSYLPGLLTLDHSLRVAKSQY PLVALYTDTFPPEGHAALRARGIPTQHIPYLLPTKGKDYSNDPRFYDCWSKLTPFSLT EYDCVVQLDSDMLVLRNMDELMDLELDAPSIAETGDKTISKRVFAAGHACVCNPLKKP HYPKDWVKENCAFTSQHSTPDVAQTEAADPSVGPLGFMNGGLQVVNPSQGLYAQIVAH MEADAVNMDFADQSLLSDLYRERWVPLPYIYNALKTMRWEGVHDAIWRDESVKNIHYI LSPKPWDEINDKGEWTGKDESHKWWVDVNRARKEAEKEKGIPDDGF FPSE_03587 MATFFQSFRSSSMPKRLLRYALSRLELLDADALEMDNLDLAIGR NTVFEFRDVGIKLKKLNKLLQLPDTFKLKKAKVLLLRVTIPMDFYTSPIIVEVDGVDI ALQVIGNESKPNRSPGTRTPDEAVAVPNTVDLAQSFLETQPKSERRKLEDALAAESQD LGASVSMSDDGSEDDLAYGTGQALSLPAFLADFLQGIVDRMQVRIKGVNFQLDVEVLV EPSSTTNEMVSFQVALEGIDVEGVTTRSYDDAGFPTIVHKEGKRHVSLSNVRAYLISE ANVFSALAKSPSIASPSLASSPAMTRNPPSRQATELSLASLQDESVASSQASIRSNEP ESASHNSLPENDPILSSQHSIDQRLESSLRQSLQRKDPLADSHESLQDDYFMDQELAE QDYPLGDSEDALGIPYEFSNPQDDDAEDSPATPRASMYHDFHNATNDETLFHSILLPG EQSSQSTVLENERSMWSTPEREARSAPNLETPAAQFNMKVSSSSIQNEQLRRTFSSES FGVASTEELAQSHMYTHEDAESMYMSAFSQTNAQPAAIISPVIPSPVVLSPVVSNDNL TSLKPQDEPAPEVEQTIEPEKPDSPVLDTSIHELARPQTPEPEPSIAEAPVPETSSPK APSPRVPSPVTEDAPSPVREHRKPEGFIPGAWDDDYDDPEEEPVASTTLRRSAYRSKI LSDPADASDSESSEPAFSRACLTDIDSESPRSSTKQQEDVATPKGPTRLVKEILNLKT ISIYIPSQHQHIQVQLASSESVAQLSQSLGQSAYPQAPGAFSVHGAAHAQQRSSQGTS SVDNSLEVDLSPINLRFDASLGFLLAMVVGKLLEAVKDKKPSPAEGSKQDTASKEAPS KETPNVKVTFEEIKLDFVNRLGGISDTPERYLDPSAFIFDQEVLLNATFQNLAICITQ TEISTTPVTKGRLTTQPAVLTRIDLQKFRFGYANGDIISFDSGKPMSTSVRDTFLSDG TDIGIKILQSGGNTKTEVQTLPLVFQLDLRRLDETFSWFGGLSSFLNMSASIASSPAP TPKPAAVVQKPRGVRFDTPVDPDDKSAASENKINLRIGGSWVELIGKDCSMIAETSAI KLISRDEVIGMACSMMRVSGPYLKNSAAEPPINTEIGGVRVEFLTTPKDTDLEKLLEL IMPSKHQFDGENDEIMVDTLLRQRRKGSVLRVTVDTVSVRVQNMPLLSVLPNLGEEVA KLSTVAKYLPEDDRPGLLTLGKIRKVGLSLDFGGKLGHLGTDIQDLHVGHISIPSLVA IALHDISVQRNRSEELVSTSPYGDRDISLRSPVLMARMIGDEIEPVIKLKMQDLCIEY RVPTIMDLLELGEDATPQDFEASLAASVANLGDQAHHVLTGAPGSPGGKAKSGKPMTL DIGFRDCLLGLNPLGQPSKMVIALTDAHLVALLPQDIETNAVFTINKSSILLINDVAE VKMNELPATQRSRASSSTSRQVSDMCARGYVDICYISSAKVTVDVKELEDGEKQLVVE LKDDLLVLETCADSMQTLISLANALKPPTPPSKENKYLTDVVPMQDLLASISAEAFGR PEGEYDFDQDFAGAQEMAGSGSEADYNTDSPLQVQSRYYDEPVAEELFDATSSSIISR GSQRSGPMMQDTNEGVLLTGFEPTSQQSIDSDDLVIHDDYYGQGASKDSKAKVWNSKK NSYDLAPSDLVKRSILKVKVRDVHVIWNLFDGYDWVHTRDVITKAVQDVEAKAYERQA RAGQVHVYEEELEDEEAIGDFLFNSIYIGIPANRDPQELSRAINEGFNDGATETESVA TTAFTSATNRTARARPRSKRLKLKRSKHHKITFELQGVDADLFVFPPNSGETLNSIDV RIKTLDVFDHVPTSTWKKFATYDQDMGEREMGTSMVHLEMLNVKPQPSLEASEIVLRA TILPLRLHVDQDALDFITRFFEFKDDQVPVHTSKSDVPFLQRAEINNVSVKLDFKPKR VDYAGLRSGHTTEFMNFIVLEEARMVLRHVIIYGISGFEKLGKTLNDIWTPDVKANQL PGILAGLAPVRSLVNVGSGFRDLVEVPIREYKKDGRVIRSISKGATAFARTTGTELVK LGAKLAVGTQYALQGAEGMLSGPQQVYEGWDEDDVDPDEQRQISLYADQPTGVISGIR GGYRSLARDVNLVRDAIIAVPGEVMESSTASGAARAVLKRAPTIIFRPAVGVTRAIGQ TLMGATNSIDPNNRRRIEEKYKRY FPSE_12391 MSNLRRSDHASKPHIAVVGAGLAGLRCADVLLQNGFQVTIIEAR NRVGGRLHQETLPNGHLADVGPNWIHGTDDNPMLDLAKQTNTVVSDWDSTSCVFAEDG ELFPLKDGEKYSTMVWDIVQDAFKHANNSSHYIDPKESLHDFFVQKVTEKVPSTEADH EKKRNIVMQISEMWGAFIGSPVYRQSLKFFWLEECIEGENLFCAGTYKKVLDEMAKPA LEGAEIKFETKVDKISYRTSPEEKARLRTQSGQTLEFDEIVMTAPLGWLKRNLDAFEP ALPARMTKAIGAIGYGCLEKVYINFPKAFWLGSSGDDRKAEGFVQWLSPNYVPDLNPK RWNQEVVELASLGPEVSHPTLLFYTYGEQSQFITGELLKISDPKKKDEFLLNFFKPYY SLLPHYSETDPDCMPSGFMATDWLHDELSGNGSYSNFQVGLEEGDVDIRTMREGLPDH GLWLAGEHTAPFVGLGTATGAYWSGEAVGKRIAEAYGRNK FPSE_12390 MTDTFSREEVRSHTSDESLWCIIDSTVYDLTDFVDAHPGGETVL RQVAGQDATTAFYNLHRHEVLTKYKDLAVGTVEGEKAQVITPQPGDLSQVPYAEPLWL AEPFRSPYYKDSHRELRRKLREFVDSELYEEAQECEATGRYISQKMIDRMSELGILHM RLGPGKHLHGVNLMGGAVKGEEFDYFHDLIVSQELARAMARGFADGNMAGMTISLTAV LNFARDEAWKNKIAGEVFSGKKKICLAITEAFAGSDVAGLRTTAERTPDGKHYIINGT KKWITNGVWCDYFVTGARTDKGLSVFLIERGEGVETKQIKTSYSTTAGTAYVTFDNVK VPAENMLGKENKGIQVILSNFNHERWTMVCGSLRLSRSIVEECLKWANQRQVFGKSLI DQPVIRQKLAKMIALVEANQSWLETITYQMCHMPYSQQAQHLAGPIGLLKMSATRAAH EVADESVQIWGGRGITQTGMGKFIEMFHRTYKFDAILGGAEEVLGDLGVRQAMRNFPN AKL FPSE_12389 MDSFQQQQQQQPRGACYSCGSTAHQARDCPTKGPAKCYNCGGEG HMSRDCTEPMKDNKSCYKCGQPGHISRDCPMSGGSGQATECYKCGEIGHIARNCNKSS YGNNYGGGFQQQGGAGKTCYSCGGFGHMSRECVNGMKCYNCGESGHYSRDCPKESAGG EKICYKCQQPGHVQSQCPGN FPSE_12388 MRLKILWCLLAFLPLLIHAQDDEEYIINEWPTESRPTVAWYINN NAASHAKRASDLRKSFFRPMSLSAYGNPGETRYAATWINDGAMGQPWQMGWGMSLKAF DEWVSKWRMQGYRLVIISANGPAGKAAFHGVMAFPSDRLKWAYKCEIKDLDKYMAGKD NNGISRVNSFRMYGEMDDRRYCVLLYENKGNERWALEHSEPGAMPNESWVSEFNYPHV SRQFLRPHKLFVSDDGVITPLMTDVSIGGWSAAVRLDQEQMAEEIRRQGHQWFMPIDI QGASGLGKTQFTAVFAERMRYQPRLWAAHGKVSGFKNNKEAKKELDIMMKKFMVENGI RQAQISIGARGHAMLERSYTWAETAYGSVKPDDVFLIGGVSKMFLYAAVKWCVDQLLV EYDTPVYKLLGYRNPFDERAEDITIQHLLDHTAGYDRDDSGEAAFEFGKVGLTLPHNG TEPATLHDVIKYKLKQRLDYNPGERFVHSHYGSLLLGEVVANLTEMPYVDFLKKHILD GLDVSVFETDSKAHLKDKIVQQGLQIGVDARYPGKGEYVAGIYGGDGAIKEETAAAFS LRASATSLIKFAGQHCKYPSSFSQDKIKLTSLSTAVARVGKRRDGYRRGGIEGGYAYV ESHGDFDWAMVFNTREFASKNAVVRLAHKIRKFIDKTVAENKYGPFTLTCNPGPEIFG KSFPGMQGISFDSLGHLPDCTEEELDELMHDRKDG FPSE_12387 MSFSVEGDGRLDLKQRVQDMDNDNWKEGHTRVAGQKHFKSSQKV SIKERVKHLTWAWFTFVMSTGGIALLLHSTPHQFRGLEVIGKIYYILTIVLFLTIVSG LVLRFTTTPNALKNSLMHPTESLFFPCSLLSIATIISNAGVYGVPSAGPWLADALRVC FWIYAGVTILSAIVQYFVLFTGAHLPIHSMTPGWILPIFPIMLTGTLASSLMSTQSLE YRMAMLVAGVTFQGLGWTVAFLIYPLYVGRLMQDGLPAPAMRPGMFIAVGPAGYTSVA IIGMSRALPEGYGYFATYPMAQDVLRIVALWLSIWIWCVGFWFFGFALLAVLSSAFKR KLQFSMTWWALVFPNIGFTLATGNIGQELESEGIQWVASVLTVFLVIMWFVVLYGMVS AVVRKKLLWPGRDGDES FPSE_12386 MPQSNLCAKCTGIFEGHYVPSDVLAQHGLIDTQAAQFISQNLDK WGDGICKARLDKASEKEAPVPYLHHNLQDLAESADTCVFCAMIWERLTIEGFFYHPTI LDEMKKKDLVGLPVIQSKVAFAGKFSFTIIFVSWSGQNWEGVTFHRFSVFHAIDVGDK DNRAIWKSVFDRRQSLLRIRRWLESSTTTSNIRPQLPTRLLHCKPSSSPGGDPAVKLV DAINLDPSTRYSALTHRWGAVQPLKLLKAREVAFHNGIPFSSIPATFQDTIKLANDIG IEYVWIDSLCIIQDSKDDWQSEAARMSSVYSQSHVTIAATAAQDSTAGLKNQTSMVRH PCEITPSWTGFEDEIPSGPVRIIIQSGFCDEVLSKPLFRRGWTFQEWILSPRTIHVAR DQLWWTSATNMKSQGHAANETCEAYEFDVDRGHVHTMAPGDLYSLANESTGTFSRVWH GLLQEYTSRDLTFESDRLVAFAGIASLYQSFVKLPPGSYLAGLWRQVLLQDLLWYVLD GRKVLPPQLYRGPSWSWASVEPTPNQNGKFSVSMKDISDDLLPHEEPWAAVATVREAF VEAVGSEFGPVSGGHLVFHGPLIKARHGLVVIDLSGRLTAEQAAEFVPGGRLRYTRNY ISMPNWTDVAEKARTEGKIATVHLDAVPTSLVSDEEIDIYLAVTHCRVDLAGDPTAHV LALVRGQEKGEYRRIGYTQVFEDIMDPWKETKDFGVFDALTDENEYLSVGDRPGYYNY RIV FPSE_12385 MDKFLIKAPIRTTRLSAASASRKITSQLGPDDELAVPDEKRALK RPSPRPDEDFDASESEGDATPENDTKQPAPKKRKTQPSRSKAETSKIHESLFSSKGET SSTSCTPPSRRHQITYHRPLMLKEPSSRQALLTWFDGVSTKRSMPWRKAWINPKDHGQ DELRDLLERRAYEVWISEIMLQQTRVAVVIDYWNKWMGKWPTIHDLAAASADDVLSAW RGLGYYSRATRIHEAAKLVVNDSVMKGLLPSNTKDLEDKVPGVGRYTAGAISSIVFGR AAPMVDGNVLRVLSRQLGLFGNVKSNKTVIDTLWAAADALVKAIARDGTDKQEGEEVE TSDRPGRWGQALMELGSTICIPKPNCSECPITSTCRVHAEGKTLVSGKSQDAKIGDIE DMCDLCESFEEETLDETEVKVEDTQASKKTTAKGGQGKQMTLSAFAFKGPTEDKPATA KAKAATSPRDMETIVDHARKFPLKVIKKAVREEETLVCVIRRADGQYLIQKRPEKGLL AGLWEFPSHILQDAKEGSTPAKRRSKALAYVSKLAGEHGDKAVKPKHVADLGSVPWLF SHIKLTMHVHLFTLEDGSLGDTKSLTKDRLRWATSEAVDAESMGTGMRKCWALAKDQE FPSE_12384 MTSWFASGRPALFDAINSACELIDQHIASDLEATKQELDKALQQ RDDNASRISELANENARLKEQLRQQASSKPAGNNKQTQTSSTSNPSENSDEPDWKLEC AKVSQKFKALSANFKQAKDALRKRKEERDRWVEHATMLEEKVKTAEKERGINVTERQN RNTRATTLPAGRTAEEAASSPHTSFTSEAGLDQADLDLPPLAAASLHENNQPLPDTKA AHPSSDATQSDAESRHDNNELPKLPPQNYVKNYVKIKEEPSSDPIIISEREVRKRKRD NEDCKEMPLQRIKIEADDRSSSPLEPLGPATIVPNESIDLGDVAQRLLTPRKRRELEE SQRQEKIKSEAFVTATTTPRTLFVRPDPPQIARPIERTSALTPMSVNRRVVRPGKENP ITPLRKGLERGISTLAEDGEAYRKKNDTDAAPRGKLDALLNGSAAQDATPTGRLTPRS AVRPNTIADDLAIPGRRVLPFEAAGRAKDRPAVQQMLTPNRTPTIVATSKVTPQNARS PLAPKQGAQSGLRHKPLSQLRPDDFKINPQANFGHDFAFTDVVRDRDERSRMQGCIDM HCCGKEYRELAKSHRPKSPLSAAERQEEQKLLEEYLGDFAYKLGTMDKKEREELWVEA KMRELSNKYNSHRYHYARMRSPPGFWNADFPDTQELERERSEAAQREKQTVRDRHREA MRPGGRWLFRDE FPSE_12383 MSNRHLPAGQNIQGGSGVLGADMVGPGGPRRRQPPPPFVPQSQY QQQHQQHHHHQAVNHMYNNNYMNYGQQQYYGYPPQYQTGHYHNAQYHNAQYQGGQYQA AQFQNGQYQNAQYHNAGMPSPGAYMGYQQHYGRSPPVHQFVPMSGVSVPPSFPTRPAQ QQSPALPTPYQPPAPASLPPQTPTSTHSSQIIPTPTPPVTQETEPAPPAPPVAPAEPP RQPSPVPAPAPATVPIHVHVHVPVPQEPFRAPLPWYSHPDEKFPVRTKKPGRWRRRLN ADSANVSLPAIDQHNVVAEQASVPEASSTEPSVSALTPATSTAPSEAAATPRQSSETP ASVQQRSRANTATSATSTSTNRPATRSSATPAPALPSLPKANTKDAKPARAEKPVNGD VATESAPEQEVTTEDPEKPAESESTATEPAPAVKAPPSSWAKLFSKPASAAAGKTEGS NGAVPVDTVANGHATESPTGTPNGAAPSFSKVNANSVAEAIHTFHVGLADQVAFLEPR GLINTGNMCYMNSVLQVLMFCLPFYDFLNQISKRAVHSFKSDTPLIDAMIMFMHEFKT IKSAAGVEPLRLALKNEELERYGEPFTPEFVYEAIRQLPRFASMRRGHQQDAEEFLGF LLQSLDDECTTVLKNFTPDEAEHQPSAGSDAGGADDWLEVGRKQKSAVSRSSGSNSSS PISRIFGGLLRSEFRVPGLKDSITTEPYQPLQLDIGSSDVRNVVDALRGLTRPERLQG DFNSPRGKEVTATKQVFIESLPPVLILHLKRFQFDAEGNGTVKIWKKIGYPLELEIPR EALSRQKRQTLGEEGMPKYKLISVVYHHGKNASGGHYTVDVRRQEGREWIRIDDTAIR RVRSEDVAEGGEEEEVKDTRKDGPSSGNRFGTVLDEDAGDDDGWSKVTSPAGGAKKWS SVANGTNGAPKAAKPIKDNIKDNKVAYLLFYQRV FPSE_12382 MKYSLAPFILRRPWLARFFKPAATWYVNTAGYRQMGLKYDDLLE EENETAQAALKRLSNRESYERIYRIRRAVQCSYQHKLLPKDQWTTSAADKPYLQPLME EVAAEKAEKNELDSIAVVRKH FPSE_12381 MSSTDQVPPEAAQSPSSDYAASSYGYASSDAPMYSTTVTPMHRW ALNASDSQFNAIAGAVGGFTSGVVTCPLDVIKTKLQAQGGYAALNKGRHVGHPKLYNG LLGSGKVIWREEGIRGLYRGLGPIVMGYLPTWAVWFTVYNKSKGYISQHYDNSHIVNF WSSIIAGASSTIVTNPIWVIKTRLMSQSNIRHNTQDHHAAYYPKATSTPTTRPTLHDW HYKSTLDAARKMYTSEGLISFYSGLTPALLGLTHVAVQFPTYEYLKTRFTGQGMGESS EQDSKNHVFGILGASILSKILASTATYPHEVIRTRLQTQRRPLAGEEFAQGMGVTGSG PRGARAPAEKPRYQGVVHTFRVILAEEGWRAFYAGLGTNMMRAVPAATVTMLTYEYVM KQLYHSRAEARHLLLDPTAES FPSE_12380 MPVELRKRKAPAAPPPPPVKRKATGKTGKAAKAKEAAAKVAEKE DKPEKVEESKEEPKEETKEEEKSEEEPKTEEPKAQEVKKSGGKVEVGDIVDLDTFGGE IETNDGDKTTLKKLVDESKSGVVLFTYPKASTPGCTKQVCFFRDSYEPLTKDGLAIYG LSTDSPKANTTFKEKQKLPYPLLCDPKATLIGAIGLKKAPKGTTRGVFVISKEGKVLV AEAGSPQGTMDRVKALVEELASK FPSE_12379 MSSQAAKAASNVVSIAKKQTVQSTGIWEAFRRFLAIDPERSNGV PLNPHFRNPPPGANDPLQYDDPVTLPAGDIADNPYWKRDNRRNYPQLSVVNQSQFAQL LTVGSAEAPKVDLIGEAGEKQLVAVKQESETGLAKALEKASNATKDVFVNGMPPLPSG QTLNTGKWDVHKYELEESSYGEGYVY FPSE_12378 METRQTDSFDPFEDVLNLEERFYSEGYQLGIKDGIQAGRIEGRS FGMQKGFEKFLESGRLASKAVVWANRIPQKEKASSSETCTLPPLPKNARLEKNINTLY ALVEPETLSTENSDEAVQDFDDRVKRAQGKAKIVERMTGGGKETGVSSP FPSE_12377 MALPLTGKLAIVTGASRGIGLAITKALAARGANLVLAYTSSNSA ASTADIASELASKHSIKAVPIQADLGTVEGPASLIKQAADAFHPLRIDILVNNAGVAF NDKVPDIKPDDFTTSYNVNVLGPLLLVQAAVPYLPTDRSGRIINLSSVSASLGFVGQS VYGGTKAALEAMTRTWARELSERATVNAINPGPVRSEMYSRNSDEFKRLIKPFIQNAP LMVAREGIDDPEVVEDAKTAGGRAGEADEIAGIVAMLASPESAWATGQVICANGGMIF GTLAQGAD FPSE_12376 MDIRLLTNADLPLIQHANLENLPENYFLKYYLYHALSWPQLSYV AVDVSRPKKDPYEYPKIVGYVLAKMEEEPSDGIPHGHITSLSVMRTHRRLGIAEKLMR QSQLAMVETFQAKYVSLHVRVSNAAARHLYENTLGFTNEKTESKYYADGEDAFCMRLD LGGIKKQVDETLAAEEADAADEGDAVGEVGRDPEAGKDKEDGEGRKMKVTVGRGLGVG SLVEKDESKN FPSE_12375 MAGPPPPNMGSINRESFHRDGPPPSYSETDIYSATTRSPQVPPP TFSAAGPGSGSGHGPTAPGDNVAFPMSPTSTTGSVIYTPPDTPQTVTSNIEKPQQLLR DRIAYATRYFETRSYSPSTPRALEYSLAVTPDSVPADIPYPENWAAHNVTAQDWATFV NFLLPDHDSVKNESIFGGEAKSEDGSDAKSATYNANTGPERQAADPSKRHLFRKEAEA TVYHWNTGFFTPRGVVVLLVPGEPVHMHGGQGAARNNPLGEIPQVGASYQREAMPQRR DGRFQGGWGGPRMNDGGIRQGDRFVVDGNGIRIGDLHIDSRGIRMGEQGVGDAWLFGP GRGRGHMHAPRGRGPHNHHHGARNRSSSTSSSSSSDSSSSCESIGSLPDHDDIKDEQL PFYIARVEQWIANPHEVRSKADVKQLKAELKAGKRNAAPLDPNVDRKELKKQGKAVAH QWKSLKRDQKRGKKERKRAEKEAKRRTKREMRESRRDCRREQKGRGRGRGRANPPGVP GFPSFQSNYIPGWVQSHTDHVPIPPQGRGDPWGWPGRGGFHG FPSE_12374 MAPLSTGYAPDLEKYLRSLKGQALESSVENLISLLKRRQIKGSE PCAVATAHILLQVVARSKWFNVDNLIDNVSRIGRRLVEAQPKELVIANIVRRVLGLIR DEAAEDRNEGASETPSEAQMTPTDAVPHPEALSHQWPPSTYGKQDSAGDYITNSARPP PARPGPLSSYSSVNVPKTLFHLLSVSSPNDFATSSQGSPVGLSGTSTPSWKAPSAQVH ALRSEVIDGIEEIKDEISQVDDQIASLAEVQIHPGDYVLVHQPSPTVERFILRAALKR RFTVLIATEPPKKQTTEVPHAGFRKKLASTGITAINVMNGGLMAYMSRVDKVIFGARA IVANGSVLADAGAAAIARAAKEQGNAVIVLSGVYKLSPENPFNEESLIEWGDSASFVN FADGKMVNSVEVRTAITELVPPELIDTYITNLGTHSRDHLSSLIADHYKQEDVDFHMW SG FPSE_12373 MNSINILTARVSPPPSPTQSRSNSIGSLVGLASEDDHQHGDHRP SDENETEPFPQDTFDESRFETEKPGEETPLLGDTGENQTRSSWWHSIPRRIASSIISS LRWVLATLASPGVYLIACFYDEYGNFAPFSQLGKLFGLGGGNKAMDEPNHVSEKEYGR TSGYRRLSPKPRHTSSSSTSSGLSSESESDAGSRSSSRHARSKSLQPAEENGPARRSI RIKLNSDEDLRQRKHRKTQSAVARTKASDLGSGDLSAHLKSPTSPIAALTRYPKTPAP PRPLIPRRQPSYINLEPPSSPQKTLILDLDETLIHSMSKGGRMSTGHMVEVRLNTTYV GVGGQTSIGPQHPILYWVNKRPYCDEFLRRVCKWYNLVVFTASVQEYADPVIDWLETE RKFFSARYYRQHCTFRQGAFIKDLASVESDLSKVMILDNSPLSYLFHQDNAIPIQGWI NDPTDTDLMHLVPLLEGLQYVHDVRALLALRGGEDGQHMA FPSE_12372 MSLHYLPPVKPSAIALGTAFNHGVELAVLAPIFGQTYQRAKSSN TKEEFIRSKEASGAALAWGSSLTGSALQAYGVGALINATGTLTYKGAAYLGALIFFAT SAPGYVSQIFVEKRPLDTVGVSLATKLVETLGLSVFLTWWGTRTNPFE FPSE_12371 MAAEKPDKKEKKDKKRSDESGISKPKKEKKDKKDKKDKIAAALE EKVQQDAPIAAAAAKDDDSDAEEEKADELPLERVVVPFAIPVADDKGMKKVYKTIRKA AKNNTLKRGVKEVVKTLRKSAPSGPGNTSFPGVVIIAGDISPMDVISHLPVLCEDHNV PFIFVTSRAELGAAAKTKRPTSVVMIMEKAEGKKSKDKSADKDGEDDGEAFGESYASL VKYMQKEYGKQAFWVKGGSKY FPSE_12370 MRPTQMLRSGAADPKNGHYIGNWGHFGGEKQRGIITYGLSANRQ NPWAGSFNDAIFNTFRRTKGQIFFWLPPMVAGYWMMNWAIERSEYLNSKAGRAEFGDE EE FPSE_12369 MAGAARALGFMYRMAVPASAAVFLGSQALYDVKGGTRAVIFDRL SGVKEDVINEGTHFLIPWLQKSIIFDVRTKPRNIATTTGSKDLQMVSLTLRVLHRPNV KALPKIYQNLGADYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSDRIRNDLTLRA AEFNIALEDVSITHMTFGREFTKAVEQKQIAQQDAERARFIVERAEQERQANVIRAEG ESESAEAISKAIQKAGDGLIQIRKIEASREIAATLSSNPNVAYLPGGSGKQGGQYLLS VGRA FPSE_12368 MSLSLKHIPCPKGNDCTAFHCIFGHPNENPSAPEVPSPKPSSNG QDKKDAPGGSQDAPRKRVRTDPFNPPKSPETSQDVGQSKPGASRSGSADGNKKPTTKT LVTKRQIPPSALKRKIDPQDNGSNASSKVAKTNQSSNESRPSPAQKSAPAKPKKAESL NPRLLKSMPASHDIRLKLVKMLHQEFTRLNKELKKNAKKDETNLLLSEQDLIVRTLDT EEHVAVHKAAVYSNVMKNKVMQYKRMKVDQWKAERQEERKKIELESSGKDPSEQPKEI KTGLSPAQEVELAKRLLTPINELASHGYVSTVPSEEDIEKARQGVEASAGWEKCDRCQ QRFEVFPGRREEDGALTSGGSCTFHWGKTFIAPKALGDRTRQPKRFQCCGQELGDSVG CFTRDHHVFKTSDPKRMASILNFAETPENPLAPKDRAVAFDCEMGYTVFGMELIRLTA TSWPTGEELLDVLVRPLGEILDLNSRYSGVWPEDLAKAESWSANESTKPTKSDSDDTS EDGELKPKKKQLKIVSSPEVARDLLFSLISPNTPLIGHGLENDLNSVRIVHPTLIDTV LLFPHQGGLPFRFSLKMLMDVHLNRKIQQETGPKMLGHDSAEDARAAGDLVRLKIKNL WMDLQRNGWKLVDGKFVAPGKAVGLTEAFIEA FPSE_12367 MSKSVVLEDVTGGSVNATHLCVLVHGLWGNPSHLRNVAKSLRDK YSEDELYILLAKQNSGNHTYDGIETGGERVCAEIEQELKDIEQKGGKITKLSIAGYSL GGLVSRYAVGLLYAKGVLDDLECMNFTTFATPHLGVRTPLKGWLSNIYNVLGARTLSM SGRQLFTIDSFRNTNRPLLAVLADPNSIFMSGLKKFKRRTLYANIVNDRSVVHYTSGI NKTDPYTDLDNVKLNYLKDYDGVILDPDHPVTQSPKVQGQDTLLSDFDSIKKWIKNVP FMLTIGVIIPIGVVVFLANSAVQTVRSAKRIKLHESGLAGLKIEDYRMPLRIKAIQEE VEQAYESFNSAQDQQYLASDSDDDLAYDAEDRQKLRRERRMSTVEQPTLALTPDQFEM IENLNEAGWRKFPVHIQKHRHSHAAIVVRMDKESFADGWVVLGHWAGSEFLI FPSE_12366 MVLTRTTNHTYSHPFPTVTLAYFLRYSSPQLNPFAAHVLSTDTI ENYVDPKTSRLHTTRIHLKKSRMPSAVYKLLPASVTGGGSGDKTSYIMETSVVDIKEG WMKTEMRNLDFTGILSVVEKQEFNIPNEGTVQNPNETAVTTMVQFRSRIGDKIRGKLG QAQEDGWFKNGIIGSWGKKGIQRSIESIASTKTQDQMGKSRDGMKMVLERLRNNGVIG VLETIRRERQRQLA FPSE_12365 MSSGDDTTGHGPAIEDVTSGEKHVRQQDELSIIPEEFDAEEEQR LVKKLDLFIMPIMAVVYFFQYIDKNSINYTAVFGLRSDLKLTSKDYSWAVSIFYVGQL VSQYPAAYVLSRFHITRFIGATIITCGVMEMCIGASQDFEGLATCRFLLGFAQAAVSP AFIILTSNWYRQHEHPMRVATWLSMNGISQITGGLLMYALGDMEMEIRSWRVMFLVFG ALTILFGFLFVAMMPVDTATAWFLNKREREVATGRLAMDCATSNTGNFDYQQMREALG SPLSWLYFFMAYYIASANPITKYSSMVIDGVGFSKFDSMLVGLPAGLLNFITSWVSAL VPKFFPDTRIYTAICLILIPLSGSIMLFVFSSQTNETYSWGVVVSTWLATCYTAPLCS CAGLLASNVKGNTKKSVVGAAFFIAYSIGSIIAPQMWGRKGDTRYLTGYTFTITNWCL LMLVLITYLAIIKKENRTRDCRAASRRVDPEGGRDDALIGVSEDSDLTDSLDKKFRYS M FPSE_12364 MSDWKPPAFGTPIWMGIPANDVTRASEFYKTVFNFSFGEATEKH PKDQLMKFDFNPSLGLSGGIQKAPDHTGNFAPGKGGICIYWYVEDVDTISPVIEKAGG KMLSEKEKEGEHGLYRFFEDTEGSVGAVYQMA FPSE_12363 MPDQISVLFVCLGNICRSPMAEGIFQHLAKQPNLKDKIGRIDSC GTAAYHSGEPPDDRTMSTLEANGIDDYDHLARRFHPSDFTTFDYIFAMDRSNLSDLLR LQKNNPDSTAKVMLFGEFSGTKRPEIVNDPYYGGNDGFSKAYEQCTRFSKNFVKETFG EE FPSE_12362 MGDLQGRKVFKVFNQDFVVDERYTVTKELGQGAYGIVCAAVNNQ TNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDNFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSVDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHILGTPNEETLSRIGSPRAQEYVRNLPFMPKKPF PSLFPQANPDALDLLDKMLAFDPSSRISVEQALEHPYLQIWHDASDEPDCPTTFNFDF EVVEDVGQMRGMILDEVQRFRQNVRTVPGQSGGGLQGQGVQVPLPQGNGQWTAEDPRP QEYAGHGNTGLEQDLQGGLDASRR FPSE_12361 MAPPPELPKKLETITPPWCEGAEAANAEALGPDPSPFALTVPHS TRRATHLYEELRDVDELDNRHLKTRVDSLSEEVLHWQETDSETIHGLSKVIVIKYGET AIRVIRRVWDLHLVYNRAPKIPGDDAGPFDQSSWLATIRDTVLYRMHVKYAEDYKVRT HGLQNNGIYVTDVLVQEHRDRVERAAKEKEVLTAMFEELTQENLIELPGYKATALGVA PLLALRQCGETVEEFYKISDEKEDILENNDESDMRYYQSFQLWHIAARAAMGWEPRRV GTVQNVLNMYCYDILRRRKFEKRKRNSELRHQLEAILGDTRGDQVGFGSEDEAEQDIQ VEENENSQDEDSDGPGEPTDSESGSSGEEEEDSSDDDRPIFGHYVD FPSE_12360 MSRRPPKGEYIETDTGNKVARKAVLVGTQNIMLGGKTVIQPEVM IRGDLARTVTASSSGSAPANNTAVAIGRYCFLARGVLLRPPGRMYKGAFTYMPLRIGD HVFVGQGTVVQAAAVGNHVQIGRDCTIGEFAILKDYVQVLDGSVVPPNMVIPSFSIVA GQPAKVIGEIPEGGHEEFELRDMYKTVGNNPQPPAS FPSE_12359 MLVNSLFVLASAALAVATPLKSFGPGSSCKPGKPMPVLPVNGGP TELPSPPQGVALKHIALGFGIQNYTCADAAATPAAQGALAVLYDVTHLYPGQGPASLP QDKWASLTSSVLNTGKIPLNLNGNGVGASLTNPFPKKSSFKLEVEGPPKNLPYLGHHF FNAAGVPTFDLDAASQLLICKKLDGIKAPASAPAGPEGTGAVDWLYLGDAGGSIGVSY VYRVLTAGGASHGCKAKGTDSTSYTTLYWFYG FPSE_12358 MVSKLKEYLHVDYKPGERRLVQKIDFFILTFCCLSYFVNYLDRN NINNAYVSGMKDDLGFEGDQLNQIFTCFTVGYVIGQVPSNLSLQYVKPRIWFPLMMVL WGGLTMVTASVQSPKSIMVIRFFQGICEASTFVGTHYILGSWYTERELGKRSGIFTAS GLAGTMIGGFIQTGIHSSMDGNNGLSGWRWLFIIDGLITIPVAIYGFFLFPDTPHTTT AFYLSEDERALAISRVPPAEERPRLTFGYGKMVLTSWFWWGFVILWIIAGETESFSTN ALFALFLQNHPTNEYTVAQKNNYPSGVPAVGIVSTLFWATMTDFLSGKRYLVGYFIGI TGIATSIMVLVASRDPTNPASTTVVFAAYYWAGSVYACQATFFAWCNDVMRHESAMFR GIVLAGMNTGSNVINAWWSIVFYGASMAPWFIRGMWAMIACSIAMVIWCAALTWRTHK YLKDTTIACERQSLEHEKHEVLDIRYLIV FPSE_12357 MTLTATATTTAKLDTTPSWKDISTKKIEALNSSIPQEWRVPQDF LPPADQADVTDWPETSTWFTKDELAITSLTATELIQKLASGTFKSEDVTRAFCKRAAA AHQLTNCLAETCFDRAIQTARRLDEHFAKTNTPVGPLHGLPISLKDNFNLQGLDATVG FTSHVGDPAESDAGLATLLQNAGAVFYVKTNVPTAMMIAESVNNTFGRTVNPKNRNTT SGGSSGGESALISFKGSPLGVGTDIGGSLRIPAACTGIFTIRPSAGRFPVRNCRSGMP GQEAVQSVNGPLARTIQDIQFYSKAVIDAQPWLADPKCLPIPWRPAQLPEKLKIAFMW HDGLVLPTPPVARALRVAKEKLEAAGHTIVEWDPVDQKEGGDLLQRMFLADGGETIRK VLERTDEPWRPEMDGYRTARDLSTSEMWKLQLERTAFQNKYLDRWNKAGIDAILCPTT PYSTVKNGTFQHGMSISPFKNRIPTIEVAYTGVFNVVDYSAVSFPTGISVDKDVDVLD SSYQPLSPLCKSVNDDYDAALVHGLPVSLQIVARRLEEEKVLAMAELVHETMI FPSE_12356 MKVFSNSVTFNYSWDEVSTANWTKYGPWNNKSEHVIAVDTLSRE VDPATGILRTERLITCKQSVPDWIKTIIGGTGDESFMYEASYVDPVNKTVTMVSQNLT WSNLVNVQEEVVYKPLGNNQTQFIQNANITALCGGWQRIKNSIEDTMVSHFRENAVKG REGFERVLMMSRKAFAEERARQVL FPSE_12355 MAAQVPTEALKELNVADGSQKPGANAQSNTDAAGDDHGGDDSED EADGAAPAEGAAKKKKKRKPKKKKKNPTSQSDPPRVQVSQLFPNKSYPPGEEVEYKDE NNYRTTNEEKRHLDNLNADFLADYREAAEIHRQVRQWTQKNVKPGQTLTSIAEGIEDG VRALTGHSGLEEGDSLKAGMGFPCGLSLNHCAAHYTPNAGNKMVLQQEDVMKVDFGVH VNGRIVDSAFTMSFDNKYDNLLQAVKEATNAGIREAGIDARVGEIGGVIQETMESFEV EIDGTTYPVKSIRNLTGHNILPYSIHGTKAVPIVKSNDQTKMEEGDVFAIETFGSTGN GYVRDDMETSHYAKRGDSSHVDLRLSSAKSLLNVINKNFGTLPFCRRYLDRIGQDKYL LGLNNLVNAGIVEAYPPLCDKKGSYTAQFEHTILIRPTVKEVISRGDDY FPSE_12354 MTENQTEAQPSIPAKSPIERKPVGGNPLIDPSVERTPTLPARPT QDADKKESEAPTCDTCEVPQKKSTSTRSKSPPSPIKIDKTQPEDFEGELATNNDLPSP ETLKKIERYIVLDRHGKSHPFKTLYSGSNVARRVLIIFVRHFFCGNCQEFLRSLSEAV TPEALLRLPVSTFIAVIGCGDPALIDMYVNETGCRFPVYTDPTRSIFDRLGMSKTLQM GAKPAYMRRSMAHSIVGSIIQGVKQIPSGNVLKMGDQRQVGGEFLFEPRDILTPVTTP RNEKAQPISAFEEAEERVPGSEDYGNEEKRVTWCHRMKTTRDHAEIPELIEVLGLDQT VTAGRDSHRGSVTSARKGKGRSMAQEIRKLSVERSRMSDET FPSE_12353 MENQVERLVEKAWKKYEETPKDKRLLIGVAGIPGSGKTTFSQII TNRINARALSSDPSSHPPATFVPMDGFHLTRAALSAMPDPDNAHFRRGAAFTFDAPKF HTLVQSLSKTPISSEPILAPSFDHALKDPRDDDIVVKPEHRVVVLEGNYLALDQDVWR DAAKLLDEVWFVEVDFEVARKRLRERHVRAGIVKDLEEGDRRAMESDLVNGKEIVDFR LKVDEVIQSREDGSWVHE FPSE_12352 MDEEDPLANFAFADVSSDEAEGVEAAKSRRTGQSEEAWRAIHKE YEAKVENGNIHQKINLPLGPGASKMDIQEVIHAVEEHYFFRRFKEAEELAKEVLSTSA GLDKDSKQLFQVYQDKSHQKNKST FPSE_12351 MSDNIDREAMIQAACLADLGRLRQEELPLSDMPRHGRGPTYAPC GKNGTSHIPPDRKRVLSNKWGAKIEDEESRQMEGLEIEDARPWAKGRAAEALSNFKIQ SAPPPRIMFHKTKAASSQPKGNSGTVTFRPPTDAWKKCVGDMVKNSPLAAKWGSSPGE SAAHQGAVSKPVQGLSPNHWLSNGTIGNGAPVTTSPPSTSLSNGIPRVPLTFTLHQDG FDSNAVENIIGSGVCQIVPAKNEALGFASSVMVQINEEKGGVLAFRSDLKGDKIHDVL DIDKPSLDGPYCVVKPKSKEWPYHIRFDSDEHVKIFKACLSKVSKAIKLHKKPEDEKD AQVTHDEVPVPSPELSTVTINSTCAPTASTDVPQGPAQTETDSHKVTTVTQTELIPMD DDWDSSNNPQVPVIQAAVNPMVALVRRCLQYFNTESSFCAGTIAGIEDAIIDKWISEG FLEDCGEREREAALMMLRSFVDVELILSGKKPTGKTSKSTTDQESRPQHQDAASEQAN SSNKRPRRGATQGLGSSCFAKKPFTYEGVFTGPRSRTAYK FPSE_12350 MTSSKIITVFGATGAQGGSVVQSLLQNKSQSFKIRGITRNPESE KAKALANQGVEVVKADGAVKHELVQAFKDSWGVFLNMNSEDSSMNQPDGLTELDVGRH IIDTAVEAGVAHFVYSGLASASEITGGAIPNHTFDTKNAIGEYAKNKGSFETVNIVSA GWYNENHLVKELAPALGGFPFHPDGEGYITLHMPHWGGNGEIPFIAIGDDYGDLVHGI FLDPKKYNGRLVQGISVSETPEKLVSEFEKATGKKARFVPMEDWKSLNTYGPTGSETV KYMFGFCQYSGGLYFGVPNDLAPASDLKARAAEAKGAVGDGKLMTLERFWQKYFTS FPSE_09038 MVRPLSLLLASLPLALSADFESVTIKSNLDNVGHTCISKCLYYT VFSDMGRAMGCDDPYDNNCYCATAAASASQADNFMSKCVTSLCSAGDRARDLTSMQSY YASYCMGAGFTQPGATEWYNPAEATEEATDAATADSSDGGSDARPSRTANSGGDATST RMTVITQTAEDGAVRTQVIVDATSTYWVNKDGSPASAKNGDDGGSAIKIGVGVAVPVV ALIAGAIFACWFIRRRRQRRAQLSHPTEPLTSLTTGGGPTVVTASPSTPERKDTLQRK PVGASTISSVSSLSKTNELSGAGIQRELAGREVHPFPDMTPSPPIQVAGQHEMTGDGW RPNLEMDGGDARVEMSGVARPPELPGYTNGANAYTPHESIQRWELPDNSRQR FPSE_09037 MSRTPSLFNCLIRTHHITSRKKLSRVRRAAQQLNVDWLLVRSGG SPGLMFAEARDEAGLTEWVSAVQALRYKDFRCVAKPAPAPPEGSGVRRTGYDETGEVK EFAKFMDERGLGEWWKKGMGFA FPSE_09036 MSSHKLIVILGATGNQGGSVADAFLSEPDWKVRAVTRNTKSSKA QALSSKGAQVVQADLDSPSTLTHAFEGANVIFAVSDFWGLYGDPANKSRAKPGQPLNE WAAEHEEQQLRNVIDAASKVPSLERFVLSSLSNAEKWSKGKYTRVYHFDGKARAAEYI PKAHPDLWAKTSIFQAGLFLSNFVLLPLNQPVKNANGVAQFITPLNPDTKFPFIAAEE DSGHIVKTLITQEAAGHNLIGYREWLTHKEIAVSFIKATGIEAVTVESDGTFPSGFSE ELVGEMTDCFGYFNEFGFEGRDDLTLVHPRDLKSAPKLGTVEDYFKKQDWSQVFGS FPSE_09035 MGSYISPGWRQLDVAIIGGGVGGMSAAIALRRAGHRVSIYERAS FASEAGASLSCAANGTRWLKEWEVELERGDGVVLRKLISRDWTTGKVLSLYELDDYEE RWGYVYYMFQRQRMHDMLKHSALGEGTGEPAKLWVDHKFENGRIVKHDLIVGADGIGS TVRGILEIWPKRQPADSSCLHANVSTEDAVAAGLVDFSKDQALQFWGGQGELWDKIVL SPCQGGKLISEPYPYITKDIVCLVGDAAHPMMPHQSQAACMAIEDAAALGILFSKKHF NGDVAESIAMYQDIRLPRATKVQAAAARASENINERIGFSSNVNAPVYRVKGEQEKLT IEEMNAYDMHMHIEELACNKTGQPFTYKYIRGLPIGLKLSNGYTVGGGEDDQLAVNKL NYIERRRSFLGQLTTSQSNMLWEFLDKQQALAVLAIGFSSVLIYHLAQNYRRLQHIPG PLVAKLTDIHRFMLVRSGFIHLYQASAHERYGTAVRFGPNLVSICDPEAIQDVFNMRN GFNKSNMYRAFRPWTPAGLLVSVFTAKDDVVNRQMKQHIAVYFSLSYTAASFEQRVDN AIRMFFKQLDSQFVTTEAKIDLTRWFKFFSYDAMGLMTFSRPYGCLENGSDAAGIISD VKNSMLAIGPMTQMPWLDWLLHKNSLINMIKPEPVSALLKYVLARISERRDSPKAMHS TVTDGPDANGDFLGYFLQAQEKKSNKVPPQFLSTWTLANILGGSDSTASMLCSVVCFL VENPDALEVMRAEVRDKKQDAVGDSSPIPKWTEIQDLPFLNACVIESLRLDPPFATTL ERVVPPEGATICGTFYPGGTVVGMNPYITNRHRPTWGDDADQWRPSRWLEGDPAHIRK LQASLLSFGAGTRGCLGQHVAFFEIKKLVVALFMNYN FPSE_09034 MVTSEPLSVAIVGGGISGLILAIGLLHNPQLEVTIFEASSAFME IGAGLALGPNAQRALRLISPDVAQAFEDLATGNLSSEYSKVWFNFRRDIRGQQCDLDL GAIENDTGQQTVHRAKFLDALTALVPQDKVQFGKRLLNVVQHDHHVVLHFEDGTTAKA SCAIGADGVHSNMRKYLLGTAAPAAVPVFSGFVAYRGLIPMEIADKTLGKFARDAYLW CGNECMVMIYPIDDGQVVNVVAACHRKTWDDKAFVVNSTQEQFRRDFDSLDRIPRKVI ELLGNPMLWGLLDHNSAPCYYLRNTAVIGDAAHATTPFQGAGAGQAIEDALVMSKLLG QVQRLNDIEPAFAAYDAIRRPRTERVVQTSRQAMKLFTFTDAAVGDDVEKWKQAWEGR MNWIWDIDLEAHVADALDMFELNRCACEE FPSE_09033 MGSLGASKSTPDGIAVMDVEKPCNLEAVPDLIKDVVSAADVLAS GSPNARHELLIKLRTLSLAIETPREIAMRHCWTMTTSIGALAFGVDSGLWRAMVQNGD RGQSVSELADQTGVDPVLLARIMRHFGAMGYVDETAQDEYRPTVFSKSLSIPTVGNGL IGLTCATGASSLKFHEFSRKSGWKNPTDTKNTPLMYAYNTDCDMFSWIQSQGYGSYFN DHMVGYHPTPWMATGRFPVQEQLIDGAHKNPDAPFWVDIGGCLGQDLLDLQRHYPSMP GKLILQDLPPVIGQVKKIQQTSFTAMEHDFFTEQPVKGSRAYYLHSVLHDWPDSVCEK ILGHITDAMERGYSKLLIHEHVVPLTNASWETTAKDILMMAMFSAGERSEVQWRELLE AKAGLRITSIWQLDLPDEYLIECELP FPSE_09032 MPSTIQFPRGDVEAQLSFYNPPADGSEPYFTLSRDSGNKPKFNF SDKIIDVNIHDVRDCEKPFTLDHDAFEIITGAPPAPKTIDFMDDEAIRRDYYPEVQQL VSDKIPGDNQVFIYDHTVRHEMGDGQHRPLARVHIDHTADNAARRVRQYFPQEAERLL KGRYRIVNVWRSLNTQPLESYPLAFASAAAFDDADIIPVKHRYEDGSLVREVGLIKYS PKQAWYYLSGMRDTERILLKCFDSDSLKEGSEVACKTPHSAFGDPRTRDDAEGRFSIE VRCMVFST FPSE_09031 MKSTILLTLIALPFALAGPNNKARASSPDISASIANFRSVLDPK SSVISPRDTRQLNKPAARKCKDLKGWRWCWTDVLRRSSFEVAMGEMGIEPSIR FPSE_09030 MAIALMSEKASLYRRPVIFAACVLVFFIILETFYLYSDAWTPAH GLLPSETTSTGASPESSVNAPPKKHKQKQQKPKTKTIKTSQLHFLLPASNPNDMFCAI VASALVNRYPAPYMVGWKGEGKYNASAAHTAKLYSIKKYLDKLPNGGDDDDLVFFGDG YDVMAQLPVEVVIERYFKVAADADQRLADRFGISVQEAHKRGLKQTLFWGADKMCWPA INEAQCTKIPGSHLASTVYGPKTGNGDLNYRDAKYFNSGSVIGPIGDLRKFINAGVTA LEETFDPNFKYKTSDQIYLARVYARQELSRAKQIEDELLNFDKVTTGENSTATEDVAE YHAAIDYESDFVQTGCFAHKWMQKLNYNNTDNTATMSLNLFDQGNSFKPYKIQLPANV YRSFIRVFKSLPNDVTKKPARDWIGSLKLDTNVATRKIFGFYHATCSKRQLVADFKKY WFHPYIVPLLQAGFQATKQDQLITEKLIDGRKWVYKTAFPSEGAPEDALGGVLTDYKE EAYIPFSTLCKDSSHILNIQ FPSE_09029 MVSFKDIQASNALINDATAPRVAVFVGGTSGIGKFTLEALIAKG TSIRVYLIGRESAQQSTETFIQEMHAINPNAEIIWVQGEVSLLADTKKACDVIKSREK SVDLVFLSTGYAPFTDRKDTTEGFDISQTLRYYSRILFVLHLLPLINAAGNSRVVSVG GGGMERSDIELEDIDLKRPGNFNVVKAHTQAVDMNTLFLEHLANENSNVTFIHSCPGW VNTGNVRRGLDPNTILAWAIWLLLEPLIGIFSFSNEESGQRHLFQSTSAAFGGRGTTW GGEVGVNSHGQKENGLFLVTSKCDCTLNSKTVGFLRETAQKRIVEHTKDVLGPFL FPSE_09028 MYLINVSTYDLEEFHGNIPKYAILSHTWGPSSEEILFREMQNSL SDIRIKKGFRKIELCAEQAKRDKLQYCWVDTCCIDKSSSAELSEAINSMFAWYRNSTV CYIYLEDVVHQEGSNGRDDSFKNARWFTRGWTLQELVAPRVRRFYDVNWTIIGSISEN HYNQQVVNGFLRESSAVEKHLNPYLPERSNIPGQVSQITGIPDEVFHSGDLASFSIAT RMSWASRRETTRTEDQAYCLLGIFDVQMPLLYGEGNKAFIRLQEEIIKRQPDHTLFAW RSEHNAPTPTFSGLLAPLPKNFASHYCQGLSSKHVDMPYEMTNKGLHLQVRLIKSRKN PNEVYAILDVSHGSNPRNDVWYGIRLGRLDQKGQFARINSDEQLVTEAETADTIYIKP SHIYVQNFINHESPLYDQLKPSRVILGCALGTMLLTILEASGSKSWDDKSFSFYPSQD DLFYAKLAFENTPKLRNMSFLTIGWISRVNPISYFLHTSPEASSEATTTPLGGETIST TGTATGTISENFTTNSEGETIFPTEITTEANISGSYTSSEDESTGTATGTSPSVTATA TLPAGFPSQVGDFALFGCVVSTAGFPSFTLAQSNSGMGLDICATLCAGRAYFGVYNTD CYCGDEIGPATSRVDLDQCDIECPGDDTQFCGDESNSKLRDRQAISSNLLLTVYIAIE AGVTLTESVNQTVTDQRTVITTFTTTTTATNTGASTTATEVITATLVCSAGKCHSINS VTVYTFVEIKGRDHNGQWVYVSEPCSCASGQRYTPKFCSNGNCNNIKIHKPQQCLDWY NYNMFFIPTDTACSTCPSGEIVYQPWENSWGTPDSYNNAVPTCRGHDCPSQKNVIRPY QGASGGRSKSSSKNGGSGNSQGGSSSGSNGESGGESGTTPDGTPNGSSNDNSNDSSND SSNGGSNSGTEGESQSSSIPSSKGSYPSTVPVISGASSHVFSVVSLISPLAALL FPSE_09027 MASSQSKDLPFSSYFSAFAANYVQQTGRSTRRVFGESFEEIQAI KPINKDSVVHDNAAGPGIATSFILEKLPAEDVPKILVTDNVPPMVQGAKDSFTSWSQV ESKILDSLNLEGISDNHFTHSILNFSVFTLAEPVKGLKEMYRSLRPDGLAVISCWKTF GAGQLIHAAQAIVRPDLSPLKMPHPEFFEQGVLEKTTAEAGFDSAKFKLLEKSIVVSG PELDDGLKKFMLGPLMAPARAGFTEEDENNWPSAVDEVIKKAVETHGGVKFDGWVLLA QK FPSE_09026 MALRLQPVNNDIRGRLALVTGSSGGIGSTVARALASEGCDIAIH YSSNKDKADKLAQELSKIYSSQLFVTVKADLSQRESTRSLVPSLLSQDAVSSKHHAVS ILVANAGLGRRIRDVSDIREDDWDEMMEINSRSQFVVTKGCIEGMRKQGWGRVILVGS IAARGSGLNGCHYAASKGALSSMGQNLATLLAPEGITVNIVSPAMIGSTGMIPPPKSE TWDKGCDLEGLKETDPGLAIATSVPIHRLGCPDEVCNVIIIDSTGIPGDPPCRRCIEK HQECVLATSRRGGRRIKGQRLSHHQPSNKSHKTPGNGTIRQMDTSSRSHAERSRDTDE PSEWLSSHLGSDSNDEEEEEEGRDAVQLQGHFTSSDLLNPSDALDLLAHVADMEPESH NRNQPQEAVRQAEGPGRVAGASQGVCNYPPIESGALTLSEASFLIEQYHDNFHIFFPI AYSAIFDYTHLLESIEKEQYLITAILTVATKDDPSWSKAHTACARYMESQISKLIYTG STTVGAVEALLILAEWAPQPLEEDLMIGCGKEDQGSWMLVGVAIRLAYLQNLEQTGLT QRDGDKTEELSRKRIAWAACYMSDRQVSIRLGKGFWSRGPGPSINLRAADFPYLQTQT LGNDNLALLFQAHLEMTQLFSNAHDILYSSTSHREQLYTGGEYVRYIDDFSAVLRRWK LSWGGLSFIPCVKASLMLSYDFLRLYINAFAFQANLNRIVRRQRKRPAGHLFSELAAA PDARFIYESIDAANSILCTINSFIDPVKVFKYMPLKFYLYVIYAAVFLFKAIFAGAIK PSEARGVRRAIYETISRLQKTSDNQQGLGQRYARSLKLLWLKMLGRSRSQAARAEEPS DSIVSINRGAHQVPMDQSQPTPSSDPFNSFSWKDLHSLGEFISNDETSLFNENFIISP EQDSIQGIEGPDYMGGNFFYAGSLRENDIIF FPSE_09025 MELQGQSLILTVSVLTSLGFMLIGYDNGLMGGLVNTTAFKDTFD DPNADMIAVIVAIFEVGCFFGAIFTSIWGERLGRRCSILIGCIVLMIGAILQAASYSR AMMIVGRIVSGVGLGTINSTVPVLQAEFSPKSSRGIYVCAQLSTLNFGIFLVYWIDYA LSSHHGSYAWRVPVILQCVPIFVIIGLLKFIPETPRWLAAHDRPEECLKILARIHGTS VDDPDVRLLHSVITQTVAYETSIGSGSWKDLLKEDSIKSRKRLLLSCTLQSFQQLGGI NAIIYYSTTLFEKSIGFSAHMSSLMSGFLQTWFLIASFIPWFLIDRIGRRPLLLSMTT VMAATMAVQAGLIYQVQNNTASAHASGIAAAAMLFIFQAAFTIGFQATVWVYPSEILP LRLRQRGSSIATASNWIFNYMIVQITPVSINNIGWRTYIIFAVLNAFFVPVIFLFFPE TKGLELEDVDQLFGGEDIAKVLDNKGDGTVVTVESVNRDGAT FPSE_09024 MAFRVLDKAGQAIFGTSPKLDLLHENHDYPFAHEASVFIPEHNS LFITSNQYNDPVTGQRKIQISKVDLSPDGAFLGCEEIEAPAVPMANGGVNYKGGVLFC AQGTLERPGGLVFMESAPPYRCSTLISSFYGRDFNSLNDVVVHSDGSIWFTDPIYGYE QGIRPKPRLPCQVYRYDPSNESIRAIADGFGRPNGICFSPDEKIIYITDTDWIHGDGT TDDFRVSNIYAFDVATYSGQPFLTNRRLFAMADVGVPDGIKCDTNGNVYSGCGDGVSV WSPGGVLLGKILIEGGVANFCFGRNGELFMLNETKMWRAQLGNGVKGALLNI FPSE_09023 MSDQVDAPTQLGAGDSPDTTAPTKPSLRVLEPASLGRSTREEDL ANGPLLLALGDGHEDPIVSHPELYLTAQYSDHHRPEESVLPSIPLRLPEALDAGPMTL STMSQSGPSLSIPTESISGTSHPPCSPTEDILDTNQPLLLPTEDILRANRSLPLPASQ ALIHIKSLLAELNERLAIRDRDFSPFSQSCQFSWRDSSLFFGGEPFEVNRTPDDALSM VNAGSQYTSSQSCSSSISTRSSLDTMGSDAIAEPSDPNRVIAMHSYADWVVELLIDDL YRSCAPQASNRRTTGASNKVTEGASNNGEGEKRGLNKKASEKKSGKRRALGGDGESED EGSQDKKRKISDTGKDKKRWACPFVKWKPKKYTCNISPTQIRGIRDHIKKVHWKEHCD RCWSYYSTSEEEVAHQICQNLSPSDPPPGLITKEKLEILFQRASSSLTHEEQWFGFYR VLFPGEQLGFSPYVNKAASDFMDRAEVRFRSPRSREILEEIGSASGLNGAECKQFVDM VWDEYLRRMFQEHDLNNERFCKAISHDQQVVDNDQLSQIDVAGTSKAITEREPQYQAS PLSLGETSIPHQFPELKLEDSPMFDLQTPAGEIILGDDTFSDLLNLAGFEDPLDGVDS RELEGCSQEMLGGILPDTFDNALDGNLDTDENYLGFTAGIDNWSGIELLSEQS FPSE_09022 MQTKYLSILGATAAAVTGVSARDIQHVDVVHIFETLHVSEQVRN FTPNKRNIDALFARDSNDECLSSATSILRAFPTPTGSLGAYVSTAQTQTNPCTLEVPA TLSSDLMSYYTSLTDWEMDNDDGLIKFIQKCASQDDLDEINKELGGGSECSGLGTVLF TAASSTKTVDLQTAFPDYTAASVPTTVDNAGVARDVSKFAAAAAAVVAGFMIAA FPSE_09021 MERASLLLSLSPELIFQIVGHCPATILTLALTCSSVYRLCQPVL KQHRDAYKKYKVTSDLSPETVLHLLRAGPTAEIERWHTDWRRWSPELPGAYGLAEEDP SRSALSAQELQRCTRKGTEWWELSRSDINNIQNTLESGNDGYLKTLLIASCPRVHSIR FAKRPKDSWSVLNWIRSAIGWSKCGNGKWPPGFESLRNMAVGVSIGLSPHEEDNGSLH GSILTLLLHLPHLKNLYFCDPFEIRHPEDGNEDEYFDLRELCDFPANTSSVEYLFLDN PTNLSAEFFDALASAPKDLDTLVVKARGPSLHNFNAVDTLVVDFARENPQLKRLVVYN GESLCGEYGWLTYLPSDLKGFNAIKHHSISATGITDQACDPAYGYIPDMVKDEWFHEA FPPCIEAIYVWGESELRGDEIEPLEVLDFLLARIIESGAYKDLKVIYVDNVESSARWW GLKGLSFQKTIAAGQKTGVHICTFMNRDDGGYWKNFPARPDRFDLKTGPCGGKRPANW RLNLYTGEWGPNCMGCGECDKCWAVYPPELWKKHKTVET FPSE_09020 MLAINLLKTGGFLFSAASVLAVPVVVESLNETPAGWEEADSPSP DEFINLSIGLEPEDHKLLERTIYEVSDPDHHKYGKHLSRESAKALLRPSSAATKSVKR WLSEAGVPDHHVRDEGEWLHIRTTVKNAEGMLSTRFSVFARDDRSIVRTREYSVPHEI RRHIASIQPTTLFSSFQHGRSLEETAPTVMKRHVYGEKEPRSTKNGNYGGPGPIDLKK CKTEATPACIRKLYNLPKKYPSAAKGSLYTTVSFQNMTSQRDELQEFLRRYAPDLKGV TFADDFANGGKNTQGDNVPENEGNLNIQYAVSLASRVPVQHLAVGGINTDYISDLDLP PGQGIETWLEFAEHILNLPTKKLPSVISISWGEYEQHLPKKYARQVCNKFGQIGTRGV SIIVPAGNQGVGVACQSNDGKKTKKFLPTWPSACPYVTTVGGTGGNNPEIALSDGEGE PFSTGGGFSDLFPRPEYQEKAMKEYLKKYGKKWQAYYNPKGRAYPDVAAIAAELPVMV RGEVRPATGTSAAAPTFGAIVALLSNERLRKGKPAMGFLNPWIYKKGYKGFTDIVHGR NYGCQGFSLQDTPAPKIPGAGWEAVKGWDPVSGFGTPLYDKLEKLAL FPSE_01227 MSSETPLLDPSLFSHLQEKLDEETSVRDNLTQIIQRLERAVATA QGLLSRVHSTPRARYPALVSQVEDAIEEEVTIVKELSEVASQHPYYKYNQKWARTVQN AIGTAVYTAWLGGLGSDSQPASLGRLLTLEQVGAVFQVPTNLKDRDAFHFTIEEYLLS LTDLTNELARLAPNAVTHGDFELPLVISAFIKDLFAGFQLLNLKNDILRKRADAVKYD VKRVEDVVYDLSLRGLVKRPGEGDTEMAAAE FPSE_01226 MQPWSNNGQNGADETQHQWHNNYGFPAQNGQFDPHQAWSQPVGD QAAYSQINPQDAAASNFFDSDQGHSFLSGTLHGSQQDQPGYHSGHDSLSMNQQFPQQT SDVMDTDFNAMHPDIYSQHGKMNLDTAMAGIGQLSNHPHSSNFPYSMAPPSESSFESP IQQFSQPHVMPQPSRQQSHTPVQQFDAIQPSFAQGHSFSRPPQQSPVQSQQQYNQSAF SPPVNGQTPQSRPNEQMNFQQSHQGRQQPPPQQQHAQQQPPTVQPQFAPKQPISYPQT SQPLPAQNFQQQMHQQQPHFIGNASSPPATNNPYLIPQPINNQDTGIAQHVTNEPPAK KRKRAVKSAPDTPTPEPAATYIDSPVGSPAVKKVDDVDGLPAPTPSPEEAQLIAQSNK RTKAAQAKHPAIKGLPHLIYEGTTKLPAPKSYDKLAPLVALPARSGRQMVPELGYNLP CEVQGRFTNQYRPSPDKGGLDERRMEAKGLLDDFDRSMKNLGKRRPKYTEYPHAFKEQ LKSDEASKNKAEKKAKKEQEEERGKPMRPVNRPTDPAEAAAWDAIGMVHIEQSVARTN TLIATRVQQAGEYFIKLRSDMNRAKQELDQAVKDKVSEAALANARNEFEQKKRILYRA LDATIEHADDAVLDNLGGHQKLVSTLVNALIMCIKAGDFSGELPKIVLEMFTHFPMTK RIAETTNFETVRKRFADKGDGEVKELVSEITAKVKKLKANEPETSTGYTGTSALSRAK TKSGMESSAKRARDDEPDTRTVKKIAVEPSGGGSLMRKLATPKIQLQSASKTSAAKAA AASILPGKTRPVAKSVLKPEVKPDAKAANDEKAKPESKPSRTEVKTSTSRNGSTAATT SSAASSALSGIASLLDSINAPKPESPVQPKETDGPETSETPEEKAKRLRKEARRKLRV TWKPESELVQVKIFQKEDAEDEGREQNMIRDAADDRSEGMVLKQRADVDEDEDDDDVP YQPWMGPVGIDLSRLPTDVRNKNYVNRGGNVTFTTEEQQRIADREQRELVAIYTDPAD IPPTPKSPPPEAATITQQKPQHLPDDAKFQEIQARWRDEQQAGADRALYSALQRIDAK NNPAYRIDSIMSNLRGTPAAQQHQRTSPSHHASNLANDTLPIIAGRAVLEQIIAILKS DKARNWRDPNPSASDVWRAYKYPDSAGFCGSVIENVTKSMAGLPFPSNSPPEWILHDQ EKVREWQIGYNKELAARQKREEEERARAEAEANALLSAMSGASSGQAPANPQDWTAFY AQQQQYAPYMALLQQMNGGQQPAQPPTSAVPSQQQPPIPENQLQSILAAINQPSQGTA QQAAASSAAPYLNPNDPSSYQQYMMLSQMAQGQQVPPPPPPAAERDWERERDHGRDRD QDRGWDRDRTFDRDDHHAGRGDGKDGRKKRGTLPPHKPANKALIGTKPCTFWQQGKCA RGDKCTFRHD FPSE_01225 MATKGNSLRDRQIASLKKILNLNETVESSEADEAHANGLLAPVA PILDADGNPIWKVLVFDDLGRDVISSVMRVSDLRAMGVTMHMHIGGARHPIPDVPVIY LLEPNAQNLQAITSDLQKGLYTPAYINFLSSLPRVLLEDFATQTATAGTSEHIAQLFD QYLNFIVAEPDLFSLGMQKEHTYWALNSAATSDEELDRVVDKIVSGLFSVIATMGVIP IIRCPKGAAAEMVAAQLDRKLRDHILNSKDNLFSGPRPNASSGTHSSRPVLILLDRNI DLIPMLSHSWTYQSLVHDVLNMKLNRITIETPAEEGNPAKGPTKKGYDLTTSDFFWAK NAGSPFPQVAEDIDAELTKYKEDTAAITKKTGVTDLEDLQADTSASAQHLKAAITLLP EMRERKGILDMHMNILAALLTGIKDRQLDNYFQLEENVVKQTKAQIMEIIKDDNKGTE PVDKLRLFIIWFLSTEQEVNRQEFESFEKALSEAGADVSSLPYVRQVRATTKMTQLTT INNTAQPAQTSDLFGRFSSMSSRLTDRLKESGVPTGLSSNFESLISGVKNFLPADRDF TVTKIVESIMDPSSASSSAIAKTEHFLYYDPRSANARGTMPPPSAVRSGAGTSTPGGM PGSQVPGQTASFGQRRQGFSEAVVFTVGGGSMDEYGNLQEWVSRTGGDRAKKRVVYGS TEMVNAAEFITEELDTLGKEVAS FPSE_01224 MEDSPVKTEGASRHRAGYKSWKKKYRKMRIVFDQKMHDGEELHK QEDKAAALVKRLAVENEYAISKVQQRNFEGNANSDINSRLLDLLLDINNSPQIPPEKQ VEVSLQPPSDSKAPVLPLDEEYELRKDTPRRRLEDLVSSVPHSTYSTAKESLPQIVSE LKAPDGEAFPADFLSADDIDNYIYDIDMALDNGSQHLPTLAPRAHPGNHQQSHPHLKN PTSVTNWLRKHAPKIFLQDGEAHGDADDNEGGHTGGRKTRGSRGERGGRASTRGKRVS AAARAVAADRDVDVSMDEEPDVASTPISRGKRKRNNEDDTGYKPRGSSTRPTKKKRKS EAEGTPSARKPKKEKEASVAQTD FPSE_01223 MPVAALASSVPRSLFSSSLRRVAYTSTTIRPVRASFGAIQTISP FAVRTMASSTQKIKVKNPVVELDGDEMTRIIWQVIKDKFIHPYLDIDLKYYDLGLEYR DETNDQVTIDAAEAIKKYSVGVKCATITPDEARVEEFKLKKMWLSPNGTIRNALGGTV FREPIVIPRIPRLVPGWKKPIIIGRHAFGDQYRAKDAVLPGPGKLSMVYTPEGGQPEE IEVFQFKEGGGVAQTQYNTDESITGFAHASFKLAIDKELPLYMSTKNTILKKYDGRFK DIFQEIYETTYKKEFEAKKIWYEHRLIDDMVAQMIKSSGGYIMALKNYDGDVQSDIVA QGFGSLGLMTSVLITPDGKTFESEAAHGTVTRHYREHQKGNETSTNPIASIFAWTRGL IQRGKLDDTPDVVAFAEGLEQACIDTVDIDGIMTKDLALATGKSERKDYATTNEYLDA VERRLKKTLKEKL FPSE_01222 MADAEEKARKEKIAAAKKRVEQMKKKKKGTPAKQTKTEAAETPV PEPAEEKTEDTPAVEEKTAEEPPKDTDDKPAKASSKGPKKPLQIETKDESDSDSDSDS ESDSDDENSPAATPSLAQQSKLRSTSFRAGSNPSGGAASPFSPDGETAPDIYRKHMAR IEELEKENKRLSKEATDSEKRWQKAENELADIREAEGEESGKTGSHDDGLLDSLKSQM AALERQNAQLQQQVSRGPSHGHRQSVSMATPPADFKAELEAKTATIESMEIEISKLRA QAERHASGSSSEKEQVTALEDKLARAEAAAGKAQRELQDLKRNLERTAEKAVREGSER TSAETKVKTLEHELEEVKNARDELEKKVEALDKKATTLTTLHKEQDSRLQAVKKEKEK AEHQVTELQEKVEKLESENTKLKSRKSLEGGGGLDDEGVDELEDEERQKLERKIRALE SEVHELRSGAWIEKRRELEATSPGFQDVDLTTGHGMSPTQRRKSGPGSIGDFFTSGLN ALAGGGDEEFLEDDDMDFDEDAFRKAQEEESKRRLERIKEIKRSLKHWEGWRLDIVDI RRGGNEGVGEIFDV FPSE_01221 MNWTEGALARHSRRKGWDKDAARQKQYFAKARAKKNAPSSSKGL DIVSFVPDYIKQPQPQQPQDHYSTSSTPAKKQKTPKRKLIHIQHDTSEAPRRGLVQGI NIELPKPGSGLSPVLHSEKDDQELDIATKRRKLLEKDDWMGVSTQKPLKVNYAWRKDC SPQPRGTSNFKQNITSLLPTYDQHDHSNRRTLGRLSNNEMRINIGSQNLRWSRESNSV RSFATRQGLPNHISSSPDRSLNLGLISPYQLPSDVQAPKTATIKPRKCDRSPGRLDPR NKLFSLSNGALDTAHRNSNQKLSRREGPDEPRFVAKAHIPMIHQPQPIRETRPSMINI RSPDFEDNMSTTAVLGAPRRSSNRITPEDIRWNLWLNPKTTTASSEPAQTNEVREPSR PISPGISQFWNSSEKHSQTQSPAQPRTPPHRSLGQIDEPQLQSCETWTSHVFSSDNIQ NKILSSEPGLPEVQAEGDLTTPTALQTRESLKNPDHDRREARVKPRSDWILPARKNTR KTNNFRDMLDLLTENEDKNGAVTEKHVKEKTTLNSEDEDEIWKRFVFDNDPAETTRKA LDEANEQTKRELGLKTTTGPCAFQDLPIASSSTAPRSDVAEPPSATRDSSLSTKQTPC IADDQIGVPLGTGPDLDLEEQSLSEVTANADTADGIDSIVAQPSSPEPLQAEFTFHQP QLFIGRLAADAPSNIPSVTLYGPKKGRRRRKHRDKARPDFRAMPDYDDDPIEED FPSE_01220 MGSNQWYSNSNGWYHASSPQDQVSSPTEYFSGYYQSQPMARDMS SGGSSQASTIPESPVQHNYYAVYGSSPNTYSGYQQTPGYEQQFSSGQAMAEESIIPRS ETGNDWPVQCLHPGCPARPFKRTADLLRHYKNTHAPESSKDVYLCDYPRCGRSRDPFH RRDHFRDHLREYHREDIQKRGATVNEEWLEGRYAPAAWWRCQRCLVRVYVSKNGFECP GCKTSCESKRKEKRRSRS FPSE_01219 MAARKLAQEVDKCFKKVAEGVTEFEAIYEKIEQSSNPAQKDKLE DNLKREIKKLQRLRDQIKTWAASNDIKDKAPLLEHRKLIETQMEKFKAVEKAMKTKAY SKEGLSAAAKLDPKEQAKVEASEFLSGMVDELEQQIETLEAEGESIQATMKKGKNNTA KAERIAEVERVIERHKWHQGKLELIRRSLENGGVEPEQVTDLEESIRYYVSDGMNEDY MEDEEMYEELDLEDEEGTYGMGADGEKGSSLDAQSVQEDLTPDTDLPKPLVRKAPKEV DPVRRTSSQTKSPLPALATLHAPLPTISNGNSGTPAMKPASVPSRPAGEGLKYASAAA AAAASDKNNVGIAPLPPPPGAASSSISPLPQSRSSATNSPATSSAQPASQQTESKQPT PAPAPAEPEPVPTPAPVPAPTKQSKRSKAAGKQVLVPETPASSKPARTNGTSNGIKST EEEEESIYHLPASLQDLVDTYETSRKRPYPPSAPSALRMMTASQASCPDVVDADVPRT YRPDVPVPPTGSNFPREPLPLFDDPRLYSRIDPDTLFYVFYYKQGSAQQYMAAKALKD QSWRFHKQYQTWFQRHEEPKNITEDFEQGTYRFFDYESTWMNRRKADFKFAYKFLEDE V FPSE_01218 MKVILQRVLSASVTVDKEMISSIGKGVLAFAAVAPGDTEKEADL MAAKVLRMKLWDDEEGVKWKKSVGDIGGEVLCVSQFTLLASTKKGAKPDFHGAANPEE ARRLYHYFVQKVKAGYMEERVKDGQFQAWMEVALVNDGPVTLELKNGAKTE FPSE_01217 MAAEGDSPARPRVYFDISLGGKSVGRITMELYADLVPKTADNFR SLCTGEKGIGKSGKPLHYKGSVFHRVIKQFMIQGGDFTAGDGTGGESIYGNKFDDEAF PIKHEKPFLLSMANAGPNTNGSQFFITTVPTPHLDGKHVVFGEVLNGKSVVRQIENLT TQSGDRPAKEALIVDCGELTGDAALAADVKQPDALGDPYEDFPEDCTDTLDAVAVLKI AKASKDYGNTAFKSGNYSLGLDKYQKGLRYINEEPELEDQPQSIKDELEALRFSLNNN SALLNIKLEAWDDAARSASAALEVSGVKDADRAKAFYRRGLANIHLKDEEAAVRDLTE ANKLAPNDSAITRELNGVKTKAAARAAKEKAAYKKFFT FPSE_01216 MDEQDNSRSGTSSDTTSWNQASTSDLNLPRDPKMERSSASNLRS AFRNTLINASNTVRSVTPDPVESPTPPTLSVTTDSLQSPEDAFLQQTSPRSIPSSGGP ARRQGMVFNEVFSESYESSESSPPRQHLRHTSGSLRPRTRTMDTSMLAQRSVPPPTSE RHRVGSVSSSGSLHPSDELRPQLSSLDSLHHSVITGRDSSGAPKDKKARRLMKRQSSR PTSPLISPPPSVDSLPLPIATDDANKVLLLMRTLCGRMRGEIEYQGETGGPWHSGVAY IEEEKGCLMFDSGQNGPFHIPLVSDLRGCRVLPVDYPELTKECLELVCLQPPIEILIC PRQMEEFDLWLAALLCWQQLRPTGIKLSNGKPTNQVMPMRPELRRHGWSQEKNKPTSI IKVGKVMLWDKGLAVSPRAIVKRPSTRDLRSPTTSWRRVSCILQDGGEFKLMTENDVT VLSIIDLSQLSRCAVQQLDRTVLDEEYCIAIFPIYATSSTHLSIFRPVYLALDSRVHF EVWLCLLRAFAVPDIYHLDDPNTSQILEVDNIEAEHEGEVFRIEKTISVRVTEAKIKA RPNGIGGVESTIHERPGRPEPDPLMGNYLAEVILDGEVRARTTTKTGTKNPFWREDCD FNDLPPSTPLLSVVLKRVEGNLDSSSNLLQASVGLPRTRNLQEFVVGAVDLGLDQIDR GKDNEQWLQVFDEKHQSVGQMLIKVNHDEHVVLLSKEYEPLKDILHRFPAGLTNQISA VLPGHLRRLSELFLNIFQTSGTASEWLMGLVEDEIDGIGNQASMKKYRFSSRLKSNDS VDAATDRELIVRDMSKSLAGEANLLFRGNSLLTQSFEFHMRRLGKEYMEEILQEKLFE INEINPNCEVDPSKISNEADLDQHWTVLIHYTTEVWKCIANSANRLPPELRHILKYIR AVAEDRYGDFLRTVTYTSVSGFLFLRFICPAILSPKLFNLLRDHPRPQAQRTFTLIAK ALQKLANLSNFGKREEWMEPMNRFLNLQRQSVRDYIDQVCSIPADRETNNFVPAGYST PVTILGRLSPTSKEGFPSLPYLIDNTRNMASLVKLWVDAKPVDDKKEQVDQELLAFND VCFALQERADACLAKVESERASETATNATTEDITESLEQASLMESLSISYAGSSTAWN DTYDPPPPDSSGSEVNDDNTAQNSRRRSKERRRHHHHHREGWEHRKQRHASAGGSASA GNTLKSKNGRVGRTILSGIMRIGGRGESPEGRDSPKHHK FPSE_01215 MSLAEAAPISRDDRYDDQRLGDSTPRASELNTEMGSQREDTKKD GKSKMRGLFGLGKKKSSTLTKSDSKIDSNEAKTESRNESRIPSNANSNPTSPSLSRDA SKLSSNHPSRSPTGDQSFTMPTSPSRGFTGSPRLSSPATSQIFERDVQESSVILPNSP AIPTHIQTENYIPPVLDDASEAITNHKLDPDSVEIVTHSSHQPAAVTVTGANTGLPSY DPSASEWAAELASFADRDAVSTDNASNYGSLDSADVRRLSFISFADVVQAEHNPSSGI AGSRDSIHLAGLTSLPAAMNRSPSPIRSPVSSQGPETSPPTSNPGSMKGIELSPSRRP LGSPTSINNLKLNVSGGDLNIETMSQALRRTGSTDLSHVRSGPASPIESSHLR FPSE_01214 MEFRTLARPAARLLSPRPSGLPIIPCRGHKTTSRTKRSLKIAPH ESFLPDRKAAFPAADSIIYNPPSSEASPLHTPFLFLPPNDARRVALTKLRHTPGSPLE PLSSSKLPPQMKYNRRSPNYNLTAADIKEMKQLRKEDPETWTVNKLAEKFGCSVIFVK MAAPAPASHLENVKRKLERRESRWGAIRTNAREERKRRTEMLYRGEL FPSE_01213 MARFVDLEEDEDVGAGPGGFPVHDAHPPPHHEAAFPPVLEPIHH GDPQLNELSQKDQHLLLLRKLELLSSSSSRNGPWPHSLYNPEPLGTMDASTFQAPVTN AFQCYPIVEAIASSIDLNTLDALSRTCRLIHHGLIQYRTTLINSTLHCQNEDVPVDGS ETFRYRARAGNWFYMEDGRSYNGKSGNCARDMVGECRRCADVICRNCAIKPPASVALK ERHRRLCKPCVHAPIATLVKTPVDPDIPLSSELVSREVCKCADDGVWLCQPCGRSIRA ADHDYQRIWRWRNQYGEVLGGLGTGIGEGDRGVVCGREANCCAAKEVEHQVDCDAEDA RDGNVATGSNPQQAPVDVLIDQLRLTHERTPSPSLGPGYLRHEIEGIGGVVKRKRVRM VRVGLGVPEWDDERSSGSRVLGREVRGARRSWCGWCNRVIPGEKDVFNEPPSSSSSDA GSSRRTSSIRSVEMKLD FPSE_01212 MFMRNVFVAAVSLQALVEGVVAQEKVTLTKYVTKAKAKPTNAYP VPDVPEPEVTVIPTSYIEDGTTIFVDETVTIPCSRCTASTAGEADAEATSEFEVISTV ITTDAGEVSDQTAEVTVIPTSYITDGTTIFVDETITIPCTKCAGGKKTETEAGAEETS EAAVESEVTTGGDALAQGTSSEEAAFETLSTDVAEATESGDVPAQTAEVTVIPTSYIT DGTTIFVDETITIPCTKCAGGKNTKTAPAEGAEETTTGADLEASEALGVATSEAAEEE TTVATGAAAESTAVENDTQTANTATDEAAASTEAETPILTSIIPSVILTTGNSQNTVI SKPGPFANTTMTAPAVVDTTAADELPAASEVATSSAVVSKINKPVIVVIREVTIFHRT IVIGAACPPVKRGNKDDFVVGTGSDEKTFTKIDEALDDACSKQAKACAESAGKNYAVA DCQKQLEICRTDASSTAKAPTGDMKESTETATVILPSDAPVTTGKDNKPAVGGHVVIS TRTLTVSESCVISDGTPVIETPASTDAAATTVQTADAETTDAAAETTEAAAETTSAGD VAGSTALGVATSEVPEVVTTVTMTKPNGEVTVTSMTLTNGVATGTGTVVHPGNTETKV VSDAETSAAPVETMPVVETPPAAEVSSALGVDTSEEATTVVTGTQTGVVVITMTKPNG EVSLTTMTVTAGVPTGTGVVTVPAAETTAVEAPAETSEAAAETTIVPGGQQTTVVGDK TITHTVTNQITSYVTVGVDTVTAGTETITKTLTNEVTQTVTVPSEEITKTVTMPAGGE VVKTVTLPCAGEQGANVVTQVVTMCPAPTTMATASTKAPETVYVTATVEAQNMKPAPY QAPGRFRRMLGL FPSE_01211 MAESAHSSLASGGQHDDHASKPHFASTGLENQEEGNAREGDYDV ETVERIYRKIDRRIIPAFWVLYFLCSAIRSNIGIAQTMNKDKGHDLMTVLGMTPKDVS TALALFYVAYVLFDCPSNLVMSKLSPRLWMARIVFATSVIGTCFAAVNDPWSVKLLRF LLGVVIAGMWPGMAFYLTLFYPPSRTGQRIGYYFTASQVSAAVVGLVSAGFQLMDGER GIVGFRWMFMVYGLVGVVLSFVLLWWLPDRPLAPGQSRPKTGIFKFLPATPEVLKGQD AVIHYHDLKRVYHARPWTFKDLGLVLIDWRLWPLTMMYFGVVGVGIGTQMYGSVIIAS IRPEASGIEVSLLFAPIWIMDLIAILIVTPISDRFHQSRPYFFSAAVCIQIAGLLTTT LATGNGWARYGGLLMVGFGLGPTVPICMAWSSEIFQKRHGEVGVAAATALVSGLGNLG SVMTTYALYTGWPEDAAPGPRQYRKSNYTMIGILCMSIISSFLMKALLAYFGNPASSK LQSDSASEFEDGAARREGQERGFRSLPWKKSNRT FPSE_01210 MSDSFETAAPPHATYDTVLVLDMGSQTSHLILRRLRSLGVYSEM LPCTQKIKDLGWKPVGVILSGGPSSVYADDAPGVDPLVFELGVPVLGVCYGNQLIAWR ANPKSVAPGVNREYGETQMAIHKIGTHGDRLFEGLGDSLNVVMSHFDKVVQLPDGFQI IATTKNSEFAGIAHETQPIFGIQFHPEISHTEKGTDIIANFATKICGARPDWKMDDFS AREIKRIRELVGDKAQVIGAVSGGVDSTVAAKLMKEAIGDRFHAILVDQGLMRLNECE QVKETLDKHLGINLTVVDGSELFLGRLAGVTEPEAKRKIIGGTFIDLFEIEALRIEKE AENTDRAGKVEWFLQGTLYADIVESLSFKGAASSTIKSHHNAGGLPARMQNGEAQLKL LEPLRELFKDEVRAFGRQLGIHEELIGRHPFPGPGLGIRIIGEVTPERVEIVRKADHI FISMIREAGIYDEVTQAYAALDSSRAVGVQGDARVYGYICILRAVTSLDMMSAEPYEF TWSLMKAISRRIVNEVDGIARVVYDTTSKPPGTIELE FPSE_01209 MPFERITTCLWFQDQAEEAANYYVTTFAPYSKINTTQRNTLPSQ ETERVMAVEFELQGRTFVALNGGAVPWQFNEAISMVVNCKDQAEVDYYWEKLSKNGDA SRQQCGWLADKYGVSWQIVPTAFKEMMCSGDKAGVGRLTAAMMKMKKFDIAELEKAFK G FPSE_01208 MSVAFEPRNFIHDGGYPPIGDDHDHDHTADQRFTDNDVAEQLSQ YTTEASMLPDPTGVLGDDRGMMQDDASVVHDATRLDLGHPAFPSPIDTAMPAPQIDGI PDDKDLSPSIDSPPPPRVRPIAKPEREAVKGVDGKFHCTQEDCQEEIRVFSRKCEWNK HMDKHERPYRCPAVGCENLPGFTYSGGLLRHEREVHNKHGGPKKTVNCPHLNCKRHTG KGFSRLENLNEHLRRVHTNPDGAGNTPPPDMVLSDENDSEQTGVKRKRRASDTANAEI IELKEEVKRLREENEKLTADVAQQSQHSLAMMAQIAELQDALRNGVSHHALGAPTAQM I FPSE_01207 MAEVDVMPTFGSELKDGFKPANVWLGHGIAWLDEIQQFYRERAA IEKEYSAKLMALSKKYFDKKNKRTAQLSVGETPAMTPGSLESASLTTWATQLTTLESR AGEHDKYANNLVSQVAEPLKFFGGRFEELRKRHSDYATKLEQERDSQYAALAKTKGKY DNVCQEVEAKRKKTENHFDKAKTQNAYQQQILEMNNAKNTYIIAINVTNKQKEKYYHE YVPEVMDSLQDLSEFKTTKLNSFWTIATNIESEMLQQSNGMVQHQGTEILRNLPHLDS MMYIQHNMGAFNEPADKHFEASPVWHDDGTMVVDETAKVFLRNVLGKSKSQLGELRRE VDKKRREVEGVKQLKQRVREGKEKKDEVEVVRALFQMQENLHAIDRQRLTVEVETSTI TSVVGDVTLGAKNHNFKGQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCEMK VPPDCPGEQTKDERKKLKAERQDAANNKLLKPSATMTSMNSNTSDAPELTRSNTMTSL SSHSARPSISGSISAQLSPTEETPPEVARPSVSSTATSGTAPKRRIMAPPPTAYIKDS GANETNGGAKEEKRGKMIYPFEATGEGELTVQDGRDVVLLEPDDGSGWVKVRAGYKEG LVPTSYVEFTTVTIPSAPAPSARPSSTYSTSTTSSLTQSNKKKGPAVAPKRGAKKLRY VEALYEYAAQAETEHSMAEGERFVLVQEDPGDGWVEVEKAGVTGSVPASYVQTV FPSE_01206 MSTLQSAINKSNPLAEAIIIPSKPKALTVSYANLESDVSAFQRK LADLGITKAAPVSIALINSYEFIVSFLAASWQRGIAAPLNPAYKQDEFEFYIDDVKSA IVLVPRGAYAAGAPSVKAAKKFNAAVAECYWDEAKGEVALDVKDYGLLKGKKEKVLTA EPDDVALILHTSGTTSRPKVVPLTHRNLTRTMNNIKNTYELTPKDRTMLVMPLFHVHG LLCGLLAPLFTGGSMVVPTKFSASEFWTDFNTHKANWYTAVPTIHQILLKNPPPSPKP NIRFIRSCSSPLSPTVFQQLEETYNAPVLEAYAMTEAAHQMTSNPLPPAKRKAGTVGI GQGVEVKILTDQGEEVPQGAEGEICIIGENVTKGYLNNDKANAESYHKNGFFRTGDQG KKDEDGYIIITGRIKELINKGGEKISPIELDNVLTRHPQISEAVSFAIPDEVYGQDIG VAVVLKNGEKLTAQELKAWVEERSAKFKVPKKVYFTEVMPKTATGKIQRRIVAETMMT APKAKL FPSE_01205 MAPSVYILLQRDTEATVVISSVYLDLQDANAALLHLAQEAGVDP ATAKPTADAGSEQPSLVKKPLRWEAADGTAAWVERHTVTNRKTHASGAAGAADKPGLK RNDSRLYVNDDDDVIEVTDHDGHYD FPSE_01204 MSESPCKVSKCDETKPVCSHCSRLQLPCIYDRAVSDSNSSSPTE NGGQQQNKSTVETIVDPPESESRRKLELNLFHQYMTDTGPSIIMDSITSNFWISTICR LALKSDATLYAIYMVAALHAHQRSNYTDNKALDACQTYLNMAIREHHKDVADINSDNI EYICLTSSMLRLYGFAQLQRRSLEPYTPPVGLLRITGASTALFRKAWDLIQDNTESVA YKMIESAADLLEDNYSKELPDDLKPLMNREKPHELEESWDADTEDAYARSLSLIGRIR SFIDRENIAKSIGRRTIVFPMMMRMKFSDLVDELRPRALVILAYYFGLLSMLSEFWWI GDSGAREIRAIEKILPDEWQGWLEWPRSILQEQDVVMDGGE FPSE_01203 MTDSIAAAKLALYIILAQPAIYCLFKHGKTGFIGWLYVQIFCVL RITTGGIGLHGASSSTGTVILNSIGLSPLLLAASGILHEARRATNPRLNRRFDIVLEV QYHALVGAAMALIIVSVINLQKGKDVSKNETLLHVASAIIALAWVLLAVWSLWSLGKT RSSRNGAVPSLRDGMLLLYAVFIALPLLGLRLSYAIAFLQLQISNPSSGFLSSKAVEV CLGLVPELLVTVIFVAVGVKTRNIKHDIKKLDFSKPIGENHEFRGQTAHA FPSE_01202 MASGAVTVPPGKYEFLVVVHDKPGMLEKRLEVRGQHFDNMKPNV ESGNWKMGGAILNSVPKDDSPSSLDFAGSTLVCIADSVEQVRENLSKDIYATSGVWDM DKVQIYPFKAAFRFN FPSE_01201 MDTPAIPMPRDPREQDILQKLTMIRDRLLLLKQDRTNYIRTQDV MPLFDETMDQVKDLTVVRAETGLKEENRLDKTLESCFQLLSLFYLTIGRNNEAPATYA MTSTVKRLLDHLNEAELYSAKDLGSIKTTLEDLSKNIREAASDPSPDKRHPPYMLTLL ENRVELCNSTLSRLQKRLDKLPDYLLESHEKLISILRSISLANTKSKFSTSEVKKLRN QILEIGEKHNNGTFTAEDGAVEEGGEVVRDLYNRCVRWSDLVLERQGEVADQWRPIYD TLIRIRNDLEKLSLTQAWSLRETDLYDFQRQLDRIDESRGDNGNWVDDRGRSADLWTQ RTFLYLIRRSYAYIYSFMLASEPVSEALLPVYNQLQTLKRCLIEVKNNGGVSSVRELY PYSMKLNSLDNMKVDGKFVVNGDIPEGQGSVTELLHECFDLNYELRVAAEEVIENNAN SNEA FPSE_01200 MSHAELASSYAALILADDGIEITADKLQTLIKAANVEEVEPIWT SIFAKALEGKDVKDLLVNVGSGGGAAPAAGGAAAAGGAEEAAPEEAKEEEKEESDEDM GFGLFD FPSE_01199 MASDLETLIDMGFDKERAEIAVKKSGGLQGALQWLEDNQDKPID EIKAAAAAQEEDDDDEDTEAKIKEIETGKAASLVCNECGKKFRNHDLATYHATKTEHT DFSESTEEIAPLTEDEKKAKLEALRERLQAKRAVQSVKDKEDQKRNEQIRQKSTKESQ EAKEELAKKQAVKEAAAKRQEKLEDQEAKRRIKAKIEADKAERRRKAEEAKAAREGRA PQAEAAAPSSADTIATAVKPKSNHSEARLRLQTGGGNITKTLPAETTLFELAQQVQSE TGNPVTSFTTTFPRKTFEGDVDFSKTLKEAGFVPSSVLIVK FPSE_01198 MDAYMDNLRGTIRSSKLELESIVDLNGFLTLILASDNHKQRTGC STCHPERLKPTTLSSKSTLPCRNCNTHKANLEAKDNVPRHLPHSYINHFRARASMAAM EVPLESERDDYTTHDYGMGDLERESTMDENAAVEDSSAEDTSNNGNPPIGPTMLSYCP TLPGLYRWQRGHLLWYKLQDQTHDVEDLLPSVSFDISDHQFNGVLNGLYIQVRGWKHG CVSVYAATDNKPSKVRWQKLWFSGRATERIHHAWRNHGDAGPKQSQQVTSGLQQQLQQ FPVPQRRRYFNDKAISKTFETSHLVQNLGWLWVRLIYVQQLKAIFLHMPDIIANERSF SPEIGGVPMLQAPTCPGLCASDTRALLVQDLFFE FPSE_01197 MGCNNSRHVLEMESRPVRPVTLSSHSAGSAMTSSTMFQDYPGLR RQQIIDAFQKIAEYLNEYGVSIDCVAVDGAVNTLYLRSRESTHDVELLLNDPSSKESI LLTNAASFANSQAQGRLGETWLNSSMQLFLPRNVQTSLVQEAKTQNEIVFEHQGTNGG LRVYAAPWSFALCSKLNHAFDHHNRTEDMEDAVDYLYRYLSITGQDYIMAQQIKDWCQ TYHQDVSREVLRRLEETYARKHGNWPIVWN FPSE_01196 MSVDIEPFELSFKRPFTTEVSQILTLKNPNHTPVAFKVKTTAPK QYCVRPNAGRIEAGQSFDVSVLLQAMKADPAPDARCRDKFLVQSTAITADKEFANHAS VLETTDKASLVERKIRVNWLPAGSAEAPHRPISTPNKQGIANGANDTPDVSRTYSSPG ARDDSPSSSAPPPYHSPQEQYEEHRPKSMQSDFEVKSAMSQAATSIKETAELTYEELK AKLAQAEQQLVALKDSGLRQRNVKSDSNDDEKRPVAQAAQAIQQTVEGVPVQMAAILC LVSFLLAYFFF FPSE_01195 MSSTVTSRAKGNGPAAPEHNDVAEKTTDFFWTYTEEPHRTRRLA IIKAHPEITKLCGPEPLTKWVVLGVVSLQVFLAWMLQSTPFFSWKFWAAAYVFGATAN QNLFLAIHEISHNLAFKSPRLNRLIAIFANLPIGIPYSASFRPYHLTHHKSLGVDGLD TDLPTAFEAVFLDSILGKSFFCTFQIFFYALRPMAIYRIPLTQIHFLNIVVQVTFDLI LLKYASVNSLLYLLLSSFLAGSLHPLAGHFIAEHYVYETVTPSARDPENKIPVPETFS YYGPLNWFTYNVGLHNEHHDFPAIPWTRLHAVRDIAHEFYDPLPRHESWCYAIWRFIF DENVGMSCRVKRKQGGRLVGGGAVADWKQSEIEAI FPSE_01194 MTEKRSVGSGSQSPDPERRQSQAQIPSSRWASLFGGAGVTVGPR IAQLPEFVRRDISDSEDSSSAILNKQLQAEQGEQIQYRTCSWQKTAGLLFSEYICLAI MSFPWSYSVLGLVPGIILTIVIALIVLYTSLVLWEFCLRHPEMRDVCDIGQMLFWDKK WAWWATAVCFILNNTFIQGLHVLIGAKYLNTMTESDDIGHCRTVMFSAVVAIISWVCS LPRTFDMMAKLGTASAFFTFISVLLAAIFAGIQAHPFGYDPAKLGEPIVTAIPVKGTT FVNGMSAFLNISYTFIGQITLPSFIAEMRDPRDFPKALWACTIAEIIVFSLVGAIVYN YTGNQYVTAPAFGSLDDLYKKVAFSFMIPTIIFLGCLYASVSARFVFFRLFRNSKHLN SHTIVGWGSWSGILLVTWVFAFIIAMIIPFFNSLLSVMSSLFDSWFGFIFWGVAYFRM RTADKEIGRHHSKVTDLAGNILNLVLIAIGIVFLTVGTYASVQGIIDQYAAGTVSGVF SCASNGL FPSE_01193 MWWLFKALFSSIFLLSIVLSIPVAFDVGGRDSGLAYSLALFLFY FIYSSLELLTPEKSRSRYFLSGFLRLSQWIIIPTLLIWALGQFAVDAGNTNWVERTVG GLFNSKSTSWREWMFGKDGLIETVTLGVWDNVLRYSGPVFQLLEGFCTLLVIQAAGQL TRWLVNRGRSDTWLIVLLVLSSSTMASAVYFLWRVAQFPQIGNLDATLIGIAMTTAVF LCAFGIGSGRGNPIESSLLFAYVVLCIYQIFTDYLPSGNADQAHDHDSSGSDIPPLPP AIMASYSTFIHMLGSLPYAVHSSLALLYAAFQTITPSVIISLTYRSLVFYCATRIIPS IRESGARAMMQEPDWEDSETASKFLGFLSWFSPSILIAVYTSLLLQHFSTSDGPDGWT LRGGDVEGSNWQWANIGLTMVLYGVELYLGSDEHDHWKVD FPSE_01192 MTDTYATKGLPDPPSISVKTIPMAGLLVDVYGLDELPPNVPVSC LWLLHPRTRTRARMHDIAKRTISAWTSQAGQNPKRGLVALSFDMPNHGTRLVSEAANH AWDKGNSTHAIDMFGMVKGGVADMSGLMDLVSGYLGREIDAHVCLGWSLGGHSAWQAF FGEKRIDAAVVVIGCPDFTSLMSDRAAQANLDCGADFIGSKYFPKDLVGTCSSHDPKT LLFGTSPIPSGALSSTEQVRLRTILDERIRGKKLLLCSGGADALVPYANAKSFVTMLK EAVGEKGWYRDGGVELDDRVYEGVGHKFSADMVEDAVRFLVNAVEKGPRGKSQVREGE KSVL FPSE_01191 MTSTDSTHLIIVCCHGVWLGGPAHGHDENEWLIADFQRGETPTF IEHIKAGVAALAEDHDKTSTGKQLDDDKTVFQRSCQFDTRSTNFSKSWLVFSGAPTRK ESQISEAAGYKNIAAANDYWGLLPDETARNAVLLEERALDSYHNILFSRSLIYSRFKK WPTHITVVSHGFKKERLIDGHCSAIGLNLDRVNFIGIDPPGMVAASKDQDKEDAMKGV GLALGEWKDDPHGTGEVLAKKRVKRNPWGVWQGHFPQGFDE FPSE_01190 MSSSQEIKFALSRINRVLKGKRTAGYRDIRLGLDRIKRVVPQKQ EWKGIHVAGTNGKGSICTFLAALFKLAGLGYGSFTSPAFPERHNGVIINGLYVNRRMY EMEMQHVEDKWQRVATGWTYQHLDDPKGLSPFEAETATAFRVFNKMHVPYGIVEVGMG GATDATNAMKRKAVTIISKIGLDHQEYLGNTIENIAKVKAGIMRKNVPCIVDHTNPPS VIHVLRQHAREIGTDIILTWKGEPLLMTLNNEKWELESYQVQNLLCAAMAFRHLFPRL PIDFNKLLSMDPFLPGRLETVRVDSPSIEMPRDILVDGAHNMLGIEALASHVDKRLRT PDVPVTWVMGMSASKDKPVLEIIDKLVQPHDNFAMVEFSQGPNDPQPAPANYGTEHAR SLLQSPDDQIYDGPPDISAALPWAVAKSEGGPVVVTGSLYLIRQLFELEGISRSREPG NRRPGRSQLYRYSKLAREGKLTREEKREFKEARRHFELSPHQSPIFSRVHSPKKRFRK ILKLTWSKETRLLQSTAAFHKIQRRGYLQTIKTLKRDKAMKEKGEGVDHPADTLLARV KELEEQAEKHREEYDDTMFSLRGYKAVPHMKYVTHRQVFGYPKKPKAPTKSPFAAAEK KSENTQRKPVMAWQDRSQSFSDELAAAEKQRELAIKEAASKEAAKNNDDALVAKMATG RRGM FPSE_01189 MGLPLFIAPVESDLPSKAADKTSATAPSRSSIRRTRTTGRVNDR AERRRILRHYESLGQAGAFNPADFQPVRVEAGFPPDNDLTTRPLRDVLRDMNASDEHR RDRVEEHLHSLFRDGSSASVNHTSRASVPEWTLRDDEIPRAAPPFILHHPFHGPPPTI EDLRAMGRANLARQNQVGLPPSATRRNRHLDGLGDRERSLSPEVWDTLLSTLTPDPQP PSAGSSFASNMASQSAGATSGTSFTAPDLSQDTAIDQACESGCEGSETEEPNPAQAIL SRIRRRREEMRRVRVRMPGVEPDGLYNRPASRDSGAVSSDSRHQRSPDGPVVDHLRRA RLMRDRQSRNSYNRARQAWVGRLSVGNSDDEQGTERTRRSQESPAASGSNTHTGEEDW MGMQRIVNSMARREIIPDEYWIAAGLIPTLPGDGTE FPSE_01188 MVPERQYHGNGRPAIASRERSVPGTPSRKEKIRESSALQDPGLK DYRLGECLGKGAFGSVYKAFNWGNGEAVAVKQIKLADLPKSELRMIESEIDLLKNLHH DNIVKYIGFVKSDDCLNIILEYCENGSLHSICKSYGKFPENLVGVYMTQVLQGLQYLH EQGVIHRDIKGANILTTKDGTVKLADFGVSTSTLAGGQDKEAQVVGTPYWMAPEIIQL SGASSASDIWSVGCTVIELLQGKPPYHNLAAMPALFAIVNDDHPPLPEGISAAARDFL MQCFQKDPNLRVSARKLLRHAWITGCRRTEAPVSRKPANFSDAVEEVKQWNKALKSSE PNLRASLGSEAGPSTRLQGGTPAKGSLSLAKLRSGAGAFSKPELADDDNWDDDFATSI SPSALHLPHLKPQDNFGGLLSSDRLKAFASINDGRNESNTYDDDFEGELMTIKGLGQW QDSSDLQEQTIRPTPRKPTKAPEPVKVHSRNKSSTSKAVGGGRTKSPTKSHLNNKFEL PLRPDLAYREQSVDDFSDLFVDNDNVFTHNVNQAVRRNSRQSDAPQLFHPSDLTSLPR SMQESSSGSIKKKPLSRPSVLPDAPMRRTRSGIEIQKFAEDDDEDFSDVFGPESSIAE KEESEKGSEDGGLMLMSRVSSSSWLGDEEDEDDPFAYMDPGWDEMDLEANIARDRHAR LAERVEDLVRSLKTTEGDDALAEFSEDLLALLWENSEVKNLIISAHGLLPILEILEPC TVKSRQYMILQLLKVVNAIILDDVEIQENLCFVGGIPIITKFAARQYSDEIRLEAAAF VRQMYQTSTLTLQMFVSAGGLNVLVEFLDEDYDNTRDLVLIGVNGIWNVFELQGPTPK NDFCRIFSRSKILYPLALVLHRVVDDDDEDELGELVEGRIVNIFYLFSQAENYVKEVV ADRQVLKSVLRDLRLMTPIHQITMLKFIKNLSMLSTTIESLHSADAIESLINLLGYSM RKGQTHFREISNQVLNTLFNLCRLSKERQEDAAVHGIIPILLKIMQTDRPPKEFALPI LCDMAHSGSKGRRFLWQNKGLNFYVSLLTDQYWQVTALDAILVWLQEETANVESHLAD GGFTRAINSCFNTNRVNAFDSNLLEPLLKLLRLSPSVAASLAKPEMFAGIAQRLGHKK AVVRLNLLRLVRTIMDACEPGLGNGDGTRSLNSSQVRSLMATIQILAEKDTAVLVRNL ASELVRSNIDTTVRPSEGAATAVPSSSRQRGSRRNNSYTPPSLQTSVSAGPQTPTHRT RPSLANNAYVEVAASPRRSAAVEREREGMVYRPRSKDGPTGIPRRISGEFAPVKSRLP RTSLVTSSSSRAGVGISANGNSPALSSRHDITMARSDSSLSNKENVGRAPGSRDGIGS RDSSSSLASPGLSGVPERAGIISKRRSRMPTEPKWP FPSE_01187 MSSNSFAPNGLPTPSGGLAARRGSQNPKPLAVDVISQPQDRDCG VPTPRTSRSHLLAGLRTAPKSATAASFGPQSPTTGPQQQYARNSMAGNAYGMGQDKTY NAPKTAHPYGSQSNYNQSVNQHYTVDQILSPPELSEEHDPNLYSQLLATNLYLAQQQQ RLQQQLMTVQSAAQQFQQLNLSNPQLIQQQRVLYEQQQQLQNMQQQVQLQAALSQQQQ QQHQAQQQQQQVYYFNPVTNEYFLPTQQQQQQVQATQLYNEQPSTPGFSGFQQHLQQH HQGTPSVQVSPPAESQPFSFRSNSPPKRYESPTEVAPLPPPSANAFRRGHKKASSLAP VNSAIAAAMASDAAPKSAGPKTSTFPMTPMTGGYGPGQARAGEHPIRQPRGPPSLDEL KQKPTAKYEGSKNFSARTRRSAVSNLVRAGLERRKGTGSSCGSMSPVSETAEESSTPI TDNESDSGRSGSGSLAGDMECPSSRTSASGSWGAIGSDRPSSRQKTRKSVDSIDSVSS NGESETNSFADVFKNGALRAAKAQDSADNQRKAHRRVFTKSTPSA FPSE_07395 MPVTNRGVTQLTPDTTSTASATSNYLNKSSPSLETLQAGLAIFA VAVIARFCVLQLYLWLRQMRGLDQQNLPARSILSSFSQPRRLLQSRPIWTMDEKDNTP LGHRQDIDVESGLQVSSAQKNLVIDPNWQSADNAMSRHILSRPPPAPPLTPPELSTAV FTFEDRPRPGDDSFIRQPNPDYMSSTADAVLPPNSGTASVTRRRSYNKTLPIGVPVSQ SSQGISDAADLVLSPSSYPPTSPFLPPAPPNAGTTEIGVQGEIIGVLDNEGSGWTRHT RVYGGGVCLACAASGGNHGGGFYGATVRPEEMR FPSE_07396 MVKANANATPPATAPGVYSAVYSGIPVYEFQFGAELKEHVMRRR ADDWINATHILKAAGFDKPARTRILERDVQKDVHEKIQGGYGKYQGTWIPLESGQALA ERHSVIDRLRPIFEYVQGTETPPPAPKHASKPKGPKSRPPLPKWNNPPPPPPAPAPVI QDDGDTLMGDEDTPDNLTVASASYMAEDERFEMPQAPGTGRKRRRDDNNLQDLTEQQH ALYGDELLDYFLLSKTDQPAVKPDPPANFQPNWPIDAEDHTALHWASAMGDLDVVKQL KRFNASSTVKNIRGETPFMHSVNFTNCYEKQSFPMVMKELFETFDARDNMGCTVIHHA AVMKNGRVFNSSCSRYYLDNILNKLQETLEPSAFQQLLDIQDNEGNTALHLAAQRNAR KCIRALLGRNASSDLANLEGIRAEDLIMDLNATKKDRGPQRSSSPFAPESQRHASFKD ALIEKANRQSPVVFQSAAANTVQSRISPLIMEKFQDLAKSYEDEFREKDIAESEAKRL LSNTQQELTSIRQSITDVEGQLEPEEAASKQSTEANLAKHQVLSLITHQSRLNIQRAV DSELSRINGEGGGQEESYDKRLRLARELSSLLAEQRKAEAEYVEALSMVGTGDKIEKY KKLLNRCLDTKEAESLDTNLDSLIEMMEEERDENGMVGVMDPEPMELSVGI FPSE_07397 MADSLHNAPIVLDNGSGTIRAGFAGDDLPKCFFPSWVGRPKHLR VLAGALEGEVFIGQKAASELRGLLKIRYPLEHGIVTDWDDMERIWEYVYGEGLKTLSE EHPVLLTEPPLNPRSNRDTAAQILFETFNVPALHTSIQAVLSLYASGRTTGIVLDSGD GVSHAVPVYEGFAMPSSIRRIDVAGRDVTEYLQTLLRKSGYVFHTSAEKEVVRLIKES VSYVAHDPRKEERDWVGVKPNDSKVAEYVLPDGYKLKIGAERFRAPEILFDPEIIGLE YPGVHQIVVDAINRTDLDLRKSLYSNIVLSGGSTLTKGFGDRLLTELQKLAVKDMRIK IFAPPERKYSTWIGGSILAGLSTFRKMWVSIDDWHENPDIIHTKFT FPSE_07398 MANYILTEPSPSTNVFVRSGRGGYGNISRASKPTNSSTSRTVAT TTTTPTAPSRRFFSGIGGAGNVHRASEQPSVSLDDEYDRIAARDQMAAGHVGIGGAGN VFHRKESDAGSDISSSSSLSSKTKLWARVSSTFSRD FPSE_07399 MKFFYSAGAIALLASTGLVSAQASPYSISSTDAIKKSAKQLAAD LIEYYHGNDPGGIPGILPGPPPNGDYYWWEGGAMWGTYMDYWRCTGDTKYNDLVMQGM QFQVGDDQDYQPSNVTLSLGNDDQGFWGMSAMLAAELAFPNPPSDQPGWLALAQAVFN TQANPDRHDDTCGGGLRWQIPRTNNGYDYKNSIANGCFFNLGARLARYTGNKTYADWA EKTWDWMEGVGFLDPTSYKIYDGGHVGKNCTDINKAQFSYNSGVFLQGAAFMYNHTDG EKKWEQRLDKLVDATISNFFPKDIAVEIACENHDTCTTDMYSFKGYVHRWMSQATHLA PFIRPKILPVLEKSAQAAIAQCTGGDTGRVCGFKWASGKYDGKTGAGQQMNVLAAVSS LLMENTPPPVTAKKGGTSKGNPNAGNVGDGKIDKTYGPLTTADKAGAGILTFLVLSFA CGMFGWMSLGK FPSE_07400 MMNGTEKPDYDEDKFGPKEGSLVAAFDAFPKSKPQYIQRTSGGG KWTVAVSIISLILIWGELGRWWRGAESHNFEVEAGVSREMQINLDIVVKMSCDDIHVN VQDASGDRIMAAKRLHTDKTLWGQWADNKGVHKLGRDDQGRVNTGQGYNDPKYEDEGF GEEHVHDIVALGKKRAKWAKTPRFRGNADSCRIYGSLDLNKVQGDFHITARGHGYMGH GEHLDHSKFNFSHIISELSYGPFYPSLENPLDGTVNTADGNFHKFQYYLSVVPTVYSV NSRSILTNQYAVTEQSKAVDDRYIPGIFFKYDIEPILLTVHESRDGIISLFVKIINII SGVLVAGHWGFTISDWIHDVIGRRRRSNGGVGVLGSKEGFDQ FPSE_07401 MGDVYRDSRTYREWERDDGPSSDDERYRKTTIRRYKVTPSRSSE YDDGRSHVSRYAGRPTGDFVEQDRRSYAPDRPHSAFEPAPSQASFREDRGRDAAPRSF IYEKEIERETYPPPAPPPMPMPAPSQVGDRNRAPVYAATKEVEREREWDRRSRFDAMF DDDVKVENQMVKTERRDNGEYRVEKRVEEHIDDSHGCDVERYRKETEYYTPYDPPPPV IIRQRAPEPQKIIVQEAPAPPPVIVSPRQQQQPGVVVIREKEPERQQIVVRREHRHEH RHHEHRPRPQDEEYYYRHEHWDSRGDRRYAVERYDRRGRDNGHYSDDEDYYMRRKVVR RRESSEDSHHKRHLAEGALAGAGVTALLNSRRDSYGELPENRGRKVIAGAALGALGTE ALRRAKSAYEDRWHDDESPDRSSRFKQGLGIAAVALAAAGAAKYYQSNKIEKEEAIRG RSRGRRGYHSDDYSRSRSRSIIRRTTTTTKSTSRGSRRRSLSTAAKAALGTVAAAGVA KHLRNRSKSRGRSSSKSSSSRSRSRSRSRSRSRSKSKLRRGAEIAGAAVVAKAAHHVW KKRQDKKDGSDNSSDDEYYRRGPSRSRSRSRSKARSIRSERGTDPELGPVVEYGNDPL KPATTSRGYESEAEARRQRHRRRGRGRSRSASSVGSDRDRKRSRSRLGAAAAAGAAAL GIKEYKERKDRDKREQRSRERRLELEREREREDERDRRGRATRSPSRTRSRSRSRKRY DDYDDRSPRSPPMASGGAGFPPYPVDPTPSAAASYTNIPDHNREYQPYVPQDYTGYPP PPPGPPRGLSTGPPPPGPPGPPPPPGPPGPPPSGGPRPPDNVSNPVRNLGSRGLDEAI LDSSDDEHPAKSVVFGPMSPMSSMTMKLHRAKAKAKEEKEKKEQEAHDMLQGLVTTKP RSKQRDTSESDDESYFNPRRRRRGDGSSSDEAVEDLPDRFDKQGKPLDERSTRSRGWT SRRGDFEYKPKRRNDMDIKGAWQVAGTDGEAVEQIVRGVTGALEGKGGWLGILGNVLG GLQQPDQREAIDDGRSDERRRRRRHRD FPSE_07402 MSSSTRTTSFTNTHSINNTSCTTTPSANTSSHDVVEISRSDLVT VKDTDYKTDKHLILCGKCRGVLNVSSYSCVCELDSDAARAA FPSE_07403 MLLHTAALVVLLALVQLAVCAEDYYKILGVNRQASNKELKQAYR QLSKKFHPDKNPGDDTAHDKFVEVSEAYDVLSDEEMRKVYDHHGHEGVQQRRQGGGGG GGHDPFDLFSRFFGGHGHFGRASSEPRGHNVEVRVEITLRDFYNGATTEFSWNKQHIC ESCEGTGSADGHVDTCQHCNGHGVRLMKRQLAPGMFQQFQQRCDACGGRGKHIKHKCT VCHGERVEKKSTPVQLNIARGAARDSRLVYENEADESPDWVPGDLLVTLSEKEPSYEN NPDKVDGAFFRRKGNDLYWNEVLSLREAWMGGWTRNLTHLDNHVVRLSRPRGQVIQPG HVETVAGEGMPIWHEDGDSVYHKTEFGNLYVEYTVVLPDQMGTNMETEFWGLWEKWRL KNGVDLQKDSGRPEPERAHDEL FPSE_07404 MSKKYAFLPMEEDEVGPQKVTKEKKSRHRHRERDRDDKSSSRPS KSHRERSRSKSPGRASSSRQSRPKQYRQRDDKAEFDDRWGDEEPPSDEPEEEDDEPEY EQSASKRVKLSHDESKDEDLSDGAKEELERKKDIEEREAFAKRLREKDSKKPKKDGRD AESSSRRKLAEDAEARNQALPDIRERSRQEYLKKREAERLALLRKQVAEETAELRSGV RLSEKEKAEFAKNREILRLAEERLKIDDHRDGYAMPEDYITEKGKLDRKKKEEALYKR YVEKDEFGQEKFVTEHEEWEREQASKAKAQIQRAERENDEYDYVMDDAQYIQWNLDSR LPGDDRKLTKEQQFLEAQIDAAEKKALSIQETRKSLPIYQYRDEFLAALEQYQVLVIV GETGSGKTTQLPQYLHEAGYTKNGLKVGCTQPRRVAAMSVAARVAEEVGVKVGNEVGY TIRFEDCTSDKTVLKYMTDGMLLREFMTEPDLAGYSALMIDEAHERTVHTDILLALIK DLSRERPDLKLLISSATMNAEKFAQYFDDAPIFNIPGRRYPVDIYYTPAPEANYLAAA ITTTFQIHTTQPKGDILIFLTGQDEIEAAELEIAETAKKLGSRVKELVICPIYANLPS ELQSKIFEPTPDGARKVVLATNIAETSLTIDGIVYVIDPGYVKENVYNPATGMSNLVV VPCSRASANQRSGRAGRVGPGKCFRLYTKFAYMNEMDESTTPEIQRTNLNGVVLQLKS LGINELLDFEFMDPPPTEALIGALNQLFALQALNHKGELTKLGRQMGEFPTDPMLAKA VLAADKEGCVEEVLSIVSMLGEASALFFRPKDKKIHADSARNRFTVKDGGDHITLLNV WNQWVDSDFSPVWAKENFLQQRSLTRARDVRDQLAKLCERVEVAPSTCGATNLRPIKR AITAGFFPNAARLQKSGDSYRTVKNSTTVWIHPSSVLMAIDPPEKMVVYFELVQTTKE YMRSVMPIEPRWLSELAPHFHKKKDVEEMEEKKMPKQRS FPSE_07405 MLSNIASKLGLTKPQPSSFKVMPRQTSTYTMPQKIEYKSNDIPI PDSFLTSPSETPITSHQIDFANSPLPQYDGHTALVLDNVISADECRELLSLAEASVPL DEENQSAWKPALVSGGDGYETRAPGYRESDRIIWDQQTIVDRLWERCLHADGLRDLLA VVPHEPWMKGGKWVFSRLNDRMRFLKYSPGQFFKPHCDGAYFYTEGPGKEFETFYTVH LYLNDSAENDPASELQGGATSFLDRRGEKRVDVNPKAGSVLIFQHKGLFHEGALVNRG IKYTMRTDILYEWIPDKDEE FPSE_07406 MESFSRNSGYLSMNKESIQELIALAVGEKPDIVTIRIAVVRVDG SNNKALLFQERSDYPHVREILQCDLDDSNTPVRDVIRRKITNDLGLDAWKVAGITEPK F FPSE_07407 MAYYSEKDKSPGGGGDVENTPDSDGLSRRGPTQSDLLSRSLSAR QVQMIAIGGTIGTGLFLGTGKSLATGGPASILIAYAIVGGIVFTTMLALGEMAAFIPV AGSFCTFAGRFVDDAFGFALTWNYWFNDAVSTASDLVALQLILQYWTDNFPGWALSLI FWVVLIALNIISVKAYGEVEYWLSLLKVITIVVFIVMGIVVNCGGNETGEYIGGKYWH LPDAPFVGGIGGFASVFVTASFAYGGTESIAITAGETKDPTKNIPKVVKNVFWRILLF YILSILLIGLNVPYNYPDLNSKETRTSPFTIVFEMTGAKAAGSVINAVILTSVLSAGN HALFAGVRLMYTLALEGHAPKVLGKLNRNRVPWVAVLVTGFVAGLCFGSSFIGAGQLW SWLQNIVGVSNQLSWISIGITSIRFRQALELQGKTHLLPFKNWTYPYGPWICVFLNSF LVLVQGWSCFSPKFDVVSFISFYIELPVMLIMYVVWKLLKKTKVVKLSEMDLETDVHT IEEKVTEETGWKNKLKNAVTWLF FPSE_07408 MHFSTSSLVFLPLLIQTFIISVSATFKTKKFDLTITWEDYAPDG FSRKMLLVNGQSPGPVLEIDQDDMVVVKVHNKSPEDLTVHYHGLEMKGTPWSDGVPGV TQHPIKPGNSFTYKFHASQYGSFWYHSHFRGQIEDGLYGAIIIHPRHDEPSPFHLISE DEHTVNKIKEAVEKVKPIVISDFVHLTSQEKWDMTIAAGIEDSCYDSILFNGKGRVEC LDKDVVEANLNEIQKAYLGLVPGGAEFTDKACLPASALNFLAGGMGNESALLPGTFSG CKETDGQMETIEISNYFGQWESWLAFDIVGAINFVSGVFSIDGHDMWVYAMDGSYIEP QKVQAIPVSNGDRYSIVIKIANTGDYKMRFNANSAPQLITGHAVLSIKGYSAAEGNAE PWINIVGLPASKEVAVFNQAVAFPYPPSPISPTADVTFNLSMAIKGASYLWALNETTL MPKEIDEGKPTLFNPQPYINNNVTISTKLGQWVDLVFVAALFPQPPHPIHKHGSKMYM LGAGTGHFRWNSVEEAIKEIPDQFNLVNPPRRDAFLSAPAEKEPSWVVVRYHAADAGP WLLHCHINNHMLGGMMMVIQDGVDAWPEVPEEYAEGGNGE FPSE_07409 MGLSHFALPCGEHYVEMRDFYKAILAPIGYEFKFEAQGPTGAYY CAFGEKNKGPDFWLGGGGSSLKKYDGNMENRTCPVHFAFDAENPKHVDEWHEAAMKAG AVDNGKPGPRNYRPGYYAAFVLDPVGNNVEVLHLG FPSE_07410 MLATPSLSSFDKPSLPSSEGGDPALAARLQPLYSRFLTDLDLQP EYRRHESEKLMEEVLKFAKSTGVPHDLNSHSYQSLMVGYTYADNCLPYHDMEVKVYVA IYTWLATICDDAEALGIIDDVQLFEQRFILGEEQPTVLLRAFADQLKLTYKLYHPLVA NLILCSSLNLLTSTSLVARKGIKDKGDHPSKGGNYFAWYIRERDGVGEAYSWFTFPKR QFPNLDIPIEAIEDMTRFIAYLNDVLSFYKESLAGETHNYINTTAAYEGVDSDAALHK TAQDTIDCARRIESVLAGKGEYEKAWRLHASGYLQMHVQRGRYRLVEVGVGDAPDVHE VIKKI FPSE_07411 MSSQDESSQSLRPLQQLPLHRLPPEVRDMIWALTLPSNRLFEVT KIDEKDDGPDTHFFHFRYPPPSPVALRVCRESRGAALRKGFFFSTTKGLSVWFTPDTD VLYIDTFRDVLHFRITTSRIKIQGWDHVLHFEIGWRAFHEYKHQPPSPSHGLPDIMEI LHAHMPNLKTISCILETHETSSGEVIEDTISLPLPGSDEDTYAVLRGRNFYQTAIELW ESEITTMMYFD FPSE_07412 MARNLTIHIDDPLAPTPLPPSHAASDGPDIIHAFQKLSRSTTWK SIKNITFQGDTFEPEGIVRLGHDRYVVSSGHWTEPTKKYGKIINGTDRSAGAGLAHLM VYNGKGDMIADATITKEGDDEYHNGGIDYDGQYIWGTIAQYRPNSTAYVYRTNPATLV PERVLSYSDHLGGIVHDTRANVITALNWGSRTASTWNLRTAKTGCDASPPPEKVVQNP GHFIDYQDCKWLGYSGFYNGKSVMLCSGVAAIGGYNLGGIALVDIKTMIPLTEVPIEM ESELGVRVTQNPMDVGVEDGKLRLYLLPDQHNSTLYIYEAQA FPSE_07413 MARWKIRTRFLVISDTHSQEFPDDRKPLHKVDVAIHCGDLTETS KLHEFESAIRLLKDINAPLKLVIPGNHDFTLDTPTFKRAITEIPPPDINLVEKEYGRF GEARRLLKSHTHEGIVYLTEGVHHFDLDNGAHLVVYASPYTPSDDCWGFQFGPWIGHE REITEQVDIIITHGPPKGILDINSRGKHIGCPELFDFVAQTKPLMHCFGHVHRSWGTE LIKWRDRNSEEAIDMDESVTIGVLDRFSPRSCDKLMVAWQKENKRMSMEDARVIPTKH CAQDELPIAPGVHTLFVNAAMQGSGDIPFNYPWLVDLDLPIT FPSE_07414 MPSPRLADYIERLIPADDKSSNPSDRPFNNGTARDPPLLRPQGV NHILLYPGSFNPPHQGHLNLLKYTFMNAGADLNLVAAIIVPCSDGSVKGKMERRGNDM VFPKEKRIKLWRGNGIPVDWAWIYDGSEDWRVFRSRLTNAVRSKGMELSFVILQGPDI INTERGYCPSGWDCANSITTDISRAVDFRYPSTLRQIGGCTPWKKLNVDRYSIEQRIR AKLKGSPESVIDEAVTKAMQNLDTIWVCMQVYDKPNGIVRFLKSDLSKQTADAPSSTK IRAIIDSSPPEELEKNLQGIALHPDLLVKYLKELPKSVNCAEAAKKVKPVESQEVCEW ECSLDSPIDWGDYEKTTPESDW FPSE_07415 MDPRHPSKLPLSVPVPVQVPVPVPATNNTTIVSPFPPATANTAE ASPPTAAWTWSSPPPTPTVNRLSVNIPFPRSATTTPVFINWGSNTHTHRDTHSRTPSY DIARAESHPHLQNFTSHQNPAYAAPTFAQRRSSDTTFYSQLGRHISHHSIIARANKPV SRTLNREMPPPTSPPQPGAKPPQQTQSQNQNQNQQPHNMMSYGPPPPRAPPVAVGPPM SFPSGRELPGLGSIARTGSSNSSMSISSMLGGPPPALRESQPPPSHFPPHSAASGPGP GFATAVHASPRMHSAASDYPPFRRPHTPDHQRPYEPRNSPPGHYTPEVPRYSTPSTYS RHPSGSADHAREPGRLPPGPPPRPSSQPKAYQGMPRPMEMGRPPGPEEAYGRRDEMGR PPPGMEYNPERVGLRPNPYEDRYRAERERMGEVEQRERERRERAYSGSDSGRHSIHPG DYGHREPPRNPLPYGRPPDRDPRERDPRDPQGRDPRDIRERDPRDGQWGRMGADPSYR APMDHQRPHPDYPPSATPYPPHGVAYPGPPPDRYPPSSHPMPGPPAQGMPHGPAPYDS PDRARMTLMHAQQQQQPPHRRPEEGGPPPPTIAYNGAPGPGQLESPRHRNSDDASVPN GQRNLLAIQEMNRKGRISPLPQAVQGAQLQQPGPTGEPGIKSEFGRMFAGIGNGVMGV SSPITSAAMPFTTASLARREDPENVTPDSGPEAGSKGTKGRRRKLKDDEGKGDDESSG RVTPANRAKRPKTHQHQHHHHHQYVGLPFPRDFTDYPSHAHHHHHHPADAAPLSGVVP FKNFKAGAPTNDKGLPVPHHHHPPRAMHQHQHPQVKQPVVNAPPIIPPKAKTIVKSKA ILDSVSDRPRHHLGDFIYEPGLKASRLVPNYPTHRAFASNPKPLPWELIKNKENCLLT VKVARVHLSSASREEITSRGYLWGTDVYTDDSDVVAACIHSGWIKGEWTEEVDNSILD IDQGPADKRRKKPATSTVDLDSEGLITAPPTSGPMPIPVRRDLHVNILILPRLVKYGA STRHGITSREFGGDYGHRHAVHDGISYMIKSVRWVENGAQPQARLRGQARRDRIRKAM REVKKSLNVNGMEAIEEKQTNGKLQGGISATWRKKDPEQVEPKTQEQDVEGDKENSQL TTHDSEAQEEENEEQTKDVEMNDAVEAPAVEAKTDS FPSE_07416 MSRLLTRRYTPRLRVPVAPRPSSTFQSRPGPPKLPAEDQAEFER LQRAASVSSAFQPTEQTAEVTGATPATSQIKHTTTPEKKTDDDMNVGYFRGAPPEFEG DVNPKTGEVGGPKNDPLRWGGESDWSYNGRATDF FPSE_07417 MATLHQYDYIFALTVIFACLDAWNIGANDVANSFGTSVSSRSLT MKQAMLVAAVCEFSGSVSVGSRVADTIRTKIVDPHHYDSSPGVLLLVMMCTIVSSSIF LTFATRQGLPVSTTHSLIGGLVGAATASIGIQKVSWGWHGVSQIFAAWIIAPLIAGCF GYVLFLCTKKFILTKRTAVKRAFFSIPVYTYLTVGALTMLLVWKGIHVINLSTRDTVI AIFATATGMTFLQAIFILPFLWTRIMHEDWTLKWYHVFQGPMLLWRAPPPPTPIGFTK PSIRDYYQGHLTREELNYIRTSETLLQSIQTPNGELPDLDRDDEWILPPPAQTPPKTP PNRFERRSSSEFVPPCPEGDWNSPRVLAWKVNRVLLRGLEKDVVAMQKRNNILNWDLE DMHARSAHYDNRAEYMYSALQILTAATASFVHGANDVSNAVAPFTTAYQVWSSGGIPE YIEIPIWILAVGGACIVVGLLTYGYHVMRTLGNRLTLISPSRGFCMELASAVTVLMAT RLSLPVSTTQCITGATVGVGLANGDWRCINPKLVAWIYLGWMVTLPVTGVISGCLMAL IINAPRWEVQSV FPSE_07418 MLEHAPSLRGYFSCGRWDDETYYVVGGWRPLREAMYRLHVDAIK FLLIHGANPNTTEAEVASGQTTTPPLAYAYRRGRESRMNVARAKALCWNDESYYVVGG WRPMREAIYRLYIRLHIRPHIDAVKSLLMHGADPNMTEVLKYPFIQLDDQGLHHLEIA VSYQHLFSLSTEVPLPSTRTQVLQLQNAGNCACDIGETTTLARRPALLCRLTGVEMRH LPTSYAHLYDSSPCASYRCLHIRPISALRTTNMAVGSLRVV FPSE_07419 MEASAAQDDARRAAKAAAAAAKEAATDNQLNDKPRRRGRRSSQS LKVPVTPFKSTLCLRINDPTVPDKFAQVYGAIPVDDMGLNKQGRQTTYAKKYINSGCL HKLQCAGYTKGKFSLEPDAKLAAISPTHRAFWD FPSE_07420 MPPYPDRQLIPTSVKYHLKDEESSLSDKQAWEDPKAAHHLELLK MKKAATDEAKDSEPLTDSKEAQPATVVSGTRLVWFLRPRAVMHAAEVRNAAQ FPSE_07421 MNEDPRLRRSSSQQEISFEASPESKASYMNSAQTQEEHDVRAAR KRKHSLSLFTDTAQVWPRAFGEQKLPLILYRGLACLAWNQELACHTHQRNTSVLGKSA SSRLFTAQDEPITALVATRRWWTSSFFRKFEKTLYSGRFTIPHQWKGIVKGTARRRLM AFNGPICGYGPTLQSDQARSLRGDAVSLVNAV FPSE_07422 MIPTPPPRSLRDKCLELRDKVEAFLTEEPETETLRDVQSQVRKS IEAVDEALHRYRPEQISLSYNGGKDCLVLLVVILARMGRIYYSTPEPSTNGASAITPP EKLQCVYIVAAHPFPEVDEFVETSSAEYGLEVARYVLPMKKGLEIYLEERPSIKAVFV GTRRTDPHGENLTFFDPTDAGWPSFMRIHPVIDWHYVQIWAFIRHLGIEYCPLYDQGY TSLGGIKDTHPNPHLKKQGQNGEGFRPAYELTQDDEERLGRE FPSE_07423 MHKSALIGLLASSPVSAQLHSLAQAAGLKYFGSAVDNGYLSDSA YAKLANNVEEFGQLVPENGQKWETVEPTRDQFTYKTADVVPDLAKKNGQILRCHALTW HSQLPTWVSAGKFSASELTEIIEAHIANVVEHYKGDCYAWDVVNEAIDDSGEWRDSVF YQTLGTDYLAISFNAAKKADPKAKLYYNDYNLEQNGKKTDRAVEIVEILQKAGAPIDG VGFQGHLIVGETPSRSELTTVLERFTSLDVEVAFTELDIRHSAVPASAAELKKQGDEY VNVVGACLDVKGCVGVTVWGITDKYSWIPDVFKGTGEALLYTDEYEKKPAWTSVSSLL AAAATGAPVTSTVAPVVTTAAPTTMLTRTKPVYTDCDDIPETDEPVYPSTSMTNNSVP TTDMGTRTALGPVYTNVDDNDDDCASEEAPFPTYVIPTNGTETLPTKAPVYGGDDDCE EDGSSPVPVESDDCEDGDFEPTAVAISTAAASAPTRAPVVRTTSAYTYKWNTETRPSY QVPSGTAPAGPIGTGNAGLVKHYYQCGGKNYNGPTECEKPYKCVEHNPYYHQCVEA FPSE_07424 MPNISVLFTKRDIRAITNGDIHWLVAVKLARLSPRAYLYFSTFL WFDDFVASLPGAYSRTPQHQYERVMAFGRHKMQEIHIRTKREREELMQKSNAAAAVTP SGHVFGDDDLIAL FPSE_07425 MIPFVSTSPIPDTPEMYVLTVDEIRELSNGHTYFSTLVKLQNLS RSELGRYLENQDFQYWLHHDVGVKTGDCRRDIVFMIQAWVKEGWLRILNMTTPAECLT VENEDTGGFKFYGDNLIAL FPSE_07426 MVATSFLVQLALLPCLAIGSSLASRSKSFDYDSLTLREVGARNT LDWRVWLEKDGQPISFWHDVPLYPEKGNNRIVSYVVEIPRWTDGKIETRRDEPLNPIF HDDKKKKVRFVESVWPHKSYPFHYGSIPQTWESPNFDHDFTGYPGDNDPIDLFDISEV PAHVGQVKSVKVLGGLALNDGGETDWKVIGIDTKDPLAQLVESVEDLEKYRPGLAKTF YNWFTYYKVPRGDDVLEIVGGDYQNAKFMSKTIKSSHGDWQDLVRGKVDSNEINYNQT SFKSYKSYVKSKDATKKFGLPVESKMLPAAERPAKYDLWYYLDKDYKLIELSKE FPSE_07427 MIATSSPTRPIHNLPSDENEPMLPQTETVATKFHFDLAKLMQME IKEGEPFPWMQHLAMPGHDPHGRLPTPSDHLSHNAAQSVDILAGYGPPSGGDYAMNPE AHHDAYYNQPYEPHHQQAPLRPRQT FPSE_07428 MGINNTELNYLLNGSFTKATLKKLIIMTDEDCKNWNGNPLFREF QINVVGTSVGYWKALKRIREWATSVLMEHLKDRKIEKEAAAKRAAAAKTSKDEDAAAK AVASNFYFEGDNLVAFDY FPSE_07429 MGIITQQDEGGNESATEHDVEMTRPKEQDTDDWDTSLENPYNWP AWKKTLQVVMLSSSAILASIGTSIMSPARNDLMAEFNVSSTVALLPLTMYVLALGFGP IIGGPLSETIGRYAIYAASVPLGAVFTIGAGFVHNIGALCFLRFMAGLCWAPVLAVAP GTLSETFTPKNRGPVSAVFILMPFLGPGLGPVIGAFVVNRKGWRWTQWTLVFFSILAM AVAAFAHETFHPVIKRRLAKKKGLKIDPPPPLAARLKMFALVAVVRPIRMLLFEPITG FICLYVAAEFGTLFSFFAAVPYTFGGVYQFSIEESGLVFLSIVIGCLLGLVTVILCDV LLYRKQASKYPPHQIPPEHRLYPSLIGSIGLPMGLFWFGWTARTGVSWASPAAAMIVF AWGNLCVFVSTMQYITDTYHGNVVASAASANSLARYGFAGVFPLFTIQMYEKLGIDWA SSLLGFIALVLLPVPWVLFKYGPTIRAKSSYETVQFS FPSE_07430 MSLIKIETKAQFHDLVKKQKVVILDASAQWCGPCKAISPIFQNL CNENDGNQNVAFAKFDTDEVPDLALELGIRSIPAFFVYRDGELGDSMSGANPPGLMKL VAEAIEASKA FPSE_07431 MFGQVRTNRSTVLMAGTVIFLVAFVSLAYNHLDKWEPPTDVATD TETSIIGDTHDQPHDESKMTEPTEPHGAMSKPDHPSNATTELGDYYFNYIMKARVKPT DKKYAPFGAFPMELPGEAKWTSMVGEDLCIIDLDNRPFNEPGQIFSEGLMSWDRADEA HGLSLGVLNHWLYAKNHGYKYYYVDITDPFEDRRNSWKKPPILSKILKKHKACIFLDS DAVFHHIDLPFEWLMNYWQLHPDTNSLALAYDPTHKNNMDKFDKVYLNTGFIVLQNNE KTFEILKEWEDCPNEGGKHPDCVDFRKNRPGKPTDQGGFGTYIRYDYPKDIKDLPCTE ANGFPESKSGCEGKFIKHLWTGKKDHIKVVIGQQVPGDLLEMFHKQFMEEKPKFFISE RDVMAWK FPSE_07432 MSGLSPSSSGLLPMPGAPSSSWGAYKARVAALLGHHDTKVVVAF WLFGLINNVLYVIILSAAQDLVGSLPKGVVLLADVVPSFLTKLIAPYFIHRIPYRTRI LIFIALSAAGMLMVALTPRTQSVAIKLVGVILASISAGGGELSFLGLTHFYGPMSLAG WGSGTGAAGLVGAGLYVMFTDWWGLSVRSSLLISACFPAIMFLSFFVILPLGPLREGA RKDYDAIPDLEDEDVNHMNQGTASSALLAPGPSVASTAYSARNNQDSLTVRDRMSKVK KLFVPYMMPLLLVYVAEYTINQGVAPTLLFPLEESPFDEFRAFYPFYGFLYQLGVFIS RSSTPWIRIHHLYLPSMLQIANLVLLTLHAMYFFLPSVYVVFIIVFWEGLLGGGVYVN CFAEIMENVPAEDREFSLGATTVSDSGGISIAGLLSIVMETKLCNYQVAHGRDWCQRI SAQGQ FPSE_07433 MTNYEQIALQAEKDLNTYQAKTGAARPVGGDDAGVNTMAENKFE GAHVEYGDELSTNAGYNKRIPASEGGVTDDKGRQARGEQYEGEGGPLDKLEKANNEHG GDNDNDVVPAGFKQSSGLGAADDIANKGQSAMRTNVGRNPPGPGGNQFKGADYTEDSV PDPSSAQGYVAPESAIETARGD FPSE_07434 MPKTKKQKRPARITSFPFFPRLPLEIQDEIWKFALENDVPAAHI VNIDLEYPSNKDPAHLLLQRLVATGYSTPPQPKMYTSRDLFIISNPWLAGRLILFDGF SSHSTSVKYVALPYSTIKMPWYHFSRGPERPVIDEIFRVFDNLETLYILIHPDKLYKR DQLEISSLVRPMQIHLKTYKRTRKDTSPRRFQYGDRVYHEISDVLLTKLNQFRALSMA VTDMAMAAKAQREKNGGDKPPLVIRFMTW FPSE_07435 MADKNDACPVDHKTRDAWLSQAREAEAAKAKAQPEKCPVDHTAQ QQPKSWTQTFTSYLWSSPASSSTPAHKPTSNGGLDTDRVVSTIPRTTGDAAACPVDHE KEPARPKNHEMETGMDPSGNWVYPSEKMFFEAMKRKGYDARVADMKTVVPIHNAVNER AWKEIKEWEAPYLEGTTCGGPKLESFANKMDRMTPTARIKTMIGYTAPFDRHDWVIDR CGTRVDYVIDFYAGRPDGRGGPSFYLDVRPKLNTWEGVKMRAKRWAFLA FPSE_07436 MNKLSENVSRGRGQPAELSENIGHGEPTEIIEYDKSGNFVRSWT HGPDNIVVLQSKARTSWLPSMHEAFMPVGYPHSVSSDYLNYQFFDSMQAFFSTITSLL ANRALLQGLGVGDANSSATFAMLLTVLKDAISRVATIAFAQQFGLRIEPDAKRFRFLA DLFNDTAFFMELYSPYLGPYGKILALTTGEALRALCGVAAGASKAALSVHFAKHDNLA ELNAKEASQETAIGLIGLAVGTIVVKYVEDHNTVVFLMIVLVLAHLWMNYLGVRCVCM DNLNRQRATILFDEYLKTGQIMTPEEVAKRESILLWWSSTRIEMAGSYYKATKDMVNV IATDGSTLFVGPHGIKIMLWEDSTAVQAIDAWFTAMKISYAKDSDSDYHEKKGALEES LLEGMRAKGWRLDTHALETSAPTRLGLGMGTKKDE FPSE_07437 MSDTKVYRASTTAPVNIAVVKYWGKRDAKLNLPTNSSLSVTLSQ DDLRTLTTASCSSTFTDGDSLTLNGESSDISGARTQACFRELRSRRAALEQADSSLPK LSSYPLKIVSENNFPTAAGLASSAAGFAALVQAIAFLYELPDSPSDLSLIARQGSGSA CRSLFGGYVAWRMGEKEDGSDSKADLVAPASHWPEMRALILVASAAKKGVSSTSGMQQ TVATSGLFKERITNVVPANMALMEEAIKDKDFPKFAEVTMRESNSFHATCADTYPPIF YMNDISRAAIRAVECINEKVGRTVAAYTFDAGPNCVIYYEEKDADIIVGAFYQALQGV GGFKEGAASARSSIEFDATVASTLKEGVSRVISTGVGEGPVKTDEFLA FPSE_07438 MNHALRRATLGLSPGLRASRLQQSFAKHQIPAVYRCDAASTPFQ RAFTTSRCFRQEAAAESEKEDAARREEQSERARKRQAKNVASGSNAQTLENDRPWHRA DSGADPDAPKDVPENKDMKKGRLLTTPTRLLKLILPMPFHPEQEHVNRPVEGDVDDAG ETVEPLALLIHPHQPLSYLERLIQAEIPPVQHNGREKLPDIVFRAEADQEEQGGKKDN KKKKDNANVASYSGLGHEGPTNKEANWVRWSGSTEIGDFIRDAARGREFAINVEGFDR ELRVAVPSFRDRTYYMRMTLRRMSRDIESMSKVKNECDTLAHQGAHRLAQGGFAALAG WWGVVYYVTFHTQAGWDLVEPVTYLAGLSTVMGAYLWFLYISRDLSYKAAMKVTVSKR QGALYQERGFDQNRWDQIIHEANNLRKEIKIIASEYDVDWDEKKDLGGEEVKKVLEEE KQGRDGTKVTEGKDEDDGPGSSDKLKKKQ FPSE_07439 MAPQIIRLPDGQTFTVTPVFAGLGFKSHELNTHHNAFPVGWTVV LNTESECDSNTEKQDGEERENGVALDSPKRQFQSFKQPTLQGDNLFISSIANPSTSEF KPAASPTRQIAMMLWITLYWYFHQPEPAPQISAQAAEKTPQLAKPRGEWKINIKRDGV LRGRNLIPKLERMGLITSGQSAVGTSLDDNGEDWSNMFVSKRMFWQLPGRLFLFSLQP NKSGSTYDSVPGSPIGSRPTSPLPLEPASPFHKTFQRHSPHSSINRIDHDLPGGPTPT SMSSPPSFPIGPFYSSSHLPTYYPPPPLQYMFTNNIRHPMRPKPPRMGEVFYTRFVPS VNQYLSFRVASISPNPVLYLGPVGPQPPEQSHLSTMSDASLIQMWMKKPRVSAFWGEY DDKFLTNILNQPNSFPVIGLWDGVPFGYFEVYWVKEDILGQHIGGEAAEWDRGLHVFI GEEWARGRVPIWLTGLVHWCFTSDYRTMSVCLEPRVDNARFLQGLEKTGFSRERQVSF PHKQSWLVRMRREQWEGPTL FPSE_07440 MSQSTTTKPSANKDESCFIRQVFLRGHLAPAFPPPAKQCTGCGK SFQSVRTMCPPCLKAISLKKECDAKDRERDTFPDHCKKCKQTTLHLGPDWCTVCYADT ADTAAPADPGNTFPVYCEECVVQIDIPNRVKDDKGGFVEMIEVNSLWCVQCKGPADSW IRSDV FPSE_07441 MSSSISGLEALKCDFVVPNDNTPELSRWSDTKISRPSLVVTPKT ESDIQAAVRIAKDNKLTVLPAGGGHGTFVTVDSLTLYLDLKNFKTIDLNKDEGRVRVG AGVVVGEVVKALADAGYYTPVPSSDGVGFVGCVLGGGSGILSGLHGWMIDNAVSFRVI TAKGDIVEVSSESKGEELALFNALCGAGHGLGVVTEVTVSAFPIAKLNMEDDKIWTRT LVFPASAIGVAVETFLGLRKPLPEGYVTMVFTRSPPGTPAAGAPIIVLGYTFFGPTEK AEKEAALLFQDEVVGRAVVNRTDLVPFASINAKHEAYNYHGGHKAIASCRLYKTEPEA IMSTFKKWTTATQEYPDAQQSPLILSASNIDKSVAEAGDNFIDARDRPLVALAIVIAE KEETSQAFVSVLDGIVAGLRKIDEGAGPRSFANNWKFETDVNEMFSEDMFERLRAVKK MWDRESVFWSPYFK FPSE_07442 MATLSRTQQTLHLSDGRQLGFAEYGSPTGKPMFYFHGFPSSRLE AQPIDDIAQRCGIRLIALDRPGFGLSSPNPDYRIIDWPEDVAELAKARNITEFSVFGL SGGGPFALACAFALPKRTLTSVGLFASAPPWEAGVQHVDYSRRILRFCAINCPTLLTG ALNALNHVVRWLVLSGPAIKRIGTWLDAQDAKEKPGIEITKSHAERVEDLVNMLLDEP FRQGASGTVHETKLLTSQDWGFKLEDVDYDNVQIWHGVKDTNAPIAMIRYMAEHIPNC ELNEFEEDTHYTMYKHIEPALRSLMKAKENN FPSE_07443 MASIIDESRLGSPNHVLSSDVPAEAREKTCNKNLVASGPVFVFQ DSPSRPIEFFVPGKPAHWPAQFRKDKQQETDAIFYSSNHFHFVDTKTRRETSILQAFL VSLTSTHAHLLSHVSLSFPALEAAQERPEVLAL FPSE_07444 MSSNSLPQEGVRSKLRRRLHHFLLVRFIQPPLPSLIQPPKSCLH LNPISRGSLALQTFGAMPTVRQYRTWVNMWTLPFLRQRASNSYSKKLEGVDKNATEES AFRKGTRYLTPIKVPLEHFKLALDLTAPLTAFEPVTSTVFGVMKGVTAALVSVYQKLL EFYASAYEFLMQRRTRLVLAVLSDAGTLPAIVKDVLEQAGHLRAVVEKVTFDIVQDIK AMLYNEKRNALYIFSSLILSLLEQCEGLKKTFFDWYKQDLRTGNFAPSTDVHKLIWFF QRTVEELDRPLFLLIDGFDECDIESQKVLLKSLQTLSQKTSGLKVVLSSRPWGDILNQ LDEFPKIHISSDAERDKIIAETTVEIQLASLAPGVKQLVVERLSTLAHGSAIWTKMAV AAIAAEDIKALGSMDEFLDSMPLPRELSDLYTRLFSRCAGKEPRVQNVAATALEILCA ARRRLSILELAWAVAMSTAPPCVTTVAELARRVDDQRVMSLIRPFISGVDFNDLKKRQ VMVVHQSVKEFVLNGLALTRPGLQNVEILPVGSPITSRLENIILNVCVRYLLLDEIND APLFSDEQVAVEELPQDVDLFSDDNGTAAFTSDSSWESWEEGMPRYDPADRGFGEFFV YASCHWMDHFGSVTEEPLPDLASVERLCQANSTRLQNWTSQSSRPDCVLQARYEFDGS LYDPLSITSLYGSEEVLLKMLETSQFDSPEFLPNTAMLAVDQVLQWGDLSRLRMLFFG YGTARYLQNLDFFRRITDSWCFSRKIYYQNWDGAFDIIDGIPDILVREGWGNELLCMA ASRGCQPIIERSMKVAQQSIDLRTELFHGTQRQSKGLRVRQSIGQAVLAGHVRMESAP ALLALSTAHQNVLSTEVRGVADDGSN FPSE_07445 MPTAPDPRRGRACDACHANKTKCDGGTKCTLCIKRGISCTYKHA SKAGSSRSSRSPVEDPNRTASRPVPVHEINTPSSITPPIALGTSQISPADEIKAAFKR ISNDVRTGKIPVGEPTTVPAPDHPWIEANSREYFGRIHEAWPILHAPSYTIGMEDSFV IATSVAMISCWLRSPDEYGEVVMELHETIMNTLSQWISNTICRHETEKPWPIEAYQAS TIQMIFALYHGDKRLIAKASLLRGTMIMSMREVDFFNSDGSSEQQRLYYPGTFVPWLM TVREKWKRIIVSIYKIDAYYALAHFQAPTLFREELDLTMPATFTLWNAFGLDIFFQRL PFEPTDRSNFKITEICANPNTPAKSLLLLEDVHLALCGLSPAIWNHAQIVRRSAEAGR STHNSTASLGWQLEAWKADVERIQHQCFQTFHGAGMGDFPFKAYIGDFEDKPPRAKAA AMVHIKCLASDCFMIYHLQSLQLYSDTRTINSVAKAHTSPILTDREPTVRPWLQKLHS QLSLWTKSPESRKAVLHALAVLLDCEAALESNSPQTQSIDPTAYLAISTSALVVWAWT LFSESVCMCVPSLNHINIGVDPPDLQNTNTARLETWIQADGTAAVNNIPLCRCVVNGW TARFNALLPLGKRRWGLCDEVAPILSSLGAGN FPSE_07446 MEKVWFKLRQTDHYPPPEDTILLGDGDDLEASICLGHYVSGLKN LDFPLNRGSILPFPRLMTVHRNTVLNFSWNDSTTHAPGITLAAGIPVLATAGITVKAS LQTAFMQTVENHESYDRLDTYTVQPTASYIENCLDREELKAYVNGRPFWSMFMITGIK VARTGTRETREENALVVDVGPQLDAFGLASLTATTNIKRATSKSASGNYTRDFIWAIR LAKVHKGLLVRDWSVAAYTRRATFDDKEGDADVESALQSEGMDEFQIIDDNDLDEAIV LNEQFIS FPSE_07447 MSCRHVPTKRLHSGVLFSVPDAPQRFPPDEFSLSPKEQKPDNIR RRTPDTRDVDAVNDISPPSCPIPEHQESTDIAMFSTFIGDSGYRTSSNYGHRADFVSP KQVKATVCLPILRVVSTTMIEGTLAHTTLIQSFHNPSQATIEEARHTFPLYDGAVVTD FECTIGDERRLRGVVKSKEQARQEYKKAVQEQVKVAALLEEQTPEIFETSLGNIPPQT TVEIKILYVQELKVVMMKGESTEGVVFVVPTSIAPRYGKSQSASEVVCEGLDIKIKVF NDGKINPDGCQEESGHLVFYDGPQVMEAPQSGNGEQPLREYYSWEHHSEQAKMNKDFV FVIQMQKGHEMQSQAILCPPDDAGMAAMMVSIRPNDLFRNAIIPQSFSGEILFLLDQS RSMQGRTRGFNGLRKIDVLREAMLLVISGLPKTCSFNIISWGSETRAIWEQSRKHSPG SINEARDYISQIDADLGGTDLLRAFKSTVQRRRDESNSTQIVVLTDGQLNADKPMEFV WKTRQELQNKIRFFALGIGRNVPHRLIEGIAELGGGLGEIVDTTQNSRWHSRLNRLLK SALEPDSWDCDIDIGHGFEQNSLMDVGFDSDIPDIQRVPYFQAPHTIVTLHPFKFTSV FFLINVKDRGILPKEITIVTTTEGTKKKTYKLPVREVPAGNKVMHRLAAKAVLMDLED AVKRESSSSALVDQNGQTIGTRYSVTSKWTSFVAVAQDKQATTTQSSIVEHYKAMYDG VDFNELLIAIKLDSGNGSVADDDSDSAQHTKRGSVSGSSIPEAPEAPVFASMHSPLPS LARCSSTSARYPVAAPCLPVPAYSQTVLAHYSPVSVRPDSAYRRKGSKNIVASPSPKP IDPLNWEVAVEHQNGQGLFELPESTKGLLHLHFCAGTALVAVEKLRKILHSQGKEQEV SNAVLVDTIMIILCYQTHLALDEDIWDLMMERARDAITEIIGLEILEALEEVLTAAMT HKHYTAVTGVDGNEVGGSADGEICPVCDIRWQSLRTFFCPFDHDPDTIHVFKEWAELW EHQKQTGHMVCPRDPDANCEGKPTVQ FPSE_07448 MEHLVVEVEQASRNHGRIQRLADEIGIACMTLNDTPGSSQPMAM SSASLESFHTNWWFPSSKIRTVMCESAGIKRSLNTPSNVVLKHYNIFTNFPTRQSPDN PASRYPFPDRYFHIISHENNPLGRLFVTLSDVLLVQYHKHGEERQNYDIHFGFDEREV AECFARYLKAAQMKLFEKSMRGPFCDEKIIYSKRCVCFDFNSRAADVEKLDILQSTIS VCDHDANGQPYSSQTDWETLTGKRIAKPTGGVTFVKRQVYANDCYPISNGHERTANDW LDDLDKLFRVMKPDRNDRYEKVKIAVIDSGLNDTVKRRYMTQNDIVYKDFCHETWNDS WHGTCCAKIIGDMYEEAKFFIARIFQEDHSNDSEGPIRMATAIDWAISNCVDIISISA GFRDYSKELDEAVTRAKAAGTLVVAAAANWQNTGTVAFPARHNLTTMCIYSTNLGNQS SSFNPEPRSDTPNFAVLGEGFQHPDQKSDPMSGTSMSTAAAVGLAANIIDFARQSDNK SYIYRSQDVDT FPSE_07449 MSALKNIAIAGAAGDLGSAVFKALVNSSQFNITVLTRKNSTSTF PSGTKVIQVDYDSLDSLTAALQGQDAVVSTAGSLVIPSQTLLIDAAIAAGVKRFIPSE FGSNLAVPSVRKLPVFGTKVAIEDKLIDLAKQGKISYTFVYNGAFLDWGIKQNFLLDF SQPEITLWDEGNVEFSTTTLASVGDAVVGVLAYPQETQDRIVYVQDTVLTQKKLLALA KEVSPEKEFKVKHAKIDDITAQSDSNVAKGIYDWATMGAYLYRSLFDAKSLPKYEKLD NELLGVKGVTDEQIKELLKAHIQ FPSE_07450 MPKLTLYRTNGSCSLIPHAILLHYKIPFTTIRLKPGPNGYEAAD GSFTNAEYRAIHPRGYVPALAVDNEIITEMLAILSYTSSLIPNENLMGVTPFQKAKAM EWLVFLSGTLHGLGYGAWLRPGRFSDDISAHDGIRAKGRGIIHESFKRIDDVYRQSQF AVGQNLTAVDFNVYVFARWAHEVDIELEREYPFYYQHLKRIEELEGVRYAVKAEELNF AFT FPSE_09748 MKLLTLILSALVGRAVGLASTDTITWGGDNSRTGYQTNHNMDPA IVGSPQFDIVFKTSLPGRYINAAEQIFSQPLVYTPSNDTKQFVYLATTQNNIYKLDAK TGVIIASRNIGIPFLTADLDGCVDVNPTVGVTATGVIDPDTDTWYITSKTYVDQNYGP KPQGRPAGRYKIHAINVNDLSEQPNFPVDLEGTIARNNPERMFTGGIHHQRPGLLHTG QFVYAGFASHCVQYNFTGWIMGWDKTSGKIVERWASEGMGVSSNISGAGIWQSGGGLA SDNAGSMFFATGNGYASQLSTIPVNGFTPPTAMEQAAVHMSINSDGTLSVVDFFMPFE KQELDGADKDLGTSPLELLPSQFSCGNIKRMGIVTGKSGKTYWLNLDDLGGYRNGPNS KDRVIQTFQNENSVYAGAGVYPLEGGYIYINVIQYPTHVFKFSCLNGVPYFTKVADSP TNNAYILGVSHGTTTSLNGQEGTGLLWVSDVQNTNFKIYDAVPQNGYLNVIRNFTIVG ITKFSRPVFGDGMAYIGTTVGYFYGLGSTNKYPLNCTTSVDFGTANFQNATSPQTINC TALFDLSVTNISLVDATNYNITKTPSLPLSMSAGDQLQFSAQFSPQGVGRLGGMVNIL TSGVLDASYSKSTKVRLTGIGTSSNALLDVSPKSVVFTGLVSGSQSTAQTILIGNDGT QNLTVTSVLYTNTSSSGPFITWKGTGNLTVGQFTLSGIPNSVSGGGDSAITVLPNTAM SGNFTAWVKFVTTGGNTTVSLSAAAGDPPTALLEFQTPDGSGWVKYDPNTPFTFGNVT ENTSKSLLFRISNKASAGGVKLGLTVSKPPFGVPGIVRSANQIDMAEGTLIAPGQSQT ATLTCNVPKSQWNVASYNGTAQWTINTNDPTWSFEKRAVQFFCNAVAEQAAPILPSGQ GQYQYVGCFKENNPGRQLSSLLYANGSNTNEMCINTCGEEGLTFCGTQYRSECWAGNK IPNQKVDDANCNFDCAGSLNQYCGGNGVDGSGVYISLFADTLQWDGTLSSGSSSGGSG GSSSGGTNTPQGPFVNPGVDGYTSIGCYTEATSGRALPNGRGVDSPTVAECVDACSSG SFVYAGVEYGGECYCGNTFSDGSVPAPIADCGMLCNGNSSEYCGGPNRLNVYQVNSAY YSASLPTSTSSTSTSSTSSTPSTSSTTSSSTTSSSPSSSLPTSSSTSSSSSTSTSSTS TTTSTSTSISATSSSTKTSSTITSSTSSSSSSSISSSLTGNVAGGASGLSTSTSPSTT KTSSSTTSSSLISSSTSSSSSTTSQSSTSTTSSTSTTSSSSTTSSNLSASSTWTTFST ASSVSSRSTISTTSSTTSVAVPTSAGASSIVSSSTSSSVTSRTSSTGTSLVAPLTQVA GLSSASSAGSSLVAPSVISQAIAPSAPSTAGSSVVAPSSSIGGVSVPSSASTSLSLPS VSSQIVGSSIVSSAGGSSIASSLSSQVAVAPGSSSASTLAGTSRATSTTSSTSTSSTS STSTTTSRTSSSTTTSTTSSVVPVATGPSISDKIGTWSFQGCWAEPLFLIRALSSKTT SSNDMDLDACAAFCTGYTYFGAENGRDCYCGNFLNLGSYQALNQKDCSATCAGDKTQY CGGTQRLQLYKAGKPTTGTRNFQSYSCASEPLIGRLLTDLVLSDSRAMTVDTCLDKCG NYKYAGVENGRDCYCGNSVNWQGLIGQGKNVSMADCNISCPGNNLAYCGGLLKMNLYI NNSTANAFLSRHRRRHAKGLL FPSE_09747 MAANFTAFLTLPQARFLSPLPDLTLALLQEFGSPEIWEHYMNSV ATSLPTISEALDAGPTVTWVGTSYLLGQLSFQPLYGRISDITSRKPVLLFSMGCIVID GILCGFARTPVWLYVCRTISGIGGGGISSSVAIIVSDLVSLRSRGKYQGFISLAIGTG ATSGPFVAAGLIQMTTDGWRWAFWVPAIMGTMSINSGGSMWAWKNVKTISMLTIGIIM ILVFIIIEAFFAKIPIIPLRLFKQRSPSVLILTGFLHDFAWQSTQYFVPLYYQTVCGF TPLRSATLIVPFLLAQGIAGAASGPLMARYARYMPILRAGFTVWTVGAGLKLLFHQDT HIAVYIVVLAVEVAGIGWVHQPGLVALQANSADEDRAVATGTRNVFRSLGGVVGIAVS TAVYYAVLDKALQTDNTIPDSLRGSVLDGTWSVEDPKTEHFLVDDIILKGDVDQSERC DNQGVAISTESARDVKRG FPSE_09746 MVFALILFLIVFLYETYGPETDYSDLDVELDHDMLIASREDCIC DDEPIDGFGKTYFPRSKFFGSSGGIITGWPARGGYYHLKCSLAELDYLGLDRFIPTNR TGDAKEEEVWCARLRQLGAKWYPNIYHDLAEGGDGIEIPRLYLGWPADEGGVWALQST KFNANLRGLGRINNAFTMEERWKKIKEYGGTFYADPKDCPYLDLDGSKEPDSKEINRN PLYG FPSE_09745 MADPLSVAASIAGLISITVEAGKFLRPYVSAARETPPIAAHVYS EVQSIEIILNGLQNVTSSLSSVHSRNAALIGVNQVITVLTDGVLLFSDLQDELRWLSP KNEDEGVPMRTRLRWVRKEGTLTALLTRLQGFKSSMTLVLMILKSDSDRSATQHHEQL SANINLLLESNQSLSRRLMNLEESMDTATIASRRMSFLTLVDGTSQNMATEQSQPSIA ETDSTVGVSKFDFEDDLEASRAYRRAQRDTMDFSFRSSIARSNSWSVFSGLSLGDISI MAVIALPVYQEDLTNPEHYDFGNDTVVVAKEPEPTIERPLLVECLDIICKMRQLPEMG EYFDECDDQEDTFNTLWSVLRHGYPLIILLKALDPRINIGEDKSIHFPIPLTRYNYSA ALSSAKAAIASFVQYCREILEMETSSLFTVSDIAGTSHHQFSKVISVVSLLVERLITA GIVSNSDSFAGYNRFELHDSTDVVNEFLSEQRHLVRQMTELANIRSQLEHEVIPRDGG IVVFGQVERLVELHIMMLIRMERNLFVLREDNRWHPAFGLYFEQIEPEALFVANEGLA RAKIRSWLDQDRFKDDERSITLFTQCLKIIPLLGQQVPRYDSFLEYLDSQEKTNTEQI KDILMSKGSLHQAAERIGEAVKDEANREIVKDLNLCMDDWKGHVLTTFGDLTLFDFIS IITKNNNTKSVRSLRHISLLFSF FPSE_09744 MPTMASVFMTLCDRYLRILRFEVFDREVIVRYSIRSVDLKFCKK PINR FPSE_09743 MPSALQLAVTQEFECGNLSEDLTVELFQLLLKWGADIHIPDFLG FYPIPDDFNGEFPVAIRMTLLHSAAAKGRTSLVEALVCAGADVNEPTGEKGFTAFQVA VRFGNAEMVSYPIQSGANTNVPQIIIFDKPALVAAVAKDDLELVSLLLQTGADPNITG MIDVGPMLKMTALQTASCQPESLWSPDDNPTIRLEMVKLLLNVRANPKTPRFTSDAKD RSPLAWAVFSYDTDVVKLLLDHSSRCFNLSRA FPSE_09742 MTTVFNWGNDTHNEPHYGLYWGDMPEGLHQWDWHISSNTLYNIW AMNEPLISPSSCENDAIADSMLNDEGLHSAPLSGSLPSTHGLEEYFQPESRTQQACLC QDANLDILQDDHESSSAATDLIPNSTLHEAEVALATGVEVSASIKPRTNTTTKAAGKR GNRGKPSDNKNARGKKTAPKKEKEKRYLKILERNRRAAAKCRARKQEQQDKLNAEVEK LEDRHRELSASCNELRATAYQLKLQLLRHGDCDCALIQRYIASEAVNSVENLILKHSP SSSSNCTTIGSTAVSASSPTRGLGGNNGWDR FPSE_09741 MNTAAIIPDFHGFEWDVTPFSPESTFDNILSQFEQDPVFLNPLC QGPGCHIGQNSPIPKLPLTHLPLNPSSSELHKKEKGSSCITNNRIGNDGLRMQIGEGM QSKHPIQHLDSSWVDMSQAKALPPALTAGLLERRNSTMSTEGSILGLEKSATHVKMRS ASRRPKKVSKKPALPAHVVQARECHNNVEKQYRTRLKQKFERLLAVLQASKTKDESGG EGDAEAPDCGYSRGEVLNFARQRILTLEEENRHLSDQVQNLKGGFTIV FPSE_09740 MSSPITADVSSLEDAAPSNTMLAWLAAILFPLMALTIMFLSVSM GEANLFCILGGIIAYHSVIKRFTASGLAAPRLPCSSKDQQRHEVKHHEPCSRLVGYAS LKHDMTLVDWKGHSWSMYMMGG FPSE_09739 MQNSSDLLYHIILTVIDFHLEPSGSKRAIYILGTRATIEAAKDS AFRVLHTLRYKPDDFAEYTVHSSHTGTWAHGDGVLIYAKAPAGQVFLVSIQATPNTEE LQADREGAILVPHGVPSLHYVTQTVIDYDKDRTGCAQEMQIEGTFVRRADARKAARKL LDPLDYVEYDTPEKMKGEWPYGEDVLVHAVAECGENTTVEIKTVVDTHHKHGKDI FPSE_09738 MKLIPLVTMAAFAAATPAPKGCTPGTYSCTADLKGWQVCNVDRT WVFAGACPPKTACLFNKQNGSPYCVPPGFHF FPSE_09737 MSSASRVAIVGVGEVGGAVAYNLTLNSMASELLLVDLDPSVRNA QIEDLSDVTYSTNSSTRVRSATYHEAAQCDLVVITAASKHMLGQTTIDYTSRNTSMLR GVMEAMKPFRADTVLLIVADPVDLLTSLAKQMSGLPESQVIGTGTALDTYRLRGMVAS RALVSPYTVDAFVVGRHGEEQVVAWSSATIGAVPIQDVKMLEASDRSRIELICKHRSN LIILGKGSAPFGIASVVANLCCSVILDKHEIYPVSYFQEEHGCCLSLPAVIGRKGILN SVPLAMNEDESAAVKLSGKLLKASVESIQRDWW FPSE_09736 MSDDWTADPLVLDFEHLTRSDVGLVGGKNSSLGEMIRALGPEGI PVPPGFATSSYAYWHYVDANNIRGKIDELVDQWQSGHQTLAETGHAIRTLFLRGTWPA DTTEAILSGYRDLCDKVGVDDVSVAVRSSATAEDLPDASFAGQQETYLNIQGSEALLD ACRRCYASLFTDRAISYRHIKKFNHGNVALSIGIQQMVRSDIGGAGVMFSIDTESGFD KIVLINAAWGLGENVVQGTVNPDEYQIFKPLLSNEKLSPILSKKLGDKAVKMVYGDKC VPTRNVPTSKAERASYVLDDEEILQLARWACRIEEHYSCPMDMEWARDGSSGKLYIVQ ARPETVQSRRDTAAFETYKVGKRGHTLTTGLSIGDKAVAGRICLLTSVSDMDKFIDGS ILVTESTDPDWVPVMKRAAAIVTDYGGRTSHAAIVSRELGVPAVVGTGNATYVLHTGQ DVTVSCAESDSGLVYDGISDITTETVQISDFPSVRTKIMLNLANPSAAYRWWRLPADG IGLARMEFVVSNSIRVHPMALIHFDHLEDEAAKKEIADLTAGYAYKPDYFVDKLASGL ATLCSAVYPKPAIIRMSDFKTNEYARLIGGAEFELKEENPMIGFRGASRYYSPRYKEG FALECRAVKKVREEMGLTNAIVMIPFCRTVKEARKVLDVMEQNGLKRGEKGLMVYVMC EIPSNVILASSFTQHFDGFSIGSNDLAQLTLGVDRDSGELASLFDEQDEAVKWMIARA IAVARREGCKIGLCGEAPSNHPEFAEFLVNAGIDSISVSPDSFVRVMKHVVACEKSL FPSE_09735 MTVPPGVSNILRRLSHTTFAMSPFTVGDYLAERIAQLDIRHHFI VPGDYNLILLDKLGAHPSLTEIGCTNELNCSLAAEGYARGHGVGVCIVTYSVGAFSAF NGVGSAYAENLPVILISGSPNTNDVSQHILHHTLGIYDTTYQLEMAKKITCCAVRIRQ AAHAPELIDRAIRAALTQRKPVYIEVPTNLAGETCLRPGPISSVISAVPSDRHSLDVA VTQAAECIGSKKKCVVLVGPNVRRAMAQDALRQFVEAIGSAVVLQPAAKGTFPEDHAQ FCGIFWGQVSTLAADTIVNWADLIICIGAVFTDYSTVGWTALPSVPQLFVDLESVTVA SKIYCSHVQMSEFLSRLAETVCWNDNTMVEYNRLRPDPTLGEPSCGPERLTRKEITRQ TQTLISLNPQTVIFADTGDSWFNGLKLNLPSGADFEIEMQWGHIGWSIPASFGYALAK PHKRIIVMVGDGAFQMTAQEVSQMVRFRVPIIILLMNNKGYTIEVEIHDGPYNRVQNW DYARLVQAFSTENGKGHALGLEARTAEELSNSLERAIVHTNGPTLIDCSLHRDDCSRE LITWGHFVAAANARMPKKE FPSE_09734 MRCTIEAYITYTKEKELKFILYYTYFLNPIFKNLINL FPSE_11252 MTILRAVVRRHLASGLASHDLCDSMGFELEWFVFSFLHKDGIPW IPSEFSKSQPDDSEGDREGSSKFTFEMETNETEIVKGSASSAEQRESVTPSFLEISTL ESPQGVLDLRRHLGELSKWKGSAFKEALAVAKAVEKTMNFIMPSFDRKQSVNEFVWSI KVNYNRRLDGNINDDTAEYVRMVVKYSPNNGWTAPVDEIAAVLSLWLYSMREVHDPDP NHTSYDFPSGNDRWIRGSPAQQQRCLQVLGPLEDVLLRDLKWWMPKGLDGILAARVKD PNVDNNDEFPYTVKRERVAHSGQRWPRGERNEKALTESLSYWNWKIAEDLGNHNDGVT FPSE_10665 MTCYLPNDAGDLFDKGVEYNFEGATFYAYGVGVTTEMVQQACDD AAASYAKHVLNWPPMDKPQIFRVGSTSQYILLSDCINLYVERLRLTLDVTDATRSNKS LPMYPHGFIIITPNKAILALACKLQGNWRLEFCFIPIHVELCEAILDLRRGDTMAQDI IVRYSNIQELRDRVSQINNPSFNNPSFNNPPFNNPPFNNPPFNTHGWAFVLFATGLQP ALPLLAMIDPAINHYPSSEATLQLGTQSQISEQRMRQMFPLTVRDDRRRGWRTNGMKL HSKIFIYCDNDDPGKKGVVVVLVDWDGNIDREDIELNEAFDKADYQVKRVSVDNALEQ ACELAQQSLRVEE FPSE_10666 MAIGAPAARTNLPARLPEGDASDKINERTQTQTQTATDEQFGPM SAAAGHLELLLLPDEFDEGSEAIPVIGKATAKQGPRAKRAESGTPKRSPEKSPERLAL RPVQAPAPARRPSYASIARGNLPPLIPPTQPARPGGFSSDKVNERTQTTTEEQAVISP TGTKENMEPGEGRSRAVFLARATAAAAPRPVSNRCQGEHGAEQGRIRSQ FPSE_10667 MAQAWEARALDEGMASMYLGEPQRTRAKYCREPMELKYMLDECR QLHWIQLAPMLENSDNFQERWEEVKDDDPYLCRWGFTMYRTYYGPGSDENWKTLLEKA KEETFKELDECDHDDLAAKLKPIFQIDARSDQSILDGLNLRELCKVYNDKVGGDPMRQ RLESPVFLVADEHILNQIGEGNMIVKSVDADYPPREEIPLRTSTEKAWWGWGRMELRQ IPWLWKTLVGEDSRYCFPWTVHQADLDNVIWEEDDAD FPSE_10668 MADEEHARKYGKYIKGQGEDDKRGIGHKDPSSYIEGIRDGAVGT RGGNAEEDVPTYSKDGHKFRFVPAKTKEGK FPSE_10669 MTSFGKLQAALASATNELTIAAANINFDFTLVKCEAPKEFQPLG STLSKKRKENAEGGTAHITARRLGVLFEGILPPTPNLVKSYGTRVSEIAQSVEHGTSS NVEESLFAAHVGADGTSIWAAATSSPTALHVQLLACMLGRLWTASEATSIWVELVKER RKQIEVKWNDEEPLPFSTVAAAAQSDISRASLAEWDASVRAWLRTADRFNKQRQDKLM DLLDKVNLPINHDTVYSSVMAAWKSAVETMENIIKGMPQAVNDGSCLLALSAWHLYPD ITVGESDALPLQFSDPLIQSGGFLTLGLVRPRNGDAHGVFWSLSLAHLNFYGRPVPRK ARFDTDSRKISFDQFTQAVYGALLAHWGLQGPLAEHPSRVFVAMRKTIERQCSTGLFN TSYDKDSLKGPRDPSSALTILSKIAAAHLDAQRFPGDIIHKLMALGGRRSSKFIPSTG LRPFLGLGEVEQLLNKLKGPMERVALLRRMACSCIYDPDAYIIRYFDKFNQSVPSGEP AHCGFASAQPRRSLDGMTSVHGQWVPRSYINKYPYPGEVVTEMGATESSHLSSLSTII TMTALSSDGEEVMQDFHLVCGSERSAAIFMQRNATSNTTLHPAIRPLMIEDIEWCLES DFFDPDTLLDLLCADSTPSSLTLRVLSMAHAFYRTLPDAAISTRSLESPLYEAKWAKS LIYSNVDKSYIKFDPLLRPSGFNLSRSLSCVAYLEGGFHLEPEGLERLTCDPWDFPED YELKRFTGNVGRSGLTLLVPPKTSMVMEREQSSWRLMSSSEFDGKSTNAFTKTSMHLS FTDYHVPIVQSSDGQEQSNQVFLLESVVSVYDAGRWIGDVDVLKCLTSPLLSHMLDSG CHGSHISHSFPGVLSLETWDDVLDPPLSDCVIRAQGSWLARLAIATIFVEARAEDRGE DRGEDRLELGGIRMAIYSNDTCWECSGPTLSFSDSQLQGRGDHLPTRAAEKMA FPSE_10670 MANAKANSKTVTEQNFEMWTGEWEEHKIRLKARRCQTFDTLSSR TRGNRSVIIADNMDLNLHIDIPKKPHLTTLSLPSGFPEKDFHIFTLDTITSATHLNVT VNMADPHASKQEVQRDVKVL FPSE_10671 MSDLLLSKPLQLQCGLTLPNRLIKAALAEEMADGQNLPTTAQME RTYGAWADGGWGMVMTGNVQVDNKYLGGFGDCSISTDLPEEKVLEAYKHFVGICRKNG VPTLMQINHPGRQSPLGAGTKSYMAKNLAPSAVPLKIGDDIISKIISTVVFGTPKEMS IAEIEDVVQRFAKTARIASEAGFDGVEIHAAHGYLLSQFLSEKSNKRTDQYGGTPAAR AKIIVDVCKAIRAATPATFCVGLKFNSADHQSPKELEECLGQMALIAEVGLDFLEVSG GSWENPTMFTGTGEKKSASTAARESFFLEFAREMRAKLPNTPLMVTGGFRTRAGMEAA LSEGACDLIGLGRPAIVNPFLPANLILNKEVKDDDARVYAKRIQTPWLLKKFGPKSIG SGAEIVWYRKQLQSIGK FPSE_10672 MAIIDLLFTWWSLPIAAAVLVATYLYSYFITYGHLRDIPAPFPA QFSNLWLLYVCRRGERYRVVDEIHKELGPVVRIQPNHTSIADAEAIATIYGHGNGFLK SEFYDAFVSIRRGLFNTRDRAEHTRKRKLISHVFSAKSISQFEPYIHANLELFVKQLD KLVASGQTAKNGKREALMDCLPWFNYLAFDVIGDLAFGVPFGMLASGADVAEVRDTPD SPPIYASAIEILNRRGEVSATLGCFPQLKPYAQWLPDPFFSNGLNAVKNLAGIAIARV KNRLDNPPSIERMDLLARLMEGRDEKGEPLGREELTAEALTQLIAGSDTTSNSSCALL YHVTRTPGVLEKLQSELDNAIPSEVSVPTYDMVRDLPYLANVINETLRYHSTSGIGLP RQIPPNSPGVTIKGHFFPPGSVLSVPTYTLHHSKEIWGSDADDFKPERWENPTELQKT AFNPFSHGPRACVGRNVAEMEMKLIAATWARRYVPELRQGVMETREGFLRKPLGLDIA LMMRE FPSE_10673 MDDKAIELQLSRIISASEYTTIFTTIVPKPTAKADATATTEIPV VTVTQEATQSDVSSGGLPGGKIFGALEIIIALGVLVGVLLLIMGIILCDRRRKSKKRK RASSRVQKRMGEKNEPVPDTSSQVNRSPTDTRLAESIWPRMAEQNQTVHEYWNNDASR QKQAWEQGPPGGMPPGQGQGQGQGQYRYQ FPSE_10674 MYIPPDHGVMGTATEDELCEVAWCDEGQLAVSILYQKLVEWNKR ETGKEIKEQRLEFWEMQEAISNLAKLLVRKEMRVIPGAFNSSELFTPSFKYSGLGMAT LVVLNSHQSIKRVGAALKSIPRELCNPNVASAQEWVNGSLGNFIHRFVQKEMAFTQHN GCDRHGRRHYFYVWHPDPTWHIAFEEEQARNPLRRNFGGYHHELQTLCLRMRTDREAL IWNTQIGGTSVFHLVIPANQPLVVDTKFMFHKALFPLTIIGAQHRGTNLVWLAIRQLP GPTDIHLRSVSILPDPLVLQDYPMKNPKLAFALIVVYFVVGYMYPFWTVAIVFVLGRI SSPPTIWHQYDYRLTAGSNLKELGDAVIFTRYYVTE FPSE_10675 MTFTFFKPKMPSLSAPAVSSPVFYPSVTSEFEAANQKFAATFTQ SHLPGPPRRKVAVVACMDSRLDVEKVLGLDIGDAHVIRNGNHPQYLDICCKADMDVTA GGRAVEALRSILISQQLLGTREIIIMHHTGCGMQSFSDTDFRAKIRQEMHEDVDHMAF LPFSDLRQSVIDDVAFLRKSPLILDVPITGYVYDVKTGRIEQVDERADSECSSP FPSE_10676 MSGAEAAIAGVGFLCNAMQIVTFGRDVLQVCRQIRASSSPDPNL QGYLKSAEACFSQRKAYFDQMKASASAASQAQGLNSDLQHIIEVGKKLDDSMDELQSK FAKLHLNSASKGGIRGSARTVKKAVASMWQSNQLKSLEENVKRYESLLHGVMVHNICN QLQAAKIQTSKSFHQLNQDLQSFITKLADGCTKLSELSLASLETRDRVTQEHETTRTA INQGFTSTQGAVSSMHNSMSQRLQESAQRDLSSDLKKRHERLLDSLRFSEMNSRKNQV SENYPGTFLWVFKKKSDGSQTQRVSRAETYNKDGDIHMNDASTSAPGTGSQSPVSFPS WLECDANLFWISGKPASGKSSLMKFLATNSLTIEHLRIWQRSIQMSDGKLRIITHYFW KAGESLQNNIQGMMLSLLHQVLNKDLCLAQRLWEDQENVSDKRAYGDWSLKELRHALC RTIRSSGDAFCIFLDGTDEAKELEHLSWRDRGNSQIIHDLLCLSNVKICASSREEHPF CLFFEGMPRLRIHLLTYGDIYRFAENKLESSSVDCNYRGHILRTIVEKANGVFLWVVL VLDSVNRAIRSGTASTDEIQERLEQTPADLTDLLIDMWERPGDDAKLSSYKTDASRYF SLVVTAKRMEEDIGWEHVSGFPHHMNSILVIATALEDEPVTSIIHKGRSIQAEELQDR CSRVANRLRLISRGLLETTTSANWDCKGDPRLMDYNKQRINFIHRSAFDFITDTQFGR ERLSSCQWSPVEQVSRLLGGHLVRSRFLKTVLFETATAVYNITIKYLLEGVGPADPPK NGLTSSNGQDTAQTYEQTYGGRIIGSVLPPINVPLTGGATHGIVRVPASQEGAIVPLS TVKISFDSEFIKLVDAYYAGDSIFWTISWEKLPTEEGKNPQSVDVITSIPHTQFGGLT SWTRIVQPYTVVFYVLEK FPSE_10677 MDIHTYLSRWPGRIFIPSRQALQQSRFASQNSQAGQREWHIPGY LAFVVGAAVSGLTTAAVIKDDMISGRDESLKPRWVQQDIPSIPNKVMYADKNTMLKAV GEIRDLLGEEAVSTDWDDLEEHGHSEWSTSNSDSRPVAVIRPQTTEQVSAIARICTAY KVPMVPYGAGSSVEGNFSSPHSGVCLDMSSMNNIVAFHPEDMDIVVQAGVNWTNMNEE IKHSGLFLPLDPSPTALIGGMVSTNCSGTNAMRYGTMKDYVINLTVVLADGSVIKTRR RPRKTSAGYNLTGLFVGSEGTLGIVTEVTLKLAIIPEKLSVATATFSTVKEAADMAFK LMRQGVPLAALELMDDTQMKVVNQSGGTGGRMWDECPTLFLKFSGSQNAVRDSINATK EIANSQGCRSFEFAETQEQMDSLWSARKQALWASLAVREEGTKMWSTDVAVPLSQMAE IIEESKEKASHLGIFNSVMGHVGDGNFHQLMMYNAKDENQRQAVSKCVDDMMVRALEM EGTVSGEHGIGLGKMEKHCLQKELGPATIGVMKAIKDTLDPHWILNPGKVFEN FPSE_10678 MSLPQTDLESTKDFRYECAKRIEAQIRMPPMHKDFRLQAVHIAI ILLVPMGSLADGGFLASNQGSMHLHDNLNIVASLVRHYFLMLNADISNPNDYCDQVEK YACAYRNEYRCIVTGESPSWASHIIPFSWNKDEANVYETSLVMSACQAFFTDETCDDL YGLLSNPDDLCSSNKQWNVINISESVTAAWSCSSLGLRCLGVGPKASQCPDTQGSIEQ EWEVKVQFQWLYRRFRKPNEEMDGITNENDMRNMAEAQIHYEKMGCPPFMDAFGIATG RKGCKPIFSGHTFTVTMSEEDARKYKMMLDLRWFIISIAAMSGAAWYPELLPLPLDW FPSE_10679 MADSQTSRTSASHETRQTLSERNTRRLELAKQMGKTVDDLIWDP NEMAFTWDDMQSETTTTLANGEPTNTMDAAMVEHNDVMTIIAAYSKRDLLQPGLPPYI PSTIATIPPKDASRFLALMNGEHILAKDWAPIRNALQARHPLKQDIIVRTQTMFTVIM SEIIDTGIPFWVSDPSADTPNYGAMYSVARHAARMLGIFDETDMRDALTCWNQLRYQV PDEEAGIWHAINGASWALSDVKEKYWPFLMWNLRDVYMSKAILYQVSLFVKLVDYLGS YPEDWPEGLKDPLETARSHDPTL FPSE_10680 MLNIGTMPVCDLDLSTLHGYGPSTDFVTSGNHRSGSEDESMESN AEHQSTQSATGSPPEEAQMLEDRLLRHQAKLRCLYSAVDTTSWLRFSRPTQSIRRATA AP FPSE_10681 MTVEQSTDAKCLTLDSIIRGLAGNTQTILSYVTTNDDFANFTGS DIERLTTIGANGYLQALKGQKDFDLKTAKSKGVIALIGTSTLEYYITFLSIQRLGFTT VLLSPKLTHEGVAHLLDVTECCAAIVPESHRTMFDKIPLCTVPMIRDVFALDSQEYSS IPELECHSLDQTPGVVVHSGGTTSGLPKPISAPSGAWIAAVAGTDAKSHRLHTLPTFN TMPLYHTFGLGVLFRALRMGTRVSLVNADRPLVAEAIFKGLGATKSRCLTTVPYVLKF LAEEDGGVEKLAKLDFLSVGGAAVPDDLGQSLIDAGVNLKTTYGQSESGILMLPVLDD DKQWGWLEPMEHAEPFMRFEPAEGDLYHLVILPGLASKTMTDRPDGSYGTKDLFEKHP HKPRLWKFVARHDDMIVLSNGENANPVPLESALMNNPNVAMAVAFGAGQESLGVIIIP SSHASNLVHEDLLKSVEPDLNLGNERMPAYARVSLDAVTILPADTELPMTGKSTLQRA LFYRQFSTIISGYYSNGGVQNGVGTLPEQSFNDAEISSIVHEIVFHHHPPMKDQSDAD FFTMGMDSLQASRIRAEILRRIYIKPEKVATNVVFNHPNLSLLSHHIVSLYTGRQGSM AVDPQEIARSLIRKYTCFTTKEGTGKRDMSSVPEASTIVCIYLLLIPNLLSLFCVLTL LTVIFSFQQLLTGATGYLGVQILHNLLSRGPKDHVYCLVRARDNAEAESRLDQALANS KLTLPKSIREKAVALAGDLGRDKLGLNPDDYGALLCSVTNIIHNAWAVNFSMSLSSFE PQLAGVWHLIELALSAPQTPEVVFISSIVAVASANPPAQSSASCEPTQWEGSIMERRY GWEAVGSLGYGQSKWVAEEICYSASEHTGLPVKVIRLGQISGDTHHGIWNPSEAVPTI ILSALTAGALPRIEADNVFRVGDAQLWIPSDVAAASIVELTFSEVGECIPSSGPDEAS SQSNILEHQKTIPYTVFHVSGSHPASWNAEILPSLRNFGLEFEVVSQSAWVDKLASSD PDVSRNPPYKLIEFFKGSTQVEDQLHAVDTKASSVQVHLDISLASKYAPSLAQAGSLD ETLMRKYLEYWKKESWQKLERNLVMDGHKKEMGSL FPSE_11188 MTNLPSHHTAIVGSEDGSLKVAEQVSLPRLEDDMILVRNTAVAL NPIDGKMVGNLASEGAVAGMDYVGTVVGMGPKVKTASEIQLGDRVCGAVQGMHSLTPS VGAFAQFVGATDVVTLKVPPSMTVADAATLGSGVGTIGLALFRSLAVPGYPEAPAAEQ IPVLVYGGSTATGTLAIQLLKPSGLIPIATCSPHNFDLVKSFGAEAVFDYRRPETPEE VRKFTRNSLKYVLDCISEPETMQFCYKCIGRAGGKYTALEPFPQFLHTRPTIQPDWVL GPTLLGKPIGWGPPFEREGNPEVREFAIKWFATAQHLLDQGKLKTHPVKLMEGGFEGI LSGLEMLRKKQVSGQKLVYMIPQVEEQVI FPSE_11189 MSVTRLSEPLQNILLQDLRNFYSRASRIATLSFSAIAAIKSAWT RGSPFAAATALYPTTEEGKYVIQAEGIRMEFTNYGGAVTNLWLNNSQGEEVDVVLGLD HARDYEDYPKNPYLNGAIGRYAGFIRGGRFDMDGETYQVATNAHNGSSTFNGGDRGWG RSILDIGSHTENSITFVLFDRSWNGFPGTAASCLTHTVTPYEWRVAFGVTPTKKPGPI NMSQQAFFNLDGFKKKNLTGSEPVSDNTVRDHKLHLPLSGLRFETDASGLSTGDILGN PRGSEYDFWSSSRRIGDVLEKPYMGICDRCQKRNNHSPSGAYDTTFQLGRSQPWSKED VPAAILSSPQSGISMKLYSDQEALHVHTWSQKEFPLKLKKSQGQGMVPQHGAISFEMQ DWPDGLNHPEWRRESKTIWGMDGLYNAFSSYRFSVDKTEP FPSE_11190 MTIQSTANHQDSHLPAVLCLHGAGTNATIFNLQARTIVSCLKHK FRFIFVNAPFESLPGPGVIPTFAEIRPYLRWHCDENAIQEFDVSPELVDNERRLVRSM LSEKIEQEATGPSLGIVGIMAFSQGTRVATGLCLDPEFGSNIRFAIIIAGTFPALCLQ STATDTEPTHVVSGINGNKHQQLQIPSIHVQGTMDPWGPESARLLKECWSAELAIIVK FHGAHQVPTSKKDAQAVAQAVLSCWDSE FPSE_11191 MQGPTNEPIAIIGTGCRFPGGSNTASKLWDLLKEPKDVSKEIPA DRFNLDRFYHKDSSHHGTANVRRSYLLDEDVRLFDTQFFGISPGEAQAMDPQHRVLLE VVYEAIESAGKTIHGLHNSDTAVYVGLMCTDYYVIQAADLNSVPTYNATGVANSNASS RVSYFFNWHGPSMTIDTACSSSLVAVHEAVQALRNGTSRMAVACGTNLILSPLPFISE SNLSMLSPTGKSRMWDADADGYARGEGVAAVVLKPLSAAIEDNDVIECIIREVGVNQD GKTRGITMPSAQAQASLIRQTYAKAGLDPATPEGRCQFFEAHGTGTPAGDPQEAEALK TAFFPNETDSVTNGTNGCLSESDNLLVGSTKTVIGHTEGTAGLAGLIKACMALKHGAV PPNLLFNRLNPALEPFTKHLNIPTSLMPWPKLLPKVPRRASVNSFGFGGTNAHAILEA YSRASQNGFDTASPSPVVLLAIPFVFSAASETSLRGVLEGFLDYLNTFKQKDESLDLA SLAYILSTKRTVLSQRVSITALTFEQLVEKVQAVLDNSASSVVGSKAATLSHLALLGV FTGQGAQWATMGTKLMRSNPLAQAVIQDLDAVLASLPECHRPRWSLGRELLADTTSRI KEAELSQPLCTAVQIMLVDLLKANGVQFQGVVGHSSGEIAAAYAAGFVSSADAIKIAY YRGYFAKLASGSSSTGKGSSVKGSMMAVGTTYEDAIELCQLEDFRGRISLAAHNGPNS VTLSGDSDAIDQAHFIFSEEEKKFARLLKVDTAYHSSHMQPCISPYTEALQACGIVVQ QPASDAPKWFSSVRSGTPVLDMDGLDCQYWVDNLLSPVLFHEAVQGCLGSSDTYNAIL EIGPHAALKGPLDESVLELMGSKLPYTSALVRGKDDIESFSTALGFLWTQFGNQCVEL GAFQKRVSKETGFELSSTMDDLPTYAWTHDKPLWAESRSTKLFRTMPGSFHDLLGIQT ADGTAEEWRWQNILKTKELPWMVGHALQGQIVFPGTGYIALAMEASLQIAQGRPVSKI DVYDLEIRKAIAVNESATGTELLVTMTSVSPINPDVETITADFATYSTISRESGSMAL NCCGKVCIFLQTDTITTMDGEGDAAEQFAARATPVPGMGDIDVERFYSAMQHDLGYMY SGPFRGLSRLSRKLGSSEGSIRRPPFSEGGSETTLIFHPGMLDNALQGLFAAYSAPGD GRLWSMRAPTACQRVSLVPSLCGRNMTEEVDFDCTLTDSRDDFITGDVEVYALGYSQR IIEIEGLSFSPFAAATERDDRQLFQEQIWYVNEADGPLVLGDMAPTFEERTKALDAER AAFFYLKKLHLSVPSGQRSQLPWYRQSLLDNAERLYDLVCSGKHSYAPQSWIQDTEED VYAMMESYGPQDADFNLTKAVGENLPLPDVIKGDTNILQYMTQNNYLDRYYTHAIGFG WLNILISGVVGQIADKHPKMRFLEIGAGTGGATGAVLDRIGQAYSSYTYTDISSGFFE RAVDKFQDHAGKMLFKMLDIEKDPVSQGFAEHSYDIILAANVLHATKSLTETLQNTRR LLKPGGFLVLMEILGNDVMRIGLVMGGLPGWWVGKDDGRRWGPTITLEEWDTLLKGTG FAGVDTNTPMPDKVQMPGSVFMAQAVDDRIAKLRDPLQHDALPSAASDHVNGVQNGHT PSPVILKGTSHLAVIGGSSTSGSKLTSEIIRVLSPLFAEIIHIPQVNSKDAITKIPSN VDLHILSLVECDIGGTFFHNITITAWQNFQQLLATSPTSLLWVVPNTRSGNPLGAIGT GLFRSLFYEIPETRFQVLDLDEKATGDLSGCAGLIARLMQQLRLAADTSSTKGPSALT PDTSEDGLQSVNDGTATAEMLWTVEPELYLHDGRLYISRVRLQKEQNDRYNSWRRPIL RLTGSDHSADPSQSSSSLGRQTSLELQWKDDAYYNLKEINSFAQAISTDSATIDVSCS LASCLKTPAGLFFVHVGTDVNTGEKKLCLSTENRSRVTVHSSWTETLKQEHDVADGQY MSFIVADMIVQQLMYMLPPTGTLLLHEPDPGLASLLTRQLANIGRKVVFTTTRSDKST NLLSKANWIFMHPRLNKRLIESTLPDGVTFFIDCGQAEDVIHEGSHAKDHGLGLRLRN SLSRTCIKMALQDLTSRTASVAPQEATEEVVKLLHRITTFAAAQLNSVPDGAPLKVAS LTEIVSRAKTRALATAEGCSTGPFCLVNWHAENQVPVSVAPVWDRDDLFRFDRTYWML GLTGDLGRSLAEFMISRGARHVVLSSRTPQPDEIWVERQQKKYGATVVYIAVDLTSLD SVQKAHGQIVTSMPPLAGVANGALVLKDSSVAKMTIDQLQAVLRPKVDGTLHLQSVVD ANSGSKQQPLDWFIAFSSIVGTTGNLGQAAYSAANGFLKAWVSQQRSKFGHNAAVIDI GRVLGVGYVERETQSNSGRLTREQTDRLMNRTGTLAMSETDLHQLFAEAVISADHCSA SDAGLSVGARDAELITGIAPISSAQAEDVFWARNPRFGLLVIDSNAAVDSDDQDGKGS ERRQVPVKTQLAAASTPQEVTTVLISCIVTKLRASLFLSASDNFSETVALVEQGVDSL VGVDIRTWCIKELEVDVPVLKILGGASVVDLADYILESLPVKDKSK FPSE_11192 MPSRISEESNELTNSVDIVSRFLALEQIYSASHLDTYLQSLQQT NDDVSTDVIVLCASAILAIPEAVFEWAVQQQTSPETRKGNTVLVLCGGIGPCTPFVYD AIKASKKYSPIFDEINGEPEGQILRTMAERYYRLNVKNEKTTREGFNIIVSDRSTDCG ADACETRKVLDGHGISFPRSITVVQDPAMSSRTVSSFEGIYNDQDVRVSSWPQTRPDL MSNQGGTQAGKENKMRELWSMDRFLDRIMGDTAYTQSELGEGRKKNVDIPNEVEDAWS TIMEKNSLKVSC FPSE_11193 MASSLRKFTLVFYVPPANAAACKAAIFKAGAGRYPGPGGYTECA WQTSGTGQFRPGDAANPAIGKVGELEETPEVRVETLIVGEDTTRNAVAALKKAHPYEE VPYQVYRVEDF FPSE_11194 MVAIDGVHNDWRHLLLPLAQQDELVMNAVLTVSAFHSHINKLEN RLIQSKQQYNAFGNNLCDSYVPDPHLLLSRTLQGLRKRQELHSRDKTTQHSVLITLLL LMTSDLVTGGSDFPMLLRMLESALDAIGGKEGLGTGILAGFIMRELHKIRVYAAPHLG EEMGLEMISSQARTDQLFGCLNHCLQLYPEHAPLFSQVADLVYQARDIYLQQVLSDQT SSFFDLDPVPANPSSIARVQRFIETLGQIPHTSPIAHILIWTTFVAASDAQLDEHKTY FEDELRRHHARSGFGNLLKGIEALKRIWARKPGERWTTLLPLTKVLVA FPSE_11195 MDASLCIHLQSTVLPFFLISQRHYADLFLLDDPLGTLVSRRPIL RDVQSPRVINAAKKRLYECRSLDKPTEGHEECRYSRDTVLPTRVLQVGVNEGVACPIQ LHINDRDRCGSYLALSYCWGATDPTAKKNLVQLVKANQKKLKEEIRMEDLEQTIQDAV YITRQLGFNYLWVDRFCILQDDDEDKKREFSRMAITYKNAVVTLVAGTAKAASEGFLN IDPSRTKPFLPSRKFSISTDDGGKGVVYIPDKPYQPVHPIDTRGWTLQEFMLSSRMLI FSDHQLLWQCKQTDLQSVTGDDDGLEYQQGLESLPWAAFEDTAGPSFGAHDADKLYLW KTILRQYTERDLTDSGDRLPAITGIIAELQNVWKDTAIYGHWVEWFVQLLAWQKPEDD RTKERYLSRAPSWSWASINGRIHYDETIENEDAKMEIVTAAKATMSCRIVSGDTIDKH VRRTMDQYIDLDDAALKLETKGKVAHYLLLGTAKECDGFENAIALIAIETRHGVYRRV GLAVVADMSIWEDIKHQSIELEPKQK FPSE_03384 LSSSNRLSSSNRLSSVGISDVNGFRVLHCHVTTDSDFGVVGVVG VVSRLLWAGGGLRLHDGNGESLIVARGRPPPCLGTAAGREAVDGRGACSLTTVVEATP PETVAEDVLPTADGAITAEMETDGPVPVPAADDSAVAAPDTAPGDVLPTADDAVTIEM ETDDPVPVTTAEHSIAEPAINDPTVAEHSIAEPAINEPTVAEDTEMSETDITVTLDVT MEDPAPIITRDTAMEDPEPVAITGGGGVVGPLFKGKPASSKCQHLAHNIWE FPSE_03385 MEPDDIPKWDFGQLPKGINPVPNDFIPFFATTDGTRVDADKISL SFDLAAPTSRTHAFAWDRVPALSSNAKGKSRQIMKRVAVCTERKQPQPFVLLPQVNFI IPNTRESIAFDESLPRKVRRGTKFEPISREAAYSGKAMTHAFMLSELMQATINVANNF MYTSSCTSYEDRRAARNGICQITPLKRRADVLNNEPDVPVMLAPTGGNKLGFYYKVLP MIKRAYSRGMTEVDLTTGSVVKLSTSFLRRRRNPREHVAAFGVPDLSDIADESEPESP TVVREPVLKFETRSPAKRLARLANPFSKSTSRGNRLAQGFVKLVPGLARGGFSTPVKS RSSPVPQTASPVYDYAVASLQYSPSASDDEADDASSSKEGSPEPAEDLPSSPVRSPGR TFRVPSEFDSSSIAGDESNQGPPSTPNQSSPVNFSRPVGPTPSRWNRPEPTTPFTPIP QTATAATPAETDSPIGLYALFAPDTPQAPTCTYETLSGTDPIDFGAPSAFESSLSWMN TSATASEQARSQNVNHARRRRSEPLLLKSVLTQARRRSASPQKLRFKADDTFHDVISI ASLFDLPTSDDSTVAEPTAAEPILAEPTAEPILAEHIAEPILAEPTAEPILAEPTAEP ILAEPTAEPILAEPTAEPILAEHTAEPIIAEDTEMSDTNVAVTRDMTMEDPAPMVTTD DRHVQDDQPAPTEQSIVEIDMRENPDIFGTHPSSPPAPIQNLSRMADDACSGLAKVVV TEENGRLFVRFKLSAEYAHMFPASQGFEDNDLTFSPSAASSTPKAKSSHQLAITATPQ PMSAKRVTRRQSAMTPLKRATMNATEAAQLRTPVPVTNPNHATPKFATPATGNTDQTL AVSWSDVDDTPTQLNIRSQPTEPSPATPRFATPATGNADETLAVSWSDVEDTPTQLNI RSQRTEPSPATPRFASPAVTNDDTTIIFPWSDVEDSPARFRTRPQPAPNHATPKFATP AAGNDATLALSWPDVATPAPAQSSTEVVPAEDEHQSATAVDNDSPNREFMRSFIARTR QPTATESGSPTPLVATRKPLGALSPNRGTPMMAKHKHEGEDEDEEEKPSPKKAKLGKG ARMKSNLDIDMVDFPATQVTESPAPTPTDAANEEAASPPTTRRSSRLRGQGAGGPKSS LPTPIKLSRAGAGRGSLARKPRSEEQELDRKTRSNTKKNMGDAESPAEVLTRIKDQAE EDSDVGEGSAGGRRVGWKDPLEKIQGSSPKKRAEPKGKATQGKTGVAKPKATRAAKVA EGPKSQRVTRSRARGGA FPSE_03386 MNRLFGSKPTGPKPTLNGAINNLDTRISSLDTKLKALNAELGAY QEKLSRMRDGPGKQAMKQKALKVLQRRKAYEAEKDKLESQVWNMEQANNMQDNLKNVM TQVDAMKTTNKELKKQYGKIDIDKIERLQDEMADLMDVGNEIQESLARSYDIPDDVEE DELDAELEALGMEVEMEHEMGGAVPGFLQDEVVPDFVDEPPQTEDKVKQVAG FPSE_03387 MDPFSPAHQPDEGYSEDPLTPTVNQELSTALATLRSPSDLSSWL VTNSSLLPLQVRTELTMALLDNLPTSVIAEIVHRLNPRLYIDFIQYLPAEICLKILGF LDPVSLVGVTQTCRAWYELALDRKLWERLYYMEGWKTILSEIEACETKVNNGLEYSIR QLSRMQSTQDAHPNKIRAVDNPDEDFEMTDRDRTPGPSEALSGGSMFGSPSSSFSSSR PAIVPMGEMDLDGLNSRTASLDRTLSSSSDSRGKRKEPSGEPESSVAPMSLADAANTL PPSPLYIWDVGRSRYRINWRYLYSMRRKLEANWELGKYSTFQFPHPNFPEEGHQECVY ALQFDKDYLVSGSRDQTMRIWNVHTRRLVRPPLTGHMGSVLCLQFDADPEEDLLVSGS SDSNVFIWKFSTGELVQRLTRAHHESVLNVRFDKRILVTSSKDKTIKIFNRRPLKHGD LGYGGSDIVGPVPTNLRRYGYEPDLAQELPVKPPFTMIGRLDGHSAAVNAIQVRDRTI VSVSGDRHIKVWKWPEQVCTQTIPAHDKGIACVEFDGRRIVSGSSDWEVCIFDAPTGL KVAQLRGHAHLVRTVQAGFGDLPYSTAEDEAEAKAVDAEYFRALEAGEIDHSMDRRRR RDRRANAGSSRPEDVQAFGAKVPPGGGGGRKYGRIVSGSYDQSIIIWRRDKEGVWKPA HHLRQEEAAAAAQREAAAALSSATSNVAVAASMTSRSSHASTIVPRSPPTNGTPLGPL MLRDHHGDTHRTASSYEVLIDQTVPLGPSALQQVLATYPIVLAHHTYLQSIIERETSP LVRAQLRGVVTDALANLHTRQAPLRHQSSSSSSQQTSTDSVRTNPRSSIGVPPLATMP IPSNVPAVQPPAAANANGQGAPPGQPGQAQNAAAGHHPHIAAAENTSARVFKLQFDAH KIICCSQTPTIVGWDFCNKDPALEQAVRFFATVD FPSE_03388 MSSSRPNRRIERSCEVCHRRKVRCDKKSPCSQCIRSKFECSYPS SVAPPARRPRKTTINDVASRISQMERTIESFRAQQDTIASPKETASTTPSVTSEKTPV FSGTVTAGAGASDGFPRQTAGRLEGLLLNKGKYSHYVNEVLLSRVIEQEDDVRTALAT PRDELPHDVNSPASPFNPMTLLSPSFSTESLASYHPPKKAAIRLWKIFADCVDVCTKV THLPTSETIIYTVTRDPTQASIENLTFCFAIYYSSITASTPEDALNITGEDRKKALQR YKAAMRVHNINSGRAVWIMNGLALRAAQSIGLHRDGSKLGLSPFESEIRRRLWWHFLE KDGRGAEDYGLQNPSTSSHIYGVEQPRNLHDSDIFPEMKELPPSRPDFTRMTLPLCSN QVSCAWAQILQMSCSPEGLPSEDTRKQVIQEAMAKVEGILQRCNPVIPEQRMQMRISR LILRKVDLVSRRQWQALRQPNGDETLATETDVAEAVDLLELANDMWRDEDMVVFRWIA SAFPQYHMMLYILRHLCVRPGGPMAKKAFSAVDVHLESFRLSGCGPLNGLKWTVLTTL RDRAFVLMQRVERESREREVEVGNLQDGDLAMLDWTTILDQFQMDGDDFSLIF FPSE_03389 MAAPTAQQSPHQSELTLQGQGFNNEKSDIEDGVAQPPVKPVAGS GAPDGGLEAWLVVLGAWCTSFCSFGWINSVGAFQEYYQNDLLKEYSSSTIAWIPSLQI FFIMGMGPIIGKLYDSYGPRWLILGGSFLHVFGIMMASISTEYYQILLSQGVCSAIGV SAIFQPALSVIHGWFDSKRGAAFGILSTGSSIGGIIFPIMVTRLIKLVGFGWSMRICA FMIMFLLIIANLTVKCFTPPRPQKVSRSQLAKPFREPEFVFCLLGFFFFTFGMFIPIN YLPVQALQAGVNPSLVQYLIPILNAASLFGRIISGILGDRIGRYNIFIIVCYLSTIWV LALWIPCNTQNGLIAFAALFGYSSGAYVSLIAPLVAQISPIQEIGFRTGMVFFVSSIG GLTTNPISGQILEKPNGWIGVKVYAGVFCFVGTTFIFAARVHRVGWKVKAAF FPSE_03390 MSGKKLPQLAGFNAINANILISNDSPENTPSDHPAAILIYGWGD GQARHVGKYADGYRALFPHSKQIIILSPISDAMFTGLETRMRSMQVVIDNLNGLLDEK TAPILVHSMSNTGAISYATTLKAFADKQGRTLPHQLLVLDSTPGNPFWSWERLGKWSH AMAIGTAKFFPWPFVVTKGIWGFVLTLDVIFKKLIGSEPSGAFALRTVDDITYETLDS KRLYLYSKEDEIISHMDIEGYIAESQQRGYETRQELFDGTNHVGHMREHPEKYWKAIQ EAWQWSNDE FPSE_03391 MEYNHKLESIASHVAKTFESFQDLENALAKTTSSDEPSQGATLK SLLTTVENDFTRFKMWAGNQAAHQSGPSCLDYRLREAPHLQQQVLYLLKDICESLQDA SLKVSEIPNSLGQVQEKKRDENSPDNVASDTDWARDEDSDFSDSDFAGDLDESTTAFP TLVLDIGEAIDCLLRLSVAIANPAPHERFQKLGGGSSEDVSFFEPHDIAYVRDKFPKI TDELANALGKFITRRRQFFTYRHAHHEKSASATTISVSNKETGSFHTESLAKFNPQAA VIDEDIRSDTGASHTSYATSAGFNFEGTEQEMRRPTPALSVPPQPSAAEDGIFKCPFC YKMVSAKTRTAWNHLEQLALFALPSLEVESSERQASSKEQHSAKSGTEANSLRQRQTP GDFICDICGKIFEKSYNLKGHIENHFEHRTFSCSICGDKFAELKDCRRHEKVHLESPV LFGNPTNLSPIIAQQQRPSPDLPAGGKNIKEEDGFDGFYGFHDFDDSDDSDGFDGGNK NPHGLSEKSLGKRPAPQDLFEGTKKKTGSPTMMHDVQQGRIMNLESEEGDFLDTASWS KGDIPSLETTEDSVRRHKMLSGHESSTGTDDVIRPPLSSHNPLLKESGNLKLLDRPPW SEETTKQNLPQGLTENRAKAEEYMDMTNKAGPSLFICSRCGKSFAPRTSCPG FPSE_03392 MSGFEVAGIVLGSLPLLVTTLETYCKFMREWGKASSELKSLNRQ LSTERAKYYNVCTLLISDVVPQQDIESMLLSPFGPLWRVSETNDMIRQRLWDAYSPFE ETVVEIQEALEAIMRRLRVQISQDGQVEWGNKNRMTREFRKLLYRLHRDDYKDDIATI SKGISDLECLTKLSIKLEPSRKKQSRGKLFKILRDLSASIYRALCSSILCNDPHDVSL ELSPRLIEIGCDRGDEKVLTDAQFKIAISFEMVEASTTKRFWDEVSIKTAKSMTTKRS QPCLPQPQAKWAKTVPSGNKSELPFTNSMRSKHNVKPAMAPFSRLATTGVAFTKTCDE EERALSKRSLNLCTAMRNARHARPVCYGHLIDTECADRHFQVYALGTTINSDGWSIVT LSDILEGKGGLNPLVSLAEKARLALAIASSVLQLSKTPWLPEALTRKRVHFFRRDETL SYRYPFLLRSFPLRPSQLPNPTAASGCIPLNNPTLFALGILLLEIILGQSFEQLRSPD EKPIHGDYNGVIRNSIAAHKLLERVALINTAYQAVIQRCIDCTETRGLDEGGFRQEVY NDVVLELEAILESTKLGM FPSE_03393 MVQLVTVALHHRDHFSVGNARRVFDKQAYHWSIMIIPEGGQSEN CHSFDATDASHINPVTFRMNNPTMDWWFRSELDIKPQRHEKLLGRIVIGEMPDEVSGE ELGDFFQGIPLPMKNTNPQQSSVTWIMDAIQALQEKGWTHDFDLDRFKNFAVTYADEK MKGAEAEEPDLKFYESWKASVL FPSE_03394 MATGWESCTGGATGLFSGGAAGVVTGAGAAAGASRPVSGGFKYL YLGSRKTRVDDLEGDQNRGSEYYLPRTVHEMTQSTLYYRAQLQPIESVQMSE FPSE_03395 MAHAPLLRNNTTPAFQPNSNGFGGLPQTSMNHAPRASQLSGSTA YTGSSASLSSLATATTMTPTNGGPVHATANIINQKADASRSLYQICISLKQRLAQVPG FGPYLDELDPTDPVDPLWNLFRSGYPLLLIYNALRPNEELKVDDSSSANEAKKSKIAI FKFVQACMKDLDIPSTQSFVITDLMGTDTSGFVKVTQVVNYVLDRAEERGYLMQAQPD VESNEPTGGQMTYRDHIIRELVDTERKYVQDLENLHDLKKTLEQQGEIPGDTLHQIFF NINAILDFQRRFLIRVETTNSMPALNQRWGAPFVHYEDALIDIYQPFIANQRKAAQVA NQVFDKIQRSSHPVAADYNTLDGFLLKPMQRLVKYPLLLKDLNKKTEDDEVKMDLATG CEAAERVLSKANEAVNRDLLDEAVEDLTGRVEDWKSHKVEQFGKLLLHGVYGVITGKT DQEKDYEIYLFESILLCCKEISSSKSKDKKDKLRSGGPKVRNKSAKLQLKGRIFMTNV TDIVSFTKPGSHSVQIWWKGDPGVENFIIKFLNEETLKKWVNTLETQRKHNVPRQSTN SDTLSTDFAWTRDQVAGLENPYLKENDDEDEDDMGPATAPAGFTGVTHPMSLGPRTAS SNNLRARAGTGESSASLAGMVRAPPPRFPLPAPPGSLSLQTQPNGAHSPSAWAGDSYF SPVTESPASTRTSTTSGMFSTPQYGFPKSATPNPQQWEDANGNRYTAPAMPRAPSRDG PSPNPARNPRGPSLPAMSSSSQAALAAQQRNRSYSTPDINGPGMPRTRQPSHGNIPAV PGIPQHLHPGHNPNLSRDQTGSPRNDQPTRAQTNSPGAQRERMHKPTGSVGGSMNHFP AQPVHPRSITPGGGNQILRVDSAAANSRTVSPALGTATMPPPSANPLSPEIPLPTQLK VRVNCESGNYVTLVVAFNITYQSLVDRIDAKLARFTTSSISKSMLKLRYRDEDGDYVA IEGDDDIQIAFMEWREGVKNMYSGGVGEIELFCVGDTA FPSE_03396 MKRTPNAHIPLCPFVFPFYTHRQRGGIPTKGTTNPGGKKKETEK ENRRKKRNRTIMTPWGTLCMSLTSAYAN FPSE_03397 MAGRHKPIRLPPLKTLRVHNPKRQVENPCIAIMSSVLACWASAG YNATGCAAVENQLRKCMDGPKAPPAPTNTINYHLARMQQYMTGPRKQK FPSE_03398 MEKPTVTSNNYGHPAEQSQHPEHAQESIPEDQQPPKYDNRDFSS PLAQPPLTNNHPPTNQQDGGFPPAQQQSLQSMPIQNLQSQSAPVLCPSCGVRAMTITK AESGGLMHAIAASVCFFTCLGCIPYCIESLKDVHHQCGNCNIPLASYHRSGVTEVRYF QK FPSE_03399 MGKVLDTDKLGSVFGTRPDILTGIQKAADSPARIDLFNEIATHV YDQLLSGSAEPAHKKRRVDLQQANGAANGTKPATKVQTNAADETVLLEIKEISVSVPQ RKKFELCFTDGHIYARAPNTTAPIPAITYAWSDIEYAFYLPVPEKNQVQHNYILFPRG TCLPSKTNPPTEEPLVFTVPATPPKQGTIGGPDAGSAAAVSDNYKSLFHWALNRHLKP IGVDITASNPNKFQSMVRQPHRPSEKAVHVKAFRGSKDGYLFFLETGILWGFKKPLMF IPLNRIAATSFTNILQRTFNIAIEVFAGEGDATEEVEFSMIDQEDYGGIDDYIKNKRL QDRSMAEQRKAKLELAENRAKKTGEEGEEVEAAAGGDISELAKAQLDAEQALQDEEDE DEEDYDPGSDADSDGSGESDDDDSDDDEEDDEDDDEDDGEGEENAEEEGEEGDEQVEE EEEEEEVKPEVKPKKEVKPKTKEVKPKVKEVKKEVKAEPAPSPPKPVQQPTVKSGWAA LRSVPRAPVPESMDLDEEKFDVV FPSE_03400 MSTTFPNLYTHRKVADSAAKACDVCYKSSTSVLITPDKKDFFFV CPVHLKDRYFATPKIDEEAAKKKREKELEEEKERVLKEYEEKQKKKKEKEAKKDKDDK DKDDKDKDKDKDKDKDKDEKKDEDKDKEESSPAPEEEPRVFELKTAFYQQRLLKKRQA EAAKLDRERSSKPGYFPSVPSDAPSRP FPSE_03401 MALSRIDGQDELFVGGLWALRRSDSLTDRRITHVLSLVPFDISS LKNFKDEPWIDYGKDFRHQLIDIDDVEDSDLLIELPKAVRFIEEGLGANYMEHADDDG GVSLEKDVDVVEKKRKKKGGVFVHCAVGKSRSVSAVIAYLLRRYPSRFDPNITPTAIS DPSQSTGTETSTEKRSRKETAQEAVHAALTWVRRTRPMAEPNEGFMEQLALWWEMECP DDVESHPVYQRWAYKREIDENLAVGQAPTRLRFEDEETQPHDDSGLSLRCKKCRRTLV TAPFIMEHKASEKKSSASTCQHYFVEPLSWMRGVLEKGELNGRLLCPNDKCGAGVGRY DWKGFRCSCGGWVTPAFSLQKARVDDVVKRPTGQSMGIRMPPGQAPRSGNL FPSE_03402 MTTPGFSAMNLLRVARPDWVPSRDVKPNATEHAKVALVRCPSLP TALPHWCFSPERQPCAMADNRIPTCVGCARKHQLIRDQRTQQSGALIRFGLAASASCG KPPTRYRVFSASVVCLAIAKSLFGWLLILLRAVGVAQLPLACCFQTSPTAC FPSE_03403 MAFPPQYREPAPALPSIIVPPSGDRHRAHASHHDSPFSRSVAMS IPGGPLRDDVPPPLPPPRYFDQPNALAENMREKREYASGSFASGYGSMNSSFHEDRPS YQRRSNTSDRDEGYASYSSTDRSRESAPTGFGRFHNHYQFQSPAEVDGDNLKKKLDQT RSLEKTPPRSLLSVSANELLSRRPSAEGRFPPALSVPVQLPIHSRGGILASPARLTDT PIHSAISPRSTPFFHGDRSPNDSSDIDRSPRTRTKRNNSDDATSTNSSYDFNGVDDMD MEDGQSLKRLHIDDAYVPGGQKRRAASPNDEHVLAMLRRTSPQGRFTSLPRGATMPSV STSRSSSYISTMPMAMHPASIATANSFGRRSPVGPSPGGISPTSCNSPYTAPVSLNPS PRTSISGRGSIHSRTVSGASTRKITEVQKPGGSKVQGFFMCECCPKKPKRFETAEELN AHEAEKQYECSFCGNRFKNKNEAERHQNSLHVRRHSWSCSALSGYDRAFHDSTNRPGE ADTCGYCGDEFPRSGRGPGTGALNGGNAPRHATEQDWDERIRHLQEVHKFRECNSSKK FFRADHFRQHLKHSHAGTSGKWTNMLENACMLEEDPTPR FPSE_03404 MGREKFKLRTGNLGDVYEDRACGAAVKKLPALASRA FPSE_03405 MDSTAFSPTSAQLPPVESQWPDCALVHFYTPSYCIYCNYYLNIP HDFKEENLLPDTRPAALLYCKDPHVGTWKLIWELTRDTDLSKWRDEGICWDQHWDQVN EVNEGHGLIGFHQECFVSINGPELSAERMDRLGRALFWTDMEYLDIPNRNTYYFEQLP DPQNYRRDSICNAGQRYGLRLDRLPLELLQNIQSCCNDDWFWKLVKYVDLATCLSMTP RTEMLSTSLASVTYWTRGIASPFLLTTRDTSGHVRITIDGNGFCKIERLFTYPEVCLY KQLSKRFIILEARNAVNINAYFKDGMCWLGLKNSDLVSTVWDIPTPPGRVLSGMLTNI RNEDNALYIRGDRTMMSWRIPGNILETVTLDDAGGLVVDTDGHGFTIEVLKKPAPLRQ AVSRNSYGGSSYLPLAPNDRILWIQVRQYGNDSFPDVGILVKTELSGIIHLGRAAHGS FMTVAFSEFPRAIFHNPGDAVCRKRVAAIYPESAPSLDHEVLGLGNIGPPVPDVSRFY GVSDLVWSWAPLQGIATVHVFTAPDDNRFLGMHITYKNGGQRFVGDASRHKHIDSSVV QPKEMRVENPTRLYIKTKTHPWFNHRVRLSDGCVEKLDKSKSWKVYDLTGDLSIWELH VWCESGGYPYKGELEVLQAGSWRGT FPSE_03406 MSYHAHANAKKERDLQRDRDLQRERIFDQTKPIRFATPNTKIAD RRPVEQWQLEETNTGINDMASQLHHCQQQIAEVRDQIGPMDAGHDAVETRPATDGKVS LPQKAMRFFWRLFSLFALVLVIAMILYLVVYHVCDDWNFGGYLLDLLDIDYPHFF FPSE_03407 MLEPFFAAPTPSVVDPVKTKPRARKPGPRQQGPKKFEFVSSGPV GKPAPETRKFIRSHVMRGKNTKKTLTVQPNHEPSQDDVEEIHRPAPLAVTNITNDSLW TVGHTHSRGDRAWIQSPSLIAHLIKPPPDLELFTFATPLDQTSLYLIYRFLTTIKDTL YPAEWCVDSDYQKACWFHWLLEDPAYLHCVCFMVSAYQDLIAAQGRGKGWAGECSTST RNRLRHTIKLLQDRLQDPKKQMDDTTTATIISLATMADVMEDPQAFEAHSNGLRRIVK MRGGLEGYTHNRQLQIKLCRVDLGWSIRNGCKPEIYSGRPAWEPLLEAFGTVACSFEI QEPSLNFMNIYYTWDWRLQNAFKDLRDFSALANKLSPGAQKLEPEAFQEIMLSIQYRL LQLDFSSHPDPLQEALRVGLLAYESTIFLQIQKTKLKSESFEIQFREAIQGIPVQGEA VANVKLWLLLIGAIMVFEGSEEWLVQSIRSLAGRQGWDEVRERVREVMWIDVIHDGPG KEAYQAAQVCG FPSE_03408 MAPKSLDLVADDYHFLGSQFTKPVPLPKDLSLTESTIIITGANT GIGYATAEKLLSLNLKRLIIAVRTVSKGESAAAKLRTQYSNAEILVWQVDMLSHKSVQ DFADKCKTLDRIDLAILNAGSQWTRFELSPEGHESSFQVNYLSTVLLATLLLPTLKQK APAGQPGRLTIVNSGTSLLAEFPNINDDNVLACYDDETKFSGGVNNLPSYAKSKGLAH FWVYKLAERLSADDVVVNLVDPGLVRSTDLQQQSNFVFQLVLAAVKWLIARSLEQGAS TLVQAGVVMGKESHGSYIMDWRIHQ FPSE_03409 MADNEKRLSSSETHEIPLEPLPPTQLPIAGDSASEYDESFSYDQ DDMRDYHTTEQDLHVTEDDLLEARELASKYTLEDVRHIMARVYRIHEKDPNFPHTVIQ KIKAFLENDELFTNPEKHENLVQEMKLEAALITNNSPYAEVRAVVENKDDTSIPSSTI RSWAIGLIFSMLLAFTNQLFDIRQPAIRIMANVAQLLSYPIGKGCERWLPDYGITLFG VRHSLNPGPFSKKEHMLITIMANVAYNTPYTNLIIWVQYLPQYFNQPYASHFAYQILI ALSTNFIGYGMAGVCRRFLVYPSYCVWPASLVTIALNSAFHTDNNSPVQGPFAKIWRV SRIKFFYVMFGAMFVWFWFPNYIWTSLSNFSWMSWIDPYNRDLNTITGFNNGLGINPF PTWDWNVLLWDSADPLMVPFFSTFNRFVGAFISMWVVLGLWYSNIYNTGYLPINSNRV YDRWGQLYNVTRAINDRGLFDAEKYEDYSPPFLGAGNVVIYIFFFGIYTSTLTYALLF HRREIVTGFKGLFNSMRKKSKRAEEVHDLDVHTRLMKAYREVPEWWYLVCLLCAMAFG VSGIAGWDTHTSPGVIFYGLALCLVFVIPVGIIKAMTGIEVTLTVLAEFIGGSFVEGN ALAMNYFKSFGYVTCAHAVMFSNDLKLAHYVKIPPRHTFFAQIIATFISTFVCVGVLN FQMTQIDGVCTEDARWKMTCPSVNTFFTASVLWGTVGPSKIFGKDGLYTEVLIGFPLG VVVVLVVWAINKRFPHWTWTRQIHPVAIMYGGIVWAPYNMSYVWPSVPIAYFSWIYLK SRYLGLWSKYNFVLSAAWSCGIAIAGIIIFFSLQLEGTEFKWWGNTVGYIGCEDDACP LQPLDGSDYFGPRVGEFH FPSE_03410 MFWRFGGYANISTIDTILDKPDFTLEELLDESDLIQELKQHNSK LIEYLRSDKVLDNLLDYVVAPKLETVESPDESATEQEQDPKAKNRFSFSRPRASSRAT DPGNDEEEELEKKRNRYAFVACEILSSDTWSIYEGLADNRQLIRDFWKFLSRPAPLDP LQASYFTKVNESLFEKKTEEMMQLLVSLPDAVPNLLKHVECPMVMDLLLKIIALDRND GGGGIVEWLYSKDIIPSLLSCLGPENNWVVQTAAGDFIKAIITISANASQNEQQCIGP NELTRQLVSKPCIEQLIGYMLGGGNPLTVGVGIIIEVIRKNNSDYDPDMGTESTAAPS SRDPIYLGTLLRLFAENVPKFMSLIMDVPSRKDKIDSTFGVKLEPLGFDRFKTCELMA ELLHCSNMGLLNEPGSEQITAQRDIERQRLRKEGKLDPVKDEEDQSADDLTMRTPAPE EKRRLEVTNADDDGFEEVEPSKEMSEDTSHEFVKAEDDIPAVSTTSLSVKDEDDFVDE PLSPPQPLVKVEETQFEEPDLMVAPLSPTKVKATEPTSAEPAKAGSDEKTESKEASNE KAEEQKPIDSKQEDAPKEDTSDSSIVLTPAPSEPETKTQSSDASAKGDEQSRSFSPQP EDTPAPLFSAPPAPESGADRPPQTPEKPSADAPKTEEGSTSEAKEEDAKDSKPTEEQP AGQTEEPVVGDFLKHQFVEYRVVPTILNFFFGYPWNNFLHNVVYDIVQQVFNGPMDRS YNPTLAVSLFEAADVTSAIINGQKASDESQAKTKTRMGYMGHLTLIAEEVVKFTERHP PELLSETVLDRVMSQEWISYVEGSLAETRERDNAILGGVRPEVAMGNRAMGGGSGLGG VGLSGLTGGSGSSGSSALAEAGLNGGIELNQDSGNGIGPFTISAGTLMSGFGSSSDEE DEDGDGDEEDVNSEVSGASAEDGEDRGIPGYPGITETLEDVIMRSPEPYRADSPDFED LQDVTRGSPMDLDGSGAPAQGSAQDEEQQHAEQFRAYTDPLNNSGGSMDPPSIPPPPP PPPPLNIPPSRARLQLAARLAMHQKNNQAQSSGNLSNDDDDDDNTADPFADTEEDFDD DDQPQDDDGRGAWWRDVVRDRGGKPDGNESDDERDDDDDDEFGDFAMPEDDATGDQSV LRPQPVNPAKEASTRGLSGLWPFGLSKAEKEKEGNKSAVEEEGTPAADDTKAVEVTEA KRRTSIEDPDDDEVEMHFVPDSLPKPNPFDLTLQVSTNKRMDTCSLVYLVNMNGRYLS HSHLGILWGTLYERLLLLVAGLLTIIDSVNSWILYPQNVPTSKPSQAPDHLIQAIAST LSIPLDDILLFDSFSELGGDQDSAQQVCLACRSKGLDVSGKDILDCPTLAELQTRITP RGLSLSSCSSSSNSDDSSGGTYYYSSDEQLSTQGERCSGRAAGDDGAVESNLSSLRLS FPTVSAESNKFTGLGLACCCMVVTPRAGPFDGQSVALIRIDSVSGFSPIITNNNNLSP AESHLAKSQISLLRMEHGPQIWIPIDQSPNDKRALQTWVQNMDSPTYKEVMKLQIPAR RSRVVTRKRSTLSPIDINELEGFCLSPMQQLYFQGNNDSIEGTWTKSAILNVQGGAEP ADVDAAIDAVVARHSMLRVRFRQTEGRWEQCIYPAAPSSYHLTHHVDIAEDEIRELVD DMQASINPTNGPAFAAMYVHNQERQMLYLSAHQLALDDASWKIVLSDLDELLQKGTLL SEGSASFKYWTKCQSRQMAQRLFKPTLPFEVYSANLEYWALTQESNTFGSSSRLSFNL SPEQAYSLEQSSAQVLRTDVSDIFLAALLLSFCQVFPERNLPTLWKREDGRDGAESEF NILETVGWFASLCPIGVSIDSETDLIQAIILLKDTRRAIPRFGVPFFTSEFATSQGAA TNVPLEIVFKLNDTAKQLQRQNGLFEPVAAQNEIAGLKSTAGPNIGRIALFEISAALD TSGAQIDIVYNNTCRHQDKIQTWIRTFQHHVFDAITRLHTHEPQLTLSDIPLLQTSYQ SLGRLCSDRRVNVKDIETIYPVTPAQQEILIAQAQNPGSYHCHAVYEMAALQLPFDVT RLCEAWEVIVSNTPALRSIFIDAVSREGLFDQAVLRKISPSILFIETTDPDDAVVTLP AMNTPFGEPRHRLTVCYNPMKMIMRLDASQAICDLPSLHLLIAELTRVFSSQNPQDNS SLHNTYLHHISTVDTAYSLEVWKTSLSNAKPCIFPSLSSAGNSTSHTCPFGFDISRTQ IEFFCQQNNIAADAIFQLAWALVLRAFVGTQEVTFGYQFNARDEDLLCGITSLVGSFA TLLPCVVDLSASQSLGECLVSISETFSNSQRHDNITLTEIQHALGLKEKTLFNTCLYF ETPEELDTGDELTAVLVTSGRKTDCDVSLTLMFVDEYLRGDLTSPCLSASQVQSVMSS FHAALSHILESPDKAIVEADLLTDKDYASLVVQDWDFVQQSQKVSACLHDFILQHSLT RPNAPAVCSWDGDITYVQLATLVQRLKTYLVNLGVGPGTVVPVVLEKNHWAPVIILAV LQAGASFAPLDCQDPATAKSTIDYLNPHVVLATETAWKDLSTLAINLVIINDTFFAML TPYVSAIGKDATPDHAACIFVTPKKSRSIFFTHASLLSTLIAQAQPFKLNSESRVLQL SAFNVDISLVEILGTLVHGGCVCVPHPHDRAHDVAGAMARMDVTWSYMTSLLARKINP DSVPSLRTLCFRTRRLDPDTYMPWLEDHDVLVAYGAPDICPLGISVTAVTKHSNLNVI SPPVTGRFWVLNPDNPKKLMPVGAIGELAIDSPLATPHRFALDKPLQAPETYQSADEK PRARYLKTGHRVRYLDDGTVQFISSVRDEVKVGGSNVDVAQVEQIMRRCLGTGIDVVV DSITTRDSGPLLAAFLELGPHIFQPNETLRDLSPETREKTYIAKKMFETALESSAARD RLPRHCIPSVFIPVQSFPMSTSLKVNRRKLQRMVSDISTHDVLHMSRVPNPGEIQRIV ISQKPLPITGPEEAMREHWASVIGVSVSAIKGSSSFSSVGGNKFLAAQLVVACRKAGL YVSLTDLLSEASLTEICRSSESSTRKQTKIKTLAAKKLDMKFVKDVIAPQLHCSSPDV LDFTEASAQQVQGLELGMFRTRADIICLALRFNGHVDPSRLETACRSLAKMHAVLNVA FVTHEHRMYQVHCASSTPPFMTISCPPAALDDATQNIVRENQNLSFDPAVPVTKFTFL NGDTQGSLIIRLSKTQIDDVAAHLLIHDLASLYDGDSTQDIPRSSYLDYTRASRVSYQ QGLEYWNCQLDNAKMTKIIASTRPVPPASVSEIRTIKQTTSLGHLAPYGMTPDAALKA AWAIVLSTISSTHDVLFGEAIQSQSPSPDIIGPMSNILPVRVQFPPSHSTPLDLMNCI QLQRQSHARHEAFGIQELVSKCTPWRSCTRFSTIVQNYVPGLLDGSSTMNVHGATFTY RMIESWTKDFPDLFVRSTVEANDVVTLEIKYSEERLSHSFVQSCLSLLVAAWETLTHP DMIHQPMIHSSDEIARSERKIPFPARQSPPVESHIDSVQRKQLQESIVSVWTKVINPS PSIPKNKLPCTPFYSISQTILPAHTLASELNSTHSLSLTAEDILDHPSMNAQLDLIAG TLPPKHTSSILSTLKPKPAQDRSLRASLRSFKNRNSVLSLRTNWIKTKRAPSEISDET TAPATIMEEVPTIVIPEIGSSDTPVSISNHLVELDAGPVVNLLSPVQSDDRRSSGGSS RMIIDEVSYTPSPRMSTWGSRFELPRRGTSPMTRR FPSE_03411 MLSVKILLALLPSALAQFTIGEVVEDNYIITLKPKVSETEIEQH IEWVDDIHTASLLRRGEDGVDKVWNSTFKGYCGEFDKNTIRRINQSEDVLAVEPVKKV TLATVQRNAEWGLASISHRTTGSTEYLYDASAGNGMYAYLVDTGINYGHQDFQGRASP GYNAYPGVPFVDVNGHGTHCAGTIAGKVYGVAKRANLIAVKVFHSGSSTTAIVLDGYN WAVNNITNTPGRNQQSVISMSLGGGKSDAFNLAVEMAYRQNIHTVVAAGNSNVNANDT SPASAQNATTVGAIDKNNNRASFSNFGPFVDIFAPGVSIKSTWIGSDNATETLSGTSM ACPHVAGLSLYLRAKEGLRTVKSVQDRIKQLATKNVVANAGTGSPNLLAYNGGVPTRT TSRKECQKD FPSE_03412 MNGSTGSSFDTSRLTKAAAAEYSSSASESEDEHLAPGLDADNDF GDFNPRKRRRTGRNNKEKAALGIFGSDSEDDGPARKWKRTTLRNKGMNFVSTGAGSEK EDDDEESDGNRPMLGSAMDQDEDEDEEEEENTRGIGLGFAGLARGFAQNDTQNSSRSA SAEAPSRPAFRTRFDGKNPLGMGFVPSSANDPVLKNTRDNGSPTPRNKPQPSAFSAKG KTNPKSFGARMMAKMGYEEGKGLGKEGQGRNVIIEANLRPQGIGLGAVKEKSEQERKE EKRQAQLRGEEVVDSDEEEKKKRKRAKKKSLGGAFDSATSTPRRQKPKYLTAEELKAT APGLHIPDAFAPILDMTGPGSKMLTSTSGIMTPTTGTATPESTEVIEARKLVKRAQAD LLAFSDEWKSLQERKTWIDLELKEKEQEMDDLRSDFERLQVFSELVSGELATADWDQL IACLHKALELKATTSEIADIAVAAIHPFLREAEWDLLQEPTRFASGIKELLPLLMPAT SNGKAVGKWDSLSVDTDDIYRRHHKSTTPYESMMYKNWLPKALTAVRSWDVLDPTPML SVMEAWHDLLPVFVRAQFIDNIVRKLETAVSDWNPKSRRQSQRLPHIWLFPWLQYLPS YHLDPRGTGLVADVRRKFRQLIDVWEFKKGELPGLKDWKGVLGDQWRPLVMSHVLPSM GKYLSRNFTVEPSDQEPTLPVLMGILDWRYILGRRIVAEVLMQHMFPMWHRILVEWLS RDEVDLAEVAEWYGWWRGGVLKELAEVNSVKAEFDKGMKVMAKAVAGA FPSE_03413 MALPSSAGTFRLDFIVAEEPAGHDNTSTTSNFASQRELYNQPPS VLSDALKNINNAEKAGKRQVLLRPSSKVVVKFLELMQAQGYIGEFEELSDNRSGKIVV QLNGRLNKCGVISPRFNVRLGELEKWVVRLLPARQFGHIVLTTSSGIMVHESARRKHV SGKIIGFFY FPSE_03414 MAEEEDFSSIPLPDRFAHKVWKVRKGAYEEATKLFEKSPDESDP CFRPFLNEPGLWNKAVLDSNVAAQQEAVTALCAFLKYGGRDCCLRTRNQTITPMVEKC LSSTRAVIKQNSIEAILLYIELDVAGPVIEDMLPGLNNKAPKNIAATFHALTQVFHNY GCKVVDPKPVLKALPKAFGAADKNVRAEATNLTVELYRWLREAMKPMFWGELKPTQQT DLEAQFEKIKAEGPPKQERLLRSQQEALAAAPEGGEEGEEGELEGEDVEELDTFDLAE PQDISKKIPSNFSDQLASSKWKDRKEAVDALHAALNVPRIKETDFNEVSRGLAKCMKD ANVAVVVQAAVCIELLAKGLRQGFGKYRATVMQPIMDRLKEKKASVADALGAALDAVF ASTSLSECLEDIIAYLSNKNPQVKEGTMKFLIRCLRTTRDVPSKPEQATSCEAGKKLL AESTAALRDGGAEILGTVMKIVGERAMGPNLEALDDIRKNKVKEFFEAAEVKAKEKPK PKAPPPKAAPAKKVMGGKKPLAKKPAPAPAAAPVMPDEPLAPQPTPRAAPGKLTKPGL GGLKKKVIGGPAPPGSPRRVPSAPVMPDDDEPAPPPQPRMGLSRGLAGRSLVKPSAPS PPMEPASPPPSSGLTAVERAEIEELRAANDRLIRQVEEMRQERSKFMSEIQELKNQNA GLIEDHTRDVLSIKAKETQLVRARSDAEATDQTNDRLRRELERLKKALSHAERFENAG SGMNSPRRLASPTNDDVYRDHGPPSVNRHRMSIASSMSEEKENGEPMAPPRNKFSPEM RYAGSASSSGRGSPARGFRSARPYNDEEHVPTPTQRPMSSLPQPTGNGAESWRRAAEV TSQLKARIEQMKAKQGLARP FPSE_03415 MFRTSSRPLWQLAARASPNKTSLPRASIAPLLRQQRAAYSSKSD QENPPPPKQPIDYEAERKRGQELLQSDPKHVSSKSSLSNTAGVQGPTKGDEGMGNELK HDIEIVKDTFTFTNVPRESRILGLAGTLPYLGTSLSTVFLAWNLNKNLPTGNSFYDAI MVDHETAKYLLSVIEPLQLGYGAVIISFLGAIHWGLEYAEKSPSLQRTRFRYGMGLAS SIIAWPTVMLPIEYALTTQFMAFVGLYFADSRAATKGWAPRWYGSYRFLLTAMVGAAI FISLIGRAKIKQGDAITAKGLSDNFSRSGIADHETNWEKLEAEEKERLRKKKEEEEKK AKEAEKKKKEEEKKKGKKGGDKKKSDDKSKASEEGSDKKDEDKDEGKKSESESKGDEK KSESNDKKEDSKDEGKDEKPKEESENKQKEESENKDSEEESDKKEDKKDDSKSEEKKD DKSEDKKDESKKDESKKDESKDKKNKKDDKDDKE FPSE_03416 MLEGLVAGLLNRFLGMYVKNFDPAQLKVGIWSGDVKLRNLELRR EALDQLKLPINVMEGHLGELTLVIPWSNLRGAPVKVFIQDVFLLASPKEEAEYDQDEE DRRKQRLKMEKLDSAELLKERNQEGLSQEEQKKSQSFTSSLVTKIVDNVQVTVKNIHI RYEDSISAPGHPFALGVTLEEFSAVSTDGQWKPVFIQDSNTVTHKLATLGALAVYWNT DSDLLGTGREASASSEDVMSHDEMVAKFREMIGKDAQKNSNHQFILKPVNGQAKIELD KSGDIMVPKFKANLLFEEIGVVLDDDQYRDALMMVDLFHYFIRHQEYKRLQPKGVRPK EDPRAWLEFAGNAIISKIHERNRKWSWDYFRERRDDRKRYIELFKKRKQGQQMSSDET DAINSLEWKLTYEDLRFWRSLARNQLKKENAEALKNQPQQPQQQQGWISWVWGSKPQE TIEHNEENTQMTEEQRQELYEAIDWDEKNAIAEEVDMPREAIKMCIETSLSTGSFTLK KSPHDNAADLLSLHFDVFKAKALQRKDSMLANVSLGGLRVNDGTTPDSVYPEIVRVKD APTNNQRKRLSLAELEQPEEDPFFQFEFEQNPIEREGDIAIVGKMKPLEVIWNPNFVV GIADFFRPPERHMESITALMESAGATVESIREQTRAGLEFALEEHKTINAELDLQAPL IIVPVSITTENSTCLIVDAGHIHVNSELVDQGTMKEIQSKQKQTYSDEDLKKLESVMY DKFIVKLTSTQVLIGPSVDETKAQLVEKSDEAHLHVVEQINVDFVVETSILPKAPNLT KFKVSGHLPMLHATVSDTKYKNLMKVIDVAIPKFGGTSAERIEQHREASRPRLKSNSS NRSRRKSQRERRQSTPFPFMQSETAVVLDDMDEDDDDFEDATDGGDSEQLKVQQRIFE FKFKVDTLKGSLYRSDPDGRKPDALLVELVAERFDLEFYTRPFDMVADVSLGSVTVDD FVDNPSAEFKSIISSGDSEDLKEGRSLVHVKYIKVNPASPEFMSVYEGVEININAVVS TINLIVTRKTLLTLLDFILITFTNNNNNNNQPNNNKAILDDDDEDCESINTAVAVANE PQSTSSNGSIRVKVDLKSIRLILNNDGIRLATLSFNKADAGIFLRGNTMRISAKLGDL SLVDDVNLGVSEDSHLRQLVTIQGDDLADFRYETFDATNPKAYPGYDSSVFLRAGSVK VNFVEEPFRKIVDFLVKFGKMQALYNAARQAAMNQANQLQQSPSRFKFDVVVNTPIVV FPRVQKPGQTERDVVTAYLGEIYAQNKFTPLDDSEDSEIAMKLSAGIRNIKLTSDFHY PGGVSEELEMIDHVDLGFNITYAEHRHGAKRPETEIEGTMSDFNLRLTQYQVKFLLEI SKSVPAAFAGEGGDKEEEAAKAVDEGTLQRARTANFDDKSGDEKTLVDLGPELGSLGQ EWTKLDLVFRVNTIGLELINAEENEPVEDIAKSSLSRFSLDDTKVKTRILSDSSLEAE LLIRSFTIYDSRPRDTNKFRRIMSSMNKDVQQLMASVTISGGKEKSLIAMATIDSPRV IFALDYLFSIQKFAVEALAVDDSSPMDDESMADNTPDESDAESMQVTLAGGASRPRSE LSRRQSEDVSKQGDNKEESSMSIAFRVNLVDAQVILIANPLTSSSEAVVLSIRQMLLS KQHALTFQISEIGMFLCRMDKFETSRLRIIDDFSVQLSMDGSKPQTTDINVDIEPLVL RLSLRDILLVLQIVSKASELSGNEPKQQVKESPADQKARELRNAGLKQRSASGRGQST IAGRSKVTATSHAVTHGADTRGGKAPEQVAQQNYETLSATIEGIRVVLIGDVHELPIL DIGIKNFKASAENWSSNLKAETAIDLYSNVYNFAKSSWEPLLEPWQVGFGVAKDPVSG LMSIDVASKKVFDVTITTASIALASKSFQFLTSDEDVLDKPRGVEAPYRIRNYTGFDV LVYSKSPTSEEPINLRLEDGQEAPWSFEHWEKMRENLLTESNQNNVSISLEGSGFDPV KNVRLNREGEYLYGLRPKADDVLHRLCVDVELGTDNIKYVTFRSPLHVENATEIPVEL GIYDAQDGHLLKIEKINPGDSRPAPVGAVFEKRVLIRPDSGFGYQWSNDQLYWRDLLK RPTKQVVCKGENGDPFYFQVHARFDKANPLTKHYPYMKIKLSAPVTLENLLPYDFKYR IYDKATKKDWTNFLRKGGVSPVHVVELSHLLLLSIDMQDTVFKASDFSIINPGNNEEF RKEGRIVVKDDQGLPLHLSLHYFKIPNSGGAFKVTVYSPYVVLNKTGVDVRVRAKSFL QQAKPAAGQFPLMDTSNQDHPKALPFMFSFGNDDHRNRALLKIADSEWSKPQSFEAIG STTEVVLNSANKDKEIHVGVTVESGQGKYKLTKVVTLAPRFVLHNKIEGEILVRESSS SGYLTLSDGALQPLHFMQKSKVKQLCLCYPGVNNQWTSPFNIADIGTHYVKIAKAGQR QNLVKVEVLMEHSTVFVNFSMETKTWPYSVRNESDIEFMFWQANPNIDEEGVEDRSGW RQIRYRLPPRSVMPYAWDFPAAKFREIIISANGKERHVKLAEIGNQIPMKFVTASGNQ KIVDINVAADGPKQTLILSNFRASKSMYKPKALSRTNTGPEAFEVKDQDTGATFRAQL KLAGIGVSLVNAQMKELAYLTFRDVQLRYSDSPLIQTISMAIKWIQIDNQLYGGIFPM ILYPTVVPKKAQEVEAHPSLHFMVSRVKDDSYGVLYVKYATILLQEMSVELDEDFVFA LLDFTNVPGASWTEVDEAGKLCDEDLDIPEPSGLVAGTDIYFEVLNIQPMQLNLSFMR TERVNAEDKASSHNPIMFFLNVMTMAVGNVNEAPLKFNALILDNVRVTTAVLIQNFSS HYSQEVMYQIHKILGSADFLGNPVGLFNSISSGVTDVFYEPYQGLILSDKPEEFGLGI AKGAASFAKKTVFGFSDSFSKFTGSLSKGLAAASLDKQFQDRRRITRARNKPKHALYG VTAGANSFITSVASGVGGLARKPLEGAETEGALGFFKGVGKGFIGLATKPAVGVLDMA SNVSEGIRNTTTVFDQDGLDRTRYPRYIPQDGIVRPYNPREALGQYWLKQVDNGRYFD EQYIGHLELPKEDMVVMITYARILLIRSRRLTSEWDVPLKDVQTIAKERTGVSLSLRG GANGPFIPIGEGSERGFLYKMIGVAVEEFNRRFRSGE FPSE_03417 MTVQEHNPDPALEPAHQHLHEHHHHSPRAAHDNATYTTGTNPDG SIVPPQQHQHRNSLDEKLAADNKNHVATPPDYSDHEKNEAGVIDASAGTNSERSRPIG WRAKIGPIYRYRRPLTHLVIFCITTAWWVASLVLHHDDKNWVVPFLVWLAITLRLFFW HVPSRYISNAIKFVWARTAVVVYDMIPAKFRTLVGASVAVAAILVGSFVSEESEDNTR ENRAVSLCGMAVFIFILWVTSRDRKAINWRTVIGGMLTQYIIGLFVLRTGVGYDIFSF IGYRAADLLGFARDGVAFLTNPDVAATTNFFFSVIPAIIFFISLVQVLYFIGFIQWFI IKFATFVFWGLGVSGAEAVVAAATPFIGQGESAMLVRPFVPHMTKAELHQIMTCGFAT ISGSTLVGYIGLGLNREALVSSCIMSIPASLAISKMRYPETEETLTAGRVVIPDDDEH KAENALHAFANGAWLGIKIAGTIITSLLCIIAFVAFINGILTWIGSYINLRGDYDLTL QLILGYLLFPVSFLLGVSRTNGDNPTGDILPVARLIAQKIITNEYNAFTDLTTKDPTS QYYGMSPRSQLIATYALCGFGNIGSLGIQIGILSQLAPTRGGDVARLAVSALISGVLA TLTSASVAGLVVTNQLSDFTTSQ FPSE_03418 MPSNNRSQPLGRHFQVGGQTYRSSSPSSSRPHPPAGPESPAPID ETAGSDYFNPLSQAPSAQSQTSLSSFPRYPSQNPSQASLSAFPSYTDTNTATNLNTAY NQQYPPPQRRPRPPVDQARQPSIRIRRSSNGSVYSNFSFDGASDDGRPRSISQPERAR VQDGPALARHSRRVPQAAMPRLTEEGNRPSMAELGMSDDDRAMSPTASLPEDAMRPKH GRLRRASRFFWPGHRRQPDNDSIAVPMRNRDTDDARDDEYDQELVDWLDVIDPEVQTL STLTNVQNSLFVPDLGKWVNRRPTYALSRHDPQADWARGAVASERRREAAQEAQAHEP AVPSTIDEETTPRFQRSNTITSRLTDSHYAALPHGTNLDGWSPEEKAELDDHVRHMLH SRRARLKRRMKGFGQYVRRPLGFLVTLYATLITLFGLAWVLFLIGWIYVGDKQSYLVH IIDSVLVALFAIMGDGLAPFRAVDTYHMFHIWRLTRLIKRAEEGKKPRKRLQKKTVPA GVSLDPEDSHLTGHQARVLLDAQNYNPERDGTIATIDEHPEPQPESPETIDLEHAKSN GPDSDQPALTFAQFKKLQHHQKKMAKSHSFYKPHETFTHLPFPQRYLLAIVILLDCHS CLQISLGACTWGIDYHTRPFAITTVILCVSITCNITAGLLISRGDRKTRKKDVWELLD RQELTQDAIKHMEKKKQEEEKEERKKEEKEEKKERERESMSLDREGDGSSGRTSREFK KLVKSPS FPSE_03419 MRSTIACERCRRSKVKCRHNDLPPCAGCLKSGNTETCTLSGPII GQGSSVSKPRPAKRQRLSVHQTPTTDPSENVWQDVNAVFEQTLRTQIITAINLFRSQF PEFGFLHPDDLEYRQEELTTVQKLRLLVILIVSHRYSPTHNAETNNRNILFIAGEAQK RVTSGPNLSLIQTFLILSLCNWGDGDGFNAWMHCGMATRMAQGLLSTGFASCGKRETL SELEKRTLWTCFKMDRLLSCGKRRQAMFPDEEMHFSLPVNDTQFLFGQPSQSDNLETS LRIYGPDDYLVLLIQGLRIWSRVHTWIAEGGRRQPGMAEPEQCPFNETSYWHNMRKDL FEWRDSQGAIMKYPGTKVSVHAQRGQAERFGYINLVYYVSIIFLCREYVPFSPVDEVK PRGPIEPPLLKARGPDSFWVNNVAELYNAATQISSLLSDLEHVGCPIRTPFSGVCAFS STLWNLYGAAFPVFMGFTEEQVNEADAQAERTMSILVRIGQVWGLAKEWIEVLDTAKN IMTRGEARRVKRSRYDYPELEDSIHLAPMQGMPRQTFDPLTSTAANAAGDEASKLHQL VAGDLEQGTEVQEVGLSDEMLSGGELADEDWWRLLSFCDDPHLLSTSFDDAGEGHPS FPSE_03420 MSDLDLTPEPHLMHRSLLTRPETVSSASGVYLNLSSGRKILDGC AGAAVAIIGHGNTEVQDAVVAQASQVSYVHTMTYTTSSAEELADHLLENEPFGLTRAY LVCSGSEAMDSAMKLARQYHVENGQPERTRFVSRRQAYHGNTVGAMSVGSHVARRAPY EGAILLDNVSHVSAAYEYRTKKDGETEEEYSKRLVDELEAEFLSVGPEKIMAFIAETV GGATAGCITPPAGYFSGVSKVCKKYGILLILDEIMCGVGRCGTFFAFEQDGDVQPDMV TIGKGLGGGYMPIAATLVHKGIIETLKKGTASFNHGHTYQAHPVSCAAALAIQKIIRR DNLVSRCATLGERLHKSLIETFQDAEFVGNIRGRGLFWGIEFVQDKKTKAPFDSHIRF GVKVQERAFELGLAVYPGMGTADGIVGDHVIVSPPLTITEEEMDILVGLLKRAYDDIA TKYGV FPSE_03421 MSVAATLGPRSLIQLGISLTDIAQIYKIGKKIGNWIMIRSNDQD LFETLLEDPEALLKRKGLIEPARMESMFPHAKFIYDGDKQDSSKKDVKIEHGELRPFS WLMVVIVRGIYTESLAAFRKVWKDKVGVDAYPELNPAEKNEMAEFLLCLMGNTSVSFT CFSLATYSVARALEKCKVFISTKEKRSFEGQLLVTYVSDRPHTAHGDGPCCMRLRATE SRAQIVSFPLDNPMSMVQATRAERHITNQMESFWDTGARAAKDITFVCTAEYPYSTKN EVQYSLGEFSNACALRFDPYLMAMAGKAFPLINQSILKGLEDLVKGYDGIAKAWLETH AGLEYLLKSQTESPDGDQKLMGLWLCYQAFVFGFYYRLLEPLTSHELVSNTPAYFCGL WGHGSTTFLAMCSQFGKELKTNNKVGRTHVLYMLATMYAGRNKTFLPDSSRINLVGVL GSTSILTRTLLRPSDNPEDLGKFFLLDLPAIHLAPNEEGELYAAAGHGLEYRLCEARR RDVEATGPSEKWTVYSSMSTAFREGNHGVVMAARCGERLVGCFSPAAADAIFLSEAYC HRRHENDEEINLMASSVSFEISDKDWQDGCVKRVGGDEDETFGLVHSRGCPPMRYATA GFYGEIGEELAISTDDIDIAIGRVQLANCGIVIA FPSE_03422 MSTTTNLAAQKGSKNSLVNVADTESPCDNCATAGVGCEYEKTDK RRNPPSSEYTDGLRNRVASLEAFIQDLKDSSPTRRDEMLGTVANIGGAPPTAGPSQVT QSETPTIARLKPDAQGSLIYHGATSIFNSDILSTSETDTNPVHAESNFDHVIEHFGIK LEDDTVFKALQQFFRWQYPHFMFVYRETFLRDHFGERKGCKYWSSALLLSICALGLLM SEDERERGLIEQFFRAAESIVMVSGLNRPSIPTVQSFLCLAFFEIGQGNVSKGWAYSV EGRLATFCEMEQMVYEYRYDPRGSNASSIVQ FPSE_03423 MPHQGETIVIVGAGVIGLSTALRVQERILSQNNPPSILIIARDF PGETSINYATPWAGAHYRPCPGYSPQLLQEARWAKKTYDILDSWPEKDKLTAGVEFMR GEEFFESPAPEYVDVAEDVSKSVYSHLESSFQLFSRGELDAMGDSLTLGFSYRTYSLN SPVYASFLLRRLQNRGARVRQYTLTSLEEVFSIQDSVSVLINCSGTGFGDDKVFPIRG QTCLVRNLIDRTTTRQNADGTWSFAIPRPLEGGTIIGGTKEPHNWDPYPSSETRQTLL TNAAKWFPFGSDDSASTTTRASDRFHVVTDIVGRRPAREGGLRLETEKLRQGTVVHSY GVGGRGFELSWGIADEVVALLDKNGHLSSRPRL FPSE_03424 MALRTRVLTTDAPAPSPHLSQAIIHNGTVYCSGSFGMDPQTREL ADGPYHQTAGALKNLDSILKAAGTTLHNALKVTIFILNMDHYAEVNKAYLEFFTSDPK PSRTCVAVAQLPLKGAHVEMEAIAAIPEKSSKL FPSE_03425 MEFQLAAELMHNSFTLNEKELAKSYSESFYHDEPTQTVYVRRAC LQLQTIIDEVQEYIKVHNHDYLCRLVLYCDTIELPEGLVVGYDDPAKHFELRVFARKV QCQNPSNALRMHFSVDCQLAIYTYALQEGFTAYFLFPDREPQPVKLSIDEDKWGIQIN WDESEFHIEQQDSRDLDMTTADYLSRIKSDGTLEKRSFVRDNDNLPRLVAYQFLVAAT VIRTKPKLALDILNWVCNLSASESSVALNIQACSLRNSLVLSQERKVFNVPSVNIYAS KQVLESRLAASMAFEQAFQNFVAQERASTAMLGQTMNLIAKSEDAMSEYAFLEDVSQK AYRSAQSARESAFQRYNKNNTDLIPLQNAFSKGLDDWVRKEKIEAAKSVFSACIGTIG AIAATVASGGLAAPMVPAAVGSAVNAGKNIANIINTIKGVVATIKGIYEKLQPILEKL QTLAETIQNVVAALNASQTLQEKTALQRPDMSLDIFNATALWDIFREKIDDIEKTLSG IDFEAKGKYFFALKTLVINGKTYLQTQENLCQRGNELALVLLKVKLQHKDQKRLTLSA TATEQQGAVLDLLKRAMFDRLLTIRSLVFLDFQIYSEAYMFHALTDRPPVHISPVKPV PDYLEDAAKFQANIATFGSRVMVQQRKFSILTCGDAVDAAGLQQRLLKGDAVSVTLSP EDPMFAGFSRIRVSKARCYLEGATAAVTQNGPVKKNDLRLYLRTSGRFYDINLPGSRK DAPAEYNAYVGDARTLLFEYNIDDGSITCDAEYGQRLDYTQHSPLTEWAISIAPGGLQ ANDLDMSGITGFRMELWCDFTLR FPSE_03426 MTEVITLNPHDIFNDFTQGTNVFLRKSAKKLTGYKQVIGDEPVD AFVLNDEQFASLQLYVKSALQIPATTELFQAQMHLALVPIHQHCEVFLTQGINPMIGL AHTVVEYSKDATSYLEALKVELDVICDKTIPPSSEESIQAKENATEILKALKESGTSV SKQIKKIITVTEKFKSETESDASALSTLQQMLDLKLSQKDLDNAMTKHMAESRESIRN LIRTQQRAASNQEYVDTRKWYFRIPGLGNLLFNQGTYDLEESLRKYRDANKAFHETIA AGDDQRMKLLESIDNARALINNVGSVKSHIEEARKSLVNIMDGMNSMDKSCDSLISKL SSVSGQIKPEAPGSDHMTTLFVDKAVQAWNGVLSSARAFAKYGLIEDAQKVEVPKPKI QPVILAAHYAGITVTDLAKMQLNYGDKIVIATNNLEFPPRMQGKPKALSILYRFGDDA NSTRVFVCQTETGQVHTLTPDQDIPGVVKPVNFQHGRIEIHSIVYGLRQLTDEFAYMM VVPFAEKFGAVPVNNATLRVTADNDPWYGQLKTAAVFYSIGGIIGCASGTEYDFVIFG GN FPSE_03427 MRFHSITLIAAALFGAVTAIPCSELGWNEDADLFGYYHPFDTDQ DLWDIVDANDCWARWQLIRPGQRRNDARVTKTVFEATENEVRSTINNYNNNGGTNIQL WLPMRTKSLQYSHMLPSYLQDHDPIDPQSSISDWSRVIVSIILPSARDKLTPVRLTKT GEHEKDANVDRAEDVGITGLTGTIAREDWAYTTGAELRLAMNTILEEQKDAYRARMPW DKHNFAYTFAEKANGDCVVIDFRNFAEFVVRLPPNFRLP FPSE_03428 MHFKTIFSLIVPALAFLGGAAGLPSGQYSDYESEFNNVGLTTRQ NAKPALRILPLGASIVSGVGSSTGNGFRKPLRDQLRFKGWDVNMVGSKQNGNMKDRDH EATSGFIIDEARNAARNSYGYKPNVVVMNVGTNDANKNKVDGAGSRLEGLLNDLWSAD GMSKTYVVVSSVIRRNDAQAESNRKQINEQYKKLVSRLKSTGKPITYVDIDIPLNELN SDGIHPVDAGHKKMAVKFWYAIEWGYQAGLIVEASPMAKATGNTCDKQPGQAQYGGVT QKGSGDDDGIYYHSSQEMGTIWSYTSEFDRNQWFFTRLYGSNYDDIVGWWYADKKLSF ATWKNNGGGKFSKISDLDTKLFCNVAGIWWVDINADGYDDFLCVSPNGDTLASINNRD GTATSPPTFTKIGMIKGNVGFKQDRVRWGDIDGDGRADYMVVDNSGNVRAWRNSGTSD TPSWQPLGLRFTAKGMGDQRGVRFEDINGDGRDDWLWVDDKGATTTWTNSRSCKKGKS GDGLNVAWRQGFWKGASSGPTHAGAGADGRDRIHFARIYGEAAFGLLPKADYVYLQST KQSDGRFKFDMKVWKNTGGGSTKLKADGNKYCNMHGHDDGRQDYVWALSTGKMTVWPN LGKKSVSGDNDVFWGSPKELWNPGKNYDRRDLHLVDWNNDGACDIAWVDPDNNNKVSV WLNNYKKTGAFTWTYLADPAPQLNCPEKRGVGIHDLAVRFADVSNNKMSDYICIQPDG RFYGWTHNSDGSWEKIAQFKKAEGIDRANLRFGNVNGRGGEDMIWVDKYTGDATVYIN NGKMDTGGSNWWFLKSGKQYSGTWAGTCQYFPDLDGDGRADLHSIMATFDNRGETFFN RCGMTDTTGDDAGWAPGQDPGFGSLPSPSGDETPGSGGGVPSLPSTCKETCWNVLTDK ETTCKNDGTQWDIEPRDDGIPEDILSINKLAAIGDSYSAGIGAGDRLGSIYDAFKSGS DFACARYDHAYPYVINQDDRIGDPSKRKFQFLSCSGAVMKDVVDKQIPEMESGQDAIT LSIGGNDVGLINLLNSCIFQMGVFTKAQAEAAKLIAEVDKDYAWAKDFDWDSLSRGCE AQIEYSKSLATSDTFGKNLDGVLSAAKKKLNNGAKIYVTGYAKFFSEDLSDECDKVTW TTWLYKLENLGQPAAYLTKARRSAMNNLVDYVNSQLRTAVERAGDSVRFIDYDHLLTK YRGRYCEPGVDESTSESNTRQGLMFYELNSWDPAGTTPWKRDTAEGELSGTFYGDMLI LAKLTRLMDPKAELHHDNDNKSKRSLVSRADVVDYLVPYGYARVFHPQIPLHKKIANL VMLQMSIDHDDRRGYAIWTNSNRNTYCALKPEEPKPPTDTPAKTKKWSMEIHGLYPGG VDSADCTRKDSRRSRTVWGYELNKCYNFKEMPDTDCRDITTYAELAE FPSE_03429 MSDSAQLSDLDPAIGGGLTNFLRLPGEIRNTIYEFTLLDSLPIR PFFGRNFRISMALFRVSKTIHQEATQFFYSHNVFDFSGYSLASQVFFLDQIGVRNASY IRHLIIDFPGFLGLLSIVALDEQSLGILESISTSCTGLSTLRASLDSTTYMEIIFSYP SVYNIATEALQLVNAHFRALPSRPEVILEVFGHAPSDSLRTVMESQGWTLKKETCPIG D FPSE_03430 MKYWLLLFFALVATHAAADDLSDFSNDLATDVGPLLVLFGESMT RQYLSESTSFLDYLIFAMAPIGILTAITSVIRVCGHSTLRAFIGRSQEGDGVVEAELC TSTSRDVCELFNKGGITRVLGRPQILELIHIKNPGREDELHLFRHLLERTKYSMTEEW IKDERYIPVIGYVSDHSSSKHTQFAPMPNLSLNVGIKRRPRWVFVAIALLGFILQGGV LTLAGVGVWMLGWNLSDSGSSASKNYAPIMFITGTIVMCGGMFSCAALIGQTTREVRY SREPGTPRSRLLWLQPGPQVIGDQSFDAFAHFENIEDEPLPVWTSSRKDFNERFELYT FFAVTAVLLGYISQFIGLRGMKAWVSLAQLGITIIMSIFRGLLRVQRLGRDANKLGKM PDMIAGHELDWIAFELALGNSIGDTSFCFTGNYNKLDPPYSVSIPESAATSLYENQID FEKVLQIRKRLSYLTGHDPTRANNKEVQQWERSQIKAREPAQRLAAAICKVAEKLIRK KTIKGKITIQVEIRASAGNGDKHEEKMIDVVLTPPSGSQTKWYVDSSRLEAILGLSMW SLVSAKDLHDVDQTGNTISLFENVQWRRLVYYTLVDEQHFADPSTYAEPWSDVSIWFG PDAGRLYHDRIRIETNSINVPTRFLMNPRIPFWYQICGWNTVYDSLQSKLVLDPANSY TDATTLMVALAPTEDSRLQICAQDLFLAIISPLLDILVTGETEILESDGHVLLQNSTV TAVSDILVESNLATRFEALSCAVSLLSARIQPDQDTFLSDLTETANTYRRSAEWERGE TLMRWACSKLLKPGYKVAVELFYQYGQGILEEELINYDAILSSTDSLNRFLRVLQATG EFYRWSCAQRSNKGRLEFGLEGIEWMLTTFDELSTRSPEVREILNNYEHVANLMKSSD SSDWLPQNLPESVGTANQHPLVEAIRDDDRKMTLWHLCFTATGDFDRHQLHPALPLAA RNGWSEVVNAILEMNGDLDCKDVDGRTAISYCAEHGHLSLLQHFVSLGASINSEDNDG RTPILYAVK FPSE_03431 MEDSLKRDPEAAARAFLKLSPEEQAAAAHTGGPPVGIDITGTKW EPKGCEEIGGPDAQSFIELPDGTYHGLGPGVLQVSEEELQRQLKAKGKPALSDKRIAE LRENGFLE FPSE_03432 MTLAEDPNNHVGNIFSSDLPIIIKEQPHSTPAYTRTCLGRARLL SWPDLAVIAQFPIPQHYNKILKILVGYGGSRNPSHGSNSDRQYGLDDQLTIPRSNPRL PPTPQLATSNDPVTQQAPIRPIAKPIDEQTARRASDVIEQLSSLLAECMLKSKKRKYL SRSKRQLPAMSIRPVMLGTSIDNAKVALVIFCSDEDGAHDTIRKFLRKSYVKDLYQPS DSGMCSFDVHIFGASPSTRGDVEPSIQNTEGVVEFGIPNTETLTYTHCGMPIHITTHG FSQEIAVATMGGLLQFDAPRWIDSSYRVYGLTVAHAIYPETNSDTNDDDDDEFSMSDN DSDDSSFDGSSESHSSYPTSPVQVDWGVSFETDEKARPVSLLAIGSVFAQPIAYSSLS NNGAFRDWALFKFPTWEIPLKPNMLVTEGKPQALLKMSYSLLDYSAYRPVYIIAGVSG VKEATISIGLSQILLQPGTQFVRAYTVEHSQNGDIVPGDSGSWVVDASTMEVYGHLVA TDILSCSYVIPLVDIIEDINIQTSLLQRNQHPMTKQISVPTGMNLSWTFPS FPSE_03433 MRDTEEVSIYYPDATFQYELEDFEVANGTDSSLQERTNVRVCEY VSVQHLANCVTIVAGLQAIGIALGNAAKSLSNSNSCATVSGTAGNGKVKYRYYATGRH CDTTAEASTIAGAIEHHLKHVSGGKLCRTECLDLTHGGTWNGYLLVGPASKFESDMYC GPKLPFTSCDSGGKGDLH FPSE_03434 MMFKNTLLALAATIGLSDALGINCRGSGLCVGNKGALGQLIAQV RAIDPSKRFSNGEHVSCVDINNIGNHPAICAFYQGIGDRTFSLSETQTFLQQIVDHGC KLCGSVPTDPGNNVANGQLTVNAVLNPTKRDAPQSPAVYEKRDVPQSPKVYEKRGDNL LVRRLGINCRGSSSCGVGGIGHTPNGDLKDVRDAVASGEEGNFGNGDHIACIPFAFGQ LCAFYQGIGSRTFTKEQSVTFLDQLRQHGCSKCGSIPVDPGNDVKNGQLTVNYVA FPSE_03435 MPDWKPEYQEDESAPVYDQVEEEAPPPTYQDNSAEVFLESNEPD DIVEAIRGS FPSE_03436 MKFTTPLLVLQSVACAAFTLPDNLPNGVYRAHVNAEGLEVSEGV TVDYKTTVTPRKTTNLLKSRQTKDEGGWDRDQPDMWCGCGLSMNHGNCDNAVDMLKAQ FDRSDGGVGEVTQAWYSIYGDVVAFCCAQGTFPLFSSQYTDFLARLTDRCGWYVPGTF SPSSIGLSAVDCGYMNYSPGLDFCGNAEIGRHMGEKGRC FPSE_12210 MAYLSTLRIQLLIVTLLASALCVQARRGGGGGGDYDGGSSSGGG SSDGDSSDSGPSPEEKARNDACRMEIGHTSPVWLHRWVGTYYNGTIEISIELDRCNGT CKESESTVNWELTGVLSILDPMHSAAGNSRPLVPRPKNLFIGVLYGWPKGVNQSELLA HRDDTFLPPSVDLAMETVSDFHYNEVMYGLNPSDTVSRNNPTCRVQDVTSTDDGYEFE CVYDGLGVYRATDSSQFTAPMTNSSGRNPLLVEPGNNTMLFGSFDPNSAEFEWRGPFN AFAGSFGQDSMRKSYTTERNHISEDKAPYMGEFIVRFHGKVDLEHSHEMVVKSGRDVS WDKNEAKIQNVTYCSAAPGRFSYILWELSLFFCVLLIVYR FPSE_12209 MEMNRPHAQNPQYHMLWVRSILRTYSFLASSPPDREAPRLLNDQ GSTVRDTFVRDTGKTDELVDCSGVADGLNHTLRLTVFVLACMLADFPGMVGVVPDLMT ISYIYLISYIFKFILYYTYLLITLL FPSE_12208 MSLSASCIAAHPPEGASDFSLVLLDVSGIAIGMTRYYDSFLPGK LIDIYSVMQRER FPSE_12207 MIWIEAVVNFVFALLTFLYLNEIIPGDLGFLLATVILWSIQTQL LPQIIANRVALIMTNRRRARHLKWGLFISIICVNIAVCYIFTVAHLETATFKQKYVNI VFEKAEKSFFLIIDLTLNLYFLYLVRYRLIADGLNKYVQFAPLAYIVKLYIELLMANL ISKVVRGQSHSRGGEGWYSGSRDKSNPTNYGITSRVVVHLATYPEPHGITKTIETTIV VRDRDSDRTSKDSI FPSE_12206 MRCLHSLACWFFLIFISNGVAIEEPKSDSTGTLEIDLVFPRNES TFVPSLMTPFVFSFGTPQLVPILLPYLIYRVYNYSDTTSHVLAGRIDRRSINLSDNND PHFEVNYHRQLDVEGKWLMMLISGVLVCYEDRHNLYNNSHFIDANYTETNITFTTKGP SGQVDLAAETSDKNCPSPVGLHIDVQDTMKIPEGDFRADETVAEVCAVEPLVTLPDKC VAVTPAATSSIATEMSLRVCSTNYDNRSEIPESFGCKPLGGKESMGVQVLFGGTTCLA LLLGALAYIL FPSE_12205 MLISTWRVTALLLAFVNLASGLERNRLGASPYYLNHDDCPERCS ISGPEPGNWSVYQDLTQLRKCQETMFFAFSLFNPIDEDSTHRIHACTSFGPDFSLLPN ATTIQAAASSPISIDYEIGWHKGGFELAASGIRSITRQARHYLSHGHGTADRPAIMFA QSGQATLGLYVGESLGSQVIGSSALQMLENSLDNLNVTGSNLAMQLCVPDSGNSHSYG LMVASNGTFASIQNAVQSWANGTCLSFPESTTMTGEAFNSTRPAKPVSTSSEHGRRHQ HLHVRAECRTTWVESGDSCAKLAQRCGISASDFTMYNPGSKFCAGLVPKQHVCCSKGD LPDLRPTKNKDGSCHAYKVGKGDNCASIAVENGLKVEDLEKFNKKAWGWARCKRLFYQ TVMCLSEGTPPFPDEIANVNCGPQKPGTKKTGDTTDISDLNPCPLNACCNIWGQCGIT KEFCTDTSTDAPGTAKEGTNGCISNCGTKIVNSGGGGTIKIGYFQGYGMGRPCLYQDA SQIDTSKYTHLHFAFGTLTKDFDVEVGDKLSQYQFKNFARLTGVKRFLSFGGWDFSAL PATYSIFREGVKPANRLNMAKKIAAFIVEHKLDSVDIDWEYPGAPDIPGIPPADKDEG KNYLAFLVVLKNLLKGKSVSIAAPSSYWYLKQYPIKDIGKVVDYIVYMTYDLHGQWET ENSHSQEGCAFGNCLRSQVNLTETQYSLAMITKAGVPSNKVIVGVTSYGRSFKMASAG CYGPDCFYTGSKIHSDAKEGKCTGQAGYIADAEIAEILKDKSRVVKHFIDSTSHSDLL IGFPSSITLF FPSE_12204 MDRETVYKAFGMGGTTDWAVDLQEYHDVPKPSKSWAIFKEDAAS GYDPLLDNERSGNWTKMGCDSPVMRDFKGYTAPGLWREAGTDGAWKDLLKIWYDLDEK RGYTLSQSISLNIRITPRPNCHQLKDTDCDQLAECMQEMNSDVSGPAGVFIWNSLSKI HKMSSSYYDAVTEAAVFIAFDLDSFGNKFAPIPEAEDKTWILVLVDLLTWGTSSIAAP FFNSQLRGLPYFKGNPNTHDNIKDTTMTFIGQSTTVAKDLMSGDETDTTWTVEKRDDF KSYMGQVLDGWQKLANASVASLFNGSDLESVKTLWSLISDGKMTEAAVSGDGSKIIKN EGVSTIDLRKHVAKTFYGYIIPTVWRVSETYAFILDSGYDCYAEYPSEDYVTKEAMDA TSTCYGGRRYYLVYPKEDNIGGCINDCTWNGQCSTTCRKNKFIAPPGLSTLNATSFGG ITAQDLVVGSVRTYEQNGKKNTAKYDDLVAGDLNTDDLLDLDVTTPGIMRLPVCSPER AYQSWDTTSKGSSAFYPCDIPPGQRSCKASTFTYKDDTSNASPLASDCEIMISNFQND GSTKWTTQTADRQHRELGHYGTCAFGVEATKLNGNIHFHFGGGDLTEAITTAIKKFKK NGKIGASGTVDCAGNTSKSQPVLWGIYHHS FPSE_12203 MLLQLPIITTLFSFVSAQSIGGPGNVTVSFFTSDQDCESSDTKA PILTTREIPTELICFNLTDTFSPGNKIISGYQDALEPWEHPNSNISFHLQQNDFDSSA NYTQIRYELPGGEAGEKSSWVLWVYPHLNCETEVKGIDNHEYPWYEVDCQTEKGGECQ EVNYPIKSFAILNGDRDGECKTWAQLGVATRMSSQMPGLFYTTVAMAVAILAL FPSE_12202 MVDVIGLVSGVLTIVSFIQSQIPDKPKEGAAVRIKAGSGGTDDE GSGGEVSAAYAWNFDNNYLGRGDGGSMEQGGVVDTVIDSFSGGARAEYIGISVARDAV CVAWISVKQFDQTTGGAWTGDIGYECGQAWYANKEMAGYIDDDETEAYIPRCTWLDAA LKEDTESASLKFDTTAYGKKVKDTVDNKDACKYTLWGEDDAPISGTPGKRANRPRRPW MEDKLVVSNLTQHKAEDLCSSETSWGPDFIGTDGQFCDMGTKKLTPLCLTRDVDGCII VDGDKKTLSKRSRVAKREAHITHKSYKAIDHWESSK FPSE_12201 MTSELPPRESNFMLVYSTKPVEEALTFGLRGSDIQDMRPEPEKV DPASPEGWGFTSPTSEGICKYCQLLLYPDAPSLLQHQPNVISLVNSGETCSTCKWLEI SITKGSPTLVARFRANDPDLVNENSTACPVTVVLTKNKKFTRAVGWVGNRDLYMNCGL PLTISTPSRLGDLASRRFWAKHESRVNPHKRQELIKTWMSECDDHEICKKSLPSTRNA PLPTRVLDLTGSKDLPEDGNDIIIKLRETNKDETGPYNALSYCWGRDTKLHFRTTHET MKAHKEGIDFFSLPLVHREAILTTLYLGIRYIWIDSLCIIQDSREDWQTESAMMGSVY SNAHLTIAATSSSSPDEGLHWPFQGAETVDIHGEVTSIRFETHLSIDKSSEPLNTRGW TLQEAVLPSRLICFGKEQWLWKCPSRYATEDGLIDGPRYIDNGLPQWPALVHKGPGGD GRNYLRHWYHVIINYSKRDLTYQTDKSNAIAGLVEMFKKQTAYTYLAGLWQEDLAVGL LWEATTKGVIRDDQDVPSWSWLSVKGPIKGMEYGSTATSMIKLIKVNTSLPSSISLTV KGRILRATLGNRSVTQESRHYIIAEPNSIDVLGEAFLDTPLTDDVKMVEIMCLLVLDV AAHEECYVLILAGKEKEFRRLGMGVLWWKSKSYDDPKIGTGTLERAMDDTITLA FPSE_12200 MSHYYRSITSPRHDGRDLLHRVYRNSTYVPFADKDDILHQIRGR KEEYHRYKAALSAWCDAKSFTINGGNYNFVLKKDMSHRDKLFDMIPCYNKSVMLYILD PNREANCYCDGILKFLDIWMYRQWFEPYKSDIDFERYIARTTLLRWKKDAQKPSLEEI NKFHKGLCHDLTDGMLRDMPSESVDYLKKRHLDTQNGTFETEEDIIATFKDVYVVQPT FRSFFIVMQHTQGFKEGPFRAGDVGDIPVYLVCTECHNEFHDRETYSDIMGPCCSIHT TLKAAIRFIMNLERKQPAAKKTLPCRLKYRAIREYETIDIEKEAEAMGWKTEWHGKFP LDQPCSTWVDRNKYTEWTGAGAVKHASKVVCAIKYLGTTRRKVPLDHWWWYDSSDIRP TVTLDMFAIRLACLLFLYCICVSYLFGSL FPSE_12199 MKTAILITALFSVAIAAPAPLPISDAAQDLDTRASCPGSQSCVG GYCTVYTCVPAGTSTQCFTYKYNKC FPSE_12198 MSVDHLDKVESAQQALLKKMHSGKVGAQFVQEVKESLLFQYNSN ELLLTTPTAITLMGACHVAAASPEAAAINLGDSVPTGGFKYLRVAGNPTLKACLVYVA NEGDRAFRITGSNMSGMTQTSSEIVDIVSRTVRAIQDLPKTERVVNNELRKLRRMSDN CGQYAEETEKAFDSWFLSVLEFHQATIKKQSGSTTDSHDNATARLQAEIEASYANKDG SMLNKASEAMKEAFSKQERAFKAANEGVPPGWEPCGLAALSAIISAGPALNAQALPAM INAANSMSAAQGLSTLRFDDAIPQTDPAYLAASLLKPFMTMLLAYLTSGEGNTPDWSK LNDQGLTWLLKNLQVQRESAKLGTSAPSMELNAAFEATVAIVGEMKKAVADEQKLSSA KTDQASTKKWQDAITQAKLTVTKLDATAKSFPGSSSNAPKMKNISVERRDNSAVAGAV STATEKFILNQRALKTTQANYTKTAEAAAHVQQRLGGIQTKLKGIQISGAPLERVQEI LVDSIAILVELKIQISKITAFFKALSTFIHVIAETKIDSDFTRSASGLLPPSDLNSET IYVSVLQFKAYFDLLQMTCQMYTTVQVQYVFPGFDLLMELSHMARVPEEGGPKREQVN HFADSAHKAINELIVRRVYVFSVTKQLTFEQRIQDDAWFMGKTSTVTVLSIDSLWKWD LETQLKRN FPSE_12197 MSDNPPQPPKPKFVAAFNFRIEQTGAMIDLAGGTGPVISYGQYY HETNKNQIWSVYMSPYNENLFIKSEANQQWLVANGHGQRVTTGNPSWSDENAQWVIRG GDIRNLTNDTTISIWSVKYPECVLDLEGGKGPGSPILTYKHHSGPNQIFKLWKRW FPSE_12196 MSTLFNRPGDPHALPSGQNKGGLETLANSKSNATLSGNRTTAPA SSSSFAPQVRTLGEGIPGFRTSFNVAGKGGGAFRSISEDFEVSPSNGTMSLTIPVRTS PTRGGYGPDLKLSYDSGLGNGPFGFGWSMSMPSIHRKTTNAIPRYVDDEDDFLMSGGD IIKKLTSGGIQETRNESGRFGEFLVTTYRPRVDTGNIRIEKWARTDDLEDVHWKTISS SNETQIYGDSDSSCIFDASGPSKRIFSWLLSRSYDASGNAIEYVYKEEDSEGVSDTAG AMPVWERNREDDTRCRERYIKRVKYGNIKPNRDLTTWEVSDWPTEWMFEVVFDYGEHD NHRPTTQESHSWPVRQDVFSQSRPGFEIRTYRLCHRVLMFHHFPEHTKESETLVSSTD LQYNESRQRSVLVSMILTGYSTHKGSPDGKPKYRSESLPPWSFEYTNSPEASEIELIE AKTFNLLELPTSEARVSEWLDLDGEGMPGLLTRSADGALYYQRNLGSISGDDDPQFCG PVLLAQQPNMTGGTFRDLDRNGNLNYVLRNEHGRLEGYYERGNSDTWKNYTDFPETSN GDIWQSTIDIDLTGDGLPDVICAADDSQVLIWQQNLGKKGLSSYQRVICGQDWESCPR LIKNQDAQTYVGDMTGSGMSDLVEISVSSVRYWPSLGYGAFGAAVDMGNPPTLAAKDD FDHSRVRLMDTDGSGTMDLLYALPTGGAALYYNLAGNSWSDMVFLPHLPATIKPVSIF TLDLLGKGTDCLCWADTSTDGNTIKYLDIMGETKPHLLKSYSNGWGATTSVDYAPSTK FFSEDARTGHPWSSKLPFPVQCVSKVQVEDAITGNRQCTEYIYHNGCYNPTEKQFAGF EMVEEFHSERIIIGENETYEPPVTHTKSWFNVGLSLGVDESRFLTHPAVLSSLQDCDT DTAELVNALKGLNVRSEIYSQDGTPKAHLPYVVKEVSYHIKISQARETNKYSAVQILP RETFSRVYERDMSDPRVTHDMVLKTNDFGDVEESLSIVYPRTGNTAFDDVNKNQKAGN MSYTQNWYTKMVSESDQQHFRKPATYRQQEHEILNFPFNGILKFDDALALDFHGLPAS KSSTTWKALRSENRAFYKDSFLQRTLNEGELETFSLLDQTYALAFTPDILAKVEIGLR NCKIPDSVEALLTKGAYVKLDNSDGWWAPSSQSFFCSSETASAAEELKEAYKSFYIPS RFVDLFGNSSRVKMDKDFLLATEVEDAIGTVTSFKNNYEHLQPVEIIDANMNCVQVLL DPLGESIAVAASTRRDGVIEEIDSVENMVLDVSSEDVDDILRDPTGEVSRRLLGNAAS RTMNCRDRYARWKSRQNETSTISVDPEPALSLVLSWDLSFKESSSPEIRVIVSYMNGL GSPYQEQHLTDPTTSERRWLVPGLAISDTQGQVMCTYQPRFATSAAPIPSRLMKTNAA FAFYDAMGRSVASLAADCTWSKTVYTPWTTVEHGAGSMVLQSNARDDPDVGHFFGRIA SSRYYQSWYDKRKLGTAQEKRAAEKSAVYADTPLTTHLGSCGLPVRTVQQAGGKTYTR SSIYDVTGNKIRDVDSYERTVEKMLYDKLGRQLQTTGMDCGESWLLMDAQGGEILSWN CRGYSFITRYDPLRREIERLVAKAAETPKLISRITYGEACGDASNLNLNGQVWKVEDQ AGVHINTHYNIRGHCLEKTMQFTKEYKQLVDWKLDQKLETEVYSHTYSYDNYGQVLEE KDEQGNHTRRRYSRQGHVVSVDFSSVKDRDWKSYLSGAIFSADGLPTTITYGNGVVSD FVYDEETRNLISQRTTRPCRGRRELLQDMTHVYDYVGRRIFTSDGSEQVKYFGESRVK PEWDYTYNATGALVIATGRAQLSGKIGNGSQLTPHNAMNGLNPSRGGGDGNLLYQYRE TYNYDREGNILMMKHEAPDIKGVTSWTRNYHYDEKSLLSDDPRVKSNRLSRTTIGDTN EGKYMYDGSAGLSGCMTTLPKFSELDWNMNNMLSFSSTQYVNAGTPERTYYVYDHAGN RVRKVTETSAKSGEEPRKQRDTLFFGGVEMQTKSNGSLLWTTRVKGDGVLAVVEVSSN REAPLVRFQAGRDMELDEEAQLISYEEYSPFGSVVYSAMYGNVEAPRTYRFARYEHDR ETGLYHCGQRYYCPWLGRWTSTDPLGDVDGPNLFVYVNNDPVNSHDPSGTSGKKTKKE STREVYAAPDDNGKRSVEDENKAVREGIAKFERKLQRQQQRAIARMSGTDPILGSKAR YEVGISAMGNALDRISGSTELHHTYPQEYREEFSDIDINVDRTSVSISKEAHYICTYG SVLDNLVATNKRWRDEYFETKKTGYYKQMEQHDWYDNDPDMQYAIRLHLAYEARTLNG RIMADFGINPKGEDGRSMFVNYEAVTKMKTAGQRRGVRNDNLIHHDTWPGRPFNTGQS DTDNSGGPVHFQVAEEQYNGMDAAAQAKFDDLRNQMEALLGKK FPSE_12195 MSTRYNVTLDTGTPADGADNRGFDQVTALSQGVINASFQQLFKS VEGVNEIAYVSRLQGRFEAVIDAPSIMINGATTNATEIMYIFRIKSGKFLFIDGVERK IYDWALAIPTKVNEVSYEETAGDTPEAARRKKRWRKELQDKYPGFVPGDYSVQRIFCA LGSAGWYKPDEDSSTVWDPVAQQTISYKVWLNREENKLYKDIIPGLLSGWATKEGEDA VATMGIKFNLSQKRIQAGAPTFRPVHIFNQVYPYLTSDQDRGTMSLGRYTDDYINPSN PYGKLTPGDYNCLLYCEQVDRPTGRKTTIFENGRSKIVDEVAARNLPKNLKLGHSGNL AEPGIVGSYVIHHRIFLLDFLLPQLQELCMATFVQVGKPDRRWDTSTNWPNFWSNRSI GCELEGQPAREASDPIFKFEHKSDSKGDYYMWFKKSEANGLNDIHTYKYPDSDRICMY NNYNMWADSSVEVRWVAGSDRLEVTGKVYHETYIAYSYLPEVKTDLQRKHTKYTASWS FQIVLKTVERTVKVDGKEVKLMALEAVIEGINKSTNVPLNTSVDCISVLDETPERGSK QWMLDKLSKMISTGIGIVSANLEKRFRNSGKYNYPGYGELEFTDPKFTSVGNIITKVN FKPPSHADGKMYFPAVDPKDHVLVPPSKPDPDTSTFQEGLKHTGELSLDWHQTLNYNK DTGIGRLTFTVSNRNKLKKDLAYGFIRISLEYTPNKLVDGRVKDATLFGPDDWEKEQK AVVPEPDEKEDAVPEPVGEVPKETTKTGTVSSQPDTDTTVLSGSVQSPPGILPKPPQK PTHQAPVAGDRKPKGNVYAFERRWVVDPEQYEDVDGATMDLEPTISVNPLTKAIDITF DPIANLTPEEEEEEYMPAPEGFVIPYNQTFTLDLQGRIEPGEYAIQIYESWKKIDKYK LSEGSFAIDWKMASLREVSPRKFKTDVWDVSDRIAEEEAAKKKDTES FPSE_12194 MATVTVEGPFFKDLLTLSAKVNSLLAEDGTTLAAAIESALKSGG LDSVSTRRLLFFQGLTADDQLLLYLTTNYGNAEPSRARIGLENYFNSFYGFNKDAVKD FRSHLWEKEPLAVLLASLRQTKLLTTVWIELKPQIDTVLQLCVDKELSLSSPEVHTRV KELDVTRPKTEVKKDVKGKAVAVPGLRDAILALQRLHCLVIDPMHLEVLLREGWHSAH DIAILPRGVFLSVIEKAAKNQDPIFNIDEESASRIHDHAITIDCRNQETWVKILDGLK RDFTIVVPQSRPEDEAEKKRKQEEIEKQARKDGANKEAPRVDVLAHKNYNMSTIFDLQ TSSCEECCSVTGPAAYFVDLLNFLKASPCTGPGSKFTTLFQALMHRRPDLQDLELSCA NSKNMVPYISIVNETLESFIASLSEHDDDDKYVATVLAVNEQEAPGSYSGSTQDTRLT AAKSLDGVMSPLNVFPHNQGLQAVKTYLYSIGITGTEVLKTFRSEARLLEAVIGPLPS DKGTRATLLSEAGVILDRATVAASLNLLPLDLAAIAEEKIYTPHAMRSMMGMRQKRGK SLLSELEVPETCRNWGYSSTSDMVDTDERAKTGLCFIRSQFMPRSGLSFEEILQLLKS LYFGGRLVITNADKTKAFTGQISEMRLQALNTAVTAPKETAVGPLTDQLCHEIQAFIR LKNRLGWSIKELDGALSAIFQSQVASGVIRTPDGTRGISFGVLQDLSMAKSLAESANM PIDAILVLWAPLNTESPLFSRVFGGPRNMSSDNIFTTLSNRVSLIKNHLPALMTAMGQ SQDQLNCLMRAANIDNAKDKLTMDVLTKLYRHSTMSRILKATPMEYLELLSLLPVGLD VLQDPTTTMSFVKKWCQLVDSRWSPQEIIMAIRPTPVTSISDNYTNVTDALFLSSSII EEMEAMQLLWQDPVRDMVVRHEDIVQICGELYDATAAASIVQFIEGTQSTEARIPLSK PLATSLMTIKILPSNITLTVELGSKTKPGVLVLSLVGVLSSENRLMIETLIKNRDSEL QSPASTLDTQLQKDLDELFGDLEVRSSGARKILEDRLLNTLPANQREELGSLFQSDIR DGTSTMPLDEALIQAEMAVKKRRSAFILAALPVLRAQLVERSLIGAIGKAVSGLDPSV LAMLGTQIFKQHDKSAAKVVEDVCSDYVSNKWTSEVSTAFFCPAASDTYQFHFTPPQH DEKDGQDTTVALFSVNGVEIPVLKAGDGLGWQSVPTLLATGKPYLLSSSTSLGHAQWT TKQSTQPQDFVKSTLIPADIVTSVSNELLTVVHFAQLMKKLNLGLEEFKYLSSDSNSP MQVDLNRLTIDGLCQLETYCTLRDSVSSGPDALIGFFAWLGSGQDDGKTSLATRLAAA TRWDQLQLSTALELKYPGLTEKDIVDRFASSLDELCSLRDVITLSGHLGGASGRRAAQ PLLVLYKVAVPAPPTETDWDMETAAALELCLGPGQAAQCRGELRETQRTAYVQFLLQR KYIQRLGITDADGLFAHFMLDVQMGSQLEITRMKAAISTVQLFVQRVLLGLEAPSGVL DARIDRDKWTWMQRHNIWQATRKAFLYPENWIDPSLRDDKTPLFEAYESAIMSKDLSW DSFSQSMKDYVQSLLGIADLSIEAYLRDLRPDEVEIYHFFGRTRSAPFEFYYRAMQIV KSGSGEGLVFWSPWSKVGVEAPTYDTDWNGKTLDKGGCYLVPVVRNKRLFLYLPQLMA KPVAPTPNMTMEDMAKKVPVTTGAYTWEVRMGWTELVDGQWTPKRVLQTPLVVNWIPP ITEKPTDIEGLPSVDKFIFSAETTGPDVKIQVGYRGTRDGMLHYIGRFDVIDERIETI KATSQTDKLGKALDTSFHKLTWEAEPNIKDTMGQAGELKEPDSSMYSMLTKAEETPLL AIGKRDYKRNLTWTLSYADKTNNTSKTAGLVVDERRGGADGTTFFMYPYQTPEDKKKK TAPLATNLMYDQSREEIVEHSTAREMMEAVCQTDGLNMLFDTMDTNLTKNHDYGKAVV RSDMSNYHELTTPYAIYNWELGLHAVLLAIDRFYATQQFELALKAARLIFDPTTNPPA GCSADEAAAACWRFRPFRDLAENKIGMVDIFKGWPSDGNLEIAVSERRSNPSTVHSTA RGRPQAYMKWVVMKYIEILISAGDEYFRQGSMETLPLAIHHYVEAAHVLGPDPPRVPQ LAKSVVKTYREIGSPEHKVDLELAFPFLCEIERRGSKKADGDSRRRSPLLCILTTTYF SLPPNPKYASLRVLVQDRLYKARNNLDINGRPIVYSMSEPFIDPGDAMRALAQGGAGA VGSLMNDSDSPMPYQRFSFLIGKALELCNELRSMGEQFLSVRERHDAESLAQLKNRQD SMRQKMILDVRLSQTEEILKTIESLQQSRASTVSQLEYYLRLTGDSLDLIPGDEKAEW QDIRQDIATPISDDLRMSPFETMELASAAVASTLNVAAAGMDTLAGFLKAFPNVTTNA QPMGCGVTVKADASNAAQLTLGLASATKTYALIASEAGSMSARIGGLTKQLQERRMQA NIRGREIKNLDKQIEIQRKRLDINAKEILAQRSEVEYASETEVWYRSKYTNAKLYSWL EGSVRSIHYDLYGLASDMCRRAERAFRFERGHQASAAFLRTGGYWDNSRDGLLAAQQL ALDLRRMEAAYLHKPGHDWELSKNISLRKTNPHALLTLREKGTTTFSIPELLFDLDFP GHYMRRLKSVAVTIPCVIGPYTTLAATLSLTQHTYRVSAAAQSGDDYLLANSSDGSFR TDPIPISAVATSHAVQDTGSFDFGFNQSNIANTDYGPFEGAGAISNWKLELPPKTTQP FDYSTISDVVLHIKYTSIDGGPILKRSASDAVKQQCARTDSLGVHDGLWGFVEVRNEA TNQWFKFSSTLSQTALDRTATLDLGPAITSRLPFWTKNRDVKIETMTLAITGADAGLA KDLSIPALGSSDWDCTTLGDMALLSKAGLGDAVSLKQEEGQWQLKLVRDKEVACDIKD IVMYFRYVVL FPSE_12193 EPPITFVFVLRDRGVALRRIDGSLGNDERLRVLNEFKNDPTISV LLITMQTGAVGLTLTVATQVHIIEPQWNPSVEEQAIARALRMGQSKSVKVFRYIMKNT VEERILALQKKKRDLVRFTIDGNSRDGVSGTLETI FPSE_00756 MSSKRQLAHHDDSSNSVAKRQHLELFMSTTSPSSHSSTPSPICF GTLCEVQAKSAGSLDAESTPKSNGSHRLVTRDPDFFLFDIHVHNDIQGFTVPNANTFV MIDLITAKKLDSVKEQDGVFTKAVVEAKALKRFSSRSAKSPFKISVNIYGYESDARNI GQQLSKVGAFLQHPFYLEEGVEYLNPQFFDPQDGPRYMTHLVGMDETQFQARAFSDVV EGVLSSLDNGLPNLGVNEPEIILTDNLITNLQETNRTSHQKAALSFILRRENPEYCQQ VGRELLFHTRIPSHKAIPSLSLGGMLADVMGLGKTLTILVSIYLARCSAESFQNINID VDMDQPTYPRTSATLVVVTSTLSGTNRTGDRHFKDGSLRVHTFHGDSRSTESTSLMNH DIVLTTFATLVSDFKRRKVLQSVEWFRVVLDEAHWIRNQSSKQFKAVDSLVTERRWCL SGTPIQNCINDLVSLLRFLKFEPFSNMDVFRQYILDPLRTEKLLDSTNPLQMLLQSVC LRRTEKYLNLPAAHYELVTLSLHHDEQKLYSDVFRKYRAELDDLVSSLTKMDKKKATL RFSMISELRRLCNHGTLLEPPSTLDNANTNASCDYCNATEKDNMA FPSE_00755 MSSSVTQTSPSAGGTQARTGSDPVFDKAVAKFKKRLTKDQAAKF ANCTVDDVRNQIKDIQNRHGSQRRLRNMERISKFVEGMVQLGTVVEAFLNLHNAVALV WGPIKFLLVTASTWIDTLDSLLDVYGQIGEVLPDLTRYRQIYNEYPSVHTHLEAYYCD ILQFHSNALDVFARPGWKVLFHSAWKTFKTQFDPILKSLERHRVMLSEEKLTAVMQET QKQGKSIQDKLHQLGSALQERDKKDTERDLITRQSQIHQQYRIVESKIDAPNYHEDYE IASQKRFQNTSGQWVLSHPLVSEWLDHSSEDDGKIYLSGIPGAGKTVLTSSLITHLKD LKSAAKDSGDRFTVSYFYFKHDQPKKNSFVSLLLSILAQLVSQDESLLDHIYHACHSM DSQQFRSLDEVSRHVVTALQSQSRSFVVIDGLDECPEASKVLKWFEDVMFKENRTPGD TDFEIRLFISGQRDGILESQMSHYKMIHLDMSPGHEQDIKTYAEAMANKIRSKFSLDL DLEQELVSRVTSHACGMFLYAQLVLTNLISQTSRYDFKQEMKAGTFPDGLDQAYERVI VRVLRNPNKSERAVAKHILGMIICAFRPLHWREIKSKLCIDPSKSEADIDRELVMSCK QICSSLVDVSYLEPSISSPGEEIIDLVHSSAKTYLVQTKEIDVPTENAQMALFCTEYM LSRPLTPGLSKQEIHNYANKGYYGFHDYAAAFWWNHMQQVLDSSDLDNELARRTLQRS HDYLISTGELEQTENFEDPSVDIQFLKRKFQAIPHSLRDWDNIKFYEKRVSAVRESIV VLINQPYEQKEAALVLYGPWRYKCLKPWCQFFSRGFQGAQQQQTHINQHDLPFNCEYE GCFATEVGFEKDTDLKNHTRRWHPKEGSRLFPTVERRTRSDYVVLKAAAKTGNLHTIK TIVEQGNVSLDDRNRSGKSVFEVAAENGHLHVVQYLKEMGANLYIGDYGLVNTKSVFD DLIARRDLEMITFLCGLDNISMNGYSFGNRTLESCLGIIPFPQAMMSQLLRKARDSEK QAILHSAIQSKNTTATAYFAETFDVSIFHYALEDAVKVAHLPCLDALLSSGKIDPNTP LLYGNLPLHVACESGAIQIIKRLYSITTTTDITDSSGNTPLHLASRGGHDEAVDFLIK QGANVNAKNRRLKTPLNLAMKNGHESVQRLLLENSAELDAVDTSLDPQLNGGGIQLPI PNSGDILNDFDFDQFIQD FPSE_00754 MKSDAWLSKPFLTSIFLGIGGFLYGFDSGIITPSLALGTFVNYF GNPPPPLRGAIVSMYQAGAWLGSASVGITSDRFGRRKAIAFGCIWGVIGGAIMAGAAH VAMLIIGRMLVGFAVGTITGVSPVFGAEIAKTHERAKVTAVNQMMTAWGFFVALWIGV AEGKWHSPNQWRLGFAIQSIPALILGVGVLFLGESPRWLCLKGRHDEAEKAFRNYHFD GTNDEWCRTEFTVIQVNIAEEQQAQGRLSWGDLIKTPSFRKRLFVGSFVWAAAMLSGI SFVQYYQTAIYATLQFDQDRQLLVSGLYGSVAPVACLISLFFVDKIDRKKILITSSSL LSVCYLIITILAAKFPAVPGMPTNAAAQRGLIACIFAVSANYSALLGPMTWIIPPEVF TTELRAKANAIVQVVHYSISLIITQCSPIALAEVGWKYYILFVLTNAMCALIFFFVYP ETRGKSLEEIDEIFGDVKIVHEEDVRFSEKAGIETIEDRQSAIM FPSE_00753 MVVKLFVYAAVAVGLTYLALIFPRLQQEYKLWSHRSQLPPGPKT IKTGIRKPWLWFQELSQQYGDVVYLQLGPTPTIILGSAQAAWDLLEKRGAVFSSRPRF IMGGELLSGGMRGLMAPYSSYWRRWRKLLHSGFMQRQSETYRPIQSLESKVLMQELLK TPQDFRTHLERYAASVIVTVTYGRRVEDVRSDIVVKRNGEAMERLTMVNIPGKYAVER YPALKYIPSFLAPWKQQVLQQREKDIQLYTELMNEVREKVAKGAAPTCFAKHLLEEQH NLGMTDLEIAYTAGSPFGAGVETSAGSLASFLLACVKFGPQFIPKAQEELDRVVGNDR LPTFEDLPKLEYVRAIASETLRWRPVAVLGGTPHASTADYVYKGMFIPKGSTIIAPLW TLHLNEADFPQPHEFRPERFMEERDYPGTLGHSAFGWGRRVCPGMHLGAASVTLNIAR ILWGFEINPEKDEKGRDIDVDIFAYSDGFNSSPLPFPCSITPRSKNHSEVIEKEHENA LNDLVEYTAVTSKVS FPSE_00752 MTLRLAPYNDAMRLGQGFNSYTHELCIDQAVKVKQRKSVESKGE TSQVVSYSARFVEKLSDVVESMNVSYSSAIKKGTIEISGNSSTVDETTFKSSHLNAVV AVKVVNQTISTEDECEFQQLENNEAAMYSRFNEIYGDSYISGFIEGGDFTGIVSIKVL DGHDVKSTVEAIKSGLNMNSKTEVEEFVLGPSDNSSRSTLATALKDTETTISISWIGG AQIKDASRAWDIDSMYDAAAAFPAAVAQCPQRTWAILTPYKANRSFVKWSTGSPVKTL QYDLIASFTAELFDSFMDYKMLLKDVQNIISNRKDYRQRIGVVDAIDTNLKTLLSVRG ALRDEQTKIVEAIGVLSKDPSVLKRQGAWSTTNRVPLVKQIIEKALGHAANWEPKPLA VKPASAQDAVQSGSIDVSQAQKAESDDEVLVDSATSSIATPITSDGKVTATAASDLSK PAVEFNFDTLMPPEVWEDLLPEPIEPPNYGQVNVIPQATHPNSLLSQLMPPPDGSSGP GVVVSQSYDAMQRELESFRKEKLAAEKLAADAEAAREKQAREYAALNAQYAQYKADAE RTQTSQASQISEKTSMIETKEAEIQRQKAAHSQVLAERDEAQARDNQKQNEINALKEC ALDGLTAKKITVITITYGNKVFYELGWTTDSFNLVPKVEQMIASRGSFVINDPWFGHD PMPNVHKQCTITYRFNTPGQSRRIRTMCAKQMDTGRFDAL FPSE_00751 MSTQSKVKGSKPIQNSGEDLVEPVPKNKLSATVKVIRLADATDE KQKDVVIATLQRNEIELLSLAGIRQKIKSLDESDTFCFKDQSPAEDSLIFEDYVEQSF DGEVDFSSTYKSSKPAKDGESVEQQSTIHKCFLYLSPKAAGKAPTMATNLALDKFAVK VFEWSAGESGVASRGTLQSSKLGVRDATVMTLAGLRKQLATCTKMSVAPKTHQFCTQS GETVVDEAMTFSDYLSLEETLSDTNAGLPSVHVFYKLAHPKNEPPTIDLSNLPGKLEA ADTKVKENAFENGKTAKTFESHARAFEMSEVGAASTGAIGSEYALHASYLTDLQWAEV LRNCGAMYGWVVDRQKNRIIRAPRPAFQLRARISDDANHAIPDVVEKTVELEQKPKVQ ITKADIDEIKAKVGNWNSRSGMKGELPKITDSLGVDQATDTSEQADTTVATKDASTET TEPEEVPGSGPELQETKAPVATIDQVTGKSVYTVLPSFQVNDDSRIDITVSSHEFETS MARNDFSSNSTEGSVSGGFGGFAATVSAGYSKETSSASKNTTNTYKKTMIAKYLLPRA DILLHPAYLEPTPEFKSALENIRTNKNIADLRKLNEEFGQLWCQRITIGGRLQSTSIM TDETVTSEQEQKEAMKVSVGVQVTTPFGGAGVKHSNESGKMESKSTTDVKKNESNVFE AVGGDTILAANPTAWSSTVADYRTWRVIDRDSLSSITNVISAIPGYAQVRSWFMQAVP TLSKYIELPPSREIKLRFKLTAPTSQLSLSYLKKVENYDASGDPIYYLGHSTGADVRP VKNELTISNNAWAQCDITDAPPLFSPQSYRAPVIMGYPGNIVGDSAYGSNYNQHYTNS LWNVSAPFDNALTHGVLVCISTVPLPKPAPTAGAVVSDAPDSPLFLEVFRNQQSVFLP GLSDSSERHYWRILKHGAKANGEYIKEGDEISFAWAFNDQTAGFRDFSQDVFGRRRLQ CPDNLKSKVLYMKLPWPRFEPLAKPANGKTPVANTMILTDDPLASNNVPQMTTIKTAP GMIAAAQALSQGKSATDASMFGLQDVRFRVDVVGNDGYGDVGNCLLKGLNQGTEKPVA IDPKVKAAQSLLQAQLKYYEALMFLGA FPSE_00750 MVIQYQGARIPISFASCSIPMKIKATLPDKLNAIRNAGFDGIEL SMPDIISHGELLNGSSPKEDDYDTLADIAKQIKSVTDELGLKIMMLQPFANFEGWNKA KHGKQRQQAFDKAKGWIRVMEAAGITLLQVGSSDSEGISPSFDDLASDLAELADLLAE KNFSIAYENWCWATHAPTWKTVWQIVQKANRPNIGLCLDTFQTAGYEWGDPTTNDGLT PASSAEERQKRWESSLQELAATVPADKIYLLQISDAYKMDPPIEDVKDEQGSRPRARW SHDYRPLPCDGGYLPVQDMMAAVLKTGFRSWLSVEVFDGLEGENTDMNEYTKMAFESV KKLIDFSG FPSE_00749 MQTVFVFLVLRLASDTYPHDSIWAILSPSASLYSHSPEYKEMDS TLSRIVSTSLAIIFLISISLKTFLPGDDPYRCRAVQRTGRWIDPPDEQGNRDPFHQWQ PDGCILHQYDSNDIRQCTEGRHITVVGDSTSRRVAYAFTRLINRKQNIRDKAKGRFPG LRGNANLTYDGQMVQRLSDVFLQSHGDMVQQQGGVAPNLDVYAAEKRDPPAIKHQKGP AMIYVAGGLWFTNDKGNATRHVPWDIRFAAYKNSINNLTEFINDNTPDHDPFTAPMDP HDGIGNQIFLSPPVGPCYQGDNPILANTSARRASEVIDIQNWLRDTESERRIPMLWSS AGVTTNQNKTWIDPIAKAAHVIDEVAETRANIMLNLRCNAKLDRIQGYHHSGTCCTDY GVTKYRVIIAVICMIYLSACVVCEVLDLVSAKSTRWTLLNMQIGSFFLVLLMSYYSDR TQMMAKSSKLWEMYGFGILCAVCLIALLITIRRTRPKSPEQLSSTEDETSEKLLPENC PSELEEHGEQDEPFLSRKQTEEWKGWMQCFVLIYQWTGADQGPTSLYVLFRLCIAAYM FQTGYGHTYYFITTGDFSFKRVATTLLRFNILSCALAYSMNMDYMFYYSAPLASFWFL VVYATMAIGKQHNNNTQMVIAKVFISGVLVSVVFMTSLTKWMFNFFEILFNIQWDADQ WKYYANLDILIVYIGMITAIITKMGNTQIISGLRLILALAGLLATGCYFSKTSTLRTG WYDSLHPFISCIPILGYVALRNISVHTRSYHSRAMAWLGRHSLEISILQSHILLAADR EGVLSIDGLFGDGTVLGDRWRSLLILVPIFLWACYTTRSATAYIIELVLDETSEDDDM DAPAIPWLKKLGISHISYPGLRVTCILLTMWLINLLSPMKQDIVLPPGAHHISVMPLP KHAIDPPY FPSE_00748 MGTSVPHPTQRRFSCDVCRKSKSRCQRIKPTDEKCAKCTMLGAQ CAIGQQKIPGRPRRKKPAKTSIPTSQNLSVNASTLPVSPPLMQPNTVFNDDWGLWDKA ELLYPLLSPPEKPSTTTKNVTATPCGFVDVFHQSDTSWTTSGDTEYSDTQLYTYIDTV WDIYKTTTSPTIPLAINGNFWLSNCLTTNHPRNTEPRDIMTDLSTINLGLYTRLEAIK KNGKTLDFDMMVSQYGPLFIDNITLVDYIIKVAQVFLFIITKLYEERHCPSMLHNSQP MVVFCPCQLSSQFIKQPKKLFQVSLPQPTVTAEPLPSPIALIIASVFIQLITIYELIL HNVATVVERLAINPMGHVPVLIVCGRQLERPCTQGMIFCEVSVSLIENIERVLGVQRT LEGKEVGLLSQRQVEVLRDELDERRGIIPGHTAMTPAVLRKLFGQIAVILRRIGE FPSE_00747 MSVNSSATEQPPPELIDKPGIEKTTTTTQWHLAPELQNMRDRDK EIGQKTRKLSVTWNNLTVKGIGSDAAFNENVVSQFYPFHSTAKDAPMKTIIDGSYGCV KPGEMLLVLGRPGSGCTTLLNVLANNRRGYTNVTGDVHFGSMSPSEAKAYQGQIVMNT EEEIFFPSLTVEATIDFAARMKVPFHLPPGIKTKEEYAQFYKDFLMRSVNISHTAHTK VGDAFIRGVSGGERKRVSIVECLTTRASVFCWDNSTRGLDASTALEWIRAIRAMTDIL GLTTIVTLYQAGNGIYEHFDKVLVLDEGKQIFYGPQRDAVPFMEDLGFVRDSGSNRGD FLTGVTVPTERRVASGYENTFPRDADAVRACYDRSAIKAKMLEECQTYHTSEQAAQNT SVFKEMVAREKHEFVPANSPTTANLAMQVQAAVTRQYQIMWGDKSTLFMKQGATLIQA LLGGSLFYSAPDNSAGLFLKGGALFFSILYNALLALSEVTDSFTGRPILAKHRAFALY DPAAVCIAQVIADLPILAFQVIQFGLALYFLVGLKNTAGAFFTYLATNYITALTMTAF FRFIGAAFPTFDAATKASGLSLVSLFVYMGYMIIKTEMHPWLSWIFWINPMAYGFEAL LGNEFHDQEIPCVGPYLIPNGPGYVGGNGGQACSGVGGAEPGAAFVTGDAYLSHMSFN HSHIWRNFGINVAWWILFVGLTIFFTSRWKQVGEGSRNLLIPREQQHKSKHLLPSKDS EASTEKSHAANGSGASDGEVDPNLMRNKSVFTWKNLTYTVKTSDGDRVLLDDVQGYVK PGMLGALMGSSGAGKTTLLDVLAQRKTEGSIHGSVLVDGRPIPVSFQRSAGYVEQLDI HEPLATVREALEFSALLRQSRDVSTEEKLRYVDTIVDLLELNDLEHTLVGRPGNGLSI EQRKRLTIAVELVAKPSILIFLDEPTSGLDGQAAYNTVRFLRKLSAAGQAVLVTIHQP SAQLFAQFDTLLLLTKGGKTVYFGDIGDNAATVKQYFGRHGAPCPSEANPAEHMIDVV SGGDGPYKDTDWNQVWLQSPEHDQLTKDLDHMIKVAASQPSSTKDDGNEFAASMWTQV KLVTHRMNVSLFRNTEYIDNKFAMHISLALLNGFTFWQIGDSLTDLQQNLFTVFNFIF IAPGIISQLQPLFIDRRDIYEAREKKSKMYHWAPFVTGLIVSEIPYLLVCALLYYVCW YFTAGLPTGSSHAGSVFFVVVMYEGLYTGIGQMIAAYTPNAVFASLVNPLVITTLVSF CGVMVPYSQIVPFWRYWMYFIDPFNYLMSSLLVFTTWDKPVHCKPHELAVFDPPPNMT CAEYLSDYQGGMGRATNLLNPDATTSCQVCQYTSGADYLRSLNLKEEYYGWRNAGIVV VFVIGIYGLVYLMMKLRTKATKKAES FPSE_00746 MDVIQPTSNPAIDYPKWASDCSTCKAIWYQLTHPDTASEVNLGF YEEALSTTCQNHIVLVERFIASIRSKGIDEERYNIGDLGFYKRGGFHRGVSLLASISK SGQGWKLLLLNKPDVPNHPGNGRILDPDWADVEAVKGWKHKCLSSHGSKCQNLLKVSS ARPAWLVDVQNQCIVSGDESSSYVALSYTYSSHTHPKIAAEDYAMLQEPFALANTTFS AYISPIIRHAMYLTSTIDERYLWADALCVTHHDPEAASEQLATMGTIYANAVVTIIAV DGDSQSGIPGLRGISNPRGIQQEIIPFGDETILVRNTDINSMGRVGSNYNHNRGWTFQ EYSFSGRKIVLLDRELHWMCACTTWHEEVTLFTEIDHIFRYQFDLLTAGFPADFCLTQ HAMAYNQRALTFQEDALPAISGLLSVLSRSFEGGFLYGIPEMFFEHSLGWWGMDMVRR VSSDRPTEKQFAFSGLPSWSWLGWQGGEVIAEEWEKLDLKKISLVLG FPSE_00745 MHCPAITDQGNSSNTDKIPDAPKPGFFNRKVIKIISSPGIDVMV FPSE_00744 MAPKTIAVIGGLDADLIMIASRIPDRGESVRGNHYEEALGGKGA NSAIATFRTCHKMLSQKQKSLGSKVTSVNVETEHLAGQPSVVATEKERNTEPDEGNIQ VKMIGAVGDDRYGEKFIVELNKNGVDTSGVVTVPDARTSVCFVMVEERTRANRCLFTL GATAAWKREDFLKAEQLGGGIRPDLVVAQMEIDKHVIETMLTTAGIAGIDFCLNAAPT STIDGCLYRHVTHLLMNESEAAIMSGRHRDDVNQDTWLTIAQEFLNEGVANVVITLGA KGAFYANAQGYGHCPAYDAKVEGTTGAGDTFTGAYASDYLRQKQKGTWDLRSTVVRAN KASAITIQSLGAQNGIPWSDEIDRFDAPEKALNDVHF FPSE_00743 MDATDDNKNTVLSDITKQCLECFARVVASHDAELLTRSIEPHEG TWRKHGSFDFLDLQRSFFLWIRETQALSPTESSLDRILQGLPLMSQSVSESLGTILRS LRHIEDKIESGTFSADEACAPAKQDFLQSWDLYCQGIDIGIGFLDRTADDIKKHSAQR LEHRMTTTSDSEFGKEIVSLVQRRFPSAGIALCRQLGDSITIRREKLIDKRRNWSART DHTKVSLPDPRGPSLERLDEPPEKAPTTLMSNTSTDQQDSLDYPPLPTIAPGKTHVRC RLCCMKLKMEGSEEENTNHWRRHIDEDVKPYGCLFPECSKSLRYFVHRHESESHMLSA HYKDWLRNVHASTWFCDLGHSPPVKFKTELLWKEHILDSRWHTKGERRLTKLQLDDLS SRKQLNAPRGQFACPLCERVPDELSQTVAEGNGDPDEMNKMLVSHVASHIKSLSLMAI LSVEESAKKITDTENDSLVSQGIPAKDG FPSE_00742 MGMGQNVEVVCCAFMQQMALGMSNMNPSPLVNKYNFATLGVAVP LRWNGADVWKSGTSFAVPIAVGIAATVLELAQHKCTKHGPRKLRALYQKQGMEAIFQG MATTRDGYDFVFPGGHWATQTEALSMIDKIMSYL FPSE_00741 MAQVYILYPSDVPFDLDYYVKVHMPMVSSLWGPFGLLGWEAITF PSGSPYQVQTVLSWKNLDQFSAASKGEAADKLFDDIQYFTTAKALILKGKVVASS FPSE_00740 MSNATLLCIGSGPGIGRSVTSLFATKHYKNVALISRRPEQLKIE KAAVQEAAGQSVNVQTYALDATDTDALLSALHRIEEDLGRIECVFYNAARVQKSAFFE YDLEEIEQDFKISVSALYTTLKYLMPRLVELADTPSQYKPALIVTSSMLPFEPMPDLF ALSLVKAAQLNMVRSLALVYKPQGVHVGVINVTGFVSEEEKERNPKNIADKTWEWFTS DREDMPFEVRI FPSE_00739 MAYHNNTTVRNDYGTTYKPERETGLLTRWVPEKLKHSGLYRWIL RLTHIGQFLSSVISLGLFSARLRKVYKIVNVIKTRQGVSAAFGAVEGILAAAVLYTLI GMIISLLLKGGGPRWLRWLWVLLDIAFVGAFIAVTVLTRPDGGPAGPRHCYDTRGVQG VFGNNTDTTDDSCNLPWGTFILAILSTLFHAFTAAFHEVRERRKEHHVEKHPAQDHYD QPSHVPQTHAPQTHVPQTHAQPGYAPQQHVQEGHVGNNRAVY FPSE_00738 MRLFRLSDGVIEMPEKDSATRIIRSTDPKTANTAILHVTPSPAN ETMRFYSHKNAHAAFIVWTGCFLFFDGEKTEQLRRGDFIFIPPGTIYGYQSLVPESEL LVVTTLEDPAALVGALDENQDDAVRSLADGELPDPRHIDGYRPFDPTKPIDQDASLST FLRPYSLNPFTCPRWIFGGVLARPFVRQTQCEGKFSISVMESSQIHRVRPFLNRWLNF TSVDHCFCVIEGTFLLKLKGQAEWTELREGQAMLVSARQAFTADLGSEFVRMLIITNG IGIDELICRAGHEYESTTLPETADNRWDTWDEIRFRSACSEVGALLDY FPSE_00737 MLFTNAIVAIALSVSVNAMPQPYPNKCGDQVCPANKAKCCEVIV NGVAELGCFAECPPIQALERRQPYPNKCGDQVCPADKPKCCEVIVNGVAELGCFAECP APPSGEVNFGRRDEPMVTIAASPPIATFGPKCGDSFFCPVGKVCCPDALYECADPDKV SEQCPKQ FPSE_00736 MRPSGFLVSLSLVPLALARAFPPTRLESRKEDSCSSAQPSASAP HKNFWGSLTKKETADVLAFLHRDATGFNLTVAENATSRDNKIMSVELMMPNKSDTLPF LSDKAGSPTRYALAAVMFGVPEKAYLQEFKVGPLPITNASSVTPFTFANTKKGDGKIA VVNPDAEDYGNFNLKIMKEAEDVTKRLWNLTVDDGLQIPLAFAAPINVTDGKVIMWQG FNAPVTSIYDTISLLPLGLYLRTDITGRDPSQWKVTGWVYGNEFYKDLDGLRAAVAKP DFKPLGMNLDQPWAHTTKHGDDLPLDDEAPPANVQSGKSRFAVDEDESYVTWMDFSFY TSITRDNGLRLYDVKYKGKRILYELGMDEAIAHYAGIDPVQSGICYFDSMSGFAPAMI SLVKGYDCPAYSHYTNVTQTTGETTFTQKDALCMFEIDKGFPIQRHSWAGHTTVTKNI AFNIRAIYTIGNYDYMMTYQFHLDGSIEIDVRASGYISSAFYAENEDYGFKIHDSLSG SLHDHVITFKADFDILGEKNSLQKIDIKPSTEKYKWSDQTRNTMKAVKSFIDNEDDAK INWSPNGATMYAVVNKDEKNPYGESPGYRVVPASGVAYLTVQDSSVMQNAGHHTTHHL YVTRQKDNELYAVGAYNSLTPEDPQVDFNEYFNSESLDQEDIVLWFNLGMHHMPHTGD LPNTVFSTAHSAMLIEPFNYLMGDPSQASSQQVLIKTKKDGKPEIVTHGAKNATCAID MAQLNPDLSDYSNSISVLKYPFDGSKPDRL FPSE_00735 MRLSLKSFAFGLLSMQACMIIAGPCKPPSITSVGHYSSITSTVT ETILSEDSTFSGDVTTSAIAEAISSTTRDESYDDSTTSAVSTTATLSAATTTTILPEL SGTENLSGTQTTTFLPEQPTSTALAISTTTSVEAPLGTTLTAVFIDEGYEKEAWLPMD PSPNVVITSNEDTESAGALFGLEAGTSRLYAILPSGEKRYAATCVQCSGGGFAFYTEE ERTAGYFVFWAICTEVENRYLSCTPENGESNSYPHMYFYESGGHYWQTRYPDRRGQTA VEFRLG FPSE_00734 MSDYDIVQSFKSTIGSNLQDSYTQVHVLLIAWEEHDLGDVDGEI RDLRAIFEKDYRYSSVVFFPIPTKGSSRARLNREISSFVEEQSFPEGSLIILYYAGHC SPDSRGQAEWAAFERGGPTVSWHVTQQLLFSAVGDVLLILDCCHASLITGGSKDGDGR FELIAASAKGAKTPVPGRRSFTRALIRLLKEHVNTGISSESLASELREDPKITGKSKT PVFHDFVRKSATKIVLQPLETRDIPEGFAAKPAGYLVFRASLSDDVTGLQIANWLKTA PPKNVTAVSIEAVVSRARRIQDALKDGAFPEGSLFEQLSKPARDEILRGIRGLNTVMD SCKEFATDTTTSGDELVQQSLAEIQDKVLTLRTAVQTPLLLDTAISRSPSGVPKEASV ELRAALDVDESRHLADMVIRPDPLDDSNNREIDRDRILESLKRNSPADKVSKRFKLGS IDGRSVIMETWKYKESDGSSGEPQDQTLRQVRKITELLCHPKDKEFHILSCAGFFRDR LRKELGIVFWTPPTFDSEGEVATLHQLYKIHRLVPLGQRIRLAWAIATAIENFHRVGW VHKGIRSDNIAFTEVLPDLKSDLDDEDPASPFVGNFDFSKPLLFGFEYSRADDEATFL EEDYTLANNLYRHPDRWGRPTARFEKSHDVYALGVVLLEIALWKEASSILKSFLETKP LVASNVVKALIGKCGKTLSHQVGRVVAQCIVKCLEFPVATKSMTEYDAQRYFQRNVTE PMSRAVLRV FPSE_00733 MTDLESDRQRTDPVCDSSEDEEPQHYRSRVEEYEDKPDWPRPPL YRVNEVVYVRSPGQAQPAGPYIIISNYDNTKRKRIAGPSSAVYQETRHSFGVDSGPFI PNRPFQGSPARIDDFPRGYPSLSAFMSADKDFTMFRSFSRLHTRVLLHKQDELTELEQ RLDELDQADSKVAPYLLITNRDVSGDKERRALLSEIEIKLNEYNKLLEALMTHQERPE PDESQIRSVRNWVDGKRPVVYSETTFLNDRSDLKRSRHTIESGGLESLLDSLSTWPYL RGICKLFSLLDKSGRSSDPRVKIIRPSRLVAVSRALNTLLAIATLVAPIVVLYLVKPI PMRLCIIAAFTVVFSSALCWLTPSRNYEIFSATAAYCAVMVVFVGSLPDP FPSE_00732 MQSNTGSEVWDSRFADTSDENDAWTPSSVSTGNLKTDNILQDVM MLVRNELPYVQREFLKFDSRLGAGTSFEVNKELFGLTGESPYFVAVKRLVMDSVTGGT KQTVEQLKQSSKRLINVKREVRVLTHPKLRSHSCLISAIAWGWDQDLTLGNRPYLVMP YSPHGTLSIFAQKRSLNLIDRRLLALDVAMGIRALHDCDIVHGDVKPDNVLVYGYLTR DQDHERHYLAKLADFRCTLFKHDFEQQHEYYLGTPKYNAPEICGWTKDTDDEAHGDET SKFAGYKAADCYSFGILLWETLKHAKSFIEHDWLNPGENAMSFLERAFYSRDNVILDL ALEFLRSQDSDRGTSEGKDSQPVAGVYPYPSNPTTAELNGYFGKWTHLRDGLDAIGAP PDSQCFQAFEATISLCLQDSISRRGNIQQIFEALSEGIDGEIPEGGKTERLHPVEIKI NGESPYIGDRYEKVLAPTMEMSPITRAVFLDKGAHTCSSGKNLRVVPREEPADPSLQV TRVTAQKRCETLVLTSQAYRYKSEDMFMAISRRQPPWYNQCEAAKVIQEAIRIEDDPE DKARAHLQMAIMCQVGYGVAPDSLMALSHLEAASLNNKVAMAIYNRVHAALKPGQNKT DASRVRTTEMNLDVFHDGVAWREASGKATDGFISLGSIYVESFRKFQILVKKHKYTSH QLCEALTAACRDGNFDAAIVIAQHCEDISYMDPNMPNDLHWLILFSPTEAADLLVAMV SGSEQIDKAKRQQAVPALLTAEHEQVNVMLPHRCIELRGTPLHWAVIAGCKDLVEQYV NLGADINIRTQWRKTTHEDGYTEHTPALSPLDLAVADHHHEIVKYLLDHGSEVYGGDW QWTHSPFHMVGYDAFPFARYVAHGQSYRAALRETIQALLQKGLDINALDNTKRTPLFS AVKNMNLEAYVLEELLLAGAAPGEESEKHHGNIVAYAAIDSPHRQLSWTKIPLLLPLV SDINACTVGEGGLNALHYCAIFDALPAAEILLRQPTINLEAESAVGATAVHLAAQRGS LGVLDLLIKYGANLERREPLEAAISAGQIDALRILLEAGSNTSWKNRDGHTVNILGYA VRMHSQRPSYVRACLSKCPQLRAQEVISMGDDHGWTALHYSAYYGDLDGARALIDYDA DIDRTTSLGLTPLQIAVETYEKLTVYANGVAHFTDHPRIFKDIDGLDRNSLTYPEKSR RIEMAFKDSLFEVIRVLQQAEREKHPGRTVKEVSRSLGKVQTEGYMSQEDVRNHHRDL AFTAARIEK FPSE_00731 MYFSKNTIFALVASVGLVSAALPKANEYQSHDCSGNLNYGHHAF DLHMVTMDDSSHSVYQAGTGWYLFEGKTGNGGRCEGEILGTVISGTSNCLDLDNTFPG KRIRCLCNPLIGANTNGINSCQYA FPSE_00730 MTDAVTKDQSWAFVIEHIAEKKDESTSEAVCNGIWTEILRRHFS YPDFIIAPEQRQTTGKRPDLTIFYVDKKRDLSSWHPIFTFEGKAPYHEEYMVNKGLEQ ASGYLKTLSWSNRREKMTYGMLACGKSFMILKYDLVQEKLFRIDPSDQEEKADAKTSS LDTQAQGFDLFCKNFAASFL FPSE_00729 MERMIPLWVSLACSIEPSTTGKAHLRDRPSLYHKNAISLSWTWN IKLSPGSSTRERFKPCVLSRALTIQTN FPSE_00728 MKFLLISLAAIAAALPTDKGIIPGKFVVALKPTDDLDLHARWVS DINRESLSRRGVESVGIDKTFSFPGFKGYSGSFDEETIKIIKANSTVLRVEPEQEYTV AAQVTQQSPPWGLSAISNAKPPSSKASYTYDSTAGEGVFAYVLDSGIYLEHQEFQGRA VFGADTSGVASQKQHGTLVAAIVNGATYGVAKKATVVDVQVLGDSAGTTSGVIDGVAW TVNDIVAKKRAGKAVINMSLSGGSSEIMNDAVQKAIDAGVPVVAAAGNMNDNAANWSP GNNPNVITVAASNKNYQRWQHSNWGPACDIFAPGQEILSAWPTSSTGSRTADGTSEAA PHVAGVIAYLLALEGPRTPAMIWARVKELAIKDKITDSKGVPNLFLYNGIGK FPSE_00727 MNQEPLPKVPQDEGDEGSRSVIYIEYESQIKRLVRDLFDLPADS PSIYLDASGIGNNILDDLHLFIPPTNTLYLVDLIRLGDAAFSTVGNGEMSLRLVLESK SIPKVGFDIRDVSRLLFRQYNIALAGIYDIQLMELASRTKGQSMKFLAGLAKCIDRDI SNSNDRKIRWLNPAQPSNLYVHNTVGHAPKWVMRRVEMFPVLWSFYKQKLDRPKKAMW LHLARQESEQRVHESKRNAGSYPERQSWGPEVFWDGEQRKAAIDAWNDGKLLDKSLGG IEFCDD FPSE_00726 MHIVQFSIVAAAIAASANGAKVEQRDVDECTSAASGLVDVMSDM PTADMTLASFLAKQTDFEDVADSCVIPAVTGSMAAAYTSYAKSMENWISEFKDDLSSV YDACKDVPQVQEQLESYAIPSGLCSSYAWATASATEIATATAKATNSVADTATSTATE TTSSISTPSNVAENSAVRSTGFGVIAVVAVVGLTVAGAR FPSE_00725 MSSLSHASLLLRASTIHTQTKTTETYNAIAINGDRIIAVSTDYH GLDAYVGPHTRVIDEPGSTILPSFDDTHTHLMFAAMSQFDVPVHLAQDIPTMLDMLRS RAANTPAGEWITTTANWQEYNLREQRFPTLQELDGVSTAHPILVKRGGHNMVANSVVL KLAGITTESESPEGGVISRNKDGSLNGLLQDNALAPIFEISPHPSLQESVAGIEAASK SYAATGIGCVRDCAVFANQMKMLKVARDQEKLHVRMRVLIAALGMTSVAEVERLMDEI EQWRSLDSDMWLRVWGVKFGIDGGIEASATEDPYAARPDHGCCGPTDFCGTLTWDPDV LVEAMGVVLRRGWRIGTHAVGDRAVRVLLDVYERLLRMYPELPPGSLVMEHGGLATAE QRARAVRLGIPVTVQHPLLHDAAGIQSEYLGKERESHLFPVRQWIDDGAQVSAGSDYP VGSYGAMHSVWGLTTRQTVLGVLGPEHAISVQEAIDLHTKNAAKLTKEDEYRGCILPN FLADLTIWSHDPLIAQAKSLSALLPKYTIVGGKIVYEQGT FPSE_00724 MATQTVQPGASTAQETSLTIYLYSDDDIYSPLGSVTYQQSDTVL DIKRRVQAQLHYPTESQCLVLRSRVPNTPIQQLGDTGRPSDTDGTPSIRVDLANATKP VAGGTSYQEEHKTQVSDSNNRLVALDADQTARTSVGQYYSEEALRHGSTNIPIASNGV QGARLRGESKLRVGDQFGGSLW FPSE_00723 MAEIFGIVSGALSVAAIFNNCVETFEYIQLGRRFGEDFQRYQLK LDLAKTRLGRWGEAISINNEPRFSCTTSDDKEVNIAREILEDIASCFEGAQKKSSRYA DRADQQELEVFGESNMNPMFRRLHKHSKDIARQRQKTTSIIKKTKWALYDAKSLERTI DQICSWIDELEKLFPAESAQRQLVEREIQMIDDKSSLEALEDAASGVDPVMEDAVQRK LNMIEGHNSAEFVNLEGSAKFLVGNVFSEKFLQRDTLLNDRTKNSMRTVSATNQSRLQ VGNVYGARGIWED FPSE_00722 MSANSAHTVNASDSSRVHIGNNNYLHQDTKDRDCIGALYETDPL RDKQQIIDTKGGLLPGASDWILTNDNFTRWRDGEECFLWIKGDAGKGKTMLICAIIES LARNNHNHLSYFFCQAGVPTAHNATSVLRGLIYGLVRYHPLGATALSYVRKEFDLIGD KMFEGTNLWQTVRSILFAILKDPLMNQTVFVIDALDECEGNQTELLKFLVETNSQLGI KWLVSSRKDPAIENKLRGIVNSEKGLTIDLESNEHLTSGAVKNLIEARLTDMLWWDTC GDDLRDTIKHKLKQKSGNTFLWVAMVCQELNDCTATQALGTLEQFPQGLDEMYKRMMD KIAASKSASQCKEILAVVSASYRPLNLKELAVAVNSLSEFQNNTAALTQTVLSCCSFL TLRNGTTTVVFVHQSAREFIMNKAHEEIMPDGILEQHNKMFQASWKTVKGAMEHFINP ESEINWNFPDGDRLESLGYACTYWVAHLKHCGGRKEERQHIDVEIEIFLHEHLLHWLQ TLGQLRSMSEGILSLHNLVSILSDTDVKGLLELIEDAHRVALSFGNAIEADPLQIYTS ALLFSPVNSVVRKRFLTQGPSWLTRNPVIEEEWGSCVQVLEGHKHGITALAFSPDDLL IASGSYDGTIKVWKSTTGLCIEEHHNAGHPVYSIVFREGQDYLSSVYSYDNFAIQVWE PAKEILRTMPSSDNCYFQSLTFSPDTKWLACGTHGPVIKIWEVKTGALIQTCPIADYN VKDVSFAADSKRLVSGSAECGTVTIWNTTTWTVERTFQDHTGIISSISCAVKTQLFVS GSFSGEICVRDFTSTDFKVKIWTAHHSIGNIAISGDGTRIAAECGDQAEFWVWDVSSG RHTHTLNSNQRYFITLALSTNGSRLISESDNIEIWDITNDSHAKERPSHTNRISSVAL STDIQWGASGCWDRNIKIWDMETLSCKHTLVGHTDTITSILFLDDKRLGSASDDCAVI VWNFQTGARLHTFIGHTRSVTSLVFLPESDLLISGSQDGTIRTWNIGTDYSDNRSFKA SSHGVASITLSACRQMLVSIEIYSAIDFWYLPSWERKYFRSMDGKHPNSIAMSPNNQW VAVSHNKSFFSGTLEVLHVPTRLRIHSEEFKLRISDLSFDSNGVDLKTNVGVFSLSLV DVKEGKHEIRMRRLGYGISCDTTWILKGDKKMLWIPSKYRPGLSAMSGSTLILGSESG QVQRITFSTGDTMASSRSRRGSTTFPTSLSKRPRYSGS FPSE_00721 MTTTIKNEAFPYKPLDPKDFPIRVLQVESGDISQSICCSLVNYA DATERGWTCLSYTWGTDPPTEEIIINGVPLPVRPNVYSFLREAQRQHLTNLWIDSICI NQSDIDERNAQVRLMSQIFSDAKLVIAWLGQTSPALERAIKHLDSSFPADTVTIRAAE AQPVCCQLSPVECSSLFEACGATIWTRRWVKQEIMLPGKVVLYCGQASISITVFFAIM DGLVDYATLHNHTAPAPDPSLQADCPTEEQDEPKSCFYESHSYDQLHRQCANVLAFYS ATRAKGRQKQLPMLLKMFQDSDCTDFHDHVYAFRGLMDRGLEFPVDYNMVNIDVFLET LDFLAQTTHPRLRGASAAERDLFVDLYRGFKFTTEDLEGIFGYYETSFVLQVGYSFDM LRKDITVWSFVDSIDDPDEFKASPWREATLCKTCRKVVLNDPEIDEYHTVLNQLRAEP DLSDRDVYMYGLRSWDGLGLKGLRAVYEPRWLYGPSQDTLQLLRSDIREGHPLRAMSF DTRGKDRELEEWLQQVKNHLVVMVTDRDDAAYQIQIFIMPYCQSEECLLAERPTQGSG S FPSE_00720 MPSLKNTCFVVLSFLAHSAVATPSGPTPERSVQDIDTVVYIRSD DSGFYKSQDMTQAVKAKHAALPLHKRSSAKVEACFSPQCTNCRVIYDGSLSSNSPCVQ AVNTACLIVSNLNDAKIQAWNKAGCNGNKSTFRGCGARTNFAAPGTNSIGVHIGCS FPSE_00719 MSKTSQQIIKLLVSITLLSIVFGTILPAKLLRLLPVISSIVSLQ FAYDEYFFLSCWTKRQYREQANELLPLWFTNWAPAGTKIIFGSFTLSLSSGLANTVTI TRGTGDYIAALSYMAGTLFAASHLLVFGPTAIKLLAKIRRNDDDAKSTESLEIWLKMH ATRSLVVDLPAVVCFTIGLLNTMGSVV FPSE_00718 MKLYHPLLASLGLRQAQAHPSSLLNRRAACDGNTPSTRSTWCDY SVDTDYYTEVVDTGVTREYWLELTDVTVAPDGVSRSAMAVNGTIPGPTLFADWGDTVT VHVTNHLSTSLNGTSMHWHGIRQNFTNQYDGVSSITQCPSAVGETVTYTWKATQYGSS WYHSHWALQAYQGIFGGIIINGPATADYDVDLGMVFLNDWDHQTVDELYETAQTEGPP SLNNGLINGTNVFGEDGSSSQTGSRFNVSFEAGTSYRMRLVGAAIDTHWKFSIDNHTM TVIAADLVPVEPYETTVLDITMGQRYDIIVTADQADVADNFWMRAIPQSACSENDSTD NIKGVVYYGDSPGTPSTTGYEYIDICEDETDNLVPYVSKTVDAADWSDLETASVGKNS AGLFKWMLNSTSMLMDWRNPTLAQVMNGTTDFASESAVIELSEPNQWVYFVIETALGV PHPIHLHGHDYFILAQGTGSYSSNVTLNTSNPPRRDTAILPGNGYLVMAWETDNPGTW LCHCHIGWHASEGFALQFVERASEIPDITTSSYVSDVCDAWTAFQGEYSIEQEDSGI FPSE_00717 MRSSTLFKVVFSAFATSVAAAVNSEPVSLYAYGPGVDGHQLFSA GDELYIVTVLDDGKCQVSPNTTALSGHARPDWSNGTLVAPGPSISSRSVKIVNDTIDT SDYISAFMLYGQFFMAQENGQMLSLWYRQPTNIKGLYTVGWNASASEASDEFVPIVLK KTAPSNPTL FPSE_00716 MGIVGQQSNPGDFAAVQKSIIDLLNQPGYDDGSAGPVLVRLAWH SSGTYDKVTDTGGSNGAGMRYEAEGGDPANAGLQNARVFLEPVKRLHPWITYSDLWTL AGVTAIHAMGGPEIDWLPGRTDFVDDSKLPPRGRLPDAAQGAEHIRHIFYRMGFNDRE IVALSGAHNLGRCHTANSGFEGKWVNNPTRFSNQYFRLLLSETWTEKTIPESGMLQFS SVDQDTEEELMMLPTDIALTTDSEFSKYAQLYAKDKDVFFEDFAKAFAKLLELGIARN SDGKVINTDNQKGGYRSAPKKSDSTPATSGQSGASKTGGCPVMHHKARL FPSE_00715 MLIFSISRLVALVSSLGTIAAAQTPHDLPLDEGTRRFLEVLPPE KQADILREFGKALAGQVPASPDIIEAQELIWSYGRSPSFYPTPQGKGLGNWSRAYEYA SALVSQMTVDEKVSVTSGQTTTTNGCNGMIPGVQRLGFPGICVNDGPSGLHGVEAVNG YAAGVTVAAAWNKELAHARGHHMGLEAKRRGVNNLLGPTVGPLGRTVLGGRNWESFSV DPYLCGVMGAQTVSGMQQHVIATAKHFIANEQETNRNPSTFGMGNASVTSNLDDRTMH ELYLWPFQDLVKAGVGSVMCSYNRINGSHGCQNSYTQNGLLKTELGFQGFVLTDAGAL HSGVSSANAGTDATTPFNELWGSNLTAAIANGTMEESRLDDMVTRIIASWFKYSQFEP GTGIPVDVSKPHKVVSSISPESRKTIFQGAVEGIVLVKNYNNTLPLKKPKILSLFGYD ADVPRTNNRDGPYSKWDLGFQSVNVTDGQVLGLTIGVGQVPGAAHLGTLITGGGSASV TPAYINSPYSAFQQRAMQDGTFLYWDFESQDPVYANAGSDACIVFINEFSTEGSERST LADPWSDQLVKNVASRCPNTIVSIHNAGIRLVDQWIDHPNVTAVLLAHVPGQDSGRAL VEIIYGEQSPSGRLPYTVAKNESDYGELLMPVTADNASNYYTSANFTEGVYIDYRRFD AFNITPRYEFGFGLSYTTFEYSGLDLALTSAGVNASVLPPPGPDAEGGQESLWDTIAV VSVDVKNTGAVTASAIPQLYAGIPKAPVKQLRGFEKVLLQPGEVKKVVFSLTRRDLST WDVNHQNWVLGKGGYNFYVGASSRDLPLTHKLVL FPSE_00714 MGGRHRFARLKNLFKTKTAEDESSTAPSPVSAPPPSIPRTIPIV ETPPVEKQPEDDTERNKLQEELWNEAYNTLRDSGNKHIVEYEEILLSELKNDNPDITS LGTSYEERWQNMQLLVDIGLRKTEKEAKIYGKVNDGLQLFDTVRSLVTPAVSAVPQAA IPWVGVCFILEVFSNPAKQPGVHRAGLQHVLSRVNWYWNLAELLLQDNLEAKEDIGKT ALSNLREELRKYVLDLYQKFLAYLIESVCYFQKNRATAFLKSVVYIDYWGGKLADIEV SEAEFERMSKKYNTTESRERLGNLVIGVKNIEKAIDRQTQQLQKINEDENNRVCFQDL YTTNPFFDKMQIEHDKGTPLPHCYSWIFLTEGFQTWQQDPNQRLLWIKGDPGKGKTML LCGIIDRLDELTPNLISCFFCRATENYQNDATAVLRGLIWSLACQHPKLISHVRTQFD NIGRKAFDGPNKWQVLSNILKRMISDEENRVPEGTAIVIDALDECIKDNDKLLDLILE ICADENSKVKWLVSSRNWVEIEDTFMDESISSRRVVLSLEDDEEVEQSIKNAVDAFVK YKVEELKKKKKHGEIPPEVNTIFTKKAGNTFLWVALACKRLESKDVEPWQILSILKDL PSGLRDLYRRMMQEVKDSSHVSQCRDILAVAALVYRPLSLAELSSSAQSLSQHANRLD ALKRQVLRCKGFLVISDDVVSFVHQSAKDFLLEDQLAKEFVWEADHEELLSKSIRRNH RSILLTMLETMKKTLKYDIYNLQKPGAPIPLLDREDALDPLDPVEYACCYWADHISAF DDESASATLKFLKGSLLYWLEACSLLGEVVTAVLAIQKLQKLVIGTEYHELTSVLQDA NRFVLYFKSAIEEFPLQTYASGLLFSPKSSVARQAFQQHTLETSWLSLPVPEDWDACV QTLEGHTSTVLNLAFSGNGKWLASASEDCTMRIWDAATGDCLQTMDYPYSQVQAVAFS PDSSCLVSASEGYEIDFWDTTSGEFKKSHSVETPGVLIIGLLFSPNGEWLASWSPESK VQIRDAKTGDCIYNITRHERVPIGPEIRCSFSSDSKRILIGSENPAIRDIVKCSWIGD TEISPDRLSTSGFSSDGTWVGHVYGEQGHSIWNISDNGSERKMDILGEDGYGLPPSGQ YPTDRPLPTSQRPSAFSADGKQIATCTEYPSRLAIIDTTTGDVAYSDVRSFQATASGL PKAMAWSIDSQWLAVGGAEGNGQITIWDPKAIKTASKSNEIRKRIHTMALSADGQSLA LGSEDGIVEIEDLARPGNSVLTLEEHKSAILGIVFSPSGNMLATQCASHVKIWDIKAA GKCVQTFEAGPIDVRFIDTSFWPMAFSANDSQFAFGKGEEMIEVHDLANQSMFELQME HLSSLEFSPDGLSLAAGNQVENIQIWDLQTKDVHKQVSGPGSQMSLLTEQGRLIPERW SQESGTQDAGTENNDTGAVPLVTKGYGVGFSDAWLTKDGERLVWLPSEYRSSSNFQGH VLVTDAVVAIRNSSGQLLMFGFGD FPSE_00713 MTVPRDIQHTEAPAPGGASSQPPKQPQNHNSVLVKLIENIAKNE PERPFQFIPSGDKAQNGWKPVTFVQLNNAINYMAHSLSNTITRSPDDEEFPTIAYIGS NDMRYTVMLFACIKAGFKALFISPRNTPMVQLSLFEATCCNALYYSESMSAAISPCLD QLSMQSFTIESLDHFLNVESSPFPYNRSIDQSRFDPLVVLHTSGSTGIPKPIVVKQGS LYVLQNLIHKGSYQGCSYSLASLPEGTKILVSMPMFHAAGVVGSLTGIITGTTAIMPL PNKPLSVDSVLECLEISGAQAVILPPSIVEGIAATDEGVEALVRLYELKFAGGALSPA VGNMLVEKGVKLRNLIGSTESFPYALYASPDPKLWQYFVFDSEFMGLDWRPCGENLYE CVICRKDLSDPGNQSVFYTFPELSEWSTKDIYQPHPTLKDHWLHMGRADDIIVFSNGE KLNPVSIESAVSGHPLVKGALVVGEGRFQAALIIESVDEAHAPKDEAETEAFIDQVWP TIEQANSETVAHGRITRNLVAVADPSLPFARAGKETVQRAATVKLYKDFIEALYEKAE MNEPEDESVALNFESEAELAQSIISVFKTKLGVGNLEPDTDFFSAGVDSLQVMTATKV LKSALRSAKVETSTFTTQVVYRYPTAQRLARHFISLRDGSADHDNVAKEIKETERLVA KYTENLPASKANKMPPKEDNQTVLLTGSTGSLGAYMLDTLCRLPTVKKIVALNRPDDG GASRQPSINESRGLTQDFSKVEFLHADLSLPDLGLGQAKYDALLASADRIIHNAWPVN FNISVSSFESSIRGVRHLVDFAAAADKDVPIVFISSIGTADGWSSTDKVPEEQLTDMT LPQMGYGRSKLAATLILDAAVEQSGIHAASVRVGQIAGPRGEKGMWNRQEFIPSLIAS SVHLGVLPDSLGPQQEIAWTPVEDISGLILDIAGITDPKPLSEINGYFHGVNPSETNW SVLAPAVKNFYGDGMKIVSLEEWVERLEASAKDKDVDVERNPGIKLVDTYRGLLESAK SGRVMKFSMERTKGHSPTIREAGPVTPELMVNWCRQWGY FPSE_00712 MQLNDQYGPYLYAALMLFSTIILAFPLAVASWPHIEACRKAWPA EPCAYIAGNAMVLGTCESVCETTNCPNNLVCKPRRWTRHCDNCGGDLNTGSNRGSTHG TDHGPTIL FPSE_00711 MASLHTETARSILIGKQKDQVHAHSKLTHHGKTSSNGSGVSDTE LPTPPLPAYIVDEASSSSSHAPSLRSSWGPESVGTPDTNTPAPASVSGAAATKPLDLI VPGARLPLSFSNCGSSNASEADRPLTPSADHDITPVPQPFSHQHDDAVHEFKNNGADA AKPKVDVSAEDDVDQAVLMKRGKRKVSIQKYILTAGLIAVNFMFIFATWWWPRYYYIY LPFISFPLVLNCAMIASIAFFSFAHYIRPEKQIKPETPENLVYVIPCYNETYEECTRS LDSLVNQTGLEGHKKGIMVICDGRVRGPGMSKTTGDYLNEDIFKHQTQRKKIRGAYTA WDGQQMDIEISKGFYKGTPFYCIVKDQNQGKRDSLIVIRSFLYKFNTRAQNPESIFSR EFLDSMTSWLEDEVKMENVDHLVGMDADTVFEDQCISELLKESYYPNTVGVCGYVAVD YKDSNWNLWSLYQSAEYTIAQGLRRLHQSIATKKVSCLPGCCQLLKICDETCGDLVLV DLFGYFPKHMDGMIKRIRATASEDRNHICQLLVTFPHAQTRQALFARAYTDVPHSWNV FLSQRRRWTLGATSNDLLLFTAWNTQWWERIVAFSNVLTWSLNIFVIASIGCMIVAFM SQPVWLIMCFAGVMIIPLLYYIVMVTWLPRNLVERAQFMLGLAIFVFLGPFLNIAVMI YAVINMDNFGWGKTRKVVTDDSEEISQNEGGVSRDNSSVEVKEKAIAVAV FPSE_00710 MAQVFSSSMGACPDFGMLTPSDSPSESPFYPDTPPLSSSPSETD LSLNSAASASEITPETTPVVAVVGVGYVGTHLVSSFSSKYQVIGFDVSERRIKELDQE FQGNNHVRFSRTQSDLISATHFLISVPTLLRPNKSINSSYLRDALNMVSQVARRGSTI VIESSVAVGMTRELVGPMAKRLGLFAGMSPERVDPGRTFPPVKSIPKIISGLDDMLPG SLDTIYRLYSTIFDNVVKVSKPEVAEMMKLYENCQRMVCIAYANEMADACIPHGIDPY EVCDAASTKPFGYMSYAPGVGVGGHCIPVNPYYLLSNSKFPLLEACSTAMNNRPSKLA QRLIASLPSTGKRSRVLVVGLGFKAGQSQLDNSPGVDLVRSLAISDSDLDLTWADALV KQEAVPQVSRLADQDWNKSFLETFDVIVVAMKQHGMDFSLLEELEGVRVESWCR FPSE_00709 MRPSRKVVILALAAAAVAQNDTQPEEKPEDWESDQCDCYLTDGR DPGYYTQHRFWDFRNLAEYAGIPDTIAGENASAEADVTSKYFKTKAWKSFWSIQSWSN RRSHDSLSYGAMFPMVNSPNNIYIRSNPDKNPSSETYLSMRTNRQEEFQVASEFDSVD RFQFLSIRFMGRVRGAPGACMAMFTYVPAKEIKDVQEADMEILTREDHDRVHYTNHPG YSATELFPKATRNITLPDGLKWNEWVEHRLDWTPTQSIWYANGIEAANISFQVPRDPS LLIFNSWGDGGVWTQNMTTGQEAYMELQWLQMVYNESDFSETKRKRDMDQDVGPRGRF LRRRGEAVENVCRMVCSIDEDDGVGAVTWLWGNNTAASVLSGHGVCGNMNALITWVIG LILLSRWM FPSE_00708 MKNEPTHEHLEQMARSWDHHRKEEKLKAVQHAADNGAPSWYLRV LMLERRGYEDCESDDDISDEETKFDEDLSDLGDEDWNDGDVTYECECDESEECSCGHQ WLTSEEKQRREKYTGSDAEYFYELKAERSQRKHEVEEQRQFLQSEKDAALKVDHHMEK KVQKAYAKLQNAKAEGDSRPIEFDTASGMHFDLYSSEFTQIWWDPYHPTRYIELWEMS NIEHTLGVPTGPGGIEPVQPQEKEKNEDSLDEEDWMRGHIYFNSDTGCDLVSFEAPKY IGLEEHTIRTNKGDLEVKIRFISNDYLIVTIPSSIALDSAAELDPDVKIPETFVFYAI GH FPSE_00707 MNFRRDFQFDPFSEEAAQFGNQDDLSNIDWSNPMEFMKSLGGPM PEFASPDKVRKEAREYSRNIFASYELLNQILQRHEATIHKRWTGKTRQQRLQILLKAW PAMSAPHRPDFEAFRRESNQQREGGSKFKDQFMWPCINQEDLLQPRMMLLLLNARGRN PPPVFAAVDNNAMHLGLVTKALVPAFLNEHTMILHGAATANDYGKLLEWDSHPDAFDW MHTRKQFLPGEGLLVLESQARLMSFLVDFCQEILHEVSTATITSDAYPIQPEPTLKND GGTSGYTSLAVMAAEAPYRLPLSFDLDRVASLLEAQMLAAEDHIWSLREDPAYFSDQF REIQDHRQEMLPDTQGNPHPVTHRLREHTLWSRVMFAMLMDAYSTLDVITELHRQARH LSMLQKKYAKQIKPTEDLPQDYLMALLRFRFFLQQTAKGPLDQLKIAVPPSPPMRKFF VRDPPIDKNSTKILVMGRRGVKMDKVETQLIWLLQTLWEDDRNLFLAQLPIIVDELQR LLQAEPKADALISTHVAKVISDLAILAQCLKQLELYQPWAQQFEMIAFEYEEDFKKHL TTLQKPVKELHGAFMKKSLDDVAKLAEPSGGKFTYPYSKRRTKETVDTLRLAESRLDA VWAKIDDLTKATVTNFKDTSLYRLLSQPRTLRRTAEWVEPEQGKDKKTPSLDKDLWSL DRPISSVFFNVSEQATRKFDMSVQPKTKTKTKGEPRIEDRISHMALEPEVTEPQQRFP VDSRALKVFRTIFFNPEVTSTPGSVVWNDFLYAMASVGFQIEKLYGSVWQFTPTKLDV ERGIHFHEPHPKGKIPFEVARRHGRRLTRAYGWTGGVFVLKEK FPSE_00706 MKINTLILLALGSLRVAADSDFGATCHDISVSQPGGNANYPKDR FSLYAWCRKEKGAWSESFLNLSKCIANDGGNLVARENGGMGGSCRDMRLSGTVLLSRC VNNNGGTTDASIDLNRLVGNRNGALTCFGASHAS FPSE_00705 MTSLTKVIDDKVVTNTDVKPPTGWTVNYEDFGDDSIWGEEGEVA DLVGSYGIFGVVKPLFRALTSSDEVIFLIEINEQYYIYHGESGWVQRVVSPVDLEEIV EFINEQGYWQLETEDLE FPSE_00704 MEDLELLQLPLAFQNGSARLLTGGLVVRDIERWCDRKAEKGGVK SVMAVVFFNTGADAIDYVKIKAHVAARAGVSYWVYEMPVDASTTQVMSQVKKLNKNPR IHGILIQRPLPPQLNEPEIMRSIKPIKNIEEYAKGRANNIAADALVRLLTKYGLRESA QQAKIQIVGFGNIITKEFIKQMKSQFPYVGASRDFDPSYDASKEDLQMQAEKPRDSII ISELHHGPGFIKSSMVKPEVSVLVDLGFYVTEKGVLGDVSHVLFDRSGLAIAPTPGGV LPILLWIMMERTIKAKNLITKEEMQGCYCVAM FPSE_00703 MDIVILHNRIRDITATLKAAIEICKSATDAQSIPVPLQTLSSQI PWALAAFDKAREGIPTIDATSPDALALESIIKGCVKKSRSLRAYLRGVIPHAELSRLD RCRRFLGLGATVDMVVELKDGLFSDLKTLADNRAIEAEARENIKALVGFATGEPGFDL WGVPDSD FPSE_00702 MYSPTKLFIAVMALTTFATASPVAEKSDTQSLEARGSCFGYANY AACASARRRSCPHGAGQNQCFTAASRACQQNC FPSE_00701 MSLKFTTLDVFTKTAFEGNPLAVVTIPPPSQCPPLTQAQKQRIA REFNLSETVFVHDSENHSESSERRIDIFTPKAELPFAGHPTIGTAVFIRPQGVKTLIA KAGKIDLEFDANGSARAAIPHNVRLHAHRLTQPEYEASSDGQIVQVAAAEHGAQLFSI VKGMTFALIELPSLDLLGAAKIGAMAYISGDLQDDGWKHDFDSRRYYFVLLDSERSSD GKQFVQNVRTRLVKRTMEDPATGSAACALGCYLALHKLSALSVQFRITQGVEMGRESL ILVDVMVEKDGNGERRVKTVHLGGNAVEVMSGTLRVP FPSE_00700 MELKEEKARHSQNFAGRVTSTWIYFVVTNVIKVEKLAFSHAGHL AQKRLAYGRKLNQLETSALISNVLQEIIHNEDYSVADLMKLGKVIIGRRHVHPSVVAT LKQMQVEGTFETGTHLITIHNPISTDDGDLKMAFYGSFLPVPSQDLFPSVNEADFHLL AMPGAIRPADAEDIILNAGRDRVRITVTNKGTRAVHIGSHFHFMETDPDLNFDREKAY GFHLDLPAGEFLRFEPNEPKSVTLVEIGGSKVIQGGSGLAKGPVNRNDVQNILQQLQQ AGYQHSPEASEEQNAFQPCSISREAYASAYGPTTGDLIRLGATDLWAKVEKDYTFYGD ECTLGCGKTIRDGMGAASGCADADCLDLAIVNAVIIDWTGIFKADIGIKDGTIVGIGK AGNPSVMDGVSANIVIGSNTDIIDAGGKIVTAGGVDTHVHNICPQQAFEAISSGITTL FGGGTGPSTSSTAVNGTASKKYIRQMMQACDQLPVNFGLVGKGSDSEKVGLLDQINAG VIALKLHEDFGCTPSTIENCLNVCEEQDIQCHIHTDGLNEAGFLEHTAAIFKGRSIHV YHVEGAGGGHAPDVIKLVSYPNVLPSSTSPTMPFTTNTIDEHIDMAANCHRLSKDNPD DASFLKNRIREETISAEDILHDIGAISIMSSDSQAMGRSAEVLVCTWQAAHKNKLQRG ALVEDKDTGADNFRIKRYISKYTINPAITQGISHAVGSVETGKLADLVIWDPAEFGAK PFQVLKKGFITYAQMGDANGALSDVEPLVGRPMYGALHPESSVMFVSQASASQGGDVH SYNLKKQIEVVKNCRTVKKGDLKFNSATPNIDVDPETLAVTCDGMAIKSEPSCIYCHI YRSKRASALSADYDLALLVLIFPVIQPNSVICETQYLTHFVHYYISTHNMDNSEEDDS VIHRETGTRAYRLHNRRRPCDKCRARKVRCQIEDDKSQCRRCAQSQTQCTFEGRSRRT TRQSRTTSVASSAHSPVVNHASHDDTLGLDNSFGIDQASSEQCSSSERPTIFVATPPV FAHETEIPYDHAQSLGSVATTTQLQFSRSLDDIQGQTSTLLGSSSEADPWLLRHCQFD EYGLRNFYGLQFRNIGGVPNRQKIPVHFIVTPNSTNDATFDKMMSLRSRLNGLIQPSL GIRLVKLFYKHIYPLMPIISSTYFTQELLDNPLPGPAALDHVPCHLLGAIYGLALPFA RNDEHLSIIEIHHQLPSADVWNIVHESLQQQLRTPDLSVLAAGLMCLHATMNHRFTTT TDTFKWTWLGTLVGIAQNLGLHLETRMCAIPAEEKQLRARLWWALYIEGSWLSLLMGR PPYISDDEWDVGELDDTDFAIPTSIPCTNVGHAEITRPFPDMARLSVIAHSVQSSLYS LKASQKLSENLSLSIQTAQPIFEQLSSWRASVQVPEASRTSNNETLNDKESYPAAILI AHATLVTYVWRALLRPIVPSAVPPLVVDDQQLAEASLFMELQPRDVENLCWDVPDLSH IELPLRSSADGTMSHHTIIQNLHQSSLSWATSLSSLVKNLSPARFHEFWYSWSNICFA VMSSFAMVVFIQSPTQEAGVRSKDMLTSWRQIARDQSKVSPLLLMTLARIDGYFAAGL SDVFSLPAHVEAALSP FPSE_00699 MSSVFTITVTNNVGYDVDIFNVFHSNPNPTSGTTVASTYTKLAT VPNGAVAQQVQGVPDGSSLQAMITGNIATLNGNYYQQFPVAVMAVSDFAPTLAFTLTS DMLQNMVDSFQFIKYMQAYPSSALAKGFRAALAQKVPTEAINTFFTGTSNFQQCTYST WNVVFTWQTQFTSAWQGTYYLYTTDSSSADSTATPPATPPATPTAPTLVATLAITASA AASSAQLTMAAKGGQIIPVAMPGDGTMQEQNAGTGDQSVSLNPVWMSAVQTSDKTGDA YTVIGAAFAGTINNVNVAGNINTLVVPSIPSTSPTFDSVMSSISHYSDKFGSLIGILT GVATVLIMFKDSKAAERQRILDAQKEAKTEADAKEKETKIKAESDAKFQSELSQVSPT VENLASQAEDGYKAVAVADNVQKDMKTVLKEGGELNQELVDGSSDPAIEKTEENLEGA VGDLADADKSGTSVAQAEADLKNVDKTVADTSEDLKNEVQNATNTASQGEETAEKGVE KVNEEVKEQAKETEEVKEEEKAEAEAKASDPVDDKEFGNEKREGEGEGEGEGEGVHIG GGE FPSE_00698 MSTITVSFTNSLNVAVQVYDSFAPQDTSNYFGTLTLLTTVPANT VASVTLIHSTSTLIVSNATTNSPLARFIYIPMFSVGPFAVSQADMNAMAQTMDFISFM TNNPQDPVAVAFNAIWKDQSIPVAEPVTNFFAQHPAYANCTFVTYMMGITYAAEQPVS KSMPMDQAVYSLSSLVTSMGGTWPSGLPDITATKFTCSTSNGVLALQAEVDPSLLPAK SEEALKFFMSLFNSKLLLISININYALDQGIGGTQLTISLDTMQVPCGDLGTLTIKDP NVTISINPLFKFVTFEVAGTIPFDVFEKKFDAEVTMTIDNLEASFGVQVEGSDMTLPT PTLMKGVHFDSFGVGIGVFFEPPGAVVGLSGQLHIGDAASGAQVLLDDDSFVVVCQLE DGVPNPLYVSFYVPQMQLTDVITIFTNSQCPLDLPVSFKDMFFQWSENPMEPVVLPDG SLSPIGYGFKCRADILFLSFYGDVAIDPTNGINAEIEMAPLSLGDLFKFGGDGKGVTD KVDAAGNPINNNQLATTAVLQQANKDSTVQQLVAAGGPVLKIQTSASPYLYINASVSL LEIVNEQLTAEITSTAITFDLDFGSILTEQMTCTLTGLDNLAATFSYGIDENISLPTI ADVSLGSIDLDVTVNANLGLVGSGSGVIITLGGGFDFEGATLTIGSFEVDFPISTLSD VLSSILSQIENDAKNIFSSILSTAAQWAGKVEQGVITAVDSVANVLHNAFDQTASEVA STMKDAGFGAQEIASGLKDIFNLGPNEIHGLMRSIGFSNSEIGTVFQIFGGPLFGGFA SSLLGGGGHDDSVPDEIVKDVFGGIF FPSE_00697 MALSNFGSIDYPFLVGGLLLVGFIYTIYRSILPRPLEGIPYNVA ATKRIFGDLPEVRAYGSLTDWLATQAVKHQSPIFQAFIRPISKPWVVVTDYYEASEIC TRRFKEFDRGSASTSIFKGVVPGSQITLQSHNPQFKKNKELVRNLMTPTFLSEVSAPE IYDKFSRLVELWNLKLELADGKFFAASRDIHHAALDIIVCASFGIDTQDTQLVKEKDQ LSIEPRSENDGEISFKEVPLREELGALTILSESVAKVVRTPSPPFFHFLYRNLSSTMR NAIALTIKLQRREIANGIKRRQNGEAMKCALDEISVREEATAKKEGREPDYHSQTIAS ELMSYLIGGHETTSSTIRWGISYLSRDQRAQSELRKGLQEAYQQAKAEKRPPTLTEII QTRVPYLDAVIDETLRVSYTFGMTLREAVVDTQLLGAHIPKGTAIAFLSNGPGVLSPP IQYDESRSSEWVRARKPKQPADQEYAFPDFVPERWLKSVVNEAGKEEVVFDSQAVPIQ AFGQGPRGCFGKRLAYLEMKIFFTLIIWTFELLPLPAHVATPKEVSSLTRYPDRVFIK PRRVVL FPSE_00696 MRGLTLWPIVVIAISLCEPVYAKEYRVQDAPECAMKCLDKFLER PIFSDASIERLCNVTTVSDNVLICVRGGCSVKDLLEYMREERVSCNRLDIDNDHNIRA INFFILGAILVSISIRLSTKFHRFTKWGVDDYLIIPAAILAAIQTILMILMTWSGLGH DIWTISEETTTRFFIYLLVVEFTYVLSLCLIKLSILFFFLRIFPDPTFITIIRWTIAL TILSTTIILVLAALQSAPTEPSQDGWDGFPNSGPRLDIQALILSHAGINVALDIWMFV LPLTQLYNLGLKARKKIGIMLIFGVGIFLIAVSCIRIPHMLDFNRTLNATCKIPNPIT DT FPSE_00695 MMYLNRLLISSLLAVPALAAPSASTTKACNEIAKNLPDIVSFPY AITFNTETTGYWSTTLREIKPACVVTAKSASDVSTAVTILNKYPDVKFAAKSGGHDPN PGHATAGDGVLISLAEMIGAVYDAEKKVAYVKPGGEWNDVISALNKDGVAIVGGRLGL VGVGGLLTGGGISFLSSQYGLAADNIVSWEMVNANGTIVNIDAEKQPDLAVALRGSGG QFGIVTKFTIKAYPIGKVWGGLRTYDDSKTDELYQAMHKFIPYNNEDPKASIITTSLI LTGGSRINLMFYFYDGEKPPTTGPFADFLKIKSTLDTTKTQSYPELLKSNGAGVSLLN SRISFRTATIPYFPKDSTVYKQITAKMHEITLGYFKRLRGLASQCSVDFQPMPAAIGK HTEERGGNAIGFTANDPDRVLLEIQCAWIEKRFDGMVRQFSKDLTAWIEEKVPQWLEE QGEPADAYLPLFMNDAMSDQNVTGTYKNYAKFKALQLEADPEGVLRERMGGFKY FPSE_00694 MHVAKFPCSLLVLLLLFVTEVLADDDDTDFLMNVFSDLGPVLAL FGEQFARQFLSETFTWYDHVIFACVPLGIMTAIAGAIRVEGRPVLKAFIGRARENKAA AEIEYMSSTSAEVGELFNGRGIVRTMGQSNIAQFILFQRGFDSNKTTYGIHTLQSAAE EGQDILKKEDYRDELDVRFMKWLKRFKAHPTSPENALEHGANNERTSNNYWDSLQYPN LQLNIATKGITAEQRSAELHLAAFSAVILQMSLLAIAAVIPYQFPGFEQQPWGLPCYI GGSVLLFTGMLACSVAIERSTKEYKWYPNESKEQGEKLSLFWVQGKQRVSDQEFASYI IYAQDKEFMSTSSRKEDVGSKSHERDDQNEDSYEKQPSIRTQESFVQAKKIQTSKGGG ALVWKRDIRAVTALFAGGAGFTIQFIGLRGLPWPVAVAHLGALITMAIIRALVRRRLG EDTQYREVQSEHELDYLAIELVENDGCILPHPEKNTKPLPEVLQLVLSWRVDTAEHPN GTIHSFDFPGVLKADDVCSEQNNHNVISDNSTAQQRASPIPPETVADKLISVRKRLGD LCEWETMAFKPALTLVHSIEHFLDEFASDELSSNRPITWGIPMKSLGDKSGIVKLEIT KTTTGWKVNAGKVEAILSIWMAHLEAQNTTKGKKNKATEWQRSKADVALGVDYCRILG RSNDNRVLQRDLNWWVGSSAITEIEADTQVSQVRPNTQPEGSRTTSFQTQGSAAARRF TSHVSSSEQTTSASKPHIYKSKHKDAKIVIGYTAPADANGPQTLHVQHSTAATATIVM QHLFTNFIWTIVDHLPKNFLGQGAVNIGESVSVSSPIKSGIDRNLEHEKLTKFARRAE NEGLGTYDDILFCLIPVFSIKDRLPNDATLEPDLPKFTERKHWPKAAASFSEILKSMP KTPRDRFEDSLTLTAVARALDYVYLMALDEQFSQLKKPANANTESKQPEIDESEDSRE KTEVSRRNSKLAKERSAEHLQTLISNVFPGVMMKLWLFYEHQGRANFFLDLKRKCQER IAEHEWQLQLEIQQGFMEHIRFTNLHQEIVNNRRLNLGEWQGMEFQTRDIFGWTALHY AAACRDLEITECNNADKVARLGGETPKNWWLDNFGRSPIHIASSTGNSIFLDTFLTNM SKKDVRSALQSSGLDGMTPVHLAIAGGHKECLDILIRFIISFGLELKEDAWRRSPVHL AIALAQYPCCTALLESGDLKFKPSTVDVLDKSSLSYLDENVDEEKEIGHLLIRNYSEK FSVTDKEGKTVWHHAVRFLGDQWLVLLEDNLLDILEARHKHSIDMNDNNQETGLHLAI RYKNNELVASLLSLGANLSTNKDKHQSPLMLACSLNQLAIVKTILRSDSGTVKDKDGE GKLALHYAVNFEECDSGVRHEIISTVIDTMRSVGIEGIDVKDENGLTPLYIAIMRVNS SVVSILLDSGADPTTTDTYGCNALHLALTSWPDGGESVKAMPNIVRNVLAKAPGCMDA STYMGHTLLTLACYSGEPLEFISEAVDLSRQKGSKINLNYPDHDYGKSPLAWACEGNQ KNVVEILLQSTTVDLNQKSNSRRNSYAPLHFALEEKNREIIKMLVSSPERHADIYTTI HGYTDALEFACWNSNEDCIESLLLHPEARSPKFLVSAWKWAVQQPSLIEEMPWFVEEW EDAILNPTNKVPFPIHELAEVGRLKTFQLLFQADRLHHEFDDNGWMPAEVATRYGHHE LALFLRENEPQRDISPNRYAQPSNFISLFNGPEFSSSMCSSHDPCSHQMLDIKFPKND QVDWQYCYLRTKEAIPPNARSFYFEVEILHPLQMKICAIGFCQSNVPENHLPGWHKGS FAYHGDDGKLFENGGPSHPQEADKTFGEGDVVGCGLDFNTGTVYRTRNGVLLDTTYSV EDPEFLLGRFYPCVGARTNGEGGELQVQVTLPSSSKHSFTYKEQYDTAWDDRNPSVSS PNQSNDAVSEGMIKDE FPSE_00693 MQPLLCLLTLASLAMAFDQSARQSKRQLSGRVRSTANEFKDDGC NDIIFVWARGSTEIGNMGTIVGPDVANNLKDAFPGQVAVQGVDYAALLSTNFVPGGAD PVGIREMESILSDAMSQCPDSVIVTGGYSQGAAVNHRVIEDLPQDQQDRIAGVIMFGD TQNRADGGQIPNFDQAKTEIICASAPRDTVCDGILTSAVLPPHLSYGRNAKEGADFLI GQVRGVLGAGAK FPSE_00692 MSVATEIAPRVDNLPHMTDIHTSEPSIPNLSSEQSQEVLVARLS ELVKAEPVILFMKGTPKSPVCRFSRRIVRLLGDHKIPYSTFNVLSDEDVRQGLKWFSE WPTFPQLWVDGELVSGLDIVREEFNTKPDYLSEHTVEGDVAFKHGPSI FPSE_00691 MISDSYPIKEVDNIVFEVDCAMINVDDDGNDHTYNEGVLVNNII YSFGLQPMLFDDKKSFANSFKSYLKNVKGRLQETGKDPDYIADFEKRAKDFFAKRIFA NFNDWEFFTGESMDVNGMIVIKGFRELSTTPYMMFWKHGFEEKIV FPSE_00690 MPALPKTYNSIDKYAKDIIEFIETPLVRQITGGIHVNDSLIFNA WDVLPREWTSWWTSFPDHRIVQQDLIDSIQESDPPITANEEIDKVQRPPPLADRPESL SKWLKSIQSLALPRHQRPGKVITLPEILTNRMKTKKIAEVSTAAAYIHDVCQTNNITH VIDMGSGQGYLSISLAYLFPGLHVLAIDGSESQIAASKACAGSLGIHESRIQHMQRYI DGTPPLADEIAAWAGGERCMLVGLHACGNLSEHMLRYFSTVPFIVRLGVVGCCYNHIV PRSATYPGGFPISTMMREKNVSLSATALMTGCQAPNNWERADPSKQESVYSKRRFYRA LLEKIFHDKGIELEKEERPTWGVLNGDMASFDAFARRAIRSLDVDESKISDDDLLAYE ERYRGYQGKVAILWTLSVICCKVVESVIALDRYSFLTENGGHNVDIIPMFDYKISPRN LMLIANKT FPSE_00689 MPIPIHTTPPPSRTSYIIQQLEGERLSIPGSKGTFRILASTQQT NGQMSVFQSGAVLSDAPGFHYHKEAHDVFLVTKGFMKLWNGDKCRIMGPGDFAYVPPN IIHNPELLGPHTETLGLVVPGDWIDFFRYIGEPFEGNLIPEHDDRDLKSHVIPKVMSA PKDFDVHFVRDYQPSAVGEWEETESVLPGELKPYFLRANTGPRWMAGGVMSRPFITTK ESGGKFAISSIESSGSYKTSLFSDYLVFPEVDHCLCMQEGTLRVNIRGDEEWVALHEG ETVMIAAGQYFKLDFGSRYVRLWSFTDGPGIEELIHQAGESYQGFVLPDEATALDQAK LKDAIEKVNARRE FPSE_00688 METQSSNGNDVSAPATKRRRVPRAVLACDRCRLKKYKCSEAQPC SHCKRSGAECKYGRGYRTVNDRASLTSDISNDVGPLNLDIQDPSPGPGIQLFGNHVQR QGEEWLQSPVSARTRLPPTSINDPSKGQGQDQAPAISPSEESEIAELNQHTNGIEYHG NTSSMSFLDNLQRLREQRLISSNPAERKPFSFVSVLHNPSFVSRRSFASDLTSTLAAS GFYSKRANTFIEGYFGGIHYVHPIIDKEDFLTRSDGLWRGTNRSDVHFIVLYLSVLSL GALTRTWNEDTLDGLSRFQWSRKLFAEAQTLLDDIQFSSQMETIQCFYVMAKVCQNEL NPNLAYMYLGWAIRSCLAAGMNRERNSLNAKSALTMSRTWWGIYSLEIEMSFLLGRPD TLGQDQYHNRAMPPIDDSEYAIISSMVQFGRIMRKVSIGIYHSQLPILETIGLACQIE RELDTWLDGLPQRRNISLRDPDWRRKQRLVLELKYYNVVMLLFRPFLTRCTPEPDEQP SNALSGAVDKCVSSAQRTIEIIYETYKVHTYFRTWWYNTTYITIAASVLLLYESRTKE RPNTGNLALIETAMEILEAMDESVVARSAAEVIKHFLRELNTMPNGTSDRIVVSDNTN VQQAPTPGRWTSLDFELAGFEFLDFPLGEMITVFDELHKSLDHSTQPENPCSLPEIMG RSAIP FPSE_00687 MLAVPFWQFSEVNTYDERIDSQLLSILKYMHCSTITKPQSTVPI IPHQHTFSQVNFLPVAMESVNDTEGAAYRQFLPDLSTPRFTTMKKQDAHEYAEAFKSE GNPPWLHGLYKHWISLLEDPFKGVTSDGYVRPGLFNLQDEGIPIHGITNAANAVIGAS TKDQVHRMMLHIDSPEWRTWSNPEFLLSDKGIRLDQVSNDLRDRVMKVLQATLSTNGY KKAVSAMRINGFLGDLVESPATMNEFSYNFVLFGKPSTDRPWGFSFYGHHLCLSIFLY KTQIVISPWFTGAEPNVIDHGSYKGTRILYVEEKLGLELMQSLDAAKQSQAQIYKDMH DDEMPDGWCNHDDQRHLCGAYRDNRVIPYEGIVVSDMNDV FPSE_00686 MALRQFSRLVRFISPIEPAKVLIGQSAEDCLDIGVALRQSRDVK VHVFSGLSVLNPGQKTEETAVIDDCGDYEAELAVVIGRAAKNVTKEEAMEYVLGYTPA NDISSRTSQFGQSQWCFSKGFDGSCPLGPVLVSKDLVPDPFMFHIRGLKKDQVLQDCG VQ FPSE_00685 MSPKGPYRLMTVNTAPERAKRVIAAMVENLKESYTIDYVVNSER IEDVRALVEEYRPDVLFTASMWTEEQSREVEETARNIIPEIKFHAIPYGLQVAKGPDA IVEYLTEKVPALLEA FPSE_00684 MNDPSKSDFTHPRSPVPLDIVIVGAGLSGLAAAVSCSLSGHQVT IFESATVLQEVGAGLQVTPNASRLLRQWNLPQRFWDSVAEPTYLAVHRYSGQLLALEE DFDKKIRKQYGAPFVDVHRVDLQLSLLERAQELGAKLKLSQKVTDIDFNTPKITTQDG TEAKADLIIAADGLWSRCRSAFLGTKDMPKPTGDLAYRVVLNLDDIKDPELIDWVKHC SCHFWIGPGAHAVGYSLRGGNMYNIVLLVPDDLPPGASRLPGSVDQMKALFEGWDPIL LRFLDLVTEVDRWKLMHHDKMQHWINDRFNFVFIGDACHPMLPYLAQGANSAIEDGAV LGLLLGAVEARNQIPEALKLYEKMRKNRGEAIVRETFKQRESFHMLDGPEQVKRDEIF LSQLGQNELKAPFPSRWTCPEVQPWLYGYDAYKEVHEALAKNSFTRFSVVAQPASPAV TSRSWLSRLLKGA FPSE_00683 MTTTDHFQRTTLPQPQRFITTHDEAGRAVYLGQITENVSFWPVG PKMDPAGFGLGYATSSMPVRLADDEDLADFVHLHQDRKKSGLVKQNGSVLRYVDYPPL ASSPMHRTVSCDFAVVLVGEMECLLDSGESRILKAGDTLVQRGTMHQWINHEQTWARM LYVLLDATPVVKGGKLLSEELGGMEGVPGSH FPSE_00682 MASWPIEVLPNSPFPLSNIPFGIFTTPQEVERRAGVAIGDFIID LSSLERTLLDDACFNMLKNLSGAPVFQDGDLSKFASLRAVTRRAFRNTLIGWLSDEMS PLFDNASRTERIFVPMADATMHLPFEIQAFTDFMCSDVHVENCSNLVGASTPPSHYAI PLGYNGRASSVVTSGEPIYRPQGIIENPRDSSVSFQPSKWMDYEAELGVFVSQPVPRN AYINADETDDYIFGFVVLNDWSARDIQFTEMTPLGPFNGKSFATSISPWVVTLDALEG ARCVSSVTDLRDGGLTGARHLRHKDERSTWDLELEVSVFRPKSKNSAPIMTSRSNLRH LRWSPGQMLAHLASSGCGLRTGDLFGTGTISSPNDKPSSRTLGCLFELTEGGKKPANQ GADDALIFLQDGDEVVISAWAKGCSLGLGSLGGQLLAPSKDR FPSE_00681 MEKDGNDAMGKAHNVEDAAVPGGGVLLYEENGRACNLPVPSSDP NDPLNFGVWRQRLVLAAVCMYGIAGFGVIQSTPLIFGNLISEYKMQTRGTFDPARIAD LSSYPSLCMGLGNFFFVPLSMALGRRPAFILSNAILVASIIWAAKSQSFESHLGARCL QGLTAGVSDCLLPIIVLDMSFLYKRSARLVAYWAITAIGSSILLVPVPFILQHAGNNW RLNYWFWLAFAMFSLIVVVFCVPETLFARRAAELDGRVHATDAYGTHRVFATAEAARN AGFDIDSAQSDEVPKDISYMRQLAAFTVQPSPFARFAGAYKDIFFSILVPGTLWALLF NSFVFGGLVVLSLTYAQRLEMPPWHFSPSAVGTIQIAAAIGATFGLAYGHVAEPVSRY LTRRNHGIREPEHVLPNFILPTIICFVGMIIYGVVGSSPQNYSWVGLYAGFALFYFGF CAISAVTGVWLGELLPHMSGPAIVMTCGGRNALSFGYSHSFNSWMSGMGFRDTYILFG GILCVLGFLAIPLYFFNMRIRKVMQYIPFLRAH FPSE_00680 MLDLTPEKKLGLKCFWACWLTKCASLENSRFYIDCWASIEGRQL PADNDDTSKGPPYYLDKTGTLVSTGESAELSFNSVLIMVQGLWWEVQQFVKLIHGHQG SQSEWASKYCSLDQRLQDLPSHLGSWKQNVLTCDPMMAPPAELTRVLSLRSIYELCLV YLYSSVVPALSCRTETPRFSQPMLQHAAEQAYEHSLRITNMAEQYLSSRASVSKLWPI VGYSAYVCAAVQLRRCLAIGSLDQAWYDRNKTNLQITGELGRYWMTLQPLFFHAQRLT HCRSVALPRCDLGSTPDVAYSTDGQVTDKPAELSTRIRIYAASNDDVPGSGVQEGQST ADGVMETPPVSDAQNASVPSSEFSGPRISTTTHQPSTSSNIVLKENSVDRMPTGSSWE LRVEPIWWNQGPEMLGDIFSNNFFLPDSVGYSMDMC FPSE_00679 MTSPNPGHDQQEFGGDNPGAGGVACFIDNCVKSRNRRLDTIEQR LNQEPLSSSASTTRDDWPGVAADRFDSPAESVLALLANELPKLIASSKRNAGSSQAST RGSSKRRRLNNTTNSEGSQFTGSDPPLPSFEALESYIDTYFTHVHPWIPMLNQDRFRQ RVEDPIESPKLMVILQAIVIVASRYLPDQLGLKAIWPVEKIREWTILRSMESNTLEGL QALIIIAFNDIGNGDADRAWALIASLSRTVEFLQLTMDPENEVAQPICRPFSYLGPAK DWADQEERRRVFWNVFLLDRFCSISMGWNTSLTSIDVHQRLPCDGILWRKQEPVQTPY LGIWDKSTGGLGNMAASRSRRDIETPDHVDRRAQIEPDPNESDVSQIGAFAYSVEATE SMSRVTTYFLQHRFNPNDPDAVNSWLARFKELDLRLVQWKMFLPKKWNSGLLRRASQM DPNLTLAHVIHNVSTILLHQIIAWPPPSWPFRKRLPSVWSADTCCSAGVEISTIARKY LENTPDSLPITSPFAFCLYIAARMLLIHWKSDPSNGHLNDFESMTQSLDEMSRRWNGS RKEGQPMDLAFKYATKLKAMYRRCQEDQSFTIIVSDYTQDMECRTWDHMPASATERGK AQQWPNASDLQDPWQAQLLANSTTSYPLGSSPVPYQEAGRNQGSMGSTGSGDLDDATG MVSKQLMPMEEYFMDMDRIIAFNDGSLFMSGMENGACYQLNYLFYALTLE FPSE_00678 MTFEITPCSQERMPAGADMEDCHVISGSSKSTKVLHDTPLSPAA EMKATTYPKTTIGQDLSELFAENVVAKLYRTAIEHAIESNDSRARDLDFIPDAYPEEV PQDGPEAGRYVPREPDFWTSGFFPGSLYCLLERLVRYPKSIQLSPRLSSSGDSVDIAQ IRSDVQSLCRSWAKPLHAMASRQDTHDLGFMIMPALQRDWELTGNTKSLDSILQAADS LSSRFVPSAGAIRSWDERIQKNIQITCQKTNCILIIDSMCNMDLLYYAAGHSRNGESL REIATAHATTLLRTHLRPEPPVVASEGAYRGQWYSTYHVANLDPKTGLIKQHFTAQGY SDTSTWARGQAWGILGYAQTYMWTKDRKFLQASCGLAEYFLYQLETSPGCVEMKAGQG MVDDSTGRHRRGRYVPLWDFDAPIEDAANPTRDSSAGAIAANGMLVLSQGLAGLGYDH LSTRFRTASLDIMRDLVNFALAPEKAQLVRGADGQVEVRDVSPGHTFAGLLKYGTANN NENALRRYANHGLVYGDYYLMEYGNRLLSMGLE FPSE_00677 MTGLKGFTDNPLHTRADLVVAVKALISPIERYRSRLGARVKIHP ASCAGFDDVAAQIEGFCRPLLGVSAILDDAATLDRWCQGLAAGVDVDGDEYWGDMGDF DQRMVETESICIAILSSPDAFLSRMSDKTKADLVRWLRQINKKDMPPNNWRWFRLFVN MTLIQVFGVPRSEVDDVMKMDLALLDSFNIGGGWSSDGLWAEDRKQADYYSGSFAIQF LQLLYVRFVEGDQERVEKYREQAKQFSLHYWRYFDVDGAAIPFGRSQTYRFAFAAFWS AAAVAGVNLPPPMDSIGTLKGMLLRHLRWWTRHGDMFNSDGILNIGFTYPNMYLAENY NSPQSVYWCLKSCIALMLPKDHEFWQCQELPHPLQQSPGTLKTCQLLWPPRQIINSTP EHHYLLSSGQMTAWPHKGNNAKYSKFAYSSSFGFSVPSGTTLSQSAPDSTLSISIDGG QTWQARGRNLSDTRLETISVKDCSVQGLTCTWKPWKALDLEIETTLVPLAHHFPGWHI RLHCVWWSPSARASLLADAVTLVDAGFAIPSLTAKGYPVPHFAPEVLESQEEGFFQEE ATAMIVTKTGAGDLS FPSE_00676 MKHSIASLALSVGSSFASSLPIQLETREPLTSHLANIHVRFNKP TQGSLSFTYGPCDTESISEAHHTIAETDSKTVSRLAWVIPTNTNDNGCISAWTNDEAL VGRSEPQKLHRIKRRAPQKRGKDSILMTEGNGFDVLGPWFDGVTHLTKTGTSFVDEGA AKSKEIAIVGAGMSGLMTYLVLSQAGMTNISIIEAGQRLGGRVHTEYLSGGPFDYSYQ EMGPMRFPSTYTSPETNETMNITDHQLVFQLADEMNNLNKHDKNLSVDFIPWIQASPN GLSYKNEFKLPNGMPPTLAQIAKNASLGAPSSLGPAEKDLQAKVDTFMPGSDFSTLMA KNMFKAHKQWLETGLNGLGGDQWSEYAFMVNYLKGTANSTDVLGDWSAATFWDTLYEG LYFSAASYKTIDGGLNRLPLSFHPLVDDITTMDRKIERVRYDDSNDKVILQWRKQYND TEFQDSTFDYAVIAVPFSIVRRWRLPSLPATISNAIKGLNYGSACKVALEFSERFWEH YENPIIGGCSTTSDIPGIGSICYPSYNINGTGPATILASYQSGDMGVRLASMTEEEHV QMVFDAMVDIHGEFIRELYTGKFNRRCWALDPLQSGSWASPTAGQHQLYIPEYFKTYD KMIFVGEHTSYTHAWIASALDSGIRGAVQLLLELGLIDEAKQAVDKWMARWIEVGRVE GLHDL FPSE_00675 MFSHLHSNTAGTVPANNESHPHLQLLNNELPKTKLSGSVPIFTA EVLEAIPEDERPSQFGLLALDIAPEPSHLLDPTAKMRARIFYNVADPTSTFICGSQGS GKSHTLSTILENCLLPCPANQLPRPLCGLVFHYDTFISDTGGMPCEAAYLSSHAGVNV RVLCAPSNYWNIKRIYSGLPNVAVQMLRINQSDLNTQRMLDLMAVSSVKGGGLPLYLH VVSRILRDMRIKQQASGSAFDYATFKALVNREDLKEGQMVPLQQRLETLESFMVPGQT AASKFRTAGQGISWALKAGQLTIVDLSCPCVTAEAACSLFNICLSLFLEQKSTVGRIV ALDEAHKYMTESCDSQTLTESLLSVIRLQRHLGTRVILSTQEPTISPKLLDLCSTVIV HRFTSPAWFNVLQKHLAGVDSHAFDLMAHIVELKTGEALLFCPSALASVSERHVSGQV IFRRLANSRLKVRVRQRVTADGGKSIMAN FPSE_00674 MTQNIYDNAGFFTKYSALDRSIEGLDGAPEWPRLRSLIPNLKGL DVLDLGCGFRWFARWARNTGANSVRAIEISTNMLSRARAMTNDTKITYEQADLNNVRL SEYGTEIYDVVFSSLTLHYLDNLPGLIRQVQTAIKPGGTFVFSIEHPIYTAPTNPEFI TESVSGREYWPLDSYQKEGLRVTDWLAEGVQKQHRTVASYINLFLKAGFQIAEFDEWY PTPEEMEKCGWQRVLERPVYLLILAVKN FPSE_00673 MADIDEAIEHAKKSTAAEPTNVEYLKQLGNLLNDRHVSTGMLVD LDASILATKQAIDAIINDDIDLADCSNTLSYRLQDRFLITEDKTDLEEAMRMAQKAVE LTTTLRSGNAKYLSNLAASFKIRFSVTGEPRDMEDDIFLAKKAFSVTESSDLELPKWL NNLGNHLSNRYLVTGSMEDLEEAINLNRRVVVTKLDIKLQALFLNNLSAKLDLKAEVT GNSNTYEEAIEVAKKALALTPDGHPDQAKWLNNLATHLIEKFDRSWDLSDLQDAINAA SKASDLTLNGPTKARYLEVVAGSLNCKYENMGLLTDLKEAIRLTEMALEMLPTHHSKR PQVASTLSDLLSNMYLRNDTIQDLDRAIELSREAADANSDNIPYKAIYLDRLAMQLNL RSTRSRTDPRLWKDLEESIQLERMAIAIADKNRPTWSFKNNLQSMLIIKLQREVLDEI PKDHQYRSEMLNTLGYRLRDAANNDEDLGKASECFLEALQTTNAPTITRKAYQAAQVI MGLLPQLITRSHETSDKQHAAGSRAGMTCSAAAAALQADSPLFEALQVLELGRGVLAK YSEEMLFDPVKLCLLSPEQSKAYSQLRDELQVSNTFSQELSLQGHISQMNKRHSAATE LEELIVEIRKFPGFEDLWTAPAEAEMFEAAKCGPVVVINISDGRCDAIIITKDQMRSL ALCNITNDLHQFARKGDFGSSRILEWMWDNITKPILDALGYSQPPDESAWPHVWWIPT DLLTLFPLHAAGYHRRESSETVIDRVVSSYASSIRAINRGRQNEISTRSTSTIVPRAM LVGMQYTPECGPLPFANKEVDIVQELCKSLGLETVRPSPRKEDVSKGLLDCKVFHFAG HGATDEENPSNSHLLLEDWKEDRFCVADLQRMNLREHAPFLAYLSACGTGQMKRYNLV DESIHLISAYQLAGFRHVIGTLWKVSDQCCVDIARVTYESMIDSGLSDQGVSWGLHRA SIELRDRWVKGQKRERSSLERAVRVLRNDGSNVARDEKYCNDESGRDIVMIEDDEPEV GEQLQWAPYVHFGV FPSE_00672 MRSIAFLLGVGLFGVDSALAGPCKPQTSTTDVGTSTSIDPITQS GTATAGETSVVASSKTLTETIATTLSSETTTATIIPTSETETTTVVSGTTVAIVTASS ETETTTTAESTTTAPIATPTFTIVGGGGSVNGSPIKGIDQDGSIMLFNPERGSPRIRT FILDPDTGRLRDKDTGVYVCAYYGLAESPSDPAYFGFCQNGNTGPGMVYDYLTCEIVN GKLACTTPKASCSGDGDEDITCVSDPSSGLNNQFYYKYDAGNGDYLYISSGSPSSYTP VDIVAQDA FPSE_00671 MRRSAPKSFSGSPQEYQSIGLTRFEDQPNIAPSPGREGSYLSPR SEFDSPHVDPFSPNLHDPFTPGGREHSQVDLLAADRTHHLSAGLGVYHQLGPPAQPPK GPWWERLVKNKWSMVACLVLGAAAAVGHHILYSHLHNRIATNQQWWLRLGQFLAFIAK ASFVVAVLTAHQQVAWSAVSQNSYTVHAIDSLFGAAHNAFELFNREAWKKSAFAMVLA IYIWMSPAVVIFTSATLSVVTDTRAEHGNCPSVRTLNFSNDAKKSWDDDKRAKNETMR GISLSMFNQVIADRNSPYHFDYWLQSAPPLDSIASRVLAGGQPIQRDEVADEICGEDW DCSTTISFLGPGYKCEQLAKGTNSTMKSFKDYKTPFNLTEMLPVGNASLYVVADLGEY KVNQIKVDENNKPVVKPPFPKNLGALRTEPVLWMGYVEVDNIDEAHAKNRSMKGWNTD YTPVITACEHWEIKYTVNLNYTGGRQSYKVTNRDYKQKVINTTYIDESSVNDGTLDPI FAEPEENYVFPKDWPYYRKITSYHSLGKKIRDLLQGSIQLPGPIAHSSITTSKLVARP ESLPVPNFEDALQKLYEDLLISLLSDPLLLAVAWASHPNELSGRGPGGPDTEYPCIRM RTGSYFFYNWGVLVVVYAASFLVGVMGVAYGLVVMWQDGVKELREMSFSSIAKTTQKM DLDQNENRRSRIRAVEERPGSGIFEFRVEDYDRWGGSKVPRTRET FPSE_00670 MAPLKVTIIGGGLAGACLANGLINKSNNQIDVSVFERDEAGSER GGYQIRLGAYALAGFRACLTKEQFASLLPLFGKSGGVVSSAPCIFSPSDLKVLVDLSK APLYEKSAPVARVRLRDFLQKPLQERGVIEYGKKYVRYEVLKGKAPGQSSVRVHFDDG SHHDCDVLIAAEGSGSRVNKQIGLNNIITDVKPGHGGFLGKCHLSWPVLETLPHQLVE KGTIYTANSKAMIFSAVYLPDSFTSKSPSSKHATGKPGENGEEEASLFLGVSWTTGPE SATLDQVKDKKGLMREKLIEAGFHHDCLKIVDAVDETAILTTPWRYANSDTPVDWRQR LLAKDENKSDPTIANSRVWLIGDSIHPMLPTRGMGANNAIHDTADALEPLLELARMKN SYGNVTDDQVKQQLAVYEKSMIPRAFDWVKKSTNQQLPDLDSVTGKAIMLGLRVVLFV LGIGVKCLGLFGWQPKDEAPELS FPSE_00669 MRAVGFLFLALMTISCLLIKANGIPKPRPFKISDYQRCFEEHVM VLTMTGGFLFFWGMFLPLNYIIIQAMSSGISPDLVPYLLPLINGISLIGRLTGGALAD AIGQFNSIITYAIAFGYGSGGYVSVFPGCVAQISPIEEIGTRIGLAALVNSFGALTGS PLGGALISDKGGSGNSFIGLQLFCGCTMIASVFAYGAARWSLEGFRWTKV FPSE_00668 MARAELFGHIHDIPQQAIEGLNDFYKTQQRDNFTEAIPHDILHA FVELYFEYFDVQFLFLHPSRLEDPGLPWILLLAVAAVGSHYSEIPGAEEYNLVLSDLL ARAVEQTLSNHITQVETATVQSVFLLHVLWMFSNSHRDKVVLKHKRSSLSTVCWDLLS RANKRRHQSQPDIGTEGAWQAWLTTESEIRLVTCVRLLECLGHIFLFMPLAINLRDAT KQLPCDERAWKARNALDWKSKLETCVEAQPAVSGQGCRHSRSNGLSAKDPFPCKVALL ELYLDERDISHQLLTSKILRSSFTSYFVSKSSTDAQRAFEKLDTRSLNPQLDAIIDDW GRYDIPLYCDSTTDTGTTGNTPFRHWMAGKLGTDAGVEDASHRLFPE FPSE_00667 MVSHGSSLGARHIQNMSEASILEPHWGYADRALPCVKDVKTCQY LDLVYSGHDFSMLFVGIFWAMAVGVLLIWAFSRKIFSSPRADEFIIVDNEKTATSTTN QRTRVKRAIASFFRSYLLPDSIRIIFGRTTRLQVTLLAILTAYMIIVSFAGLSYRIWV VAIPDMEGVHTTRTTLGPWSNRIGVLAYALTPLTVMLASRESILSLLTGVPYQSFNFL HRWLGYIILAQALLHTVGWIVIETKLYAPQPAAALQLITELYMIWGIVATLLILLLFV LSLPVVIRRTGYEFFRKAHYVLAMVYIGACYAHWDKLSCFLYSSLLIWFIDRALRLIR SGLIHYQYMDDGSVGFKSINATMKYFPDSDNGDVLRLDFTHSQDPWNIGQHFYLCFTE CSIWQSHPFTPLSLPVVQDGVVKHSYILRAKQGETKKLAQLAQKRAAENGPSVTPVIL SGSYGESIVEDLTPETNILCVAGGTGITYVLPVLLSLISQRSSSLRKVQLIWAVRKES DKQWVQDELESIYEASESHGIEVHVYVTRETGYSTITRDTVQDDKAADVGTSASAPSE SDEVRSDVDRNFKTSHPDLRKMIPSFVEDTVRGPTTVFASGPGSMISDLRSAVAACNS GAQVWKGNDRFDVSLICDNRLE FPSE_00666 MKISSGLFGVLTLHCAQSWALSGEAKAMVEDSTAWMDKFYDPKI SQLYDLESKAAMNHETLASTWYAVGLLARNGDGDASKAEGIIKYIIKDQHKNPKDLWY GDYTREPEEPTVGTSWYPARAYGSWDPNWRGFVGLSFITIYEEFGDLLSGDLKALMLE SLHNCSIGDSYRHGGINGDNLYPSYSNPAIMRAIGTSWTGRQIGDDNMTQAGEDYAKE IIDLFDMHDTLSEFNSATYTGISLFGLTLWCKYAHEDSILSKRGPDLLRGVWNYTSQL WNPKMRNLAGPWDRSYSLDMTKSLGILSHFLAPIIGRKEAGVWQYPEVMSHARDWAWA PLIAVHSEFHNSLLSDDVKESLKTLDGEKTYNGKAYYPPYDLDTRNITAWLSESLMIG AQSYRTKSANGPSNNKGQFHPAVAHWAYGNDNIGWLSLRPSEAHVLMQVSPNKLKVTY PKGTSSSVFTFIVSPSLAKRDVKSWADIQGISIYVSGNTNPVPQITFAGRYGGSGSPI YDQNYWNFVHTMPAVFEGNPEIIIEFKSASAGNHLLTDNRQAESEYSDL FPSE_00665 MISRNLLTGTVILLAACLADASPCRLSIVSSSTVVASASETATK SEAATSTASVDVPQTTTGPATSESTTETETTVNVETTFSTSSVETTTTALADITTTTN LITTAETTAEATTTTAAATTTGEEPEALQSIYLYASGSDDPSLASTDGTGFSVISDTS SSEIKYIAFTDDVSSPLFFTLSESTGKVKIGNGPRAGNLLGYSSSGDYSFALAAETTL IEDGGLSAIDCDIVAGNGFQRLQCQFGNRGNADFWTCGSNFVLVSPGVDFSNKCPRAA MSYKLDYIEVRYI FPSE_05686 MTKFSDTLDIEGPSEFMPKWDKQSVDPKDQPATVPCYDLEDRTR SALQSLQEGDLLQLLFYIISYKLLMLTIKVYKKESFKRYTKKLYLIKILIIIVKNFI FPSE_05687 MSPSFHSLLAIATLAGSAALASPIPEPETPQLIPRAVGDFECFN ASLPNITIFATGGTIAGSAGSADQTTGYQAGALGIQALIDAVPQLCNVSNVRGVQISN VDSGDVNSTILTTLAHRIQTDLDNPHIQGVVVTHGTDTLEESSFFLDLTVKSEKPVVM VGSMRPATAISADGPINLLSAVRLAGSKSAKGRGAMIVLNDKIASARYTVKSHANAVQ TFIAEDQGYLGAFENIQPVFWYPASRPLGHHYFNISASSPKKALPQVDVLYGHQEADP ELFQAAIGSGAQGIVLAGLGAGGWPDEAADEIKKVLNKTDIPVVVSRRTAWGYVGERP FGIGAGYLNPSKARIQLQLALEKKLSVEEIQDIFEYV FPSE_05688 MADDIPNPPAKRSQVPRACQRCKTLRRGCNEYRPCRRCVDAGLA DRCIGQSGSPTILQPIHAYPYPYQSFPNTHNGPADALSRLTDLVPSQVLDHCVERFFA RLYPTIPILTRDYVGQLRSTSPSSPEERLEAYTVLVAMCAQVLLQSEEPENLLSQGLI AQNNATYGSMIVDQTVALHQSTPRTMRPRLNQCLVAFFLYACQARLSHHSQTFLFLRE ATTLFCLLRLDDMDHTSKALAHRLFWVLVISERSHAIRYRRPITLQITDEAPEIDVND PSLSGFWSLSALFRPIDTSFVALLNQERFAITPPLSSLDFVETAVNTAIKPDALLHET QKANLRITQLWLRMTVWKLRLRLGHLSENAQQYSLTYQYPLVIAKDLTLSTRDLSIDS ISIHGIGVTEKLYDIVSAVVDVLARVPVAPSSPQGLAMGTAPEDDLLYIRNLITKLPG GTSTYDPLLEKHIQQALPSPDSTVPENSPPLPRVNLQ FPSE_05689 MASPKPFPEKQPNAQHDEAVTPETLASEAKVAAEAEHYMTLWEA VKTYPKAIGWSVLLSTTLIMEGYDLALLGNLYASPVFNEKFGTYDAKKEKFAVSAAWQ SGLSNGARAGEIIGLILAGWASDRYGYKMTTVGALVLMIAFVFVLFFAPNIKILVLGE VLCGMYLSVDYKYTFADMTGIPWGAFQSVTPAYASEVAPVVLRPYLTTFINMCWVIGQ FFAAAVNKGSVGRGDEWAYRIPFGVQWVWPVPILAGVIFAPESPWWHVRKGNRAAAKK SLLRLTSPQQPNFNADETIAMIEHTNEMEKNLKEGTSYRDCFKGVDLRRTEIVIGIWL VQTLGGQNLMGYFSYFLTQAGMDASNSFSLSMAQYALGMVGTFGSWFLMARVGRRTIH FTGLCTQLVILVIVGSLSFANNNGSVWAIGAMLIVFTFVYDFTVGPVTYSLISELSST RLKAKTIVMARAAYNASNIFVNVMTNYQLSSSAWNWGACTAYFWAGTCLLSAIWVYFR LPEPRNRTYAELDVLFQKRVSARKFAKTDVDPYSHSVVSDKKPTAEKEIQ FPSE_05690 MGSISWDEHHQYAGTHPWWKAASFYQVYPASFKDSNGDGWGDLP GLISQLDYLSDLGIDVVWVSPIFESPQKDMGYDVSDYQKIYEPYGSVEDVDTLIDQCH AKGLKIILDLVVNHTSVEHEWFKESRSSKDNPKREWYIWQPARYDTNGVRQPPTNWRG YFACSTWTWDEHTQEYYLHLYDPDQPDLNWDNEECREAIYDNTMRFWLDRGVDGFRID TVNKYSKRKDFVDAPVTDPSSPHQPAPEMWCNGPRIHEFIHEMNEKVLRPYSAVSVGE LSNTPHPSQVIPYVSAAAKELDMVFEFSMIRLGNGNGFGDKYIYQDFPLSKLKSFVER WQSFIEGTDAWTTVFCENHDNGRAVDRFGDTSSPEWWLKSAKTIAMWQATLTGTLFLY QGQEIGMTNMPRSWGIEEYKDIESSNFFAEAVESGNEKRVKDTMHGLQIMARDHSRIP FQWDDSPNGGFTSAQAKPWMKVHDEYRDINVARQLKDPDSILSFYKEMLRLRKRYQDI FVFGSFKLMDPEDEFLFVYLKESVLPIKGGQGQKRKVLIVLNMSQEERPCPDVYTYLK CSANEVKLLLSTTDSFTDIQKTTLAGWEGRLYVNFNIE FPSE_05691 MSSFISPDKLPQGPSVTFYNSSFFTRGSETPTLPTPSEVLARPN FIQHPHVESMKLRLPAVFEELGLVVKHSDNSTAIISEGQCLWAVRRFLPEVPVPEVYG WTQENGLTFLYMEYIEGTTLKDRWDSLTVLEKEGVCGELKTMVNQISHLRQAPGNEFI GSINRGPLEDMIFTGTNFPPVGPFSSITELHDCMSNMFKWPAKARQPDIDLANVLDPY RDLLPDDCAIHFTHADLNPVNIMVSKDSPCRVMAIIDWEQSGWYPAYWEFFKVEMTTK LGSEWQTEYLPKVLDEPDPDCCEAFCSYVNSYAP FPSE_05692 MLLDVCLVVYNQSTTRKRVPGHWSIFMGRSDLSKGTVFQAVGSG FHGYQPEIKRNYDLKNTFRKYTTFSLGQIDESHLDDLEQVTRSLPGAGTAPNPLDPFS GENCQDWAKTFIQIVVDQGLLPSAAVDTLANAPIE FPSE_05693 MDTSQASLCEYGVRTFWEIIQGYHGFSAYCPYLITCYDYSDDPT VPDFAVGSDHTKEADICEYDSKYLKTISPPWNMLSVEMQHLIRKELLLIFFENTAAEM RRLYEYNVVWGSFCDIDLPPVKLPPLVNIKPENITHFLNKEVMLYIDADKIGYGGYKI AGTFMFREWLRLHEGRPTFQRESFVAAPMNLDQSFKSLKKLSKFHSDLYYTMLPHLDD LVDFYLKNQKSDTIPPPHFQPIPSRRIQPLYDYGYTLRPLFRELYMIIDDQAMEEIPP LCRPARH FPSE_05694 MASINLIYFAQVANVVGSGALTRDIAATVGDSSDSVWYTQTLAI FTAILGIPVSQAADLWGRKIFLVILTTCGFIGSLIIARANSPCLAITGFAVTGISYGA QPLLHAIVSEVFARKYRPWAQASVNVAASLGAIMGLLVGGAVTRNENHVGFRVYWYIV AGLYALATVSVQLLYSPPPRALQLVFSFHEKLRRLDWLGYGFLTPALVLFCMSLTWIH NPYSWTDAHVSVTFIIGLCFAIGLVAYETMVKRDGMFHHRLFKDRNFPLALGCIFVEG MVFFCTNDYFAFQVSMFFSQDSLITGAHYSVAFAALGISAILSGLWCSKTKAVRVPTI AAFCSFVVFNILMATISKETTTSQIWAFPIFLGLGLGICLPSLVTAAHFATPQELVAI ASGLMISLRSLGGSVGLAVYSAVFHHGFSSSLGPEIADAVLPLGFPNKELPQLISAFV SNNETAIKLIDGISPEIIEAGHEGLLEAYRVGFRGVWVTTASISFVAVIAASFLRNPT DNFTAEVDAPIVIVTEGEEETQGSTVTLARMS FPSE_05695 MEFATVFDDPWSKKPIPLGDAAIQHLELIEIFLKVITHLGDDNG YISDETLAYSEWRYIVYVQMIDLRGHSPSDILPPCSKSRWTTPTDKKAPGKSKNQESR VVIMHDWLRCRTAVPEQGFKVWGIEEYTAIRTQRVEERCRNQSQHEQFNATCGLRPWP ALQDLRTELEQQISFWKVMVHAKNTLSGFADTLNEHMKDYKNYMGLVGHVLWRKGRYG RYESKHDPNNQPVSRSDYRSSLAHPPTLPGTLLAVLLRASELDSGTRAYVWRRSG FPSE_05696 MSGKPELGLLGGGQLGRMLCEAASPLEVEIAILDAQDAPAKQVS RSKYHVDGSFKDSAKIRELASHCKVLSVETEHIETAVLEELSKEGKVVVYPSWKTLRL IQDKYEQKDYLGKQGIPIAEQVAVQASGSDDMRAALKDISNKYGLPFMLKSRKDSYDG RGNIKIANDHDIETAVTEFGNLQCYAEKYVPFQRELSVIVIRTEDADGKTKRLVPYPA VETVHEDNVCSRVYMPPRDTPDAVSKRAQEVAVSVVEKLWGRGVFAVEMFVTENNDIL VNEIAPRPHNSGHLTIEAVPYMSQYKAQLTSILDEPLPETLEPHVSSSIMINILGGAS PESHIPLVNKAKSMFAPKIGVYPHLYGKQSKPGRKIGHITLTGLEGSIKDLEEFAKPL VQLAADMRQERIEAKSKAMRPEQAVVKTAKDPLVLVTMGSDSDLPVLKAGLDILTQFG VPWEVDITSAHRTPVKMGDVAVAAADRGIKVIIAAAGGAAHLPGMISAYTPLPVIGVP VKATHLDGMDSLLSIVQMPRGVPTATVGINNSTNAALLAIRILGAFIPEYLEKMKGYQ TDIGEQVNGKATRLRESDVESYLAQMKKG FPSE_05697 MRASLDSSLLLILANALTAKAQAQSCPDIHIFGARETSVAPGFG SAGALVDMIKADHSGATSEAIDYPACGGQASCGGVEYGASAKQGTEAVTTAVNGLNKR CPNTKIVMIGYSQGGQIMDNNICGGPDNGASISDSNVPLSASAVEQVKAVIMMGDPRF IHGLAYGVGTCDAGGFDARPEGFVCPNADKVQIYCDAQDPFCCNGNDAAHHQQYVSIY GKEALKFVNSKLSGAAAGGNTGSATDGGAGSSGDANGDAGNNGGNAGNAGGNTGNAGN NGNAGGNFGGNFANAGNAQSNGNKC FPSE_05698 MSQIKTFRILVLPGDHVGPEIMTEALKVLDVIEASRPDIHFEKD MDICGGCSIDKYGTPITESVLEKAVVSDAVLFGSIGGPEWAGVEPTPESGLLRLRQRL DAFANLRPCEILVPSLVSASPIKPELVAGTKFIVVRENCGGAYFGKKEESEDEASDLW IYSRSEVERLARVSAAVARILHAGSEKANDGSKPVVWSADKANVLASGRLWRRATTDI FNRELTDIDLKHQLADSLAMLMVLDPKRFNNSVIHTDNTFGDVLSDISGGITGTLGVL PSASLAGVPGEGSCKGIYEPVHGSAPDISGKNLANPVAQILSLAMMLRYSFLLEKEAD AIEQAVAKVLDTKDGGGLEIRTKDLGGSAMCSEVGDAVCKVLGEILET FPSE_05699 MTGRLQGKTAIVTGGASGFGKGIATKFIAEGANVVITDLNEQHG QAVAQELNCVFSRADATSPDDWRHVLEFTLEKFNQLDIVVNNAGAAYPKKPTEQVTDK DFDLVMNVNVKSVYHSTNVLLPYFLGGGRPGCFIQVASTAGIRPRPELTWYNASKAAV INATKTMAVETHLFIGKPDTEENRAGFVSTIPIGRPSTPSDVANACCYLASDEAAFIT GVDLEVDGGRCV FPSE_05700 MANPFQRPFQLENESLFHCKSLINGDFVSAKEGKTFNVVDPGSG KTWASCPDCTTSDVDSAVASSYETFKTYSKTTPRFRAQTLMKWHNLILAAREDLARIL VHETGKTLVEARGEIDYALTFVWWFSGEADRAHGTSMSCAIPGRRAVTIKQPIGVAAA LVPWNFPIALALRKAAAALAAGCTMVVKPSPETPLTCVSVAHLALEAGFPPGALNVVT TSLENTPSVAEALCLDARVKKVSFTGSTRVGKIIASLCAPNLKKTTFELGGNCPFIVF DDADVDQAVSQLMPLKWRHAGQACITSNRVFLHSSIYDTFVEKVVQETRGLKLGHGME ESSTMGALTTPRGLDKAEELYQDAISKGAKTVLGTGKREEGQGYFIAPTILTEMEDDM LMTHEEIFAPVLGFYRFENEDEVVQRANDTPLGLASYVFTKNVDRLWRLFENLDAGMI GLNAGNSSSAEAPFGGIKDSGHGKESGKDVAIDEFLITKTATLTIDGHY FPSE_05701 MPRSKLNLPRGKPRGLRRDRDCRTCKVRGVKCDLNRPQCLPCVE SGLACGGYPQRVVWAASPVVTPVSRLSLPSPAEQPTEPRRGLSDEANISSSAELRNPS SISANDGNDFTQNSLAADQPHIVEHLLAFGHSLKVASLASGDNTNNFQTSSSDQGLRL IAQVDNFLQARIRRRRRSDSSTTSHPSTLAFSAAESLPPQDVENEDILVYHRLEALKS LSQALNTADPAAFLGIAVFAFFEVVMDGVFGEWDCHLRGARSLLDYHCQNSDEFQQLS NNFIGFEQIVAYFGWWDTIGAVVRQSTNRAVGDQQGLIFDDWHRNTLTKDFLDMVGCP AETFWLFVSLAKGNKTDNLSEMLTQAMAQLLKLGMDTSERGKCMDTYRCAAVIALLSW RIPATEETLPSEPSGTTLTFAVDRICQIIDSGRPKSTLYVHMATPAYLAGMWASSPNH CKTLRNYWKNCQMGDIPRYLGAQLRCEEAWRDMGLV FPSE_05702 MRLALSLQYVLGFLPLGTCILSPLPPDYKNAAAQWAFLSYKNPS LAVLPGHFNRSVFVPFTNSEVSDPSLAKDLDYVNSTNFVAYDEKFFDLLGPDAKIRQV HQLPFQTHEAPCYNPVTKDLLFIEWGPPGGDNGFHDWQYLLNTETHKLRKIKTDPPIH NVHGCAVFDGDYYVITDGSSNETSSLNKITPELKKTTLLNNYFGLPFSGFNDMDVDDE GNFWITDDHYGFGAGVVKYTPPTLSTVYFVNKTTLRPRPFHTTNGQANGITFHKGQDK KGTIYISNTAASQPGPAPHKLNSFGPRKLTSFDVSYPGAITSNKKLLSVPIAFVYDGI KVSKNGWVFAGSGNGVDVIDPRSGEAIGAIRVGGGDYIAVNVAFVEKEMWIVGAGGIW QVTGFKETLARGF FPSE_05703 MSLRCMLGFWALAFLGTVSNATLVGKRAIQTQPIEIVIGSSTQY DTLTSTFLVRTDATYTATTPVYGHGEANTTYNIPLMDSRGLSCGTVMVESHVTILSST DRIITVPETTTLPTENPCDIVTVIVRMQGYRKGDTNAPLSQDPTVALSPSETEISTVP IDYTTITSTGTDTGTSTITEAPSGSGPGTVIVVVPSPLVPYVTVTSYDRSITAAVTST TAPRGPGETGTVVIYDPYEWVTTTSYDNAASSDYTTTMWPSASGHTGTVVVFRPQPYT TITSYGSVSTDTTYTSPPPAPGQTGVVLVVVKQPYTTVTSYGGVTTESTTTIVPSTPG QTGTVVVFDPQYYMTITRYGSVTAAATTTASGDGSSPTLTVVVDLPQPYTTVTSYGTF TAASTRTYPPSAAGQTGTVEVDLPQSYTTVTTYVDGMTAPLTTTNQPAKAGDPATVVI KSPQAYTTVTSYGSWTQPTTTTLSPTGPGGLGTVLVERPQSYTTVTSYGTYTTAVTST MAPVSPGGVATVVVGWPQPYTTVTSYGSFTAASTTTMAPPYQGGTGTVVVDLPQSYTT TTTWGSFSSSGTTTISPTSPGGVATVVMQKPQPYTTVTSYTSTVSIQSTSTGTPASAG QTATVVVVAPPVGTKAADQTCDNGGLEYAIYTNSYYNADPPNYSSLNVTYFSTAAPTY TGITSSIGITEPGGVKAGNSGPAQAIYPGSPSQTWQYKAVNHRAFLYAPINGTYSVVV PYSDEVTLVWFGKKALSTWTRANADLEQNFSSGPSTSKTLKMQLTAGTYTPFRVFWAN AQGDYSMIVTVTAPDGTVIVNGSGSSSKYFVRYACDSSTPAYPAFGRGG FPSE_05704 MRLIVCLQVVSLIFDRGVLARSTSDGPAARYHSLNRYKIETSQC DCNKEVGVKAPWKNIFQSLTDQEYADVTAYLHKQEELNLTAIVNSTSWDNVIVSMDLL QPNKTDALTYLEGNGPAPARYARATLQFNSQLQPYIQEYMVGPLPVQEGSTRYEELNY MFSSGRGRINVYNADSEAIAEFNLAVGTEIQNITKQLLNGTATGAKDDSLLIAGSDPL IHHNDRVYQWNEFYSAHTGEFFSETILPTSLQFKVDITGRDPSKWKVVGWYYDGSYWP TTAEFKEGSKTLKRKPGPNVDGLWTSTDQQGDKLPLDHLQPPTAVQPDGPRFGVDREE NYVEWMDFSFFISNHKETGLQLHDVRYRGERIIYELGLQEAMAHYASQDPLHASSAYL DTSYGIGTSQWNLVDGFDCPSHSTYLNTSFYISEVTHIHPNSLCLFEHDTGYPIQRHL TGTHVSATKNIVFTVRSVSTVGNYDYLFEYTFHYDGSISVTVRASGYIQGAFWSGDGD YGFHIHDNLSGSMHDHVINFKLDLDIKGRKNSVLKTEFVPISEVYPWSEGQSINTMKV NRSYIASEDDGKITWARNGAAAYAVVNKDALNDFGEAPGYAISPNSGSTGHLTVQSSS ALGQSANWANHNIFALQHHDTEPKSAYAFNSYDPHHPAVDFNTFFNGESLDQEDIVLY FNLGMHHLPNTADLPNTVTTKAVSSMMISPQNYFSGDISRRTMHQVRVSYDEKSNVTG VKMFGTKQPTCAFDMAKTAPKLDTFVGELEIPKFPFNPSGSLQTNPGG FPSE_05705 MVSPYVYDLCQATETVTSELAKDPSQSPGDIVKKLYGHHEHALH HNASTQKTTPPPSPPNEAIETIQKALHCGHWGSTIPSQLFLQAFADALQCLDEDPIAG VVSPPLMGSHGTIPLTVIAPLADVMRHTANLIARAQKEVFLITCSWAPSVAQRLIRGA LIELSKRAGQRGERVIVKVMYDKASPANAMNPHQNIKPKSYTAKSVDLPSPEEIPNID MEVVSLHRFVLGTLHAKFCVVDRRVAAVMSNNTEDNDNLEMMVHVEGPIVDSIYDTAL ITWQNGLHPQLPLCNSPPASEGGVTSPVQTPGYRNSTTTEERTGTVTERNGEEVTREH TPEDPHFDDDITGEVKRMQASYSEKAGETRLQAANRKLNVAAPTPIDPSGPEIQAGEE MTPYILSSNDAKPVPMALVSRPPYGAIDSKSAHVPQNEAWLSLIRNAQRNIFIQTPDL NAGPLILAIIDAVKRGVEVTYYVCFGYNDPGEMIPGQGGTNDQISQALVASLPENSSE RELLHIYNYVGKDQDHPIHQSFKSRSCHIKLLIVDECVGIQGSGNQDTQSWFHSQEIN VMVDSVEICQKWREGIDRNQNTKKFGKVAKDGIWRDDSGKPGKGYMGNPGTVMGLVKG AMGMVQKWKGPGGF FPSE_05706 MASSQKPTILHIGDPIKYNHELYQKLEEKFTIIRPSAEERERGL FLDALRQKKWGDFQAVMRPFWITGGEMGRWDRELIPLLPKSVKVYASAGAGYDWADVD VMAEAGILYCNGAAASSEAVADMALYHIISVFRNMQWSNMAARGSEEEFRDAHAHTQL TAYNPRGHILGMIGLGNIGYQIALKTHKALGMRIAYHDPFRKSPEQEAAIEATHYANL GDMLAIADCVVIAAPGAGGKKILGQAEIAKMKEGSRLVNIARGSLVDEEAVADAMDSG HLFAVGLDVFEDEPRPNPRLQKMRNATLTCHTAGGALDTSIGFERLAMENVIAVLEGR EPFTPVNKQLFK FPSE_05707 MAGEDMIKNVGEEVRLETLNGVSADIHDRATKEKALVRRIDKRM MPLMMLLYILNYLDRNNIATARLGNFEEDIGLVNEQYNTVISIFFVGYILTQVPTNMI LNKMRPSIFLPSVMVCWATVSACTGAVQNYEGMIALRFILGFVEAPFFPGALFLFSSW YTKKELAVRISVLFAAGQMAGAFGGLLGSAIMAGMDGKAGLANWRWLFIIEGCATYPA AVVTYFVVPDYPTTTPWLSDEERRIAILRMAEDANKEDDRADVSAWQGAKMAFADPAL YMIWIMQLGLNTAAAFTNFFPTIVKTLGYSSTITLLLSAPPYVFAAILGITNSWHSDR VNERWLHIVWPQVFCSVGFIISAVTLNTAARYVATFMMMSIYGSFGCILSWVSSSLPR PSTKRAISYAVVNAGSNLASIYASYFYPSTQGPRYWQANVANVAFSGLCIIMATILRF FLAWRNRKLEKAREHDIQNEGTLNGSETRALTERWQCGSEYVYTL FPSE_05708 MEQIQVAMYANNEALNVAAMLNQPSPPLGSKNVKAIVDGWKGEQ KAQLGQLAIRGYFKLSTYHVIDDRIKRYDTPRVYELHETFPDGTKAWKGKVGIPKTRA DIQTHNLAKTALLRNAANAAILNMAATALFDIKTLFNGEFQKYFVKLGISGMYGGAVA GIQARIHHPVFGNGAVVGVVVSSAFGVVGLVNTGDWARFVKNTGLGIVGSAGAWGGSQ LGAMENLSDWQQGDPKAFEAFIDGLRKATSS FPSE_05709 MDSDTYHSDRNLASILIAPHAALSLLATIFVCLRLYVSKYVTKT RWSTTEYTAIATLVASHALLIGEGVAVHFGYGENITKVLEEYDGGLTNFLKAVIAVEV SYGFACPLSKMVVLAMYYRVFSTSPLIRYSTFIMTSLMAGWGIAVIIVSIFTCTPVRG YWDHPVPSKCIDSNKFYIGITIPNIIFDLVTVAFPIREVWRLQMGRDKKWALTSIFLM GGSVVFASIARLVLYLILKTSENITQTLLYGNLASSSEICLAIIAACMPPCSPLLKRI LTKITTIISASKSKQDQGSNVTDDRNRLATLVTIGQNPSRGKNITAIHGDGSFERLDD SVSLQGSTDGLYDNGAGWADHEQSKWSKIHVRHEVAVETTTAQDIPMRNL FPSE_05710 MAKSKAANNIWAIELGNEPDPPWNETREGANENEWAQVFANHWK SPLPILAGGGYAIPFQIEPGWPNLPYLVNEAYNKTVRAATKVYNGHLYAFSNASVNDL DVEMSHQRTAEDLNLLPISTARSVGRPYIIGETGFHGLDYEMDATFGSAIQTVDKTLR ALSIGTQRLFYHQGTINRAFFNWWRSDQLNANFYGAYFGALATADGDHIVASDNGSDR YAQYIIYRKGKPSKLIFVNTDYYSGIGSRSTTSFTVTGLKSGVVKALRMTGPSSETLV PLKQTNPDLEPSIAGQYFSNQNCDLKGAQRFETFNVKKGKLVTDLKASEALIVYLLTN TPLQKRFEKAQEDIFPAAYCVLQHSTDTIYNFAWLKFQDEGRDNKDADNKKTDNDKLT EKKKDEKKKHHQAILHLGNVIYRIHDHASSGLEPMTKTRLGTVYRK FPSE_05711 MMFTYSESYEDTPVLIPYLRRKGIRTDTTKSLIGPQDIYNLQGQ EGNLRLGYYEGEDEEEVYMPFHWRTFRFLRLCIWAGSSGLTLRGIDIETVHYPFEALY DTSIRTLQNFMHDCYEDCPFYEQLQYAMDTRSSCLFTYYISGDDRLARQAITQLHNPF QPRIGLTASRAPSSQLLPRRPSH FPSE_05712 MANTPPEKPALVRILQDRGGPGNHQDEQPKKVSSAKSLFRVFAY GSTTDYVLQAIGLIGAIVSGIALAMVNVVLGQFISLLTDFTTTGNVPDGFMPAVRKTA VNFVYIGIVRFVSTYLYASLLTYVAYHLTRNVRRTYLKAAFSQEIAFFDRGMTGSVAM QATSNGKLIQSGIAEKMGIFVQSVATFVAAFAIAFISHWKLTLILLCLVPALLLTVGT LSVPDAKIETRILKIQAMAGGYAESILAGIRTIHAFSLRTRVVAKFDTYLDDVLREGK KKNLLYGVMFGGEYFIMIAGMGLAFWQGIGMITRGEVTNIGKVFTVLFSVVIAASTIS TIAPHFVVFGRAASAAAELFTLVDRESEINPFDESGDKIEAPIGVINLQSIEFAYPTR PNTRVLQEFTLNIPAGKVTALVGPSGSGKSTIIGLLERWYNPNAGSITLDGKDIKELN LSWLRTNVRLVQQEPVLFNGTVFENIVNGLVGTPWQNSSREEQMTKVQKAATTAFAHE FIKTLPNGYDTRIGERGGLLSGGQKQRIAIARSIISDPKILLLDEATSALDPHAEGVV QQALDNASRNRTTIVIAHKLATIRNADNIVVMSKGRIMEQGKHHDLVQKNGIYAMLVR AQDLSPHPTQTRASQEKEDSSSTMGDDVEPIQSLAKMETFEAEHAEMMKNREDHDQFK HLGLIHSILRLVALTPELKFWYAITVIACTAGAAVYPGQAVLLGKIMGVFSSPDIQSR GNFISLMFFVLALGCLVVFFVLGWSTNVIAQTLNIKLRREMLDLVLRQDLRFFDRPEN TVGALISRLDSYPQAVLELMGFNVALMVLSAINIVASAVLALVVSWKLGLVGVFAGMP PMILSGYARIRLETKMDSDMGKRFSASSAMASESVTAIRTVSSLAIEEIILEKYTHEL DEAIRQTAPSMFHMMIWFSFTQSVEYFVLALGFWWGSKLIYNGEINFYQLMVSFMGVY FSGQASAQMFSFASSFTKANQAANYFFWLSELEPTIRETQENKEKGPPNGCTSYGFND IQFSYPLAPDNRVLKGVSLEINAGEFVAFVGASGCGKSTMISLLERYYDPISGTITID DSASLSSINPLIYRRHVSLVQQEPMLFPGSIRENISQGLDIDGSDPVPDAVLEEACRA ANAWDFVSSLPEGLDTPCGNSGSQLSGGQRQRIAIARALVRKPNVILLDEATSALDTE SERIVQAALMEAASTGNRITVAVAHRLSTVREADRIFVFYGGRIVETGTHSEMITRNG MYAKMCEAQKLDQST FPSE_05713 MADLAISTVFFEHHRQAFGIAGTKPRITWRFEGTVSDWEQSAYD IEVARNGPKVDKTALFSFNSSNSLYVPWPDEELGESEAATVRVRDHGIDGLSTPWSDW VNVETGLLTEGSWVGAVPITADIFDQSNNTAKRPLYFRRDFQIPQAIASARLRSTGRG LAILLRPDGSPGKNAIGVVVGEGWFLGRLGPESVRNNYGDLIGLLSKLVVTLEDGKKI TFGTDRDWRASGGPVVSGEIYDGETYEARLAKQIRGWSTAAFNTKVNTWGRVRTLPSL KGKLTPPDQPGIRRIEEKEAQRILRSPSGKTIIDFGQNLVGWLRVQVDGPANTNITFH HAEVLVDGELALKPLRTAKATDTIILAGDGPITWEPKLTFYGFRYVQVDGWPKNRSLR GSIKAVVVHTDLEETGWFECSNHALNQLHSNVRWSMKGNFLSILMDCLQRDEHLGWIG DAHFFGPTANYLYNTAGFWRGWHRDLASEAASDGSMNIVAANDYLIGTGFAGTPALSD ALRSINATEDIYRILLQTKVPSWLYQVDMGATTIWERWDSMLPDRQLNPGEMTSFNHY AYGSVAQFLHETVGGLAPDKDNSGYETVAVAPIPGGGITSANAKHLGPYGMVEYK FPSE_05714 MVAEAESDKDGGGLTIGSITGAAVLTIVIICIPACLNSGGPISD REGHRLSHLSMSKDGLDTVKTPGKDSTSRCTSFLSTYNILSRMAVEVVFTVFLESLLV TIRSFHADLTEVEIRNVVKVAARFLISRRYTLDISDRETSTKITVFSDFLGRMSRFSQ ISSTYARLLSQALSDVESGDDGGQSTILHLISDWRVTTARLEDCLRPDPMLHAIVSPS LADDNSTGADMQTFNTLLPVFHDEVWMDISQNSPDSWFRGSLFASSVYQSFNEFPPYM ERHICLERRIQAQSRREHYLDTSHWWRYPNLRALNLLMIVPLLSIFCQGFDGSMMNGL QSVTHWQTYFGSPTGALLGFFNAAYPLGGILGSSAISPVADTFGRRCGIAFGAALCCV GAVIQGASINIAMFIISRVIIGSGSVVVAGVGAPYITEIAHPDQRSTATALFLTFYSI GSIIAGWCTFGTFRIDSTASWRIPSALQGLPSIIQLLLVWFVPESPRWLISKGREQDA LAMLTKCHGEGNELDPVVQLEYTEIEQTLTAEVSDYNIFTFLKDLGSTAGNRRRMFIM VWAAICSQMSGNAFVSYYLSPILHSVGLKSDLQQTLINATNQMLSWFTAIYFATLPAK AAAYTVVAFLYLFSPAYNLGLYIPEILPYRMRTKGLSFFYFVQFCFMMLSTFTVPIGL GNITWRFYIIFIAWVMIEFVGVWLVFPETKGPSLEEIAYIFDGPQGVVGHAAEVMVEG KTPRLVDIFGLLIPGNGTLIIYRLVDALDIAGISVLWQKAQIDTESLRFQNV FPSE_05715 MSLLPEIISYLNSDASGLNDFIAYLSKNHCLETLQFIQDTSRYR VCYAEIVEDEKIPWEYLRRHYGYLQELWDDLLGAYILPNGDREVNLPCEVRTRLLGLR SSSLPPHPSELDDAIQIVLELMEDSILPGFLKSYESLDQSRGGLVGGWRGLLGGFSRT VSTPTSEGDHEDSVSRSSGSREDDKDTQCTRRLFLKAALASPRRHLLRPLEKLLDHTV CSVRSMRWFKEPLEKDGAADTEIDTKDK FPSE_05716 MSEQAYACNSCKAAISAVRARVHCQVCRDYDSCADCHVMEVFGG DHRADHDYEVFINIQRILTKENGCTQIRIQTPAATAVSPEVYWGTLIMPGKSPSATFA GLIRAIFAHFDNAKAGLLQPREFCAFLSAVGWSLQECPPIQVLLGDCPALPTALHECD AWLANWYRLFPLDHRMGTREFSLSPPMQPHEGRTRMRDQLMHAIVHPPAPVVPGGMPL LTQQGLEQYIMSLALRAPEDLFVRLNRLMGALSIRLMDPKTGRPFEVRIPRPCFPPGP DPEEEQKRMIAETQGRMWQAEVHARQVEQAQRQLEAHHLINKTHRKSSAICSED FPSE_05717 MNVEQVDIVYSDASILVDSTGEKAEAVFIRDGKIAAIGSNEDVI KTAGPSVKNISVAGATITPGLIDTHPHILHFSAFAAGLVDLSNVRNHGEIVALIQERA SKTPKGEWIVATPIGEGSFYVRRSWQHLEEGSFPDRHVLDQGSTDHPIMIQAWAPRVP NTVSFNTVALEVLGIKASSPDQMGKVWINREASGHPSGILTGSVNAYYNFDSHWASIV KKMPPLITPETIPPALIQAMKKANANGITAVWEGHAMLDQDIELYRSFNDQSLLTLRV LCSPEIHLTHLSLPPPNNEQLQARLEKTMGMRKTNSAWLRIDGATFSLSGPCWCGHMN WPKGYLDPWGNITRGLRLLTDEQIRIICDFAAANNFRIHMCCGSPYDLQEFIDNAESV KKRYDLEKLQWVVQHGIMQEEHQPAKLAELGIDQTISLAFTFGKADMYRDRVGAQVLP MLNPLRSLLDAGVNTAASSDWGPSSPWEQMQLAVTHKMYPSGASNAGPRQVVTREQAF WMWTSGAKVLRWEEMGSLQPGNNADLIIVDRNPITCHLDQLPDTKVHRTIIGGRVVYD DGTY FPSE_05718 MTVSVMITFSQNVRVLESGIHSLFATSTDDSLKDNLRQASKQSL ALVSICCAYQFVSEYPETEEAYSQFRNALRVFQDELEERGGTLNGGTLCAGLILCTLS ILQDLPWTNQLHCMVDLYCIHASLGSSAPMPDAFTNRCLQVIGVMDLPGLVFGRRTSN IGFWKRLREAELASCSGLMGGVETLTGLPRSLLDILAYTKHCEAQRDLWNWHGEMGDS LQAQLWDAWRYAGILYRARAMVKNQDLEGDGLQEESMTGLPSTKYLLWRLIASLDTLR LGLEHPGSKGLLYGNSAFWPYLVARCEFELLRSNPEWKTVLDKLFSMMISSYKPGHIQ IAQDMIQEAWERGEVTFDLDEAALSRKIELAAF FPSE_05719 MIFQVLLTASAAILAIKAVVALFHHLTSPLRTIRGPWLANLTSG WYAWKVWQGSFQDINRELHEQYGNLVTGPIVRYGPNRYTISDLSLVKVIYGLGKSFPK SSWYVSWASPGQHNLFSDRFVQRHAHDRKQYQATYSMSALIHYEVFVDHCANLFHIRL TELSASGRPIDMRHWFQCYAFDLIGMITYGERLGFLDSGNDIGNVINALEDHLSYATL TGIFPWLHQYLYPLKNLLAGSKGAGRAYVINFTKEKISERKAKPKAFPFKDEENASTT QDFLSKFFNKHNTDPENFTPFHILSGCVSNMVAGSDTTAISLSATLYYLLKNPRSLAT LREEVDDFTAKGQLSKNPSYKESQEMLYLQAVIKEALRLHPATGLPLEREVPKGGATI GGYFFPEGTIVSVNTWVAHRDREIFGQDANEFSPERWLQDDVDKVSLMNRFWMPFGLG SRTCIGRHISMLEMCKLLPVLVRDFDFTLNQDLQEGEWRTQTYWFVKPLDFQVYLKPR EIISTS FPSE_05720 MALSTWERLAASYRAKQLEAIPASWRLTPEQKVQLSLHGHGSSI DGRLIASGAVSKAAVLSNHEIDITENYDAADLVKKIAQGALSAVEVTVAFCKRAAIAQ QMVSCLTEIYFEEAMQRARFLDQYVADNGHTLGPLHGLPISLKDSFQLKGGKATLGFI TNLTMPAAENNSALVDLLLDAGCVVYCKTNIPQTMMTADSENNIFGRTLNPHKTALTA GGSSGGEGALLAMRGSPLGVGTDIAGSIRIPALCCGTYGFKPTADRIPYGGQASLPFP KPEFEVGIKVVAGPLANSLQDLEMFMKIVLGSNPGHYDTSAITEKWRTVENGSNGSIT IGVLSPDPEYILMPPVRRAMDEAIAKLQAAGHNIVHLPFEYATSAGLGGRIAFQWYGL LSVSGSLEDYLGEPLVRSVARNVHPFSKHAPPVEPSLDQPSLLKELRAATQAYEKAWE NLWQTYALDVILSPASNTTAVPHDTYGVPVYTCMWNVLDVRAHHTSSWGVTMTRAR FPSE_10950 MLILGAKSQGDININDAALRVAEGFEASLIGHAMTTLNGNADDA DSREKLDATGANGEANKNAVTQPTNAQPTVATYTKYGTLFPVTLLPHPVITIPAKSDD PYERIIQAYTGGADATTNQEITIAEPSGTNPGTIIVDIPGTAYSAFSSGQPGVLTITP SNDNPTATVISAIPSGVAITGDATRTIPAAGTASATVVIQTAWNDVPLSTVGVGSSPG ADSGVGAGADAGVGAGAGVRPGAGSSPGAGAGSGDGSGVIPGAGTGPGAGSSPGAGAG SGDGSGVVPGAGTGPGAGSSPGAGAGSGVSPGVSAGAGVGPGAGTGPGAGSSPGAGAG SGAGAGVSAGAGVAPGAGDGTGAGVRPGAGSSPGAGVGLGAGAGAGAGTTPSNVLVIE TLTGTIYITQPRITTKAAYAGGPSTVYIQVPASNTNPDGAITIRPSNGGRFTTIIAPY TGTSPIRTPITSLIPTSAGGDGSIIILTPTATSTRKGDNQEEDLPDNQPQVIPPSSNN PYYTVLRPHAGSDQITKPVTYTVPPSGTRPGTVIIETPNVPKGQHAITLPSDPNSGYI TIVKPPQNPITAATTRTIRPSGGQPGTIIIETPAPQSGTNDITIPAGSGGSMVTIIQG PTGTDAVTAPTTITMTGGQGQPGTVIIKTPVQSGSGQTTRAPDGPVTIPPGTGNKFIT VFRPHSGDPISAPITLTQKGQNGQPGTIIIETPSPETYRPGKEPITLPAGTGSPYITV YRPHMGDPIKKPITITVPPSGTRPGTIVVETPTVETPKGQNAITTTGGDRPPITIPPN DNNPYVTIYRPHTGDPITVPVTITQSPSNGRPGTIIIETPAAPERTVTELRTVTDWKT RPGTTVTVQPTAPGAYTTIYRPHTGVVPITEPITVTTINGKNGQPGTVIVETQGPITV TPTVIGGTVTVYEPCTDDHDTTITETVIVTASGGSQSTAVIIQTPPVKISTSTGPQPT VTGADGFVTVTKQYTGTDVITGVMTTSVAGSGTNPGTVFILTPPATRFAPASTSTGTD NYVTVYKPFPGPGIINSPITITQALPSGGKFGTVIVQTPVGQTSAPTTLPKPSTTQGT DGYVTVFLPFPGPGVITASYTRTQVPSGGNPGTVFITTPTSLPATSSQPNLPITTSGV NGYITVFIPYPGPGEISAPITYTQPPSGGNPGTVFITTPVVKAGPTQQQSQATSTVSG TDGYVTVYFPFPGPGVITAPVTSTQPPSGGRPGTVYITTPAPSTPIQQNVPSTAPNGD QYVTVFFPFPGPGVITAPITRTQAAPSGGNPGTVFITTPSSSTPTLAQNPPPVTDNYI TIYTPFPGPGVITAPVTITKLATGDQPGTVLIQTPAPTAPNSDQYVTVYRPYPGPGTI SAPVTLTQAPSNGQAGTVFIETQPTDLPTSAGQTTAGPTSPIGIPPDPTGLYVTVYMP WPGPGDITSAVTYTQAPASGKPGTIIIQTPNPGANTAINTGPVTIPTGNNNPYVTVYK PYPGPGNIDQPITITAVTPSGDQPGTVIIETPTSPDVNTKFAPTTAVSSEVTMPAGSN NYVTVYKPHTGSGITAPITITQDSPTGGQPGTVIVETPVPLTQPTEQVPTTDNPPVTI PQGQDGYVTVYRPHEGPGVITAPVTVSTIAATNGQPGTVVIETPAPVVTSSSEENQST ASAVTVSASNGGYVTVYRPYPGPGMIDEPHTVGTVAPTLGRPGTIIIETPVPLATSQQ SNSVYGATTVQGNDGYVTVSQPYTGIGSITSPITTTILPSNGQPGTVIVQTPVEQAQA SSQPTDSGPVTIAPGPQGSYVTIYQPRTTPGANNEPVTISTIPGANGQPGTIVIETPP VKTVGPILDFTTASVSASGLETGGKAAIPPVTLNPGPGDQYTTIYRPYTGTGSINVPV TVTTISPTGGQPGTVIIETPQPETAGPNPTVTLPPGPNESYVTVFRPHTGADLITAPV TVTTITPANGQPGTVIIETPVMSETAPASGIRGPTASSPDQPMASYVTIFRPHSGTDR ITSPITITTQQPSGNQPGTIIIETPDQDLPPITTSAGQGASVVTVYQPPTGTDKITES QILTTVQPTNSQPGTVIIETPGQQAPPVTSFPIPDAYVTITRPYTGPDPINQAVITTI PPSGNQPGTVIYQTPVEQPASVTGNISPQYITVSQQYTGTDQITEPIVTTIPAQGAQP GTVIIETQPPFVTIYRPHTGAGQITSPVTVSTIAPTGDQRGTIIIETPGGESPVTTTP IEPSYITTTVFYTGTGVITAPTPFTTIPPQGDQPGTVIIGVPGVYVTTTTLYMGADPI TGPTAVTTIQPQDNRPGTVVIGIPPYVTTTASYTGIDQITGPVTITAAAPTGDRPGTV VIQTQAPFVTVYRPHTGADIITTPRTVSTIPPSNGQPGTIVVETPGSQPPTTTSPPAP SYTTIFEQYTGPGVLTEPLAKTTIEPQGDQPGTVIFETPGQKAVSESGIAQTPEASYI TIYEPETGTVPITSPVTVTAVPPQGDKPGTIVIKTPGQQPPVTLVPSGSYTTIYEAYT GTGKFTGEVTRTVSAPQGSQPGVVIIETDGQQSTNVGSPPVTLPAGNNGYVTVVRPHT GTEQITIPTTITAAVPFGDQPGTIIIETPGPVSQPVSQVGSQPANTDNPPVTIPQGQE GYMTVFEPYTGSDTITAPITTPAFKPVLSPIETPSASGLTVTVPSDGRGYMTIYRPYT GTGFITAPVTVTTIEGINGQPGTVIIETSATQEPVAQSSLRPNVTSVQGDDGYVTVFE PYTGTDAIVGPVTATTIAPSNGQPGTIIVKTPGIQIPASTQVGGDVPVTIPPGSDSPY TTIYRPYIGTGSIAFPVTATVISGVGGQPGTIVIETPVVKADTSADNAGAPVTISPGP DGSYATVYRPYTGTDSITAPLTVTTIQGANGQPGTVIVETPAPTQDAGGYSTASASPG SMYATVYQPYPGPDSITEATTVTTIQPSNGNPGTIVIQTPTVASGGKENIPPVTLTPG PGDQYVTIYRPHTGTDTLTAPVTITTLPGVGDQPGTVIIETPEPETPGANPTVTLPPG PNESYVTVFRPHTGAEITAPITVTEITPTNGQPGTVVIETPVQVTGTDVATSFDSMNT ITSQGSTYVTVFRPGSGTEKISTPVTITTIAPQGTEPGTVIIETPDRELSPITTSAPG ATYVTVYQPGIGTAKITEPVPETTIEPANGQPGTVIINTPGQAAPPSTVVDAPVSYVT ITNPYTGTDQIMEPVVTTVPPQGNQPGTVIVETQVSFVTIYRPHTGPDQLMGPTTVST IAPTGDQPGTVIIETPGIAAPITTTPRVPSYVTVTELYAGSDLSPEATRVITIPPQGD QPGTVIVKTQGRYVTSSTLYTGTGLISGPVPLTTIPPRDDQPGTVIVGTQGSYVTTTV EYTGVDQITSPIASTIPPSGDQPGTIIIQTQAPFVTIYQPYSGSGTISDPVTVSSIPP NGGQPGTIIIATPGSQPPITTTPPVPSYTSVYVEYSGTGVITDAVAVTTIQPEGDQPG TIIFNTPAASITSTSAIQASYITIYQPHTGKDSITSPVTITTISPRGDQPGTVIIETP GSEPATTETAVLEASYVTVYQPHTGDGTIDGPVPITTISPQGGQPGTVIVETPGLGAP VTSMPESMASYVTVYQPHSGAGTITEPIAVTTVAPQGTAPGTVYIATPGSGAPVTSAP VPQERYVTVYQPHTGAGSITAPVTITTIQPQGDQPGTVIVETPGSELPATSSPAMTSE LPFVTVFRPYSGTDQINAPVTITTIQPQGLEPGTVIIETPGTKEAVTLAPTLSYTTIY EPSDGASDMTGEITRTLAPMQSGEAGTVIIKTPAAQSTIKGANPPLTIPAGADNLVTV FRPYTGTPPITAPITVTTISPSGGQPGTVIVETPMPEDVSSTLPSAPSVNAPVTLPAG SDNYVTIFRPYTGTESITAPVTVSTISPADSKPGTVIVETPEPVTQASPTTSLSADIY ITIYRPYTGTNPITAPITLTTMPAISGTGTVIIETPVPQTASVIYATIYRPYTGTARI TEPITVTTIAPMSGGEGTVIIETPTPEPTTDSQASDSPAITIPSNDENRNVTVIRPYP GPKIITAPITSYIPPATSGQPGTVVIETPGDLSTTGSQSQDQTSPAAVTIPPSDGSPN ITVVRQYPGPGIINAPVTSYEPPTTSGQPGTVIIETPAPIAQTSSDMVGAGTNTTIYT LAPPGVKTPITSYAPPQTEGGEGTVFIQTIASETPTSSGDGSTVTIFTEGPASLTALQ TRYQPPASAGEPGTVFVETPAGTSARPGSSTEPSYVTVYQPHTGPGRITQPVTLTTIA PVGSEPGTVIIETPGSEPPVTSTPMSYVTVYRPHTGEGSITTPVTVTTVDGTGDQPGT VIIETPGSKPAVTSEPIPMSYVTVYRPHTGEGSITTPVTVTTVDGTSDQPGTVIIETP GSGPPVTSEPVPMSYVTVYRPHTGEGSITTPVTVTTIDGTGDQPGTVVIETPGSGAPV TSAPVSTPYVTVYQPHTGSDPITAPVTITTIAPQGDQPGTVIIGTPGSGEPITSTPSA IVSYVTSFRQYSGTKAFAEPVTITTIAPEGDQPGTIIVETPGTAMASSSDGGSSPVTL PPGDDNYITVLRPYTGTGVITAPVTVSTIPASGGQPGTVFVETTASLTGGMSQTSVDN VTVYTLAPPGIKTPITSYVPPQKSGDPGTVFIQTVSSGSETPQTNVTIFTQGGASLTG ATTMYQPPASSGDPGTVIIEYPPGSNVTITRDGAPDLSAPFTTYLPPGSSGDPGTIMV ETPVSKTSEVSSDGPVTISAHPGSPNVTIVRSGTGSEVSTIYVPPSGTEPGTVIIETP VKSKDLTSGIASSIAMPTDMPAITIPPSSNSPNVTVIRPYTGTGSITAAVTSYLPPTK AGEPGTIIIETIASESPGPLTDNPVITLPPTLQSPNSTVIRPYSGTGSITEPITRYIP PTASGEPGTVIIETPVMDVTSESTMASVTAGATSEPIGITIPANAGSPNVTIFRPFTG TEAIETPITKYLPPSESGGPGTVLIETPTVVTSASSSVSVSSGSGPASTPAGLTTDER SETDSITTPGSTSSNPNAITITPTDSNNDVTIIEPNTKFPDAVENVTQIIPPSNGNPG TQIIYTPIDAATSTEATPGASKTGEYVTISATVTIPGDPSTLTGVKSNLGTDTLTTGA EINTIIIPPSGTEPGTIVVQTSVYLDQTLAEHGNVTITRPASSGVTKAMTTYAPPAVS TDSGTFIVEIPDYNVTITRAGGASVTVPFTTYVSPASEGNPGTVIIETPIGSLDASEL PAGETNVTVYTVGSVIAPVTKYIPPASSGQAGTVMIQTPGDSTDSKGGAGNVTVYTEF SGTATRTTFIPASVTGEPGTVLIQTPGIPGTLRNTTVYSGIPGTVSSTIYIPPASSDQ AGTVVIGTPTAQEDTTSFSQFNITVTTAASTPVTSQYTTYFPPESAGSPGTIVIYTPN YNVTITKEAPASVTSLRSSYLPPDTLGDPGTIILETPNNDYNVTVTRAGPASVTGTIT TYLPPGSSGDPGTILIETPGTNVTATRTASVPITGTRTLYEPPASSGDPGTVVIEVPE TEASSSTGLPGTNVTLTRTASVSITGTRTIYEPPASSGDPGTVYIEVPDLESSSSAKL PVSNVTITQGATVTAPLTRYISPASPGDPGTVIVETPDYNVTITREAPKTVTTLRSSY APPANSGDPGTVIIETPIGSAGDPGTILVETPDYNVTTTRQAPASVTRLQTTYVPPAT PGDPGTVIVETPNNEYNVTVTRAAPESVTRVLTTYAPPGTPGDPGTIIVETPDYNVTT TRQAPASVTRLQTTYVPPATPGDPGTVIVETPNNEYNVTVTRAAPVSVTRIITIYAPP GTPGDPGTIIVETPDYNVTTTRGASITAPFTTYSPPATPGDPGTIIVETPDYNVTITT AAPRTVTTLRSSYIPPGTPGSPGTVIIETPLGPYNITTTKGASGIDATITTYLPPGSP GDPGTILIETPEYNVTVTSTATVPATGTITSYNPPASAGDPGTIQIIVPGQKLVSTSQ SVSDDQPGQNVTITRPGPTTLTGPVTSYFPPASSGGNGTVIVETPGPVLVEVPPSRNV TVTTEVASRTAPYTTYSPPEKQGDPGTILVEFPPSRNVTVTTQIASLTAPYTSYVAPA NKGDFGTVIVEIPFDRNVTITTEIPTLMAPRTTYAPPGSQGDPGTVIVQLPPDSNVTV TTEVPTLTAARTTYATPGSKGDPGTVIVQLPPDRNVTVTTEVPTLTAPHTTYSAPGQA GDPGTIIVQYPLDRNVTVTTTIASLTAAYTTYARPGSKGDPGTILVELPPGRNVTTTT TVPTLTKAYTTYSPPGSNGDPGTVIMLLPPERNVTITTQAAIISVPYTTYAPPANVDD PGTIIVGLPADRNVTVTQIISTITRLTTTYAAASNLGDPGTIIIEQPPETNTTIVEVV STITRPATRFIPPATQGDPGTVYINVPPNVNVTMTTTVSTISRVTTTFIPPATQGDVG TVLVQLPPEYNVTTTDTVQTISRPATHYSAPAETGDPGTVYIDLPPEYNVTATTTIFT ITRQSTTFIPGAEQGDPGTILVKMPPEYNTTITQTVSTITRPATRYSSPGTVGDPGTI YIDLPPAYNVTITTTVPTISRQSTTFAPAATVGDPGTVIIEMPPAYNVTTTQTVSTIT RPTTVYSRPDTIGDPGTIYINLPPDYNVTTTQTVPTITRPTTVYSRPDTIGDPGTIYV NLPPEYNITVTTTVPTITRISTTFAPAGTQGDPGTVIIQLPPDSNVTITQTISTITRP TTLYSKPGSIGDPGTIYVDLPPAYNVTVTTTIPTITRASTTFASAAEQGDPGTVIVQL PPDSNVTVTSTVPTISRPATRFANPASPGDPGTVYVDLPPEYNVTVTSTVSSISRIMT TYLPAGTQGDPNTVLVEIPPDYNVTATQTVSTISRARTTYNPGATQGDPGTVLVQLPA EYNVTITTTIPTLTRASTTFAPAGTQGDPGTVIVELPPNSNVTISATVSTITAPVTRF SSPGAQGDPGTVYVDLPPAYNITRTTTLSDITRAATTYQPGATEGAPGTILVQVPLPY NVTTTTTVATITRVMTRYDPGASQGDPGTVVIQLPPDYTVTTTQTVSDITRPTTLYSS AAIQGDPNTVIVKVPVPYNVTTTQIGVSTISRVQTTYNPGATQGDPGTVIIQLPPDYT VTTTQTVTGLARPTTLYRSAGVQGDANTVIIQVPVPYNVTTTQTLSTITRAQTTYDPG ATQGDPGTVIIQLPVPYNVTSTQTVSTITQATTVYNPPGSQGDPGTVLVEFPVPYNVT TTTTVSTISQAMTTYMPAGTQGDPGTVLVQLPVPYNRTTTQTVATISQITTTYLPPAN QGDPGTVIIQVPPPVTSSSSVPVVAVSTSSTTSPYPQATFGPTFDCDGYGYVISTLLG NTLTKVNLNTGQRTTIKSGIGPGGTLLNVGGLAGAINGIGFNQLDGYIYGVVNQGLIS GILCGLTGCASSQLIRIAQNGAYQALSATIPSNTITMGDIDEQGRLWVSESGRKWWCL DVKPGSSTFGKVLASGTSAVDIISGVGDWAYVPGGGDYLYAIQTSLIESGLLRTNIVR WSRTTHTWSIYQRYTNFILTSLNLEWGAVMAGPGGTLYGQENLLGQTWRFNINSTANP TEIPGGAILNLQGDGARCSTAKVPLPPFKCDGNAQVMSSLLGNTLTSVNITTGARSTI SSTIGPGGTINGIGYNKLDNYLYGVVNQDLVSGVISTVLGGAQSQLIRIGSDGGYETL DATVPSNTITMGDVDEQGRLWLSESGRRWWCIDVNPTSTNVNQVIASGTSAVDIISGV GDWAYIAGAGDYLWAVQASVIQSGLLRTNIVRWSRTTHTWEIFQSYPNFVLTTLNLNW GAAWSGPGGTLYAQENAIGQTWKFTLGSSTNPTAISGGAILNLSGDGSRCIGLDPTTP LNLSPPATTSSAVASTTSSAVAAATSSTASGPAALSCDPNGYLTQKTSFYRVDIATGK TTLIKSPIGPGGNLNALGYNSLDNYLYAMVQDSTGSQVIRIGGDGSYTLLSTRTSDPS VQMGDIDNMGRYWISNQGKAWWCIDLKPGSSTYGKILMSGTAVTTGGIADWAFVPGGG DFMYGVMYDSAGLTGTLSRFSRTAYTWSSVRAYGMVTGTNVFGAVYASADGNLYGSEN SNGNIYKFPIAPTAGAPVFVATGPPSTWNDGARCINATNLSV FPSE_10951 MAGADFNFVLVADEAVFKDIARELFVVKALGYDWDPTRGRTCWM RVSTASLLSLWGVLLESELLDIRKYYTFRYKGLKEDVESQLWDGNLTAPYFEDCTEVG RAQREIRAERFIFDYE FPSE_11235 MLPCDDLNCSNVFTFTSTQLGPEVIRTYFKLKAIKSYNVYDKRV SQSIFNKYK FPSE_11234 MAQAHLWQAVNKSGRYAAFATIHTLLAFITRLDTGVAGPILEDA TASLLLPSGDSLAALATVLALLHLVARLSAGITGAVLEDAIATDLGEAVKTGAAVLTV FTGDAIDVTSAVLFGPGAF FPSE_11233 MRIHLYVLWVFAIRLSVGADIYIDRKGQDNNPGTVEKPVRSFKK GQELVRELIPSAKEDIIVHIGSGTWAVDEPIVFNTEDSGTSRCTVTWSGSDTVISGGY EIRNWTEGKDGIWSASVPEGTKSRNFYVNGLAAQYARRQIHSRTDFEYNKVGMTWNSS SYDWIMRVPGIESGELRAINSFTDRIALVEKVGDRVLEMKKDIWANQLIGYDQIAEPF WDGGVWIQNVRALLTDGGQFYLDRNQSTVYYKPLEGEDMTTASAYLGTQEVLMVVGGT YGEPIHDLHFKGIAFKHSTWLRPDTYGYIDQQTGGHMGNDSLWPNFEASRPHWWQMPS AIQVSAAYNIKVESCTFRELGAGGIGVANDQNSHFTGVGLGANNIRIDDNYFTQVMGN SITVGGIQADAHHPSQPEMIVSDIHVSNNIFNNNSVLWSSTVPILFTYTQSSSITHND IYNHPYSGICHGYGWGSNDKGGSPEYVKRGLYNYQPLYNTPTTMKNNLIEGNLIHHFG RTHTDFGGVYTLSRSPNTTVSSNFIYDAGWQALYPDEASRNITWFNNLGFTSGKYYAP NDWIPEQLTGWNTVIDNWGKLGVGGNEVLDGFPNHSGRRNNTFLRNYLASHVNGTSLI AQRAAYRAGVIPSKRKGRPVTNDPDITDVYLDIKVSDGLVALNVTNFDDVDFKDVVFH IASPGVTFKKRSSPMSIPADSSATAVYSFSGSPKTNATARVRYVNPRVGPFSRERLIA FFLL FPSE_06349 MPEGNLAFRPKLQELSHLAQHGIQIVYLTATLPIAEEAKFFSLI YSTPKSATFFRFPITRPNIGYSVSSFDIKGVNNIDTAVTTTIRESTDQILAQYASTAK AIIYCQTKKATQALAEALRCNTYYSDVGTEDKKAQRLRD FPSE_09992 MDAIAIIGFADQVFSTSLKMYAIISGASQFGEEQDMLFWKFQLE CLKFKVWHDNLTTSNDDPKALDMALLEQGEQVRQVVVGALQRIYKILNDAMHLYDKYG LVFIDKPSDGMNLAEVKVLSSNIFVKEPEVSAKNAQKAVSFWKRCKWQIKDKEKFGAL LKDLSDLNNDLNDIFPSRMRNFLSDVVVSLLISSSKVPALDSAVKALTQGDGGKNLNS SGSYPLTTKPTDYARASVEKLAAIKRLAEALSINDTGPSSNGRQFSVLADDPNKLKTA FLASKASQGGTDFPPLENGFYQEQPVLVEWKKYDRTMPYRERQIIKNRVDKLAIFLNK TKPNDFCIPECTAYLHVDDESKFAFLYRLPTLAADQTKWTTLRDVFDRPSPTLSDRCS LEGRYLIAQTLARSLLQLHVCGWVHKGIRSSNILLFPKSSASIKNPDQSLLDERYDFS APLVVGFGYSRPDKPDEETVERSSNPRRADDHDLYRHPKLIPTSASYRSGPRFKKQFD IYALGLVLFELAFQSPLVNFHRARDTPESFLARLRSWYVPQLASNMGTRYRDCVEYCL GVVDKERIALGVQSGTDLLSNQSGDDIQLDQLTEFSRKVVLPLGRYI FPSE_09991 MAGIQDNEIRGKLEDPIIRPSEDKAPKSPPTRDIDIDHSPDFVS LLSLLDQYFNNEYFPADGKTPRILASQYPALAMQGASLGHGRTYSVWRLPYKGSLYAV KRPRLSERSYNGALKLMLNELRVLTLPPLVSHPNIVGLVGVGWEYQPYFEANGASLPY LIVEYCEYGTLAELLARVDDLDLQVKQRLILDVSCGLSALHQVGIAHCDVRCENVLIA PHPQRRYVAKITDFGSATTDVGDLNDLDEAGAPPVSFPCCAPEAYDFVETCHLKLLDI YSFGIVALSTLLGVYNPFEKCFSALKTSSWEVSFDQDLTSYLMDDTVDIRERVLHVKK NSFTETVMLWVVRREIVAEGLHHDLLDKFIEATLNIDPQARDMATATELIQRLLSSDS PSSSIPPEEGKKSTSMAGETGKLYEKHVWQPHFLQMNTRFQSFPLVARKKFMLDLEER FHKFSSPVYLIMLAHYSLHGVGSGSALPQSSGLDFLGQEAAIFDDEENDPSLWFTVSR YFHALGSPLPEISPDAEILHLSLAANSGSVVAMEVLRRRSPQTYWDIKNSISWELRGL NKVFPRIACNIEPIQEFYDNVSWSDCDCDQKVELLKNLQKAWEDTDLFQQTKETMLHA TAAHGCLDIVADLIKYELFQVEVRNVDGQTPLLQACLAGRYDMVQLLVDKGADMTIRD ARNENCLHYTSSFPHAYVAKFVELALSKGVGVNDMCSSNPLAVQQSAERTYCPGTPLH RAIYANSLAAVSALLRHGADPNLGAPEWDRADIKPIAWIGASTIRSAITPLQLAAKLA YSDILDSMLEAAPTAATMHDADGGTLLCAVDEDAAYGYYLHGKKYPEAVASTVSVLKN RGAELSKALKTQVSHVSSIAFACYANRVDLLKALVVSSPKTELDVATKFSISPPRRMK PLHHALKHGNEKLVQAILDAGASCKEVEVDNDFEGTIFMALCVGMPNGVSLAALLADN GAAIDIGSPANLTPLFAAVIASQFELADFLISRGADICRRIDHCNLLWWMLERLDEPG ERQLTYAMDRMGSGWDTFLTNNEVKSSVFMDVAYRTSKASNTIRWLMKYFLAKFANPA FRDYHTPQSLNALDIAISLSNFDACEVLVAAGALQDEKDWERTLQYAGECVFAPIPDT VKEAGMSAIRRLKDDRLMIVEILQSRGKLKREVFLGFYIDGKLLTPGLKLA FPSE_09990 MPVPVLIPSQPGYLDCLHDLVHSSECLDAKGYILSTLSEAELGE KRRCARCHLAKVKKRGRRSSAQKEVSGNTNLEDASTSDIRDVDTLGLEQLKLKETLQQ QSRCRFHDGTVVDKRWTCCNERTTAPPCRQEVAHQSRIYAPNELSTLWQFYETPSSST DNCCKVVVIDCEMGTAASGDSELIRVTLLEYFSGRILIDKLVWPDVAMSHLNTRFSGV TWKALNAARRQKTCIFGKRKARAIIWGFVCPDTIVVGHSTNSDLNALRWIHHRVIDTQ IIEGNSSDKTAGLSLKSLSEKRLQRTIQVKGKGHDSLEDALATRDLLHWNVARTINDS ASA FPSE_09989 MSTKATRSSNPTCTYENGRRYHAYREGAYPFPNDHKEQDRMDLG HHIYRLLLGGKLYLAPIGDNPKRVLDLGAGTGIWAIDFAERVAHSSASRENCS FPSE_09988 MRLLSARDLRFKEFNDDRIPKTVENANIWVDTCCIDKSSSAELQ ESINSMYRWYLESDVCYAYLSDVSISTNYAGHRGSASSKVQRAPRDLIFFDEDWNMCG DRVELQDAIQAATGIDSTSLIKASHKDGTYLRFIRLGRLFSWAANRQTTRYEDQAYSL LGIFDVNMPLLYGEIDKAFYRLQEEIIKVNEDVSMLAWNYTEADDGFAPNGLAKSPSQ FQNYQNLATKDNTRVPKETGDPWFFLSYFGNYTFVVELVARNDSKRRYVVIVDYWSDG TTITKYMTVTVVRRRWHINLAYALELAQRRQTRQDSKSCKLPDEYGNGIPAGEIIFIS HLTILWISEAENKLNKEISRPRKNPEIRTLGSIKPLRNIENSNLNSKLSELKIMRPRI PPYVPERRVLRPTPVAFTLSGSTSILFISYGREFTDAGEP FPSE_09987 MTFSFLPPAGAECQRCAQLGIQNVSNVELSTESSNLVAQRLVFD APIPPCLPSCPSCRRLCRYYYNTAGSIQPSRIFGEDLAVADADSLLRNSLWTCMQGSQ EVSQFRRTPGFPLQPLDTFGKAFPDSTEGTVRIVQKKPNYAAISAWLRYCHDRHLPCQ SEYSPPLKNIFLIDVYEKTLVSYPGDSTKPVEYLALSYVWGSGANYRVSGPGPIAIER LSQTVQDSIAVTKNLKMRYLWVDAVCIDQDDRQKKHEQMDYMASIYRGAWATIVAVDS NSRNSGMSRVHPESQRSHQLRIEFGNGNILIQKFPSFDQQLAVSPWMGRGWTYQEALL SQRLVLFTKHQVYYSCNSMNCCEALNDEQAFGDNIKPISHHAGNKDDRPPKTDLHFPT RNELRRNKLLEYERLLNLYLRRHLSYDKDILNAFAGLLQRLQQLAFPTGFWNGLPKES FMQCLLWRQERHDYDSYGCPRCCTNPALATRRKCLPFPTWSWTGWHLKSGVHFPHCVA EFEQEERDKIRDKVDLRPPLIIETANGQALFDNLRDTHRMWQPRKRHRHHLKPLLARL STTRAHTAIESSCHSPDPPNPYRKGFSAPKTSDSIDNCTFDPEMEKDTKLKVRGIVLE LPCRTSNQA FPSE_11588 MAPTKESSRVGIHLGIDFQYDEVNFDPTPPPPRDEPDPPLGILS SFTGAWTGTGFNNIFRPNSVAPTTTTFTNPVLPAPPSPPNVSVLELNLTQEDLVFSQP LGKVPNRGLEQQNDIVINGVTYLQTVNDVTNTVTGKGDGAKTGIHTETGFWLNVPQTQ NNPVEGNTLVRLGSIPHGTTINAQGSPPDVTEGPPQISKRPISPFVIGKPDDIQVKPS QTAALNNTARLPQDLSLFIEQGTITQDILDNPIQILLDINSQLNITETNTFTVSTQFA PTPGGGTANIAFLVGASSQGPNADAVQMESTFWVETIKSEITVQDYTPGKALLLQPAY KPIQGKITPPLPTFSVTPPGPVTGPKTIPVTYTQIQYSQTVNLNFNGLTWPHLSLATL VPSQPIKVNYLSF FPSE_11587 MEPSFQGNQFFPGTAAYKRANDIYATSTYGVSRDMNPSEILQPA SVEDIQQVVKAAAKMGKPIAIRSGGHQYSGASSTGSQGIQLDLKPTFRRPNIDLNILR ENGKVYLRSSVSWQLTEIFDFLKDNGVFMPTGQCPTVCLGGHVQTGGYGMLARSFGLL GDWVRELEIVDYKGDVVKITKDSHPDLFYGFLGGSPGNLGVLTHFKIEVQDDKSHQGS KGLWVGFAYKEETLQALLDILVQKGENPKLPRGYDFMVNIISRQANLLDLFPGSEDEL KQKLPDNVHDGKDNIADLLKFKYAMIVVYAQWVNFDEEPYSSELFTQIKNVPNEFKIV KEAPEGTPMSVIASMWLFGSPREFPYPYDKRTNSTTSTDLSKTGWSSWFAGRINEVIK DKHNGLWVSSQLQVYGGEQSMFRRNAGNGTAYCFRDATIGGTWDVFYQDTKDAAMKWQ AVNDEGRAKYFSKADRRVLWGSYGDWNMKDVWQFYYDPETYKKMQKIRQTYDPKGTFT ANPFCVEALQ FPSE_11586 MAMTQYGLGQHIWTLSDDILVLYFRTVMALVQCIPIQAVWDHGI DGKCIPNQPTLCLGFFNIAISVLRLQWLTPAKDLTWWNVTPAYWSLAEMVSGLGCACL PTLKPLLYRAKTWFPRTRFGDSTICLQDDSCDRNIELSTTVIGGKDTSSDRRTQPSMD ADENERNTR FPSE_11585 MTSIKTTIFLLLSGSRAATMNSREYRPDEHLMLVDCGIGADGNA KSNEMAYYSGSYNPGGGDTKWVQPDMIANVPWDGSYPWRSSGVAAQFSNGDTFQVAIN PAIKDWEESKSYAGDAKHTFGNELKCWAEHGRPAFELPDGTACTSAYICFHPPDNPAP APATDFQVVTDWGMSEEEIQVRVQGTNSDEANWTPENAFSNINEDDEGLQCKGKSYSI GNDCSITFDDCFFSARDNVPAMKEALIKAVAPAVAKTSVTKTGRYRGSCRNEAMCEPD YEFEYLEYTYPVAGTVLVSVLPDGKRDKASVQARIHWTVSCPKAGICGSFCDSNLQSI VSYGAGLIKLPPLGVWACAACGN FPSE_11584 MPAPPPFVVNTDPKRVAESQTSLIIGVITAINFVSLVVVAARTY TRLVISKSPGAQDALMVLSACTGLAGTIILYLQIPHGLGKHADTIERADFTKFSRYSF IVTVVPLLGGIGFLKMAIALELIKYNGNSWKWYRITLQCMIAFVVAYTVEAWLSFILF CSPVAKQWDRSLEGSCYPISMFIAFGLANSAFNIFTDIAFATLPIPLIWSLKMPLKTR IYLIVVLSLGYVAAAMGLVKAVSQMKYNPAGDNTYLYDIQFWGLLQLNVGTIAACVPS LRPLVKDILRLKTLTPAYGYSNYGQRQHSLPLSTIEGTATPSSSRQGDQVVQSKCGHD PKNAIYVETVFELKNSSQVAILDTDGTERLNV FPSE_11583 MKVSFGILATLLRASSQVASAAPTDQLSAKDLILSLNEQATSAL ENADTESSKRSVGPKKCTIFNAAVRRDWKTLSKKDKKAYIDAVLCLRKKPSKADQTFA PGARSRYDDFVAVHINQTLSIHGTGNFFIWHRYFTWAYEKALQDECGYKGTQPYWNWF ETGDFATNPLFDGSETSMSGDGKYFEHDGAWSGKQNIFIPSGKGGGCIKGGPFVGAVA NLGPPSPGMTGMEATKTPLNYNPRCLRRDLSSYSINQWLNLPNLHNITLGKASNTIQA MQDEFQGRFSDKFLGMHAAGHFVIGGDSADLFSSPNDPVFFLHHSMVDRIYCVWQALH PRQAKDIAGTITILNTPPSRDAVKSDVLNMGVNAPLITIEDGLDTLGNSPFCYIYL FPSE_11582 MFKLLHGKFIIANPMQPDGDTIRFKPDNVGFAKDLRGSRPINDR GVNVRLEAVDALEKNQELKGATAARDELLCMLGFKDARFSGDPPFIVSSDNQEVSGHV LSNGFDTNGRLIGFVYKGDGSVHGSDGSLVSLDESLVDESVNTALLCGGYVFPAFYDT LPENLRAHLATKSKAARMANNGVWSQSKGFPGDPLILETPILASLREAVLWPKLFRRL EEYLKSDGHKTLDGFEDWLQEDPKSRDDGILLIQSNPPDSVRLHDVVKASSNSVELKF WPEDFIIQGHPINTTGSQP FPSE_11581 MSKNVFSVAIIPLLPLPSSTSQLHATKIFRTKEKHIQNLNRKTK SDLVSYLTKAKRDKIDNCEWQVVWIYCHGEGRTTSGAKSPNYIAYQKNSTGQCRTQLT VRNGGVTSFLSDNRDDITIEISWDDTVPLMKNVLKRYQEMFDVLVEKTPSEQ FPSE_11580 MSFFGILGRSRKDELVTAVLEMATAAGLSLVPSSCRNPGKTTTF GVGQLILAALDAGASRILVGCGDSGTCDGGAGMLQALGAKLFDNNGRLLPIAQGGESL LALDSIDLSGVANRIFKAKIDVAVNWHNVLCGPEGVANVFGPQKGSTPEQAARLSLSM ERLAKVATGLLCNKQVRLAPGGGASGGLGTGLRLVGATLKPRYEIVMQYINLHSIFND CDLVITAEGGIDDQTPRGKIPAEVARLAKKHGLPVIAIAGTIGQGARVNYDIGIDAFT CIIQRPMSLDDAVHEAERLTRESAETVMRIVVVGRMLGKAI FPSE_11579 MNDLSPQLMRMCRIGIKCPDRSELRFEDRDWLSFDKSGQSRSSC SLVMTQKTGTCAIKKQNKVRVCRAAQFKSRESKVGVVVMTTDFTNIARPTQVKQQSSV IISSIPAMETDQERSSSRLNLRYLSQAVRDVKVTCYKVHRGQYQY FPSE_11578 MASELFGTLCIRGASDELAQSFVDSLKLSLNEKAGPDGVALEWG VPERSEPEWHSSTITINTANLTRAQKRNILDIFRMVLNSQRIVPQNYAYLWADPGFVR TLGDPFVTVTMTNTYVAIFQMEFRKLQGAIADLTPAPPLEFVPLEGGNGDFQSEITGD VIIDTLNLSKSDKRKILETFATVFNDNFLQGVSVTFAADPGFLKTIAQTVLAVDFDHS LTPSQMDDLVVLVPDVALALSWGEVTATADGCK FPSE_11577 MHFTTFLTTVVAAGMASATTYNAAAVSSNKNINNSALQASKSGF ALKLKSQGAVCDRGLTENKATFSLNKDGELYLYTFQNSQVAYVDRSGMGQGKLGYATI ADKGLVIPKNGETKGWKVAANGDLTFAGKGLIACPYDGSYTLWVDAGVKNPGGNSNCQ AVTIRAKKNDKAITCQYSV FPSE_11576 MKFTSHLLAASSVYFGFPVLAQSNNSSSDTKCPRIIEDLNDNNA EFNATGTTTFSLLEQDDWQLSMTFQFHQARNTSTVKDVSSQGDRTLFLSVPESFPETP SGKEMELCYYEMDALNATSDSGESDDNDASCNGILSDECQKALRGAPRPRGGKCPHVD IEEACGRVMRRWTSKPFNLSQQDCTLSSLPGVDVPSNYQTHYIWFLGEFLPVAEEDFL DSYDLLVRQPVPILITALLPGESESGAAEIACLATEDISKGSRVPQSEFPPSSATHQD TQRTIIYLLAI FPSE_11575 MSTMKLTILATSFLHAVVAVSATVLPEQPKLADGLYKSYLDDAG NEVTHFVPWDQLGNSTYVPTVQVLPSPTVNKRSILVKRKEGCHPNVNRPSSETDQANK CLIDSFSNDPIVTTANGYKRFSCVKGNSLSYICSYNRGPVLGPGSTTRKWKSDIKGSW DYVKRTLCGINRLGYAQVINGEGDVTAGYTYNGDKYCW FPSE_11574 MLPWIILLLLCFFSGDTLGQNDPVKHFCRRWGHQSAVVDGRLYI DGGLINYRDPKTNLTNTFLSFHDIETADKNGMPPFYANLSKNDTIPSVNGGILWEDSI NKRLYLYGGEYYNTPPVSPDFYSYDILYNKWVSLGAPPQEVQAASYGAGVSISSRGEA YYYGGWLSNSSIEGWSGPPKASSGLIKYEMDANSWSNLTGPDGTGRAEGAMVFIPVGD SGMLVYFGGTQDLYGNGTLTPQSLDEIFLFDIANGKWYTQKTSGDTPDNRRRFCGGAT WAKDQSSYNIYIYGGGGFPPKTAGYDDIYILTIPSFQWIRGPYPTYRNGTGAFPKSMM SCNVVNDAQMLVIGGTYSNTTECDVPIIHGAHNMNLGRQNEKKAIWAAYQPNLTTYVL PVDIRTAIGGKPTGAATKTVPVDGFDAPDLAVQMDRTAEMKDRTATRATATSTKKSAP PSDTSKPSPGLSSGAIAGIAVGCSVAFILALAGCGVLVYRRRKYYSQDHVVAAPPLQT EIVTAGTGGRAPLVAPPYNGGQTSPIHPPSELTSDHRSPDMYQRMSPKSDRHVVSDIG DGRPIELEGEGNVPEYQDGLSPLSETS FPSE_11573 MVRLVEPAIDHPTTQLFPYAALSYTWVGEQPLRLEKKKTELYLK QDDHGDRAKENNATTQTSVKGPFHDLPPSRSTPNNSLSVDRSKASSTSHRSPSIPVTI VVMEVAVAEWLEGNTNDDLTAHIK FPSE_02390 MASVTPMVNLDPQTYPIDDGNMGIQNLRDQVNAIHNLPRTGYPI VLVPGFSGWGRPLLGTVNYFGGFEDLALGLSTLGYVVIVVRIGPISSNRDRACEIHAQ LYNINRNGPGGFDLPGAPATLIPVDFGLNHPAFNPANPAYPALLNNAQTWQAVVYNPP AAAAQLPPIWTWSAANKVHFICHSQGGTTVRYLIELLRGLNRDFVSINAGNRQDWVKS VVTLGTPHKGTTVTDVVQNVLLPNLSPLQDFVTSCSFESRPNRVYDLHLDHWGFSRNP GEFYSQMRARIAGDVTLWWNGGNHGFEDNSLQGAAALNAYAPSPATYYFTMSFCATVL FPNETLTAPEVNGLLALLPLVRPFNIGGIFGGISAPILRTAIRFGALPRARAVLAWMT NVANNHLGNLGYFAQIPPPGLQIPRPDVLPLLSFPAYAMGGLDTPPPGILEMTADQLK PNDGIVNTISMDGPVAGPIMDGTDFAAQLQVAIAAGSLQLVRGRYWHLHSNSTIDHAD QIGVFTNPATVSFYPL FPSE_02389 MLYPDLERYWATDQTNFSALYEACDNVQHEYNDISFASNYTYNP ASDDLTGCIFSEVYPPPSQDPDMTSPEVSPERLDGRQRSPLTQSTNRRKRRPTKTDRE PTKSYQHTSTKQVQTKGATGDVCGDAKGSQTLSKTTERTLSTLQYLNGEQNRKRQERI QCASYKYRNRQRETQKDLETVEKDMEQLNHYLSRCVTDLKDEVWNLKMMVLQHTHCDC PLIQEYIANHANRFIQELEDRRKCQQPEQYCGK FPSE_02388 MSNFQSPRTIVVTGATGNQGKGAIHALLGADEGWYVRALTRDVS SPWAKALLDECSDDVKAERLSLVQGSTYDLDSIRSTFIGAYGVFAATSEIYPGKVLIE EAEMAHEIQAGRNIVLAAKEAGVKHFVFSSLPNMDKVTVGKFPGIHHMNNKHAIEEFA KEHLSGVTCLIPGFFYTNLRWPQYSQLRSDGVVEFRTAIPSEQVAQWTDPSYDMGTFA AKVFELGPEKTAGKTHLVLSEPITPTDMARIFTEVTGQPAVHKPISPEKFGEMTAPFI GPAFRLDAQKMMEWASVIPAGKVCYGAMEYKKMEDSPRELGLKASTFEEWLRRSGWKG PK FPSE_02387 MHPSPYIVGESSPFLKRVLVPLWCIRILVMLANIASLALVLVVI IPQMERQQQPVDKQLIEQGFAVDYQALMINTIVVLILIFPCIVFDIICIIKRSRRNLS PVFFLVANVIQFTIWTILFALSMRGGGSSSSIALGIVIYLSFAAMLGYASYIYHKFRK GTLISQFPASATDQLVLQDTSNAGHPYNCIDQHQQPLTSFSTSYSHDKHEMSAYEQPL TELDGHHRTKPYAGRSELFAAHTPATEIYEMYTPDAKCV FPSE_02386 MSSLEKLSVTQSLNVWPAKTQPQNDVMAWHIEEALRKKESCELM SQLIASRVFATTMAALEAITLTVAHAMFCVASSNSSVQIWRALEEEARHVLHGPINQD SIDKLHFADAAIKEGLRLQTALKALTVQVMHPTGITIKDIRVHQRQGARISVSAWGIN RDEYIYPNAYTYDTFRFAPQRRNVCTSEGSDDEYLMTTPSEKYLSFGFGKHACPGRHL ADQHIIHQLPANFVQEGVPSIPDSIGIALKALQDYPPRLLPYLTNDPQFHIERVSAEG WSIHGSFLHAKKMGRASTAPLPRHFQFYKQLQLGFWPWASRALIPSLWGLPLVFWVLI LKLLRFTLICEGDPTQAYRKIRPQHIPTTQNNRFMALLAYTHFFEAAWPYLKKGNYER AATKNPS FPSE_02385 MSLYRENMRHVWDVVGIQGAVAELCFKFMLKVLTSNGPFVFTVH PNTDWVENRSKTLYRTSHNIIRRDTAHVLSLGQRNIIPVDYDEEEKLEGILLSSL FPSE_02384 MSREDQKCYYPSGDEASSNRYSVCDSDETSFKTCCPAPWTCLKN GLCESLTGKARPIASIARGACTDQNWSGCPSICPLEQSNTSVYVKQCDDGKYCCRPNE SYNCCSGGDFRFSLKDPRMTPVAAIAGGTVGSVVGVAILVGLGWWFWKKRSTRDQAGN GAVDENSSKGSQVAHPSVVEIDAGPDSVLVESDARTTQPNRIHELAA FPSE_02383 MVQTSRAHSPAAVASKIRQVLNLRTFNTESSAADSAENVVQRVP RAPPPSHRKKPQARPINKRVLYQAAITEHRNIKHSEEEARRAQNERRSQRVQQQPPKK HLAQHDADDRATERRRTPRRHESREEGLTGNKDVGGVFGFLRHMMSSKDHHKNEAREE PDRQNDDGRIIGSTRKETSSRRHDLNEEKKQNRESRRPHRRVRHTHETEGAPEARRIK ARPSRSHHEDTQQEHDNRKHREAETPSTRDRSIHSYHRRRIQSEDRRIREQDRGARRV ARTAVRQAEGEREVREQDRQVSRATQHKSHRDPKGAPLDAKSYTSTFTDTSCYRVRDE DQLEEEVNEKRKKDREAAKAALMNYARRKIDEERKEAERQAMIQASERILASEVAKRE AEEKQEEEKRLQEEEEKLAEERAKKDAEQKEKEAGSLNAAEKLANEKEKEMRRMKEEM ERQLAEERAKKRAEERDRVARQFKEERAKKLAEEKARIALERKNMRKQAEAEA FPSE_02382 MTQQSFIRNSIPLPRHTYEGEEYFCRFTPRIHRDARLSDAGSWQ CQVDFLKSSNDARAAADRNKDVHSYAVGCINPVVGNFTALCACEALSGRLALTTYMVE YAYIHDDVIEYSDKKDESHLQKANEQLMEGLTLAGDTSHGPKSKDHVQRRQLQAKMVM ELMEVDKEQAKETLRLWKEMSAVFVQIRDMKFTVLEDYLKFRVVDAGCPWTMSLLCFS MDFKLTPEEEKQTSAITSAAYDSWVLVNDYFSWEKEWSNYQSNGATGVIANSVFLFMK WHSIEKEDGKKMLRKEIISREEKYCSLKDKFLAKGAATEKTRQWLELLDLVTAGNFAW SMTTARYRAGAPDVYPALWKHYADKKSDTESLGRPISVNAKDTADDIDVVLHDRKYLD LSTQDVTSESREWKTSENRPGVLNNNNDLQKSQLGETWSIRQYEDMILQPQKYLEMMP SKGFRNAVIDGLEVWYQVPEKPLAIIRDLVNHLHSASLMFDDIEDNSPLRRGYPATHV VFGVNQTINSASLLMLKALKAAESLSSRASRMLLDLLIEGHIGQGMDLYWTYHTSVPT EEEYFTMVDGKTGSLFTLLAGLMRSEATTHRDLDVSLLMKLVGRFFQARDDYQNLCCD EYTDKKGFAEDIGEGKISLPLIHVLATKSPQQGRLLSILQQRKCGNGLCPEVRKLALK DMIAAGGMEYAKKTALGLQESVNETLSMYESKVGQKNWLLRLAQKKLEIED FPSE_02381 MLYSSFLLGLAATVSAVDIRFYSNNQGACYSGPWVGCAGSNPQN CCVVAGRFSATVGIVAVPSDWTLTGIGYRGAGCETWNQAAIGRGRDFCLGATGWSFSG AFYRFGDSKRSADECESSQKANQLGLEDGSIYDIADLTDDQIEEMCDPGDKRNSH FPSE_02380 MPHEIANEKGEAENSTLLAPETINGAVSPSPSATSFTSSVETNG SDFTSSWEKLQDVYSQNVGLFYVLLAQLFASIMSMTTRLLATGFETKFHALQIIFVRM LATALIGSFYMWREKVPDFPLGPRNVRGLLVLRGMAGSVGLFGLYYSLSYLDVSDATV ITFLVPTLTAFIAWVALREPFTLNEALAGLIAFTGVLFVARPAFIFPQNDSFLTGSSS DNEGAAKGILSAVKATPHERTIAICCSIFGSIAAATAYSTIRVIGKRAHSLVSVNYFA VLATISSFLIITLHPDLQFEVPKSLAEWAILLSIGVSGFLFQVLLTEGLQREKAGRAT NLIYVQLVYAVIIDRVIWGTVPPPASFIGSALIIGSAIWVALQKKVSSEPKPVSDEER NAGLDKNGMKEA FPSE_02379 MKTFSISLLCLGLVRNGLGRPTKECPILGPVLPSGFDLSKFKVI QEAKSSFPAIIESLLSSGTINETHTSFAINVFSTATNESLYSYYHAAEALDDTLSTGK LDDDTIFRVGSVSKLYTAYALLANSGFDVVNLPVTMILPELAGNNRSEPTEKIIWEDV TIGALLSHQAGSGGAPLEIAICANDTLPGCGFEDFLTYMKEKKRPVVLPYQVPVYSDG GFAMLGGVLQRLTGLSYNDALKKLLGKPLGLNSSTSIEPMGKDRNVLAIPGPPEVSSW GQDKQVIAGTGGVYSSGSDLRKIGLSILNNEILTPVETRRWMKPQGNTASLTSSVGAP WEINRLTLPVSPKSNRTRISDLYTKLGGNAGYAAVIALSPDHGIGFSVLTAGVGAVGA RIPLRNAVGTVFITAAELAGWDNAKKTYPGTFVDKTRNGSNLTITVDEGQPGLGLESF FINGSEWRANLTAPASDPIYGDDITVRLYPMGAKSVSGSTQLISYRAVPQLKPIGPRS AVEGGEGLFDDGCTSWQEVGFWGDGDDFTFKVVDGEVVSVTNLALVDLQRAEYTRVSG EEEQ FPSE_02378 MLTFRILPLALWAVRSNAFSGETLQFNTGPDMISMADAAANNIL IPDVAANFSEWIEGAQQMGSFGLSPRQRQCVNPGYCKIPVQTVNDVAPKGTLAFQAAA VAVIPNNAAQANATIHPPKSAAAAVSPARKVRTALTVAAAKAVNRNVVLLDATIPRPQ SVVGVRAYTVRRATIACPVADAVQLVRSAVETASATIPREQTVALDLVLSGLVKRARS VARMDFARALLQRNAVRTGHVRREQLVAKMNAVGQMATVHRMAIFTCVPMTATNDIGA TLELDDGCVLHYEPPGATTTENNAARLRREVPTAPVIGNAGGLLARQASCTPSTTLTR TIWETETVTETGTRTVIVQGDEATFSCPEMEVTNDVGDTLALDGECVLSFSPAEPTSS VQEEAPTQGRPTANGQGPSVPVGPSTTASADDDSAGSVSEIRVFFMVGFVAVSMLWTA L FPSE_02377 MLRQISINTKWPLSAKELPSTSKWSIGAAKCNYTILAIVLLEKL PSVHAGTSQFNKRSVNIVPGVYNLDTGAQAYNMQAGDCFRANSLTCPHLPLDTGYGPT IEFIEDDRSWEVSTNGSTYTAEVVNDGINVKVPAVTQNWAGCAVTAQQFLRFTEMGFN ERAAMVKYDFSNCNGITTTSCNCEYDITLPAAASPSSVSDHESTSDKGSHKSSDNSTD RGSNTVSKIEVPVKAMVLGLTLLIVLYLG FPSE_02376 MTQESFGWLSDALKWPSLIIFGVIDIALTITIIILTAKSTTEHG FVTIPSPQTSPEQTSAFRLDIGILWTTLPNLVFALFAAHWAWVACAIAERQPYVELRK DGGAAAKKSIMLDYRINPVVFRWWTAFRKSHKSIGSMTLLSVLLTYVIAPFAARLFAT QVALVPSKIPITYDKEFSGRNMDASIDWRPILSTVSATLLYQGRSIPWTNDQYAFRPF SNRSTLSASAIIEATSTAFTSHVDCKVVKDYAIESDKNSGSGQAVVRVSGKDRGCEFT QKLGVASMRKTYLETTSIIDCSAEASYSRLVFTFGKYSSSASNFLDDVSVISCATGYR QVDGTLKVTSLNNSPIVQLFEETGYPDVDRPPNWRVFENSIVDPTTLDPKDTSSTSEM GSLILSYAQRLQSGTELTSEVLIKAISTIFTGIYLNSVAIHGFTSMSEPATSMGKAFV PTTRLVVVKWVAYVIVVFLLIALCFLIWAFFYVHKQPSILTEEPQGLLSAAALVHDSD LIRIVSNIQKDPAFDGHLRQSSKRQSEIKDRKWTAAKGDEHGQWVISPI FPSE_02375 MYLHVRYFASVAALLGTITTTSAVSLQPSDCMGKVTKFPNCDNV DRILKKCNLITEKQELIDCFCTQELLDSYVGCKGEARQCLLSNDFDNSWDKEIANWQD ACGPYLSNDITTRSIAQPTRTFDKDFCQTVAQNCYRLNEATEDCSTSYTKGADFTSCR CESSMISLASVCEIDGSMSCYGEMPITSNIWEFQNCAATAAPTLTDPSER FPSE_02374 MGIFRIVRKAIVPGLLGTSTAAAYLASQNPVISPLPANDPIWSS RLFRRANPNGNPATQDVVIKRIPLDKIRPELLQKNGDLALEFCRGIWSGWGYDIQRRY LSFKWRGPETEQQLWDPEQLSTSTYDRGTAITDHFEVVEKTATSITVRCGDSPRNQPL RPSDGLFQISATIDKAHEEVELRLKSVLFSSEGKVAGNKGPMPGWMTELHQWYARIWA ETGSWRLLK FPSE_02373 MSTTTRITIDDEGDTLVILKLRSDDANPDVTENIATDDESTTET TATSNVTTNDAVQSEDRPHTEKHFLCSKKHLSFASRRASKMFSSNFKEASKEEDGLHH WTFEALFQPTAFEIVLNFIHGKTRDVPQEVSVDLLADIASIVDDLECHDALSFPGRNW LLKYSAFRLLLITNKSIYQIIHASFVFENSEAFKRATRLAIKSGTDIVPNFELPIRQS VIDSIQTKRVSIFQELMDELADLQSKLLAQEAGPCTYGCRSMMLGTLIQGMNAARIWP PPLPPFPSLSISAVIISLFGIHLHTYFGPESGADASKFSGKWHRATSSLPTQSQEEPP TLVRHHCCLTEYLNPILDAVEAEIEGLKLEEFTRP FPSE_02372 MSRLLTFVASLALAAAQLSSSSLESHVDTLNLGSSFNPVKEAYW TGLPHHRRTPFAVSPDGKTAFLAYLDASGKGIHIQGVNPKNFTAVGTPITIKDGKEAG GLVAHNDGFALLTNEVVSGESKDPLPVIYKYTNGKQAFRTLLGGSGLSGDALASPDIN GDLVFSEKAGYYAAYIVVTAYSGWASGHFGDAIRYITPEGKVEDIQGASSSWGCSHNT GIAFEAADEPPFASLCAEDQGAIWLNTETQGMSNNGVKVSNEHVINGASNEPMGGMSG SYSSLARFIGSDSYIFSWASRGAINLSTNDWMGDGYTHSEQRTTNRNVAIALLSDKKT LVGEQATSKVGAKDGDSQVNWITDGTNDCSNVHVAAFNNSTALVTWEEISNPVCDNEA MGCSGKFAGTKFQVVNSSGKKIGKAITSNDTFIAGDMVTMSDGRICWPYVSMTWNLNG PVQAADVKKMSFACISNK FPSE_02371 MRFSSTLGLATLATSTAAQSFNSAKSDLDGSVWQSVASVQSRSW SSIPRNRPTKRQSGWNPPSNLAGALKEVWDHEVKTYSDALGFKNYGWDQVIAGKGKLN VCVRWESTASVTAEQRTKVATALQDSYTSWMKWVSGWDNFHYEDVKINVVGWAVKDKS LLQGSTTGLDIYTDTDAEGIPQCSEKCGRFFHQDGDYSQCPGGAERHYDNSLWLTDGM QGGAGGDWGQRIGREYFMDLLGTKNIHILQHEIGHTFALDDFYDWTPTGQSKFIMLAG SATEVTDFDGWMLRNWWYELSRERGWQSGVSSSDTKTVGSTKDKVTSAPVATKSASAK PVTTTQPTTTAKKPTVETAAAEVAKPKSESGVAAAAYAQCGGGASYTGPTKCASGLKC TKHNEWYSQCL FPSE_02370 METVNEIKAEEAGETPHKKDPGFNLLRASAEVSTNRHFLRDELL TALFAGRDNTAMAFTWMLYELARHPDVVRDLRQEIDAQIDLNSEPGYKTLKDMRILSN IINETLRLYPPVPLNTRACLKDTSLPRGGGPLGNNPIGVLKGTDINPEAYSTVPEGFP PADEWCPKRWNNWFPKPWAFVPFHGGPRFCLGQQLVLVEMSYTLVRLFQRFSRLELQM DEVGTAEKQANPWVRRTGEPELVERYMQNRPRMVTEITLFPRGEIYTPSFATF FPSE_02369 MGEIGPDFPFKATPKAAPATLKVDHDCGVKITTSPAINNSPLPA DGPGNETFSNGLLISLLILVPTCTAWKLGGGFKTTVFFALITTLPILITFWAITSATA PRTNDRVKCPGLPVEHYLTFHKEEDRVKYRGRNKVPIETFMRKYFDGDADFNGDVLEV LEYRHDWASFRFTWELFRYIIVNFATDVLVHSRSQDEDQVRDHYDRGDDFYAWFLGPR MVYTSGIIPDVTKEESLEQLQDNKLAIVCEKIALKPGETLLDIGCGWGTLAKFASVNY GAKVTGITLGRNQTKWGNETLRRAGVSDSQIHCMDYRDMPKAKYDKITCLEMAEHVGI FKITNFLRQCRDMLEDDGVMHLQIAGIRQAWQYEDLVWGLFMNKYVFPGADASTPLAY YIGFCERAGWEVKSVDTIGVHYSATIWRWYRNWLANEADVTAKYGLRWFKIWKYFLAS ATISSRQGSATCYQITLVKNINSVHRIDGVSTQFALSSALEASKKAGRAVFPADK FPSE_02368 MHFAQLLPLFTGLAVATTLPRQVSSGCAKPVKRVEFRTLDATLR KEYTDAVICLTKKPSSIRLKTSLYDDFTYVHTHLNNDIHYVAQFLPWHRYFTYLYHKQ LQACDVAGSDFWDPVSGVGGNGGDKIEKTSDGREWKCLVGGPFKDIRPAYSRGMAAEH CLSRDFFDGENRPGTMRASGYTPELIAEINKLPDFESFEFKLENVPHGSIHSAVGGQM GDLGPSSSPNDPIFFMHHGQVDRLWALWQQQDPVARLKDYAGIRERLMTPGGNATADN NSTQAEIPNPRAALTDMLPFMGLAGAEDPTVSDVMDTTNEKLCYTY FPSE_02367 MTQISEILPWHYQFAFMIFEPSVIFATLPLIPASPIDHFHSLAP ADSAGPFWSPSPLHGSCDAASAWNTPQLRGLWYAFMSALAFSGVIEPLLLYVARYKLR DVHDAEQVIKAVLFAFMAFDVFHAGATLAVTGVAAALPGSSMNVYVMVNVWVPTAWLL VRTLWMVGIARKSPVNKTVRRKIKD FPSE_02366 MGGLALARVLFNESRDIFSSSSGYSGDPSAIRPFTDGPFGMEKG IILSTGSLTSPLAPGDTCPSSYTTDLYDAYTQTYCGTDSYNGASYLLNILPIKATTLL VDVVIASCDRTSGDRVMVLVNGVNYAKDENNNPLDSLSKYLSEPWGIPAPNGDTAFSM SSPPLRFSIPVPKASVELKIAVCDRFNGYGDTALMIKIRPCVDCSQSFKVDYDTTSIV STTTYEATSTITQPASGTMRGTISYVTYTTASATSTTSSSLPDSSSLAVSATSTSPIL NYAEHIGVYFNRTFACIRLNFYNIAIIYTISIIRIYIINVTIINIIRVSINICIPNLT GSRIN FPSE_02365 MAQTLFVNGRILSKTEAGLSGNAHFSDCMLIQDDKIVEIGSRHD VSQTISPDVQIRDLDQRVVLPGFIDGHMHLLLLGQSLRKLDLSRCTSLDDIRSCIRKY AAENPDTPTILCKGWRHYMTPDGVTAAMLDDLDPRPIFIDAGSLHSCWCNTAALKELE VDDIPDPAGGKIYRDADGKPSGLLDEGAMMSIIWPFQAKSSPKHERIEAIRAAVKEYN AAGYTGAVEMAMDEEAWDALVTLKTTEPNLSLRIAAHWLIKPTANHDENSKQVQRAIE QSKNYNSTTSPDLRLVGIKVITDGIIDACTAYLSEPYATAGSPPPIWEPEFLEPVVRE ADAGGLQVALHAIGDGAVRMAIDAIEKHATPGRRHRIEHLELVSPEDAKRLGKLGLTA SIQPIHADPTALTTWPELLGEERYERAFAYREFADSGALMAIGTDSPTSPWSPMHNFH VAINRQSSKNPENPKVVHEHFRLGLCETIVAGTEGAARSVFAEDRVGSLASGKLADFI VVDMDWDPKTLLKAEVKETWFGGSRVF FPSE_02364 MSHPKTTNGSDIIVDGEKQDEIQRQASFGEATAQMFQTDLEKEK TIQGTAHFHRLGWKRLTVILIVQAIALGSLSLPGAFATLGMVAGVILCIGIGLIAMYA SYMVGLVKLKYPDIDHYVDAGRLLMGGFGDKLFAVVFLGLLILATGSHCLTGTIALVK ITGSSICKLSFGIISAVILMILAIPPSFTEIAILGYIDFISIILAIGIVMIATGIQRS DAPGGFSSSTWSAWPQEDLGFTQALTAVSNIVFSYAFAAAQFSFMSEMHTPADFTKSI ITLGLTEIVLYTITGSVIYAFVGQEVQSPALLSASPLVSKVAFGIALPVIYISGSINA TVACRFIHGRLYQNTITRFINTRKGWITWLAVVAFVIFLSWVIAEAIPFFSELLGICA ALFISGFSFWIPPIMWFFLLKEGNWYDKHNVKRAACNCIVFIVGFFVFIAGTYASIDQ IIAKFNGGDVGRPFSCNVA FPSE_02363 MPRGRRGCWTCRIRHRRCDESSPECKECSTRSITCHGYDIDPPQ WMSNDKLLQEELRRIKGAVKENFRRVKTIQNRQLARLTAEETQASRAKPSSQSLGDQV PNPTPVGSSTTNTIFKEAQYLVHYLDYIFPIQYAFYVDAPHQGGRGWLFFLLERNAPL RNAALTLSAFHQHTLSPYHTESQEDELLKYHTKALQELRHVVRHRDVGASADNIEEWL KFLAGGMFLISFEVFQGGTNHWQAHFNALVSVIQNLTSSDFDFDASDPSSSDFDFQRG MNTAQKFLLSNLVWIDILAPLATGTAPKLPYHDWLNAGKIDMSRVMGCSNCIMIAIGD MMALSSEASTLDGDDLGIAIRGLEKRIMGGIDAALDGASSLTPTNRSVTHLFATAALV QLYTIASENGISSPDPHTAVSRVIEVLNHLPPHISLRATPWPLCVAGSMALPPNEQYF DDLFKKLMDNAEAGFTNCVSVATKILQYFPQLEKHHFAADALWRDTYVLTSTIRTFYY DDSVAAEWHILINSHGLSRASTVLGSAKVISPGDGIAWVDCTFTFESLTPAINCSDIL SLVPSPDGSWNIWVLRTILEQVTMVQRSRTFVLPAELIEERYVIIYNDKIPSEVSDRA MFSHPVSIARHLSSGAFHAMTRPQPERYEALERAGFKVDPFGDIQDAVNIRLGGHYIN VGTSAKIGKKLV FPSE_02362 MKTAGLVAATLALMQSASAHYIFQQLTVGSTKYPVFQYIRQNSN YNSPVTDLASNDLRCNVGASGTNTQTVSVKAGNSITFTLDTAVYHQGPISVYMSKAPG SASAYDGSGTWFKIKDYGPSFNGGSSSWPMTLSYTFNLPVCIPNGEYLLRIQSMGIHN PYPAGLPQFYISCAQINLNGGGSTVPGSQVKIPGAFKETDPGYTANIYSNFNSYTIPG PSVFSCNGAGGGDNSGTPPVTTLQTTTQPSAQPTQPGQCASLWAQCGGQGWTGPKCCA TGTCKATNDFYAQCVQ FPSE_02361 MRISTISFGLLVAGSATATIEKRAPLITRDESGIEGKYIVMMKP ASGNEISTARVKSAAKAVDVKPDMVFDNLGGFSASLSARDVEDLRNNPNVAYIEQDSV ARISAVQKQAPWGLARVSSKTTGATRYTYDDSAGKGTCAYVLDTGIDTKHPDFEGRAE FIATYVDDIWVDDHGHGTHCAGTIGSKTYGVAKKTKLYGIKLFNSTGEGVASSIIAGM DLVLRDAPKRDCSKGVVVSMSFGEIPSKGINDAAKALVNAGFFAAAAAGNGDDNGRPL DASGFSPASEPSICTIGATTKDDTVATFSNYGKVVDLYAPGVAVLSTWPGGITRSISG TSMATPHVAGVAAYFLGLGKSAAGLCEYLQSIALKDVIKGVPSGTKNLLLQNGQAK FPSE_02360 MFGGAKPFDNPLALDHIDPHALFVCMLPLHLTNYSGFYPIKSVW VSLDDLIMSLVCMHESRFLFLGVAFLGAANASVVDISRHQPRDVKALPRAYSDPVFHE ARSFSERRDQPSKPQAATSAGDREEDDQDTSQSSGSSSGSGSGASSSHGGSSNKNNAA AVQPQSDTSQSQGGGSRQTKNNDATSQSQGGGSGRTNSNAAAAQPESDTSQSQGSGSG RPNGNAAADQPESDTSQSQGGGSGQSSNNDDSNQPDTSGSQSQGGGSGRTKSNSAAAQ PESGNSGSGDGTSGNANKNSGSSAQPNSDDSSSGDGSSGNANQGNGQSNSASRHGSDA SNQDDNASDNTNQDPVVSAPSRGKSNGNQQDTVQAAAPTDDSDSEAPARFVAPKQNWR TTQQNQYPPYQPTQVWPTSKPTHVWPTSKPTHVWPTSKPTHVWPTSKPTHVWPTSKPT QHDNPANKYAYAADVHAHSTHEYANSTDFNANPSHKHAYPTNFYIHSANFYTYSTDKY AYSTDKPTSMPTQPTSMPTQPTSMPTLPTSMPTSSRPTSMPTQPTSMPTQPTSVPTSS RPTSIPTQPTSMPTQPTSMPTLPTSVPTSSKPTSMTTQTVPTTTRSVPTTTQSMPTTT KSVPTTRPTQTMPITTKPTKTATVTRSRVTTEYTTSTVYTTTVRTVTECGPYVTNCPH PPYVTTETIVDYTTICPITETIYPPPMTTEYTTSTVYTTSIYTITECAPWVHDCGYIP YETTEIIPIYTTVCPIDYEPTPEPQWTTSTVYTTYYRTVTEFCPIDEVAPAPTMPPHH YEPEECMTEELSTSWSASTVYTTEVKTKTDCAWDDTWCDYGYPYVTTEAVVLSTTLCP EVITEWVPCPTYHPPPPPVVVTSTSTLTYYTTEYYVITACPHKVDYCDIGHKTSTVYA TATTCYTFTYTTTVPETTYTRVVPPTRTLSTVVVSTETGYSSKTNTVYYSTTETWATS TYATQSTGTSTWMTQSIPTYPTSIKQSSTIEQPSSMEKPTSVDQPSSMPQQPTSVYQQ PTSMQQKPTSVYQQPSVNAPQSMQTTPSTMKSSGSDSPPSH FPSE_02359 MADKDISPSRSYDDKNASFPPEKGGAEVLEHAGQGRRGSTAVNI VQNPLQSKTKEQTIADARLFAESNGMSEHADLFGRAALIARDPESFESVDLLDDERAA LIYERDHKWHGSKMLWYSIGLCAVGAATQGWDQTGANGANLSFPKEFGIDGTGRDEWI VGIINSIIFLTAGLIGAFIVDPLNHYFGRRGEIFITAACLTATPIASGFSRNWQELFA IRFIMGIGIGAKNATVPIYSAEMAPARIRGALVMFWQLWVVIGIFLGFCANVIVKDIG DIAWRLELGSAFIPAFILMIGIYFCPESPRWLMKHGRISEGFVSMSKLRAHPILGARD YYYSYVIYHEELRENAGAGYFQRLWDCFAVPRIRRANYGASTVMLAQQMCGINIISFY SSTIFREADFSHDQALYASLGYGAIQVVFTIPTLFLIDTKGRRFLTLATFPFMCIFLL AAGLALLNNTDNQAAKIAPVALFVYLFTIAYCLGEGPVAFQYSAEVFPTIQREQGMAW AVCINNTFAGILGLTFPRMRTVMTPTGAFGFYAGLNLIAWGMIFCFVRETKQMTLEEL DQVFSVPTSQFLSYETKVWLPYMFKKYILQKKIERPPQIIEKSEKTFA FPSE_02358 MHFPTIFAGVAAFSSAVMAAPPKQKPYVGVAIMTVNEPINGEHS TLPQNVPLGVLTHQDGVKITELQIARVFSTVNGVEAPSADKVVCQMYKDQYATIPGSA EFTAKKDAKISTNSVPLGWILCRVKASS FPSE_02357 MIPSSSPRLPRLPACQACAQKKTKCDNGRPSCSSCERTGLECLI LTSDADGSTQLSRAMIDELERKEQALSQRLRELEAGTAPYDTSKADTLSPDDRHTRNS IAASSPATREGASISFIAHLFSDANWRKSHASLLRTLADAPGVGEVSIAPCELPSAAE TQLLFEKYLSWAHIQNPFLLRRSIWALHHRLFNNQDASTPVTNHDLFRAFMLCAIGSV LPYRNRMHDRHPGAYYNAALQYLGTEFLTRGLDSVQDLLLICRFGIYHPIGTSVWDVV RICGRLCIELGLHNNPNVQGDLLQTQLRRRIFWQFYLIDRYSSTTLDRPFLIDDNDIS TKFPVERSDEELEAANGQVQCLGSFDINHEPNVQNEMTVFFVSVRLRQVSSHIQTEFS KLRRKVIDSPSKRLLPGHIHVAMTKLLQELQDWRNNTPFIQEPSCLYETQEWYDLLLA RERLSVLRRAIDLVPKVNGSPPKGILTVFLRSSLETIDRYHSLWDMKRHMMTHTRSYF HMLFTAGLSVMYCTSVSKTIAADDLRASYEGLLRCRELLTSVTKQLPDANNYVSVFEA LFRDVSQRLWPKESETSLPTDTSLVAPTTSAVSGYSNDLDNFANGLPQHIIADSLPSD MDNMSNFATNRNFFDQSVLDPASQFRAPMNADSDITIEGINWALMSYDSLWNMESALG QYVYGDPTNTGGWEGFEL FPSE_02356 MAPGIIERALPDSLSAASGKPTVYLLDTFHPQVLAYVKENFDAI TTDDPRHSQWRQEARYLLVRSSRLTAQDIKSCPNLVALGKQGVGLDKIDVDACASRGI KIFNTPGVNARAVAELVLTLATASARQVGSIIAKQSSGILVPKEKCSGLILHEKTVGI LGMGNIGKCVAKIFRGAFDANVIAYDPFLPADAWDDIPHKRATSVEEVLRSSDVITVH MPLTPETRNLINYDQMKKMKKTAIIINTARGGIVNEDDLQQALSESLIWGAGLDCHTE EPPSYENYRGLWETGKVVSTPHIGAATAETQMQTGMAAARYLLEFALENV FPSE_02355 MALASIPHSMREKMLRDEVAYTMTIKLCKSIEIVGMAKTAGFDG LFLECEHSSMDLESTSQLCVAALYCGISPIVRVPSKDPCFVSRVLDGGALGVVVPHIR SVQDAQDVVNAAKFQPIGFRSSTNGLPQHSFRSIPAKQSNPITNEATLVIPMIETLEA LELVDEIAALPGVDSLLIGTNDLTAEMGIPGDYENPRVTEAYARTIAACQKHGKWLGV GGLHARLDLVEKFCKMGARWVMAATDGPLLMGAASKRATEMSALNESVKASQTNGAST KVTNGNGVAKTITNGITNGVTNGATNGVAVTA FPSE_02354 MTTDWRERSELSDSNPAQASSNPSSSQAKHRVACQRCFRRKQKC DRIRPACTSCAAQGVECIARSQQFDFDSEETGLTPARVNGYVESLKRRVAELEQKVKA AETSHVQTRRSFNSDVALPINGKRRRSTEGYVPVVSAEPNNTLPNCDDQSTVEDTMSA IGLLSNRAMAESRGHIGNEPHKLSMIESISAALAVDGQDPSKASASSSHHISLDDDQP IVLTPDLTSIYIQRFIDWSVWLPHIDESHLMRQYHAVVDSDGTDQPSLHRFNTYLSVA IGISMSAEKGRLTALATNLHSTAVKLLPSILHSQEPFDTLHSASFLDAYTTLAAAVVY LCLVQTPHPNQQGFAQTFEVVSKASVLLTQCSTRFIAISVFQQFLLSLSTKIMEGPAS VQEYLVFIPPEIPIHLRSLVQSYASLSTRTG FPSE_02353 MASSVHSNPGSLDAKRNVEYDENAPERDEVLERYALIRDKTSEE RAAIEKSLVRKLDWKFLPMVTAMLLMNYLDRINVSNARLAGMQEDLHMSDTMWSLGIS LFYVGYIISQIPANVIIAKGRPRILLPSCMLAWSCVTICMPAVTAGWGFCLCRFIIGL VEGPFVPAVSLMTSSWYTKHESPLRMGIWHAGNTISQALSGLLAAGILTNMEGVANLR AWKWFLLLEGAASILVAIASFKFIPNFSDNTGTYFITEEEAEMAQYRQAVSAGGLSED DAGDYWGGFWMCMKDPFSHLFAAIHFCLIIGQSYKDFFPSIVATLGFSGTTTYLIQAP PPIIAFLVTLVISWSSGRRLEHGYHIIVPILLTLVGCAVMISTLNVGARYFCMILLVI GPFVGLNLQISWETTVVPRPRTKRAALIAYANCVSSVSHWFTPYFFLRNQEPYYQTGG GAIIAGCGLVVVFVLITKWYVNKKNKELEAAENAAGEPKGWRYAG FPSE_02352 MARPNMIKATRKAIKDSPKEIFNWYLLACTCIWSFSGVAKGFDE GNIASLVIMEVFKEHFGIDNQTDHEYANTKGWIVAIATAGAVFGCLACVWLTERLGRA RTFQFFTVVYMAGIFGQTFSNKLSVLYGTRVISGIGIGATTVLPSVYIAEISPQPIRG LLTLQYTCCQQLGVVFGFFFNYGVTKYHAGTNLQWQLPTALQLIPALIWGVGTFFTPE TPRFLLSQNKKTEALAILSRFRGLPEDHPYVQSEFQGIESQLNHEIEIVAGANTWDLV KETFQDVSNRRRFTLMFACHVFGQFSGANAITQYSPTIFGYLGIAGTESRFLATGCYA ILKFVSVLLFSIFVIDFIGRRRSLMTGITIQILTLAFVGAYLKITNGWTPEDIEANTS AMAASRAAIAAIYIHAIGWSIGWFSIPYLVSAEVFPIRIRSINVSVLMAVHWAFYFAC SRAMPSLLAATQRYGAFMFFMSIASCSLIYVYFAMPETSGRSLESMDKLFERPWYTVH KIAYPTADDLKPAAREILEPDMKDQESVVSKHVENRY FPSE_02351 MNFYPPPPVIKADVWLRIPDDKRCIGQESEWRGGFAGSFKHIFL EGPVCDSIGNLYIVDIPYGRILKIDKEKRVSVACTWDGEPNGLVGTADGDLLGILCFN PETGKMGPKLKRKNLERFKGPNDLIVDSKGNLYFTDQGQTGMTDPTGRVYRLSPDGKL DTLLDNGPSPNGLVLSRDERFLYVAMTRANQVWRLPLHPDGTTSKVGVFFQSFGNAGP DGLALDEEGNLFICHPSLGSIFVVDTHGIPKARIVSGSEGINLTNCCFGGPEHKTLYI TDSLEGNIQTVEWHCRGAVAAPTLKQSKGNE FPSE_02350 MHFYALIPAFITAVSAHGVVVSVEGANGVSMPGLSIADGTPRDC SSNGCGSQADTAIIRDRELGTSEASALGRTQGNGPVDASVMIANFLGTSGGNSVPTNN GTDSGVGVEDDLSGLNRGGRNNNRRQRRQLGNLLGGLFGGGRGGGNGEKADKPNESSI NQDGAGPMRADIDATSGGTDPDAFQSAEVTNDVPGVGFGGLSLATNTDFPLTVKMPQG MTCEGTVGGANNVCIVRVRNSAAAGPFGGSGAFTQSASARKRAIAFRLKKRMQIVRN FPSE_02349 MRYSVPLLAALAASATATPAEAMGKRAEKLFTLETAPGETVEVT EDEKFQMIDDHIHFFDITEWKDHNPADASLAFTTPKFPETLSHQSNVANIVKKLSTKN MEKQLKKFSSFHNRYFNSAYGVEAAQWLHKEVQKVIKKSKHPLASVRLIQHQAWSQPS IAVSIPGKVRLKTVITGSHLDSVISNDRGAGRAPGADDNGSASIMLLNLLSAFLEDPR IAKGDHLNTVEFHWYSAEETGLLGSQDIFNSYSRLGIQVEAMLNQDMVGYKGRDGIER FGLVTDFTSPSQNEFLKLLIDEYADIPYEESTCGYACSDHASAHRNGFPSSFLFETPF GNHNPYIHTPNDTMAHVDFDHVMQHAKVTAGFVYELAHRDFTA FPSE_02348 MAIISSDLASGTNLGGGLVLLAAALVLFVTGRTIYYIFFHPLSK IPGPKLYAATQLPYLYHLTRGQWVYRLKELHEQYGPVVRYTYNDVSFITADAWKTIYG HKAGGAQEFKKDPRTYRQGRPAASLIVSKHEDHKRQRKLLSHAFSHKALRDQEDLLMH YVNLFIEQLTKKARDGESVDMVAWYNFATFDLIGHLAMGQPFGCLETGNYHPWVTKLF SSIKTLAFSQAAMRLGLQNWIGLITPAHLKKATKEHFEFTEHVAGARLDAKDNNSKDF MSYILRYNDERGMSRPEIIENSSLLIIAGSETTATLLSGVTYQLLTNPEKYNKLVKEI RSSFATEEEITATRVDQQAYLLAVLSEGFRMYPPVPGAVGRVIPKGGDFVEGHWFPED TLVSVPQLPAYHSALNFKDPEKFVPERWMDDPRYANDCRAVVQPFSMGPRDCIGKNLA YLEMRLLLTRLLWKFDAELMPESREWKDQKIFILWEKGSLHVKLTEVVREKN FPSE_02347 MATHHAANDELKASSLFDVSHITAVVTGGATGIGLMITQALVSN GAKVYITGRRTEKLEQTKKIYSTGPGSIHVLPGDVSSKDEAIRLAKEVGEKEPNGIQL LVNNAGIARDDETRFWEPDMSDAQAISDHFLQTKPEQWTETLTTNVAGPYYMSVAFLP LLAKGRDVTPGYTSQILNISSISGAMKGSSMGQPIYATSKAALTHLSRILATLCKDVK VRVNVIAPGLFPSEMTTGKSDEGNKSKIEKKMTNPAGRPGHDTDMAATILFLVGKGGL FYNGQIVYPDGGNTLVQPAIAN FPSE_02346 MLNKTFVLASMLGSAIAFSATCKDTAFDPKTNVLSASCLPRDNS AYLPTQLDLDNCFGYSDRELTWEKRNFSDSCNNCRIFQAPDPWFRYNVYWLGCTCEGQ SEETTVCIEIAVAHEYVRNDNGVLRC FPSE_02345 MPDNYLSDISDAKTVQDSASKCLTVTFEDLGIQVSGEGENFAST CISVITGIFQLGRKKSPKRQILQGITGQVCPGQMLLVVGRPGSGCTSLLKVISNHRGE FDEVQGLVQYGNVGHDTAKEFRHHIVMNTEDDVHFPTLTVSETLSFANSTKVPKTRPQ HLTNRDYVRQTSTGILESLSIGHVHDTIVGNEYVRGVSGGERKRVSVAEVMSTQAPVQ CWDNSTRGLDASNALDFARVLRKHADEQQRTIIATLYQAGNSIYDQFDKVLVLAEGRE IYYGPSTEARQYFETMGFKCPPGANIADFLTSVTVETEREIIPGYETTVPQTAHDFEQ RYKASETFHRMKHLAKSRTNESLAAEVDGLRDTVSKEKSRTVAALSRATSPYLVSFFQ QVWICAIRQFQILWGDRFSNGLQLASSLIMALVTGSLMYNLPEDSTSIFRKPGALFYP ILLWCLNKMAETAASFEGRAILTRHKRLAFNRPGAYALASVLTDIPFVIFMFSLFNVI YYFMVGYQHDAGKFFTNWFIYLVTTLCFTSLYRTIGAWCKHFGLAAQISGWITMVMMV YAGYLIPTTKMHPWFRWIAYINPANYAFSAVMASKMGDLQLACVEPQLVPYGSGYDDN RFRSCTVVGSNGETIDGASYLSLQYGIARTEIWRDVGVIITFWVFFSITAAVGFEMNL ASGAGSMILYDRRSQAKELALKDDPEQTSVQPLPEQNDYITTATTFTFKNINYFVQHE GQEKQLLQNVSGFVKPGQLVALMGSSGAGKTTLMDVLAQRKDSGRLEGSIMVNGRPQG IMFQRTTGYCEQNDIHEPTSTVLEALRFSARLRQPYEISESDKFAYVDQIIELLELGS LKHAVVGAPGQGLSIEQRKRLTLAVELVAKPALLFLDEPTSGLDGQSAFQICRFMRKL AMAGQTIICTIHQPSAALFEAFDVLLLLAKGGRTTYFGPTGNDSSTVLKYFAENGATP VGDVNPAEFIVDVVQGRFESHLDWPEIWNNSKEKEQALVELEELENHIPDAVVANEKD SSEESKADSKDFATPLIYQTKVVIQRQLIALWRNPDYIWNKIGLHISNSLFSGFTFWM IGNGSFDLQLRLMSVFNFVFVAPGAINQLQPLFLRNRDLFENREKKSKAYHWFAFISG QLIAEIPVLIICATVYFVSFYFPAGFPIRGSISGQIYLQMILYEFLYTSIGQAIAAYS PNDYFAALANPVFIGAGLVNFCGVVVPYTQIQPFWRYWMYYLDPFTYLIGGLLEPVVW DVKVDCRSEELTHIPLPNSNSTTCGDYMADFIRDNSGYVIDPDSTSTCSYCPYTTGAD YLVQVKIDEKYFGWRDVGITALFCVSSYALVFLMMKLRTKSTKTAS FPSE_02344 MTTKISKACEPCRLRKKRCNGSNPCNHPDCQDSPSTCVYRNKTR TRRSKRNTSNPASQLPFLGSGHSVDGSSPVSWHSRQSTAAVPDPGVQHEVYHSVTETH LSPTSTDSSQLFYGPSSYFAFLQQIHRGVLPVIDHGQSEGSEARSGLDTFMQRSIFFG TPSRISPESLRSESVQLAPVSPEMAREFLALFKTTSYHRLPFYTFSELDSLLESLYNS QHVRNIPPQTKASFLAMLAIGALATPQTDLAETLFTEARREAVILDDAVTLKTLQLSL LFADYQINMGRPNSTYLHLGVACRKAFALGLHLGALSTRLDTATLRNHQTTIWSLYFF ETYQALSLGRRSGLKLKDISCPFPTEPLSTVRLCRLATIMEDAAEGIYGRKATSIRQL YAVAEELRGRLHQFAQDWGIGSAQLGTTQDPLDIHESMTLHNLYYHAIILIFRPFLVA NQAMRVTGGTGEIKEMWMRQACRYAIDAAQDSIEFFWNIDRACGASRYQAFFIECSCS VLLYDILCQPSKYSYNMEYIQKAIQALSTMTADEPVILSLNSIRRVLETIEKSISGHI GARQTMAMDSVTTSPHHYPRVQFPSLDQLGANESGRMILLTDDIGTEENRPHQLVPGL PNTMADQDWTSSAHFNLNVMTTDLFNFFPLDMTTPMNHAVGDSVQMS FPSE_02343 MLFHSFAFAFFGSVLGATLPGCKLSPSDPNWPSLEEWGELNRTI DGALLKTQPAASSCYKGNPLDSPYRCQIIEDNWTAATLHATLPESIASPVYANNSCLP PGADGYNATNGCHLGGYPSHIVNATSDEQIAIATRWASEKNIRIVVKGTGHDLFGRSA GAHSLSIWTHNLKHTRLVHDWTIPGSNKTDTVLFAGSGLNYGEAVRHALDSNRVIVSG NDETVGLGGHIHGGGHGPLSSTFGLAADNIYQVRVVTSDGQILVADATQNQDLLWAIR GGGAGQYGIVTEYVIKTHPAPRVINTGFSVSPAGNSSDAIEGSFKALAALLQELPSVM DAGCAGATIVSGDMNTGVTISQGIYAYDKSPSAVEKLMKSARKHLLEASGGENNTLVT IVPSEVSESSYLSFFNEMNAGGSHTAGAMSMISSRLLGRPELSDLDQDKLVDYAKRLV SYGLVVIGLQGGPGPAKVDESMRGSLLPAWRSNYLHVMSYGSKFNQSLVPQDMLKDTS ERLEEGAESLWREWAPKTGSYMNEGNPFNSNFKKDFYGSYYHQLLKVKNKYDPSNSFW VLSGVGSDDWDYNLNSGRLCRKRS FPSE_02342 MIEIVDHIETPTPVVRLQVWHLDRRGQSRVVNKQLMEHLAKTTL FQDWSITPSLACATRDHYVPIEMNVFSVGQNLTDEIRIRFTHFHLFFSLELDFNLAIE DSMIVDRSGLTETQRASERQFEYRSALDFAEGKDEQYYIEILDDRTAVSKEELHLALI HTNLATIAFADHQRNVIKVLQKMKGRYNEDIKSSRYLVGFAFLVIATVGATMTGGLST PLLLAAAASGCGGLGVGVGIDQGLKWCKVYSKELTVRRFDKTIDELVDALKDAKLGFA SIYCSDIL FPSE_02341 MDQYDLTGSGDHEESTLLSDRQVDTVADLLGAIENPISTLDGDP IREAWLAFECRRSCADFYLKLYLETLDRISQTCADIDTGPTQALSNQPPSRIERDMVR LKVCTDTALRDLAVMENILLCTQENQKTQSQPFSPTAFFCTCALCISAVVVPFKAAAI LSGVGTFVGTMTLAVPPIWGSLQCYHVDCALRKVQELKRSFAEATIDEGNRLDLERYR FSKLRGTLSKNPREINDS FPSE_02340 MMHTQPVLSRPSRRPQIELHTPEVDFIENRLTEYHPAFDVSKVR YSKNQGQFVAIVPPCSQGLTVPNVSTPSQARPMPAPIAEMEFWDNIFVIAMKRLDEES NIKSKWSIRHLSKWDDIQARLEDAQNEYNFNNQSKTVGKARRAIRNILDNHHSIPQQI GKVVPNSEIAGPIVGVINLMVDVSVNQEPILGIKATDYSVEAYSKASEVRDEVTSSLE SLPACFAKIDLYLQTFEKDENVIKASTDLVVAVFEAVECSIKFYTSVQATRAVAAIFG GKQYQANLVQSLASIKSSCDELENQARMSFYHCMKGDNERMSGDLSSIIQGNTMTHVG LGAIMHEQHAQTESMLWVQNLVNQVFSLLKDQERNWLPHSPCPSRPLTPQPLTLPVLT PRQLWSQLSIPNLDEVDLQHIAGRAEEMFQQDRGRAQQIVTMSLFRSWIDSAQSTKLL IHGSFRAAGDVSPLSTLCTVLSLTFRQTGRFISLVFFCGRHLVWDDYHGGAVMIRSLI AQVLRQYPTQYLSPEVHSCLRNMGDIDTLCDLFRILLGQIPPSIPVVCLIDGINRYET EEYLDDMATVILRLVELVDVSSHGRNTCFKLLLASSLPTREVQRVFGGDQNALLHMEN VPVSETTIGLNLFQEQLDHQM FPSE_02339 MSSPADNSSTAESHKDGGEQNTPNEHKSKNQDEATDETTQDSQS LEQRFAAMESRMKIVDNAFNLGQATDVFVKQEFGNDISLDLMSPEEVKQFHSAELQFY WDAMFFMTRIRRSNERILGMEKLIKSTRDAEAATKSNIFAEQVSETSKTISMEAFLNG VRAQALRVDWEVFIASKKGLDESILAPIEVVSSDPEPQVILRLDLPHAGGSTMAKSAS HRPTEKTIWGQDQNSHGEISLPERIKIHSNPLVAIFCKIMEETQWGLAKDQSMVFLRP FKQLVYYEEKLREHLSTLEKQFEGFDGTQRMPATPEVYDGGDLMIGKSEKPPESDFAK DIPVKGADEIEPPSNDNQFQIPQNGGASGDVEGEEKLGSVTGLLHLRCLMDFYDNTIK SKLKHVDSPDCTSISFNDLWHLYKPGTRVIDQKEKQAYIVLRIQTPRHMVEDLWLRWN KKRASKEDSSDDDEEEDEFPVLLHCVYIDFDGKQFGPVSKTFKIPQYGGLKDIRSLPV YPFRYAKTIDLHDKLKKHGKMLLDISRFKPMYYMGYTLDTRDEIDSQVVVDFNEALAD ETRRKKWEPTIAPVSTAPDDRDEDYCAAPCCDGQAIHDGEHIDSTLTENFVRSLVPTT SLRAPSLLLSPRPLEDIPRDGQGLSDDELIVMTYRVFGFVLRSRKWAQLDLNFLRYED VDARTLSVKAFNRLELPDGHREMVKSLVVQHFRSKQTSVTKDEQTDLIKGKGKGLILL LHGAPGVGKTTTAEGIAELFKKPLFQITCGDLGSTAREVEEELERNFALASRWGCILL LDEADVFLSARDRMDFVRNGLVAVFLRVLEYYTGILFLTTNRIGDFDEAFASRVHMSL YYPELDEEKTIRVFELNLELIRDRFKQQGRDIEYDDSSIEDFAREHYLQHKFGRWNGR QIRNLCQTALALAEYDAQGEELDAEIDKSVPVNLKLRYFKVVQKAYLNFAEYLGDIRG TKGDRRAIDFGLRARQDTPYQTRPSRFAQKAEEMSAQDWKGNPPGLSSSDSSQYRMPS QGDPFQPLNHGQMFAGQNTNMPPQQYQPYPQQQQQQQHPQHHHQQPVATVDGMGYNLS SGSAYVGQSHNNPRMMQTQQPDVQYMQYQQGSHGAPRNMNVNLQGSPVPQNNFQGQQQ EQRYSNVPQGQGLTSQVQVSSISIADNSSSYS FPSE_02338 MVALKSFLLFCALSAEALAAAAPAPTCATALGPKSVKSVPTATT TVVEKITIVKKFIRKVKVIVIADAATKTATSVITSEQTEYSTSTSTHVTMTDSMTYTT VYITSTVPAPAGFTPVLNDPSYVARRKVRAVENRDTAIKPLVTSALYPQKVACTVKKP SYSTKTTTITAQGPRVTLKAATKTVMSTVYSTITTVSYPHDVSTTTTTTSYPTTTSWT STTSTSTVTNTVTIESDIPTATVYAACSSNNIVSTANNGGRIVAWKNVAATDNKPASL GSGFTAETCCVECQKRPFCRVTLFDNSTGTCYVYVTGTSGTCANGAQPFFGSYLTNTN APVTPHYIFSNGPCGALINGGGS FPSE_02337 MSSHRLYSQNIAAKRTQSSRVDRPTRRACINCRRRKIRCDIVDK GVPCTNCTHSQPECRPCPNKKEVRQSRQLAVLAPLRPRQPTDSPRTVSSFTTVPEIPS PKEGGELELGAHVLNDSDARDAEMGHRTRAHFIGNELSNCSYLFRQNAANVNYDNVFH FNNGQVETSEDWYESRGVTGEPLERPDKQLEIRLVRAYFDTINRGWPIVDEDIFMTQY HGQDPADPVCLTLLNAIMLVGAHTLASHDESMIPLLSVFFHRTKTLFDCETWPDRLVY IQVPLLLTWYSDANAWYWIGIATRTAMAIGLHRDTSHSTMMPVYKRVYTRLWWVLFQF DTIASVSAGRPQVINLDDCDVPDIQPDHIEHLPRAEIDFMIYHVQLCKIISETIRKGW SLRATAEAKLEAIKKADEFLGNLMLSIPKSLQLTISCLDLWQAYFYLTYYNFVLLLHR PAPKSRKDAPIETQEDAILCREATVAIASLFEVLLSKDLISSLWLYSNHVVFTATIHI INEISTNKPLLAAKSRQILHTFWKVLQELAKYWNYAGGLFKVLEQRASRQRGERVHGP SEPSLNLQVDGRSFDQPKGGPSGWSSNNIDLSADANGNMGDLGSDIYTAAGSHNITMD FLTGGQDQSFNDLFMMDTSAFDFLFSEDIN FPSE_02336 MPSKILNYIPSRAKSSCNVEIDIHGHYESKVYTTGSTVQGFIRL ASPNKTPFQSIQVNFRGTASTRQAFQYGTPLTTHTFMNIAIPISEDLLSAGHVLQAGE SHNIPFIFEIPSDLSSNACSHQNTAVRERHLLPPPSIGSWIKSDLTDGSTYVDYSIRA RLVLVNDGCADEKFVEQNISLKVIPLFPEQPPINISSFNSQYCLSQTKTIRRNLVGTK EGILRVSTTQPRPMTLNLDDLQASDSQLAIDLEYISLSQKRTPPDIRIKSAVIETVTS FSLGRVGYLPDHDDTMPSTMSGVAPWVASHPLVLQGVREVNWEKVADTSPMAEPERRA SEPIEVVPDCARTMTPPSTTRSSIDKDYSQSDALIYKATMTQPFMLPTEKLLFLPTFH SCMVSRTYRIRITLATKAHGATVSLVVPFQITSEGRTTPYDPILSVCYDSDSVTRESP PPYSR FPSE_02335 MATEDLTPDYSILSGIPMIKNSVSDLENTFKEKCNVISSNFTTC TFGIHLDTSPAESPQHVLVRLESSSDHFATVTAIRKLASSQLPDLVPQVLDVGTTFTA QGQRIEYSVTEFVSDAVTLEQEWDKLDGPAQKDLMNSIIAAIYKLQTLTLDSDHSRKF LDGTPYYCDGKVSLIGGPELGYHADMKEFLAKLAGDAAPDKTNFSATSSSNSFVVESR LENVDRVELTKADLVELQKHVVFCHDDLEPRNILIKRDSTQSGTWHLAAIIDWEMAGF FPFAYEYGHKDAQLGLCSLQFSYYALFKEQSRHLLAGGKSAIKLLEALRVMDVSEKSC PIENVGRRFQPRWLEREKVELSSDVRVGWVRKANARDVDVFTKQDNDDLEMEILKELG YV FPSE_02334 MRFPSSIVLALATLTAAHPGEDHHDELQQRRSFEITAERLSLRH CAEKLKARGVTDRNIKRRSALIQEHRQKRGIKKRDLEDVLNTDHNKTDLGYTPNTPAE TLFAGQNSCVLTPEVTIGPYYVSGESIRRNLKEDQEGVDLILDYQIINVETCEPVPEL YLDIWHCNSTGVYGGVNNQGNGNIDDKTNINSTFGRGIQQTDEDGVAQFETLFPGHYT GRTTHIHLLAHANATLFENKTLGNDIYSSHIGQTYFDQDLIHEVESLAPYNTNTQELM TNARDYILAEAANQDYDPVVEWTLLGDTVAEGIFGWLAYGVNITETNKVTPAVFRYED GGHTNPDFGMGGGPGGPPRSAEDAEENAA FPSE_02333 MTDASDNSLQEQNVDYTVADAPGYYEPSEQLALKALTIFFTQIR HIPAFSFLHRALVMDQYRSKNFNKALLLSLIGIVSVYHDLGPGMVKWGDEFIARAETL ILQDLENPSVPNVQALIFIIKHRAYRRRFTSAFSLTAIAIRSAMGLRLNYDDPKLDFL TQECCRRTMWSLYLIDNVMSAGYQEFTLSSPRLLHIQLPTHDTNFDLDIPDQGGYLEP THYSPGAKSPSTLSLVIRMMNINYRVLEFTKQAVASDVGNSEFGSQVDVFQLELNNYQ SQLPTQFQSSTRNIQLHAHSSSLSSFLSIHVHWHMAHCNIYRLTMEGLVEALPQPTLT ALDQEFVRSCQIRCYESAIELAKILQAVLTVKPDGVALDLDIAVSVYQCFRILAHANY TLKIIPEDMAEGVKAYCQVCMDFLESMFFECEATTAIKEDAYELMAAISMVNRSEMPR LTIPSPEGHPDVTQKLETRYHVFSRHSLVGQVKVPNKDSSFVTSPSFAKRDSIYKQAR SFSPNLSPYSGDSITVENSASSGVNMAGSLSHEAFDFNMLEFNLDSSILFM FPSE_02332 MLGFGHLDFRGTFNKRLTTAVLLITISQFNFGFDQQGFAATQAM TAFDKQFGHWDKAKQDYVLDTVWLSFFNGFIYLGQAAGVLAGSWISKRYGRRMCMFTM SIWALVAATIVITSRTPTQILVARVLNYIYIGMELAVVPVFQSEITPKHARGFVVGTY QISLFIGGLTMNCIARGTSTLSGRQAYMIPYGLFYVVPAFIICSIWFIPESPRWLVLK DRKEDALASLQQLRAGKYTEEQIEEEYRGIVVAVEQEYQTKSGSFLDIFKPKHRTRTM VVTGANFFLQSTGQIFTSIYGALFVKSLGTINPFTVTVVIAVVNSCTSLLAMVLTDKL GRRFQVLLGASIQVSALMTMGGLGTVSDPSNQIKSGIVSMMVVFTFGYALGWAPTAHI LSAEIPALTVRDMTYRSASVLNIATQFIVSFSLPYLLNKPYAALGSRVGFIFGSISVC SILFAYFCVPDVSGRSLEEIDHLFASGLSLRKFKGATVEAEHEPRDGKDIETDVVDVG ISSK FPSE_02331 MSPSKNILLMIADDLGREQVGCYGGQSQLTPNLDGLAVSGSKFD RAFASTASCSGSRTVIYTGLHTHETGSYGLTHGNNGFRTFDNVESAPLLFNKIGYKTG ILGKVHVSPDNLYPWQTRLESESRNVAAIADEADTFFQTSRTEDKPFFLTIGFVDPHR QLDTRGGFGNVEDNYDPRLNDKIFKPEDVTVPSFLSDIPEVREELAEYYRSIHRMDQG VGMILEALERNGLSDNTLVLFLSDNGPPFVNSKTTLFEAGVRLPFLMRAPGFTTGNTN PNLVSYVDILPTFLDWAGHANMSSQDGFVQRKGRSILPIARFSQPQPGWDRVFGSHTF HEITNYWPTRFMRNHRFKYHRNICWKLDFPFAMDLYASRSWEGMRNASGDKEPMIGPR RLRDYICRPPEELFDLENDPCEVINLAGDPEFAEVLKSMRDELEVWQKSTGDLWLWRD GAPVVRYLSSNYAREGLKIPDRFDFDANRPHTDSAPQYDLL FPSE_02330 MLFPPLPVVLLALLHGPARTESKPLKSDPSVAYATYINFAEHDI LDNDDGLPTHPLLPDYGKVTYPITTDGNGREQVESHLSYWESKYWMYAATWGCGGSIF VYGRLTGMNWPASPTYPKGDYGEDGNCGIKSYMSSDLVNWQLVDFYQPAAEVANVTKP LVRYSEATGNYVLFMGGNGQSNFYYATSKSPGGPWSNPPSLMAGDYLTHDFDIAVGPD GEHYILTDTWASVFENQAPGHSVPVWDIYVQKLAPNLTSTIGTNSTSKSIRSAKDLLK QGLNLEACGFFYHDSYWYQTYSYTCQNCPGYIYYLYAKDPLGPYTDGGYISLDGCGGQ NKGANVLPSAKGPVVIAGNLAYRTSPTNHVLNGEIWHADNHQAASSTSFFPIEFNDDH TIKTFTCPATVKIPLVKNITSSPEPPLPYQLDCRIRNWQTTVQSFSPPKQVSTLEFPV WQRTDNLGPTTNAGPVLDGILNVTVSFLDKTTETFTWVPSNISWAPTKISMDLHGKKL SSIELSTNATNGCYGTLAQPLVDSGVQYGVVVNKKLMISEKAQMYIYES FPSE_02329 MAPKHYFSEAAANNLVPRALRSLVAANPHLILNEPERVVANGYH DPSKVSIISGGGSGHEPSWSGFVGEGLLSAVACGDIFASPSTKQILAARRLAPSTKGT IFLITNYTGDRLHFGLAAERSKADGSGEDYLVLPATDDVSIGRGRSERVGRRGMPGHV FTMKILCAAAAENWSFEQCVSLGRAVNDHTVSIGSSLDHCHVPGRQYQKIPDDVCVIG AGIHNEPGQQLVSPFPPVEEVIKSLLDLLCNQNDKERAFCKFGTDDEVLLLVNNYGGL SNLELGALLDEIQQQLDSTWSIRPVRCLSGSFETSLNAPGFSISLCNISAAAKQCQST TSTLLELFDRPTTAVSWPNLVRPSPKQTPTVQHQTNGHVNGKVTAASTEKYDSVDPPV LEASIRRACEKAIAAEPKLTEWDMMMGDGDCGEAVKGLCESVVHKLDEGVATSGSVVS FLETITDTVDNMGGTLGAILGILLTAFNNALKRQLIDQAGKEGLSETYASALNTAVES LKTCTTAREGDRTVMDVLIPFTDELVKTKSFGMAVSKAQEKAEATAYLRPKLGRALYV GEASEQQVPDPGAWALYEMLSGMQEVLGKV FPSE_02328 MPERRPVCGPCSTRSRGCVYPECPEAPSSHTSVDDSVPGDQTWL DDQDSLRPALELPSPHTVVPDIQASLCSNDLPLLNAASQPVPVSTWSPDSAFWTADFA STRWLDLLANDAAQADSGFSLAPTPALRTGEERPPDIGSLQARLSQVAEAERTTWQDG ARPTVLNSREAGLLRHFAESCALWLDLFNPQRLFSTYVIRLALKDAGLMNAILALAAR HHSRRPHPNDPNTPDVTDNESIRHYYETLHYVQEALPYTSYTNSEELLATAIIISAYE MLDESDGRGNWQRHLKGVFWIQRSQNVNGSSGGLRQAVWWAWLRQDVWAAFREKRSCF SFWVPVQELGELDQHGMADRAIYLLSQAVNYYANSHAASSEATQHPNQTDLGHARVDL LQKIEELKSRLGEHYQPLPVPSETSDLFQNIWIHPPEIGAALQALAFALILITLHSPI PAGFNGYLRMQKTLTQAVNTICGIAMELKDEGCQILSAQCLYGAGLCLQDTAKREKVI QLMEACESRVSWAPMKMWRDDLRMEWAKADQEDSQPV FPSE_02327 MSTKWDPQNNKTYQILKAAEEGGYGVVAPIVYNIEHILAFVQAA EAKRSPLIIQFFPWAITFSKGLLIHAAAHAAREASVPIAIHLDHAQDAAIIRHAADNL PFDSIMVDMSHYEMDENLAKTKELVSYCHARGIATEAEPGRIEGGEDGIADTADLEGA LTTEEQVEDFIATGIDFLAPAFGNVHGEYGKRGPVLEFDRLERIRAKVNGRVRVVLHG TNDFPESIMQACIKGGVSKINVNKLVLDDYLIHVKEQASKLNLTALMEEGVEKAQKLT EWQMDVCGSTGKAV FPSE_02326 MPRLRIVIGGDDAGFPYKSIIAQALLNDPRVESVIDAGPSTEAD KTAYSTFAIAAAEKVARGEADRALLICGTGLGVAIAANKVRGIRAVTAHDSFSVERSV LSNNAQVLCLGQRVVGIELAKRLVKEWLGYTFDPNSSSAAKVKIIEDYDMQQTLMTPV AT FPSE_02325 MTNASTSNGTNGTSNGNSNGTANGHSTLSTLPGPNHDYKVSLQD KVIAITGANQGIGLGIAEVCLVNDAAFVYSLDISEPAEHFQALLKRFPGRLGFQKCDV TAEESVATAIDAIIAEKGRFDGMVANAGATKHQPALDFTAEQVEKLFRLNVFGAWNCA TAAARAFIKLGCKGSIVFTASMTSYRPNRAAPSAPYGATKGAVRNMTHTLAMEWQQYG IRVNSISPGFVKTALTYYVETSPDWDTKMKYYGGMPRLALPQELGGAYVYLLSETATY TTGIDIPIAGIVGAW FPSE_02324 MEKMETKQSFEHDDNVVSPKDVSSTIDDYVPGTEAEKKLVRKID LYILPMMWLMYLLSYMDRTNIGNAKIAGMDKDLNLDSNKYSVVLVVFFVGYVLFEVPS NMILTRSRPSQYLPAIMFIWGGVTIGMAFAPNYESLIGLRVLMGILESGFAPGVLLLL SSWYKHEEQSKRFAVYISAAILSGAFGGLLAGSITSGLHNAHGKAGWRWLFVVEGAAT MGVAMIAYFVLPDFPANTSRFKFSEEEIKLAIRRLQSDQHVQTEGEPKLGHWAAFKLS MVNWRTWLFVVGYMAIVGSSTLSYFYPTLVNGLGYGATDAQYMTIPIFGVAFVVTAIT GYLADKKSHLRGAILCGWMSIAMLCAIIICVVYDFKARYALLVIMASALWASNGLSLS YASTTFSAMPNETRAISLAFVNAMGNLAQIYGAYLFPVADKPKYLKGFGVISGLCFTG AVSYIALHILLRRHKQI FPSE_02323 MKAGLTSLLLAALAGSSVAQTQRINTAKFNEDYLRASSAPNAVG MAELRQRKMALHESEAAAGVFDKDRYKVLGSSTPCSGGKAGEYSCNNIDLMGFLRHQD MGSRTRVGNDIWGWTHSSGREFALVGQSDGTAFVEVKKDGSLTYVGRLPTQTVASSWR DIKVIGNYAYIGSEAAGHGLQIFDLTKLLNTDPKNPPTFSIRSDLAAHFSGFGNSHNI VANEQTALIAAVGTAYDLKCRAGLWMIDVSNPKRPQDAGCVASDGYVHDAQCVIYRGP QKAFQGREICFNYNEDALTIVDISRRTMPRQLSRTTYNGATYTHQGWVTEDHKYLLLD DELDEQEKTGPAADQHTTTYIVDIQDLEFPVFRGVYKSPVRSIDHNQYIVGGVSYQAN YGSGLRMVNVSSINQDDTGAGFKEIGFFDVHPEDDEVGGEAQFYGAWSVYPYFQSGHI VVSSIERGMYSLKYTG FPSE_02322 MAPSQTQEDNASGSKSSDLLKHSKEESVLTDKDRKALVFTDKHS SPDVYISYNKDTLWYPWVTTLEVKPLRFETRSGSFVIALRTPVDAWLGKHRHRGTVTA VTLAGNWGYKEYDWTAGPGDYVVENPGTIHTLFMGAGSEVVFTISGSLEFFHDDDSLK ETMDIFSFSQLYYDHCEAKGVEPNAGLWY FPSE_02321 MPSTSSHSSRSSRPSHSSRPSRSSHSSSHNKHLVIAASSSSSSS KHQSKSPSEPGSKSVARQAELDIYQSQNNDLWKNVKHTQGNTSTLILAVVAPDQRKPM KRLIDDKVKHKKIQSSVVVTTQDQLQQQLRCVIDSGVKKTYKSLHLIVSSTVSQLTYH IENFVDQVFVKSCGSAGRQDALYISASSTYCGWQTEQPNLSVEARRDVMAQMESLKDD VVTENGEESVQSPADHVNSWFQQWKRLLATVIEAGANASGAGIISGMWMGSEGIIIKG PFGLYIAAGYACYDIEGSTGVACGLSVGTSMVAYDQVFLISWDRIWELFTNLLQKLWG WAKEGFTWIKDKITELARWLGSFFV FPSE_02320 MSSSNTGESAHTKEEWEAIRPVFKAHFIDQKRTLDQVMKILKES HNFIATKSAFNKRIRNAWPECKKNQKRTGGQNSDEVTRQPLQDNAPQTSPSSNAEYGY MQTRSKKDYPRGLDCPSYIQIKPASESESSRLHESLASARVYCESYTHRFFDSKPEHN AFGSMIQQQVGRGRCYLALILCQEISLLPRKKKDIFKIEGTKKAIKEEGKEKITALVV RLLKRHLHRLLEGIEDTATPGDLPTIWDICRTLHGLSYQLGQPEGENSILTRLFVEIL EQRFTSATGGHNYHMHQALRQLLDVPPDKMQDAIRLSSLCSVQTLSSRLEHHHPFVLE AWADHYRHWGDFDLDREAFISDYRRAFETVQVDLNHSDVKLCGEGTILFLIHCSHVAH YVLHDRGRTKTLATLLWRETGALLVGMEPAMSWNIYIQGMVESIKIHTLLYYITHEDQ LKDRAELKKKKHKFDLYSKKGRRRYRKGVLQSIQESHILGSLHPYNLEA FPSE_02319 MASQRSAWGSISPLLIFSCSCLLVGDMLFGFDTGSFGGILANPG FINQFGSYHAETDKYAFTSSDTSIISSIPFIGKFLGCLFAGPAIEKFGHRIVFILLSI ISFIGVILEITAADTGYGTGRFAQFLVGRVVVYISVGLVEVAVTTYQAEVVPAAFRGL VVISLQLFLAAGSLMASGLNKAYSTSTDGVGWKTVTGIQLIFPALLTAFVFFIPHSPR WLLSKDREEEAVACLQRLRSKADLDEGRCDEEIRLIKETLRDQVHKGPWLDLFSGSNL RRTALVFAFYFFQQATGQAFSSTYQTVFYKQEGYSSYQAFTYPIVTSVLGMIAVCPAM YMVDSLGRRKTLMISYPLQALWLFLLAGLGAKPNKTEAEKSTVAASFMLFSFSYNMGS ASIPYLLGSEIPNSAVREKTQSLGAAWNVLWAFVTNYSIPYLIANLHFGVGWVFGGIS ALALIFTFVFLPETKGRALEEIDALFATAFNPFRPQNIEPSDAERRISELEGGKDFED SILAHDKDGHDDKHVSTHVKH FPSE_02318 MANSTDGPPKRPNFLFILADDLGFSDVGCYGAEIQTPNIDRLAS EGIRMLNHHAAAACSPTRAMLLSGTDAHLGGLGVLIEYKGHEKGAKRFGGKAGYEGYL TENVATIPEILEDNDYFTAMSGKWHLGMRDAQGPWNRGFQKTFAMLPGCCNHYGWEPV HEKFPVGGRPIHAESGKKVDITPNKTEDPNGFYSTDAYTDNLIQYFEGRTEKDKEKPF FAYLPYTAPHWPLQCSKAQRDKYKGIYDDGPYALRERRLKKLVDLGIIDKSVVPHQVE TETMGVGEWDDLSPEEKKLSSRAMEAYAGMVDSIDVNIGKVVDYLKSTGEYDNTFIVF MSDNGAEGASLEAIPVMGEKIAKAIHQYYDNSYDNIGSWNSFTWLGPLWAQASTAPSR LFKCFPSQGGILVPCIVKPPVNTFHSSFKPGTFDRSFSTVMDFAPTFLELAGVSLPPA SATTPSAEAGRRITTLAAKTVPFRGRSVHTIRGKSWVPFFGRAEKVEDNELWTIHSST EPVGWELFARGALRKGNWKIVHISKQQGGAGVADEGWELFNVVEDPGETQNLAESNPG KLEELLVHWDEYVVECGVVWGEKAEALGLDKDSAPELWEDDTDLQRSWIGAKAGECLA FPSE_02317 MSYPSRYSAWRRSQGTMGSHANPLTINLHNNEELPKDLASHDVV IKIHAVSLNRRDFTMLNGEYPVPLKSGGVPCSDAAGEVVATGNAVSQFSVGDRVVPIP PIGDHYPDNDGWHWNIGVQEPGMLREYAICQEKDLFPLPDNLSWEEGATLACAGLTAW TALDCMKTMPKDGVVLLQGTGGISMIALMLCLGAGVQPIITSSSDEKLETIRKISPRI LGINYKTTHDQVAEVKRLTNGRGVDYVLNNTGIPSVIDDIEMLRERDGTVSLIGALGG FEAGWKHSDLIKLMTKRAKLKGIILGTKAEFRDMVQFIADKNVSLTPAINERSFAFKD AKDAYDLLESNNFCGKIVIKVAE FPSE_02316 MSHNDTISAPAQAVDQEKGINNSPPPSVPASKNEDAVMANEEAE LIDYKTLTWWQGGIVLIAETVSLGILSLPSVLAAVGLVPGIILILVMGFLSTYSGLVL AEFREQYPFVQNFGDAVEVIGKSIGMGRVFQEVFGWAQVIFQVFVMGSHLLTWTICIN TLSNSSTCTIVWAVVGLAIFWVLNLPRTLKYTSYMSMASCMSITVAVLITVGDVAHER PIGSGSIEIARELGFTGAFLAVTNIAISFSSHSCFFTVINEFKEPKDWPKALAFLQIV DTVLYILAAVVIYVFVGPDVPSPALSAAGSPTMRKVIWGIAIPTIVIAGVIYGHVASA YIFQRIFRNTKHMIRRTKLSTFAWFGITFGIWALSMVIAESIPVFNNLLGLICALFAS WFSYGLPGIFWLWMHYGNWFKDGKQTCRFFANCLLFLTGFLICVLGLWASIEAIAQGG SKEPWSCASNAAE FPSE_02315 MDEEQAMKMVVQAALVSQKVREALQASLPVATEQLMTVQIPGTI IDTTEPGPYVWNSKEESDTPHRIKVNESRLVDNMVPLSKVMMGITGKSVARSYAAALD MLIPEDAPIETDKSTATKTAAADRYVAAMRYLTSTAPNSSKSVIDVYVEKQQAYSEAM NKWEAAKTKARSDAKERFPGNVKEQQRFYDDWNQESFRNFKNNAQAKYMDWIVNGSKY KVDYYFGIVDVSSAMKRVESSKEAARNLVVIDPDGSTEWQEVHLTPLNWASLCKEKVD QWGEQNNKLSRKDFESEIKRLNRLLLSYTGLKNSIDPDGATGRAIEAAKAKAATNGTT GDNPGENNQTVTETPTAVTPRVVDPEGKLATAYKDLYDEQNNTPPDRKKIQEKQNALQ AALDANGKANMENNKQIASDLSKGSKKEKLLWINSMIADVESQVKLLEKGLEDFFKAS TSSPAITDVIKRDVKDPGQEEKGELVSTQYAEADGQYADPKFNIPRNGVAVAQQNKVE PSKWTKITLSVSSSSQSSSTETFSSSTSASASASGWWWSASASVNHSEASMKASQATS NCAVDIKFEALLVTIDRAWLHGELFSDPELNTGDDVKLSPGALDLHKLIDQKNDKALA NYPYFPSYPTAFIVASNVELEFRGDTSSLEEAVESSHTDAQIKVGYGPFSLSGSHSQD KSSAKTKMETTATGTRITLEAPAIIGWVSQLVPQLPRPKGGSSLLGPMF FPSE_02314 MVSIVDNSSLETKLSFNRYRVNDAMADGEGVIVYVMDSGHNPDP QSDTNEQIFFPGKVEFPQQDGDFKPTTEPRKLTDDLFKKANPKFKVVGEPTGTEVRDD SKGQHGPSMSALIGASKVGVAPRCRVAPLRCTIGEEPDIKECIKCCETILTYHNKKHA GKPAVVNCSLTIPYQNLLKKLKANGNIPVESLEKETEILFGKFQKAVESLVNAGMIIV ASGGNQKHTTNTTWAEWKADQGKTDPMFRAPQCFDNVIVVGGLGRDGKVWKESGTGKL VKHYVLADQVENPFNDSSSITGTSVAAAFLSGIIACILSSAQYKAKAQANVDDARKHI RQILEKVSEDFDDGNGNKCRAFMAGTIDLKNVVWEYKV FPSE_02313 MEDEKSFITPQNDRRITRSMIKKETEYLKARTTDNTQTILKSSR RKKTGPKSSEIMFSAARIPTIRAPRFGLIQETLSTDLYALLVATALWNRTRGTQARPV FDKLISKYPTPTRLAVASFVDLAELIRPIGLYNLRAARFIAFANAWIENPPCKEKRYR KLHYPMKGDGKDVDKDEVLDEDDERQGWEVAHLPSLGPYAIDSYRIFHRDMLRGLAKD WKGAKAEPGFEPEWKRVVPLDKELRAFLRWMWLKEGWIWDPETGHKVKASSERMECER LRIKSLSPEGFRVVRGG FPSE_02312 MASAFNYQPLLDDEVRMLKIEHVEDTTNTTEHRPITCYLENVSL PRASTTPPYRQSKGRDRTWPELRSQNNFGPLFNTGEGYTVAEADSRTSRHTIIDEEAV LPWRHEWGDYIALSYVWNHPKKSEEPDHYFITVNGSPFKVTPNLYHALEALRKSDRVR QGFKLWIDAICIDQSNLDERGRQVARMRDIYQSAWQVLIWLGPSDESSELAFSALHWL SQESKKPKPMESFYRETASVNMGPIFTMWPTYQSPMKKEVYKALFNFFTRPYWRRMWV VQEVAMGNPNSPVLCGDQCISWQDIYQAVQLIARDESRFGREILDSVQQQEATTWSFE VARDRQIYGGKWAPERMWKVQQTMMRIQNDQQEVSSVSGWKELGLALNLARDAFITDD KDRVYGILGLKAVADRVEIKPNYKLSQSTIYSHFAAGLMAKGDLNILRLASGHGGYIM ENWQTEQAQPSAKNGATMSSSSPIRNKGSKNSGKIPVSVLCDHPIPSWSVCWACAPIQ TVQLGGVYQADAQLGLTVPNFSRGEISLPNGISRSQATLITKGVVLDKITSLSASNEK DADARYPYSTTSSAKSTYGDYEATRAAFWRTMVGDTTTQGGEKAPDSYSWLLEPKLWQ KGIAGVWTNGFGLHHFMARNSSLEVCGFTVQELVFGRSKFKSMLQSMMVENFYNPTEF QQESYSWAVNAMAWRRVFGTKEGRMGMGSCAAEVGDSIALISGCNTPLILRESGDGWK LVGECYMHGVMYGEISPEEHTLEDITIY FPSE_02311 MSSSKVRIAVVGAGAIGPRHARTVANSSVAILSAIVDPAPSGAQ LAAELNVPHYLSIPDLLQSPDKPDAAIISTPNFTHVALSKELSSSGIHILIEKPFSTD VSSGKELLRHLEGTGVKALVGHHRRFNPYMVATKKILSSGSLGHIIAVNGLWTLYKPP EYFDAPAEWRRDNTGGPVLINMIHEVDLLHYLFGPITRVHAERTISQRGFEAEEGAAL TLRFKSGIVGSFIISDHTPSPYNFESGTGENPDIPKTGQDFYRIFGTDGSLSVPDMTQ WSYEGRDKKSWHSELAQEQADVPPRVPFDDQLEHFVKVLKGEEKPSCTAKAGLAALMV CEAIKDALAANKTVDIEEYDISG FPSE_02310 MPSEEIKRYVIVGMGVRSAMYYQAIIQDFKDAAKLVGICDTNQT RLNVANDHIVELGGEKVQTYKDTEFDKMVQEQKADVVIVTTIDLFHHHYCIRAMELGC DAITEKPMTIDEHKLQAMVDAEKKTGKQVRVLFNYRYAPHHTKVRELIDSGVIGEIST VHMDWILDCAHGADFMRRWHRHKETSGGIQMHKSIHHFDLVHFWLNTEPELVYCLGDL RFYGKENAEKRGEKNLGERYKDNKDAKNDPFAFQLDDNERMKKLYLDAEHEDGYVRDR NCFGEGITIEDNLSMIIKYKNRAVMTYNTYAYAPWEGYRCVFNGTKGRIEINVVESGY NPLGDPVTKDASGEDEKYIQGGVEQTKIVVYPMFDKPYVVDVEKQEGGHGGGDPVLLR DVILGDQKDSFKRAAYIRDGGNAVLVGVGANHSMKSGMPVKVQDLVKW FPSE_02309 MPIINVDVPPTSTDDTHYVVYFASGEPSWCPDCRNALPALNAVF GDASAPNAHLVMAGSREEWKGNPDNKYRKAPYDIQCLPTVVKVKYGEEIGRLGDNESQ NESDLRKLVKL FPSE_02308 MQPTKTTRKRVSRACNLCRARKVRCIIENPTDPCVNCRHNDKVC TFKRRHGIVSSTPQLRPNGPLPPRPTDTNKPIEPSFQQPLPITPTTIPPPASIASSSS FPDSSASQNVATLSGQPCHPDYAFLQVPDTKDLLPQDLAFLVSQGCFIVPQRAALDDF LRQYFLHVHPMLPILNEKDFWLSYNSVDSDVEYQMPMLVLQGVLFISSGFVSAETIKM LGFPDVHQAKLAFYRRAKLLYDFSCEKSCIAIAQASLLLAHTHLIPRCIAGNKPLGSI WLGIAISYARVAKAHCYSSLRPSRDTEVAKVQKARNTLKRLWWCCIICDRLLPLTSRQ NIKITPANFSFSGCSVLGSADLCDELYNSDVYDSTTKTLHAELLAKLVDLCVVLTDVL GVVSVLYNNPSWMLSGRMEVAKDASLCQIELRRWYNAWSETKATLEERMTEDGSQTES IILFKNLIEMYYHIGCTAFPLALQMIDVELLRPKQKSISQEGAILTRKQQHVDNLVKQ MKSYKNKYYITDWVMRAIRHVVELAQQKSPAPFTTDDDSSPSSYLDMLKNRPSYYLRL VMTLDMSISNGRLPDECDFPPSLRKAGRAFARSQQNPILPMDDDSRQRFSAMNKDLIP DNLTTQSGILSADVQDHVQAQDAIMVDQESFFNNKQSFPVDLTAALCEITNIPPLDFS DMPLLDSSHFNDFGGVPFWPYELLGSPPDNLGQADEVSMEDIFSGIIGAGNGEQNFDD VVIQSGGQVALSVDSF FPSE_02307 MSSQLPAHLKASLEASKCEYRNLGKSGLRISVPIFGCMSFGDKR TLPWVIGEEEALDLLKAAYDGGLNTWDTANTYSNGVSEEIIGKALKKFNIPRHKVLIL SKCRWAVGEEPNARHINYKAEFEASKDYQNQYGLSRAAIFNQVNASLKRLDTDYIDLL QIHRYDELTPLEETMKALHDLVQSGKVRYIGASSMWATQFARMQFVAEKNGWTQFISM QNHYNLLYREEEREMIRYCNDTGVGIIPWAPLCRGHLARPPTQFGSTDRSKDEKASSG ELSPVDNKIIGRVVEIAEKHEWPMAHVALAWINQRVTSPIIGFSSVERIEQAIGARGK ILSEEEVKYLEELYEAKPIAGHT FPSE_02306 MSISIPSVQKAALIDNPGDEARIIIRSDISVQNPGENEILIKLV CTGVCGSEIRALSGWGPYNPIVGHEGVGTVVKLGQDVKPNLLNKRVGVKWLYSACGEC TICTRGYHNNCPKQLNTGKHVPGSLQEYMIVDARYVTEIPDAVSSEVAAPLLCAGLTM AGAVSKLEGYAEKGDWVVILGSGGGLGHLGVQIAARLNGLRVIAVDTGEPRRKLSLES GAEHFIDFVSEIVEQRVKEITGEGAAAVLVVTGSQEAFIQSPNLVRNMGIIVTIGLPR NDFNIPLSASLCSARSLTVTGVAVGTETQMEELLQHALAGTIVPDITVLDFEQVGNVI RDLQDQTITGRVVLKIP FPSE_02305 MGDGGFLVLVNGTPYRWKRSDQNSYQMKAWDFPDVIEAGKVPRI YVEFNQGAFKKRSDTSGSVKYTLEGTKCSFTIHVRDDDERLWAKLDNLDAVGNSRGSE IQLGWRHDECISFVLSGSEDEFHTTNPPMDWMQQCIGTLGKRPLSQLCLMGTHDSGMS TTSHSLVPVSPIDPYVLCQSEDIHGQLELGARYFDIRPQLYKKKWCTGHYTGKVGARG ESIPSIIEGVNKFTKNNAELIIINFSHSLQSDVEDWREFNKEEWHSLMEELLKLEHLY ILEDKSKANNLGSLKLDDFIGNGKAAVVCIIEEWGSMSLGDYANKGFYKSSQFNVRNE YSNKDETEYMVKDQIEKMKDHMSSKDKRLFLLSWTLTQQVPAWAGSVRSLADKVGDSI KPIKFLARECNKELFTQLLPEISDKAFPNVVYIDYLNNREYLPLVIAINDKVFNN FPSE_02304 MTKKTSSKAKTITGTDVTETLTGIKENKPISSGTSCSINQPSET IRNLIRYWLTEEEASDILLQFRKVCIPNHQLLWSDTDNLTGTAFAIRRSRLLLLSEDQ GESK FPSE_07542 MKFSSTIAITIGLVATVSAGPLAYGACQAGCASIVMACYSAAGF TWGATAGATAPASIIACNAAFGKCSAILPYY FPSE_07541 MQIKQLTPTLMTGSLLLGLLVALAHHFYYLYLDMRIVKSQSQQQ WYLRAGTGLAFLVRAFLSAAVSTAYTQVLWRTLRSRPITIRGVNSLFDVIHNPFEFFT WDLWSAAPLLAVVAVVAWALPLVAVITPATLTVTVSSTANITTLHMPIPIPDYAKVDT FGGWAGKIIVPSTYVSRLLLSVASQGSILAIPAPFPNSSYALNFHGPTLSCKVTTNKT FSTQINKAIQDSDGSIFAKKVFVGFVPNGGPGVGFGVEECALAGLEYVLNTAKVATTS YLDYTARGPKSAAKVYVAVPNGLNSNPDIYGAMKIIECQLYNSSFTANFTFNNGQQDI HYNSTQLNKVTVSNDFEADDMNRKYQGATDAYASLMDAMGQLLLGSLSKVLTSSSTVS IQTWIRSSSLMDTKEMYGLEKGGSGSMIGNISMSDALEDLFANLTISLFSHSQFLQAK SAASRILVNHSTTQNEFSYEPRNLLAAYGVGLLLAWLIVIVGLLCIKSASASYRSSFS TILRTTRNPDIDNIIPITESTGAEPLSKHLSNVRLVLQRQEGGPESGDDEKATFFTVD SKSHEMNTDQTQDSAESLLQQDTPMVHTSTSVESSIVYDEALWERNGDLSLRIFNYRQ DTRGD FPSE_07540 MGADRAVKFTVRPDGRIVTHEPTLTLQYDKWAEEMQTLSVPGEH LPRYAIKRVTKFGGARGHKFEVYSTTDRVQKVACIKHHSMPPRIQINMVRADRKLKIK THDSSRQYDAAGGLGRLYWKSTDMKACWKLKNNKGLVLLVTIDEARTGGILSIYKKNL DKEVIEELLAVGVAQIEDYNRISRASKKSVGSSP FPSE_07539 MSDTTENKSFPPTFQICFDVSVLLSLLSLSALMLWTILAAPNTS YDAPYVIVGVTFVATIFTSVVKGRVQHGLMRSLEKDLRAQISYSELEQLPEQSTTTKV WEKLKEKSPRQEMLNTRWRGILVIDSLKEKIFYNKNLFFIYLPCALMTTAIVTVFTPH SGSQNMDYQPLVPGPRYPHNFTSTSEECAGQGEGKVSGAYRWPLGNDTAFFARYEGDC SPVRITSHVSNINSESPDKYAYVDAGVAVDRSAMGASAQLYRGTAFQKLDQKHGQFLR STTQCVPVMASNPVTCKKGGGKLRVGDDPKSLYFVSDSPTYGPKPITRPVEFAARTFN ESSGMVNYLWRDRRNNTIGQGVMVWSAYNDPMGETLFAKDLARTINDPNKTAGTGGSA TYVVTCEINPLKSFEYRLVTLDLPASKTANLTGIAYSRRLSSGETCVPDKQTVGNVHY VAATTSMYNMVMENYGLDGYFSTVHRVAGEDRGPPYAFNNSKNGLEDALGVIAALGVA NMNLDDPVVANAVGDKGKAIAVIEINQLGGNRLLLFALVPPIVAFSILSVLFAKSFQS DWQPGGGISCGRHPELYAAESVGELITPKRLIADPQ FPSE_07538 MLHQLLLTPWLALAFASPAPAPVPQPSLMDSIFNNPSIPEVTLA ELGVNETLLNAQDFGLAKRQTTLKVTIYNIEFNDGYGAGRLIISDPVPTEPGTTNPAH PLDILFVPTIYFPPRDNDFCFYTNRYMLRLQLIVSVAGDACKGGGAPSFDTASKFNIT SNRVRAYLAELPGKPDALLPRFKFSNVWFYNDMFGGGVDIQFDNDGKVSGLIAMLGHQ RPPYNTGQFMMATFSRTFRSVYSSKMTIPLQ FPSE_07537 MQFNVASLCLLAFSLPATIAQRTEENAVTIKTFPNQIGCGWGVS GHTESFPDGECIHLPRDFMDVKHITETCRIFIYTKNDCTKYEKQVHQGEDCIDIRDYQ SIKAFCH FPSE_07536 MTSDFLGGHHCAQMPLKSGEDRLALEKEYYWPNGSEIVVNMWGQ SDFVRSKVKQFAEEWTRYANITFKWMERDAPGDSDIRVSFDRDGYWSYIGTNCHNAGQ DQPTMNYGGMTDDMSDLEFSTAVCHEFGHALGFIHEQASPVANIQWNKPVVYEYYKRV YNWDQSAVDFNLFASAKQEDVINSRYDRTSIMQYSYDASMTLDGSSAPWNRVISDLDK VFAMRLYPKNGIQRTTTDGVYFINRERNGFEASGIAYYSKFGNNDGQQPDTYVEVSNG SFTWWENGGEATFSGSGHVIKWNLADDAGRSPLYTRVGFADKEGEKWNVYRDDNRVLF EHDGWQFRAVFWAF FPSE_07535 MRLTTIVAATGVIFTASVNGLACKDGIKYCSYNLNSGGHFWTED EIWSQLQSEGLPDGYTKGHIQHTLFKCEDHWYWSKAHLYYVEWCANSCQNGGSGKDDL CRPHAND FPSE_07534 MAYEIIDNIAAEGAPYYTPAQDPPAGTQTSGSTKVFTPITIRGV TFPNRLFLAPLCQYSAKDGYATDWHLTHLGGIIQRGPGLSMVEATAVQNHGRITPQDV GLWEDGQIEPLKRITTFAHSQSQKIGIQLSHAGRKASCVSPWLSINAVAAKEVGGWPE NIVAPSAIAQEAGVNPVPKAFTKDDIEELKNDFLAAAKRAIRAGFDVIEIHAAHGYLL HQFLSPVSNQRTDEYGGSFENRIRVVLEIIDLIRAEIPETTPILVRVSATDWFEYDAQ FKDEFPESWTVEQTCKLAQILPKHGVDLVDVSSGGIHPKSAIAIKAGPAYQVDLAKQV KKAVGDSVLVSAVGGIKTGHLAEEVLQSGIDVVRAGRWFQQNPGLVRAFANELGVEVK MANQIDWSFKGRGKNGHKKSS FPSE_07533 MSWKAIAKAAQAEVLDSIPAKWKLDTAKYRTLTDVTSVPRDCGI LSDAQLSITDLTALEVVKRIESRELTAVQALEAFGARTAIAHQLVNCLMDWFYEDGLR QAEELDKSFKDTGKLKGPLHGVPVALKDFHFVAGRPTTTGYVSRKDFRPEHDSALIKT LRDAGAVFYCKTTMPQSGMAIETVSNLCGRTLNPYNTSMSAGGSSGGDAVLVALKGTP ITPSTDLGGSIRVPAAFNGLYAIRPTSDRIPKGGMDNINGGQISIKLSCGPICHSMED LEAFTKLINAHPANQHDPTSVPVPWKTVKPIEGKLTIGLMKWDKVVMPHPPVLRALDH TKQALEKAGHEVVEFDVPFDCWDAIQTTFDTYYQSGHSGTLSTLEATGEPLIPAFEDL IKVFGSKEISAAESQQLNVKARVFKERFRDAWDDTVKLTSTGRPIDALICPTAPAVGY PHDFNVYWGYTSLFNLLDYPSVILPVANFKVNPQDDPVNSNYKPLETNPYDKPNHELY NPELFSSQPSTIQVVGRPFQDEELIKVSSVMDDLLRAM FPSE_07532 MAMFTVLPLIWIAPLGLVALFFYYIIPYFWNYSHLRSIPGPLFA RLSNWWLVYACREKSRWKYVNDAHTRYGPVVRIQPNHVSIADEEVINAIYGHGNGMLK SSFYDASVITTYSIFTSRDRAEHSRKRKVVSHSFAPQSMRNFEPFIQQHLSVFLQKWD AMAANEAKFDGYADVESRLWLNYLVLDIIGDLAFGAPFGVLAKGSEVVDFETEKGPSS LPVITSLSTRSEIAATVGALPELKPYLKWSPDPFFRTGFNGMINLRTLGTSRITDRLN NPPGDEREKDLLERVREGRDHKGQPFGKGELIAEALTVLIAGTDTTSSTMAALLYHVV RTPGVLKKLQAELDEAIPADVSIPSFEMVKNLKYLGFVVNEALRHHSTISLGLPRIVP EKGNGVTIAGYHFAPGTVLSIPIYTVHHLKEVWGPDADEFKPERWEDVTQRQKQAFIP FSHGPRACLGRNLAEMELKVITATWARRYDLIMRDDTMEILEGLARKPEAVNVGIRRR M FPSE_07531 MLKRRSACERCRGQKLKCIREPENRTDSCLRCTQAREECVVNLR KTPGRPSGRTNSSSRRSPPNNTTPPTQTPTPAPTLVLDDSDSISAPRNGSFASSSEDA LEGLLDMNIDWGDMNMFLLNGNGTDQVPEIPSSLFSYAESTRVEPPPPCASWSASGDF AAPTGLFPCQIRNEVCDPGIQLSGLQQSLSKHLVQLQSFSWDITSVLKLESASFGFQT PESLCETSRSFNPLVGTFEIISDFEHVLELLKNAVKRRERQEVPTLPKEMLICYSLTA ISCYLQLVCVYNNLFSYVLDQASSNPAVRTFILDSTPGISLSGFVIPSPKNIVGRSFA QLMQHKIGSVEAALGLPDDWRISKDPSSHQSADRPLLLGGKEGQALLELLMGQAVEGE SLSSAMGLLESVREKITEIECLA FPSE_07530 MTASSRPAEYRDVRTANLNTITFDNLFDKDEAELKRLIESCEKD GFFYLDLKSAASQKFWNDLYTIDSTTKEWFKQPIEKKLQTPTVSLAHGFKAVGNQSGS IESKKDGFEALKIGKSELDGRWALPDVVSDNLPLFDQFASSCHFISKLLLDCLSDGLN LKGDARFETHHRDDCRSKSTLYFLHYPPGAQDPNKVGQNMHTDIGTLTILYAPQWGLQ VFSPADGAWEYVEPRPNQIIVNVGDTLRFLSGKRFKSALHRVLPLGGIQIEDRHSISY FLRASDSTEFKDSDEDESNAKQWYTKKYAMYEMPHVIQKQQTTLSGGMAQELQATF FPSE_07529 MINITKPQNWTRGDYLVSTDPALLQVDAINAALSSDMVWWAGDL PADALWDALRSSICFGLYRKRSSDMNSTGPTVEYKIEEWEQVGLVRMITDGVTFGYLT DVYILPEHQGGGRGRWMLQILNEALQGWPHLRRVMLLTTDKMHLFGKNLGMKDYREFD GMKGVSIAMVEGPGAQH FPSE_07528 MDNGLSRRHEISELLQLVDSTTTRAFHTSNGSPKSDCRDDNKPL KRYEELFGSFSAEGLGTSGFKDAIDLISRNSVDNASPGFLGKLVSAPSAPGIAADLFL SILNNNGHVQRAGPALTAIEKHTSLELARLFDLQGPHAGGVTVPGGAAGNLMAMLVAR NIVAPESKQRGLTPGEYAIFVSDAAHYSVSNSANVIGLGNDSIIRVPALDDGTMDPDA LQRAVDQAGKDGKKPLLIAATSGSTVNGAFDPLDKIGEIAHKVGAWFHVDACWGGGVV FSDKLKHLMKGSHLADSIAFNPHKLLGVPLVCAFLLVNDLRTLWLANKLNAGYLFHDD TPKKNGVSSEQSANTNGSGKKSWRQSKLLDTAPDVRKINDLASLTIQCSRRHDATKMF LHWLYYGTAGIAREVEQAVDSAKHLACLIRDHPRFDLIWEPEQVFAQVCFYWKSASTA DKSGETLAEINSRNTRALFQGIEEMGWKVDFAPGKAKGEFLRIACNRLTTRQTVEKIV SELVELGESLGL FPSE_07527 MPPQQEHETDSDAVRSYHEESKSEAPGCIPDAMLSSDETSNDVA SDVSPPPDGGWNAWLCTLCGHFLFMNTWGFINSFGIFQTYYTTFLDRDPSDISWIGSI QVFLSFFVGAFVGRYIDSGHLRLVLSCGTILVLIGIFTASLSTQYWQLILSQGICCGL GNGFLVTPAVSVTSTYFAKRRSLAIGISTCGSVTGALVFNSMARQLLPTAGFGWTMRA IGFVQAATLLFVVVAMKTRLPPTKSGRLVEWVAFKQLDYTFFTIGMFFNFWAVFFGYY YIAPYSRDIITPTLTYTQSLNLLLILNGVGVFGRMIANHYADTFGPLELLIPTCLVAA VATFSWIAVDTPTHAYVWTVFYGIIGGSILSLFPAGISCLTTDLSTRGAYIGMNFTVI SFATLTGNPIAGAIITAMQGRYYGAQAFMGSSFIVGTAFIVAAKMNHVK FPSE_07526 MKFTAFTAALAGITSVNAAWESIYIVNSRKGNEISSGCAYYQDN AGAWGRRPDDYVDVTHGSHRVWEGKRTACRFNSGVTFNVDINADAYEQNNNAWVGGGN NGFKQFTCHKTHNRAVTVPTLYTVDGWTVQGIYWCREYVGGKRDWIA FPSE_07525 MAITELLVSPWAPVALVVAFVAWYILPWVSNKDLRGIPAPFPAQ FSNLWLLSTCRRGKRYEIVDQVHKKLGPLVRIAPNHVSVADADAINTIYGHGNGFLKA DFYDTFVSIRRGLFNTRDRAEHSRKRKIVSHTFAPKSVLEFEPYIRQNLEIFVKQWDR ISSSKERDGYGRVDCLNWFNFLAFDIIADLAFGKPFGMLASGADIAEVKASPTSPTIY APAVEIMNRRGEVSATLGCLPQLKPYAKYLPDPFFSQGLQAVENLAGIAIARVSERLE RGGDSTRKDLLARLMQGRDEKGEPLGRDELTAEALTQLIAGSDTTSNSSCALLYHIVR TPGVLQKVYEEVSAVMPEGVDIPDFESVKHLPYLGHCINETLRIHSPSGIGLPREVPP NHKGVTIHGRYFGPGTVLSVPTYTIHHSTEIWGPDADDFKPERWETLTDKQKNAFIPF SYGPRSCVGRNLAEMQMRMIAATWIKRYDVVLRQDVMETREGFLRKPMGLDVGLARR FPSE_07524 MSGTGLGRLGSTSPDPGASSRDNHALLDMVDGEEGISRGRSHGE VKGPTADLMRRLGVSPERRIKVTPEDDARVLKRIDMVVLPLMLAVYFLQGLDKATIAY ASIFGLIEDTHLKGNQFSWLSSIVYVAQLIAQFPLAWLLVKLPIGKFTACMVTFWGLT LTLMAAAHTFQSLLFARFWLGAFEASIAPSFVAITQMFWRRREQPLRMSYWYAMNGFT GVFGSLATWWLAQLPLGLKPYQTIFVAFGIITVCFSTVLFNYMPDSPAEAKFLDKHDK LIAIERLRMNQTGVMSRQWRWDHFWETMRDVKTWLWFALIFSISVPSGGVGSFGPLLI KSFGFDSFEAILFNAPFGIVQLISTVGGSYVATKYHKKGPVIAFLAIFPTIGCMIMLS TPHTPEGRNTLLGGYYMISVFPGIVPLIYSWASSNTAGDTKSKCNSSVLFIGQSLGNI IGPLLYKPSEAPEYHRGLSWNLLLYMAIEGLVVLTSMYLTFLNRDHSRRRVLAGKDAV IVDYSLYSPEEADRLRRAKATDGQTVESAKDGTVGDRAFDDLTDLMNDEFLYVF FPSE_07523 MKFTLLTQAALAISSITGAVANPTPDVSSVSDLDKRRDCSMTIK YVKTWVEDGLDRYRHWLITEPREDRHLDFWCEAVHSVQYFSNRQCYWGDDGKYYVDVS VVRGPAGHDYLMSTYNGACKDFERLTECKAIKQF FPSE_07522 MKFSLITFAAAVPALAAAVPASADTAQVDKRADCKLTLQWLTNW SEDALRRYRVQLITEPRNDDHIKKYCDLIKADASGVHNVQCFWTDDGKYVIDDSEGEG SPGHGQYLSRFNAAAKHFEWATSCDTVRNL FPSE_07521 MFSNSSRRTAEWYLTHIYKFSDADDSPAGHGMVILLDLSLPPNE PTLSVLAAEDTIAECLMIIIITV FPSE_07520 MNEALTSIFSGAIPAQFMSGNQSKGDGQNGETPSESSSQTKDRI VKEIQSRVEEAKGLQEKSIELHDKADKEEDPEEAENIRHEAREIDKKAAKLMKTAERL EKGWLQGGAMGTGIGAGVASGLGMGVGALLTGVVAIPTAGLGLLIGAGTGLAHGSWVK FTDAFTKDEAESIVNEAEEEAEKIAKG FPSE_07519 MTSYGDVRKIIEDVFMIAKTVKTVYKAEEELKELTKETEILSNM ADDVQKSGSNFESNKDFQSALEETNDLIADLKQHLEKRKKTIDKFLEKLKWPTRKSDF KQDLDKARRLTNLLSQMNMTLSIRHISDIKQDELVDDFIKRWTPPMAAELLGAYPRVQ VTEGTGQWILNNGQYRNWEQSGNGVLWINGLQGCGKTGLAITIDDRLRARQQQRHGPN SLATQVAAVFCYHQIDGMRNLQDHMEILMSLWVQLVKSKPECRQDIAWIKNSKDDHDP AILTGQRRLKVQRELLQHALKHSGNTVLILDGLDEVPRNLQRNVIQDLRSLQRENGYC RILITSRPYDSIARIFKNDPQVYMEAPDVDLTLYMEERFSRQDWDYHCEFRSRDIIEI LLEKCQKSFILTQLIMDEVERADSKAHCERIISELPSTVDEAYRRGINRLKAESSSDG LVDGLPCMSIQALFWVAFAPSSMTGDHLEHALAIADSSPNSISKVRMWRERGIDSQTG KLVVVDPAHGTLIIAHKTLTSYLTKSETRLEFFPTIHEHIHVVQLKCLLADHCKSEVL AETEAEYLQQYPLLPYALRNWGDELARVLEPDTLLWRSTEAFLGTSFHEWNKFVKHHI IQVLIMKSTPFLRPSHVTRQQIMDVGSIDGLYWAVIFDLQGLVPVWIDVDTECLVKDP IPTTPLGLAAVLKNVPMVKLLIKHGAQVNITQRTNILVKPPLYDAVFAGSVSAAELLL QNGADMTSRRGFDDVSPLDLAYESYGYRKSELLISYFPGLNGTSAQVLQFLVKSSYVK ELRDAIRGGMDINHPCENGKRALDYALEMEHGEIIKLLRHNGAISNLHWPAEKTKLCP YPMNLREPIATPLVSIEKEYDQEALISHGKEQRDLILEIPIHNNCPIRSIVFETITAH EGKDENIKSRRNTEKIHGSGEFARFSSSQTAYKHMELV FPSE_07518 MQILNLAALSVFIGSSLAADCYGTESIASRFIEGYWDARQKMCS NTECAYQQPCTVTTWKKYTAIGSTTVKIEISRKNTAGKAGFKDCWDATENIINQCIKD ADVFGGTWQANGQLYTVNGYFP FPSE_07517 MKIVNLSALVLFANGALAADCLGTKTNGSMDKFLSAFWEAREKM CNNSDCALQQPCTTKASKTAGILRNTIEVSIKRQNTAGKPGFKDCWDATENIIQQCTK NGYLDGIWEANGQLYQINSYYSS FPSE_07516 MFGVVCIILAAGLPIYLTNISGTHIASEAAFITAKSLLGVGRGF TQVPLQVSLQAVLEHEQVAIATAVYLSSLGFGSNLGVTVSGAIWNSLLPRKLIAYFGE EEGRKIFGSIVVARGYEAGSADRDAIDTCYRQTQQTLAIGSVCVSGLLLVMVYLVHNV KLGDEDEKRAAKADEELAWAIKQKEAEGEGPVNIEARNQR FPSE_07515 MASSLALPPDRPGDMRAVRIHTSRTVNVELPDGGTRDITFAAGT VGYLLYDIPERNKCAVQLINHMGQRLDCTVPRRLIDIGELSSNFAIRRPQIVQSQRAT TISHRRANDAAGRAIRFLWDDLHINGSVLGDLGLKTDRLNEVLDDPSKKRDAINTIIA SFSDQAWTAVNTPGLPISAFRNIPRITTTRPRILGSQTMIYLRVYIDGGRFATYTGRS SDPIGRQIQHDFAIRGDTNNLGHYVEARKYPAANRYALQMMLLTDASEIIIKMAETTL CSLLGTWHPALTRSSHTRLQDELGSGSTRSINHMVLMSSFGTVAATALERANYPKLGG RGCNWSLPLEESNFEKREWIRYRIQTADRRSMLVYRWQSSVGTVGKQLGLVARFGSVS TGDIEKGFGIHVKLDHLPGIKKGEPLVISVEVMEDGKPHPTPWYRGPIHGAWDNCHEL HSFTIKVEWKDQASNQWYTYPVGYHEVISVFEQRPGDSTITRDWRKATCILQFFHNRR YRNPPSYLLSHYSPLIREAVYDHLSQSISFQIVPQVALDPPAHVSFAQNFMSLGRASE SSWPAIAVGPQPQLSWFGGGKGHLPSCVLCQQTIASHKSSLTVGCSKRKGDFHVAADE PNRDRILQASCRLCWGIFRRPCIWVKFGQWREDRGIPPSYYGAAITPKYSGAAIPIEA PMTVETYQQLEADMEETAALDDGLGDEEED FPSE_07514 MDTTIPQITITSPTGDQVPVQLFPLPAPKGARVKVWEFFETEPE TETNAAPSFGTYPVQLSLVLMGIETNEELDWDNASFDDALRNHLGARVSDKKKKWLGC KTAKKLLQDGGVEWDPNAPESESEPENGNNEKDEDEDEPPKKKRRV FPSE_07513 MRISAYRVLATSLAFNNAAVASVCKPRSSAATPLSLSTTEALSS ALVSASTTVMTYGASTSGVFIGTTTFEAVVSTDESSSTEKDTAESTTSTETLSTTAVA PDTTTEVPTSALTATSSATTSDAALPSFIISPFRPPFTGQPILSNRVMGNLLFLSVVN KPEYTAGVFTIDPESGHLLLDNKQPVCAIYGQDDSNPWLEVCPRFLAEEEVMITCQII DSELESSGSSSVESIAESATSSLTLSSASYAALTSTATDAVNTKATTVVESASTVEVT ATETATTEVVTTEASTAETTATSGTISEPVDLPTTVDATTAVPSNTAVPLFRVTAQSG PTGGQTLLADRNGYAVLLYDNSEGDFSDAFFAVDPVTHYLMLDNKQPICGYFGDNNGL AYWVQCDTTPTIEQAKLTCEIPTSTGDVLECRVPEIICDNDCVPTGETWSFTYTNTWG LGRWSANLGSESAEEGYKTALIVEFLT FPSE_07512 MFNTVSDFKDLSKSNPVVCFKGECSWASPFYIRVSVKRQDSASS NVADTIDALGQHPASPSQPPTTDAPATSPDESLDKLRIWLNNCTNDHKYCLQSINPVF FPTRVIDTQNIENGIISLREKTHICTEKNFPPYWTLSHRWGDPAKVLQLCKGNTKTGT VSNEEHFRNGIGLDEISPTFRDAVQLVHKMGYRYIWIDSLCIFQDSPSDWEVEAALMN DVYGNSFCNISAIRSSYDASLGLFGPRIVDPRTFQPFSVDAEFPVDGNRRREKCRISY NSLFTNDVNNSPLSSRGWVVQERFLARRIIHFTRTQLYWECLEFTRCEEDPENERGLM ETNDQTKEYREMREYKATLRKIIREVDFKEFGASREGGTPFRIPWPTPRECWRLMVQT YSGCDLTQRGDILIAILGVAKRFEEFYPNDKYMVGLWKEALHTDLTWESNAFEGAPVK RDTSLAPSWSWASLIGGRITVQAARQVYGGEAASSIEFVEARADPGHNDKILEIDIKA TLYFFQRRESTEEYDFFAVESMSRHAFGGNVDIKFDTGEIIMQHKQNGYKGICISAHS GYQGQGRFHAVFLIIEHVSGSMYRRIGKLSRSGTGWSTGNDKVLITLV FPSE_07511 MELRWLILSLFFTTCLAAENSTKPWGYVWNSSHPYWNDEIKPCA ETWMPMCYEYIEGEATVPILCECGQDHYENDFLIAFAQCIAKEKPENSEIGFQGLQWD CSQQGLSVNMTKREFMRIAEGGEVFPTTSSSLSTGAIAGIAVGAIAAGAAAIGTLICL WLQRRKKNGAKLESNPPSSPKPENSWATEFKPEWTANAPVELPPTNYAAAELPPESAP IYEMDAMPKKPVEMPGSIPDTKEEEKKEKPVDQEGQGV FPSE_07510 MDESTSSNFYLPGEDHYGEDSEGELYNHSELDSDDEDEEDDEDD EDEIDSRARFRIATTAMENRHRTFSEPQALYDFLYEFRDVIGLVMDDSNTFLHVALRF INNRSDVRSSNMMPLIQSIVKDHPTLLTKRNSDGLTPLYMAISTRKPLLIEAMLSECT EYPEWQAAVQDAIEIPCVEQKAKSCLHIAFQLAIRERVVIKLVTIARDEALAMQDDYG RIPLHYAMVSRRPSLATIRLFIQRDEYILDKRHPENTAGPVTFLDIVDKEGNSVYRHH QTSSLMMENKRAPPSEKEVKLKSTSDMKKDTNRERQKVPDRERDEISSKRQTSSVIWR NKRSSHREKDGLLKYARYTPKAATEEMMNVLDTARDEISPNDPGSFDLPLEKERTGLV NAKQVIGKKEETEPTPKKQVPAEDWKEILKELKLHYMRTRNGNMVESFLYGSSINGQL LQFHFFTDVLLANKDSDIQLYFDYRGLPSKITDRIFVETFSNMKFDDVLQFVSFPNVE VEFKGRHDTKKNGKDRRDMQFFFNWLETKGVRHIIRVDVEDSSEFPHSDQAILESLKA ITVERLNWKKVDLDPRVICNLGSNVAWGDAGRGSAVTCNSQIREVTLTWSGNNTALRA WSEPEGLPLLPRLRKIIILGPEDEEKMVESPEWVSACIGEFRQRIARNVSTKDNKSIE NAEDKGDDASKTKESSDTDAYKRNIEIVFVKRGGKGRMLEDFDTLGLAQPTVESRAGH RWLDSVYAFADILKRFWDQCIGDLQPWAPNTISSDIVVALIDDGVEVYGSGLSANIIG GRSFAAAQTNNMVSPWYVSELGHGTVMAETIARVCPMVKLYPMRVDTYTSENGERTLE AKSVALAIGAAIQRNAKIILIPRPIKPTESSSHKLLDSMLQKACSSNILLFIPSSTGE KPQALSTFRSYPTFRTGASRDDGGALNATDTPSEVDFIFPGSEVMIQHDIDNPRRSNG AILDLDSSSNVNVALAAGLAAALIYACKLISLKAMAKARSTGQENHHPYNMYHLTSLE RHRGMMIAFENLGSVTESRFLKVWEVLDPIIDEMRDSPMEDNELKSLVEWFSRLMSIE ESNLL FPSE_07509 MPEEMLDSGSDSDPEKPVLRQIAKDHQQAPSKEQDRESLLRELG QDSFTTLEEYKAANAERLKRCYMADERDQNRNILHWLSVHLPSNPTDDENTSLHWLVT TVVELEPKIVTMVATDSQKANCLQTAIEHARFDLIESLFKTSDDDVLKVAISQGNHCN ETCLHLAIRLGPSGVGLVLQLLEKSEPKAILKQRTYRFEDDKPNHGNTVLHDFVHINV CFVKGYMKTLRRFIQLCPEALKMSNAAKESPFQFHISTRNKVYPDWQGLEFSPRNERH DKKKEAAAKVGRLLLDEAFSQSTWEDACGCVYGEKTFDQATTFRPAAPINKRIDRSHS FLQFYPILSYVELVLAQPNPQDSHDTSTHLSGEHIDITTARHRAERTESIKRVFAWFR KQKVKRILNLVVRDDTRSPCSDAVIEECLRDFDVRYLQWTKDDLCIEVLHNAGLSNVK ELWLQWSGRNSVLYSWSCKDTGLPKLPQLEMVHIHTRAGIESPDANKNNLKNFNIRLK ESIDSVQIDDYQSDLTTKVTKALQEDLSPLGSKLQASMSTWSGGPDYVQIAKVGQGEK PPMIEATIAGFKARAMFDRQDTKGVRQIKVDDESHETLSEANASKTDDRQEQKGHRWL DAIKRLKTGINIYKQNNQLTVRPIRVALLDDGVNPGELVVPGVLKDGWPLPSTSRLHS SKPYYSSDQGHGTKMARLLYFMCPFISIYVAKIDMYREHDTSAAMSAAKAINWAVSKN VDIISMSWTVKQVRYGPNSNQTAITALERAIQSAANADILLFCAVQDSGHYENDEISF PQKSDTKKLIIVGSANENGDKSTFVNENSFNYLFPGEIVIPEILTEHDKGSSVATAVA AGMAAMILWCAEYHSKTQESRGDRTLLSTPATTLSPGLAIPETFETGLRTTPEWDFRR DGRMSALFDALKPDNDKFVDITSMINSVMASVDEFHETDLENQKSCIEAFVSMCKGNL PLNRR FPSE_07508 MAETRSFAVQLDEVNEEEFELRIKAHGKKQHRNFTGYDQNKPAV VYGYRHATVHGSFVDDQDQSQDGSLIILDWHLLPRDPSKRFKFVRINAVFQGPEGCKS WDEPSVYDIAPKGSFGLCRSNFTEEKKRELEGSLGAQFGGSASVKAVYGLSTSTVIGD KISINGQAYLDCNIGAERDPDACNGVEWNFYENKSKRSGLPTYVRTAILVRRETDEEF TATFTVRAKVGTLTDMESKLKRVLGYKDDPIVFVPGMKEKTAFDGMTDELHKVNLLDQ CIFIMDNDVPRASDEKDTEEPQMAEGKSDVGVTVDIAI FPSE_05423 MSGSKATGALVTLTPPKDDGSAWQLKQVDMDNSLSSEDQANRRA IDWCFGPLWLTGYVDENTLDVGISPVITGINAGNITGNLKDGVAVNVDLTTTKGETRL YLKNGNEVWVGLNLKIIFNGHYERDYKIIQL FPSE_05424 MNTTADIWSMLEEDGVIPKRLQNLSSKAEGLWTRIYDSTGVEIL STTSCYHNIAKAGIYNVTMTGDAVSREPHWVPNFNYYDGATYASDRQGFLKNKTSRIL SQFGIGVETNDTAQRGILKLDIGPLTDEENTYAPGPDKTGTDKSLDAVLDEKTVGSNT TWDMSDGNGVVQTWSAHEFYRAVFLTTLLITGDPALAIQSVMTRLHQVAYHELLDYYD IRYPITTLHLTEKLIPVKWLGLGLVIGMAVVHLIQVFVVIIIFLSRTRLSALGNAWQA VAQATHVTKAVESSETDLDKDINVWAEANSHDKDIYVLSPSPQTGKVELRRRQRKG FPSE_05425 MNLSYGRLPFAKSRNPYTCGITGKSYSALEVIEREDYLSRALGK ELDFHPNKGLEWDRVVAVFSLNTIDYIPVTHADHRLNGIATPVDFTASAAELEQQLRS SKAKALVTCEPLLQTALEAAKRCGLPDSRIFLLELPNQACKAPFKTKAVMISHRSIIA NVLQMTFYESAPRKEQGVLTQAVLGALPFSHIYGLVPITHLGAFRGDEIIFLPRFELA QALRAVAQFKIEQLCVVPPILVQMLNNAEKCKKYNLESLRFVYTGAAPLGQETVDSVR KMYPKWHIGQGYSLTETATVVTSMNELDIWDGSIGTLIHDTKAKIITADGSEVTSYDT LGELLIQSPSVVLGYMGNDKATAEAFFSDDDGRWLRTGDEVLVRVLPSGDEHFFVVDR IKELIKGHQVAPAELEAHLLSHPYVADCAVIQVPDPRTGELPKPFVVKAKAVLDNDVQ DKVVLEAIHKHVEENKARHKWLKGGIEFVQIIPKSPSGKILRRLLRDKERESRRVDKA KI FPSE_05426 MDNQNADRRHDLSPAGVEDPYESIDQPEPSENITDAARKAPVHR DNNAPNTVTITCHHIRIGDLLMLQDRPCQVIRISTSAATGQYRYLGVDIFTKKLCEDF SFISNPAPSVVVQTMPGPTFKQYRLVKFDEGQIVAKSETGEIKHGLSVIDQADLYSRI LEAFDSDRGSVRLLVINDNGRELIFNMKVVHGSRLGYMAPEEGLEKAVRENNRDNVEE ALRLGANINALDSRGRTALFAALEAHNSEMISLLLEHKINLGVSDNLGKTVLDRLQRS SMRRRPVQVASMHHTH FPSE_05427 MTKEAANFTIEGELGALWDQAEKEFLSSETATSHKTMPKAEYTK HPTEWLESFQKDRNKNERLLVACRKVGRHLEIIQAFVKALGFSVQVASAVMPILSPAS LVVNAFAWLFGSFAAVKADLDKVETFFERISKRLESLIPLNKHLAGGRAPAVLQKRIV ELFVSCLGICSVGERQVKKRLKTWVRKLKGDDEVDPALDKFNEADKALRDCISVSTLD ATLTNHDNSHDDLLYRDQLLEWLSSIGHSTLHQRIREQTPHLVIGGRWLLTSELFTTW KEHDVDRIWYTGKPGAGKSVLASTVIEHLRSWVDEDPMRKSNTMVTHLYLSYTSSPDV KDLLGSILRQCQAETNSHPTIVAMFQKYRLQGLISDKVQPPKLDDIKEMLNAINQDKR MFIIIDALDEFEINSRRRLLKSLKEIKSVVKIMVTSRVLNHLKFLQEGFETDTIKAND EDMDRYIESVINSRPSLSPFANYHQRIKEVVKRQSGNMFILVRLHMDALSNVQHKAQL EHVLETLPSDLQNAYKDTMIRIRRQADDSSVRATTTLGWVTFSERLLSVHELQHALAI AEIHDDSPFDYLRQEKEIISECCGLLIVDLDDMVRYVHRSAGEFFDRVKHNEFPEFDA KITVACIKYLSIPALVNRVSAMSPKFRPRTRLPPQDSFPLKGYAGQYLHKHHRRIANI PDNEEVANALYNFVLDDDKRLCYTKVVFRRNSYETRSTLLKGEVSPIDFFDRVYSWSS EPLNALHIAVYLGNSRVVELLINDKVNINMLDPCGQSSLSIALDSGLDFIASILLRNG AEVDLTTERGHGILLHCMEKDYKSVIEQIIGDSTERVPHQELLKILGILCLFFFQSIR GILSAIVTLQIQSALPKLETSNASSLDSKTSDEEQKESLESQTELLRYTYEGNIDCLE AALRNSTTEIKDISDGAGLVYTDTGESDPDDIKPRYYDSSEFGSELDYGSESVSHVSS AEYSDSYHESDPTVPASEVERSLVESSHCSSPSHGYPESEESDAHSESARITRFSTHE TSDNNNELERGEDKRSFSDDEVGACEDTPSEVDEIIASPLNDTDKIKVTFLRTACFLA VENGKHEAILSFLNFGVSPNLTNLQGQSLLHRATWRGDYKLVEILIEHGANVDQRDHN GRTPLLGNAGLKRKRVLKLLISHGADINLRQREGCHELYEAAVFGAINAVDFFLEQGV DPSITNNFGWTPLHGAAANGHYEIVKRLIKKGVNMSPLSDTYETPLSLTQNGETHYDH ILTGSRHYAEAIMKTRELDTQRKITRRDDIQNLLLAHGALTREGLTEEVGEDEIVRIV SSWPGWKDDSWWDEGRQPPYYRRRG FPSE_05428 MTEGGDSEDQWSDDCLWPRRLLHVASMTSYPWSPGNKYGSYTEP YYNAVSYTWGRFRYKDDHPEYEKTRPLAVNGVSWQLPRMRPSHFTADDMHELLKAATC PEDEEYAVEFIWLDVACIDQTKPHTPEYYSEVGRQGRIFQGASEVVVWLTTINSIRMI EWWQRMSRAKTPLKQVLVGSVEDYDVDEWLRKLLAEFQDLRKDPWFTSLWTLQEAFLS PYAVIFLRDGKSFFRCVDDVSSGGYLSHLITVCQKMYSFLDQFQRSVSRKATFDQTLF DAVKNEIEAFGFLEGFNEGTSVLRTLELGYDTSSAWMGNPLMLLQASHRRTCYEKTDR VRGIMQVFGLQLGESAPDHIPGKVYSLPELEDQLATELLRIYPISSQLSIQDRSCPPR AAWRVNSSMTMMRFSELLWRQMIGPDGSSVDEQDLVAESYGATLEAAMFEDVLMAGFE GSFTKMSTFFKVMEKCIGSDTVEVELEHKYRDEVQEVYPEDVLTRTQKLSWLADQSED RNIGILFLALVGPPPNAPELENLWCKWCIGLLLCQEPGQQDVFQKVGVVTWDLQAIEQ VGKGRKPQPTDEVLDADKYLLADGPGWTTLSGYFG FPSE_05429 MNIIPDYSRHFQTISTLNMPDDGAVAALGALLGYVGAEAATTAP FEHLLWPQRHLDNLSWQSAAMAALLMPMGGPLHKAALETFDTLHRHGLFRGAYRGHML GTAFFKDVGWTYTMHDMGVKGPPKAVRNCIWTRAMGMLRPPQLTDGNRLVPMGEKAQK EATRQASIRALISCYHLTFSQATDADKSSGLPFVREHVGMVGPNVYLSILASESSAII LAIILLVVWKTPWAALWLAPLTLRLISVLFAIHREPLESPQLTPKDHTCDFEIHCPES NGDFIVLTGPPPLIQQFMRHYGHPCRSRTKEIIQLTTIFALGCVFPVGLVASALFMPL HLQYVWFGYQIFLVAGLHIARYCQFSRQLSIDAAIAEAFEQGDRFQSYGDSSLLFGNK KLGSGTLKVDLKVKSYNKYAEGKEAVQELLMNAEKDVEKAIVKR FPSE_05430 MSQIDTQISTAAVIGWQSLENQNFLADPDPQQSTLTFKTRFEKP FFIFEIWIPVYIKIIERRILKNTFLILSIQLSTIETFSLQVLSTIPEPVERKLNSPVL RLDFRLSDNITTLIPLYAREQPKQGRTHSGVVLDSVLEISQATSISVYINHSASYEAQ LNSIRNSLNEGYYQSIPKTQPDLQRLYQGQGAKIAHLHTQPKEASATEPDQLPSYHDT QLPSSGRLIQLKKRPRVEDDEYKDNMISSLIEAVKAMQEKVSFLEIQQNT FPSE_05431 MSQNIILRNGSVIVHDEHDRVQVLDNCDVLISNGFIEQVGNNIS PAKDTDILDCTDKIISPGFVDTHHHLWQTQLKGYGLDNTWLDYFSDDLLQSFNYSPED SYWGQLAGCLEALDAGTTFVLDHCHGCRTPEHADAAITAFADSGIRGVFAYAETFLNL AKWDSDSIIPQPTSISDTYIQHLEHLAQKGPLADGRVTVGVAFDNYHLPRHEVEKLFR RAFKAGVKLITSHCGNFGPSVPQVLERYSLFPKPEDDHAIVLSHGNYLDKTDLTMLSQ RQVPLSCTPATEAQGSMGWPLLFEPGLNTSLGADCHFLNSSSLMQAARNALLLVRLQK TLELKPEGRKPVKFDETTYDVFNKATIQGARAVGMEDQIGSIEVGKRADIVVFTRTSS LSFGTAARQNPVAAIMGYSEPRDIEAVLVGGVFRKRAGMLLPILRNGKTVGLDSIMSE VRRSQQAIRKRRDACNLGLSRDLVEQIATPR FPSE_05432 MFTQALGAFALFNYLALGQTTPISEKQCETARWEHKPRLFVLTD ISNEPDDQMSLVRLLTYANEIDIQGIAVTTSTWMPDKIDFESVVGVLGGYKKVVDNLN ANVLSHASYPSHKYMLDRIHKGHPVYGRKSLNMSLSDGAKALIKAADAASTDDPLTVS IWGGSAILAESLQHISRTRSEAAVDSFVEKLRVYAISDQDDTGVWIRLRYPQLMYVTS LHGFSEYTDATWNGISGEEYRHFDQGGPDSSIVSNDWLEKNIRLGPLGSHYLNWSFIM EGDTPAFLPLVQNGLGDVNRPDFGSWGGRYTLLDHSGQSRVYADTSDYVVGKNGKSFT SKFATIWRWREDYQFDFAARMKWSVNSNYSENNHQPIAIVNGSCGPAPLKLKYKPGQS IVLDASDSWDPDGDDLKYDWFHYREPGGAYGRGLEGFDPSLRMKPILTTQSPNVNITN LNEDGSIVKLETAKKLNDTLHIILALRDDTEQPLATYRRVILEAM FPSE_05433 MSSPKVAIIGAGLSGLALALALHQQGIEATAYEQQSAPLDIGGA IMLSPNSLRALDKLGVFQRMLPRSYKFNELYFLSQDDKLVDVFEFGNEEKYGYTGIRV YRFELINVLLDLIREAGIKVEYGRKFDQIVNETDESVTWRLTDGSEETADLLIGADGI HSRVRSYLYPDLTPKFTNMIGVTAAVPTSQLKLEEGDYKLPATFMHDKRGAFVIAPQL ADGSEVLIGKQKVFVGEDPGRDAWKAMNSDKTWCVDFLKEGKEDYPPIVSNATSHISP DKVNLWPFYLLPKLDKWASSDKHGRVAILGDAAHAIPPTAGQGVNQAFEDVYTFAGVL GQLKPNNGKGLSDTLDRWQKGRQDRVDKIIELNNEINKRRMPKVDGIEVETKPFDVDW LYSVDLDEAVKQFVGSN FPSE_05434 MHFLIIGATGRNGSLAVQEALSRGHTVTALVRNTTTAKLPSHSN LILVQGVPTSESDITKALTTPQHPRVVITALAQVRVTESPFAALRPDTTPDFLALSMT ALIAAINNLNSPKPKIVVNSAQGAGSSWSSMNLPGKMIFSHGTMGMGLKDHNVVDRLV RESGLVFVLSRPVMLAEGEAKPVKVWPDDGKGCAWMPKITRESVGKWLVDAAESSEWD GKAPVLSN FPSE_05435 MSATKQIRNPVHVYIDDSNLYIGGQKLYDSWDYDISKLRNLITR KIGRLNRAALLNFYGAHLDPNLQLDDLYEKDEIHSIFYCPRNGQGVEKQADTQLTADM TRCVSRSHPIKAPCVFVVVSGDGDMVPAVREAADSGLRVHVWAWQHSLSPWFGDLQRQ AAYGELVTIHLLDEYLYDLLW FPSE_05436 MGIYWLYTIMVFTCAAWVVSLLYISGYGTLLLSWSIFEMGDNLY DIGQGYASLDQLYQVYCKRQRDILESERLARRLASSTDVLPAYSSLNEGEIRLLVLEP GTQDSLVTCKLYTCSQIDGIPYQALSYAWGDPTKVQSIECNGENMQIASNLHQALLHL RHPRRARVLWIDALCINQDDSNERGQQVQLMRDIYAQATQVIVWLGEGTRDSLIAFNY LSKDPHSTRRGLLSNESWEPIGLDFIVEPHKTFDYKYDLTCLTKLLERPWFRRLWVLQ EVVHGENVIVMVGNETVSWGLLAKSVQKLYRSGFILGKSSEKAQAGAAAVIEMESIRR SRPNLLSVLLATNSGECSDARDRLYAVLNLASDYSPRRDIGTLGPDYSLQPDEIFTRF ARWCVERGDINFLSCTTRSKASKSGDNQLPSWVPDWTDIDNHHPFVRYLDRVPFQADI GLEELPHKPPSITEDNELVLFGTVVGVIEHVGPLPTFNKSNMDAEPNQLIDTVVANKI WLNLCLKISHLARVDSETHFWRTITATLTGVGYAVGEDFGRRFYKYLQLLHDMSLYAG PDSQISNSAMRYFTSQKYLSASIESSILMWAAKRRFAVTQEGMMALVPNGTKPGDVVT VVAGSKVPLVFREVPHRAGKLCFKWLSIVALDICAFLF FPSE_05437 MAAQKVAVVGGSGNLGREVIDRILARGSYEVVILSRGAEVADLP KGVAWRQVDYDDKPALVNAMKGIDTVLSFLAIFDTNVAFELHKKLINAAIEAGVRRFA PSEWATASNSGVAHYKYKDEVRKYLEEVNSNQQKIEYCLFQPGFFTDYFGHPHATTKH FGTFYMFADFQNRRAIISEDSDAPITLTTVGDMSRLVAQALEYNGVWPTVGGMQGTVT TVSGLIALGEKLRGPFKIEKISNEALETRNVTTSWYPVVEHHGVPEEIREQVSKGVLV EYVAGLKRGVWTVSDEWNKLLPDFEFTSAESYLKGIWL FPSE_05438 MTISSKPLVQADDEWSPLKSVIVGRAGVSCFPSGPAEMMKSTMP AEHLSSFKPKTLFPPDLIEKAEAELDQFATILKNEGIKVYRPLDINWQKISGYTGAMP RDGLISVGNTLIEACFAWSCRSQEIDIAFTPILEQLSLDPRANIVRRPDSSFADTLMN DNEAGNKWVINNSRPAFDAADFMRFGKTIIGQYSHVTNQAGVDYLAEHIPERYQIEMI EVNDPNAMHIDATILPLRDGLVVYNPNKVTEAGLRKHAVLKDWDLVPYPFAPKDRTDP PLYMTSPWLCLNALVLDGKKVVVEASDLVTMEWFESLGMECIPCPFQHVNSIGGSFHC ATVDLVRETY FPSE_05439 MSPDKPAQNGDVVVSQTANCDHIPVIDLSSLDSPDVEERQKLAA LINDACTKVGFFYIKNHGIPEDMIEAVHNAAERFFNLTEAQKMRYYIGNSRKFRGYTP MGAEEATGTEQDLGSEKKTVSEAFDIGYETAMDLEKNPSDALPTDTFELYGENQMPDE EMIPGFAKTYIDYCGIVLKTCRQMMRIFALALELPEEYFDSKMRHPGVTSRMLHYPAQ SVGEEQGGLGAHTDYECFTVLSQGRVSGLQVLNQQGEWIPAPPIPGTLVVNIGDCLSI WSNKKFKSTIHRVANLSGKERYSVPFFFGVDYDTTVSVLPHLVLGNAPACAVPFKAGA WVRNKLSQACNCDVRGNIEVYN FPSE_05440 MLPHEKTIRSAFPSLEVDQIFLDNAAGSQVLGDVVSSISDYLLT TNVQLDASYRTSQKATATFDAAHDACAKHINAHTDEIVLGPSTTQLLRNLASSLDLQS GDEIIVSAVDHEANIAPWVDLAERRGLRLKWWKPCQSQNPKLLVSDLRELLTPATKLV TCTHASNILGTITDIKSIAVAAHEVGALVCVDGVGYAGHRPINVKHLGVDFYCLSWYK VYGPHISMLYASRAGQHRIRPMGHFFNSHVSLTEKLGLAGASYELVQALPHIVEYLAP SWEKIISQEAALQTMLIEYLNSRPDITIFGEPDADVAVRLSTVSFTVRGWSCHALVEE IESRSKYGVRAGCNYAERLVREILGLGLDGIVRVGLLHYNTLDEIRGFIKILDEVLSD GPRAKL FPSE_05441 MEFYERTEPVLPRPKPMKVLFLSFPRTGTTSTCAALKILGFRSY HFAEVLSNDANDHVQLWMKALRAKYEGVGKPVSREDFDEILWNYDSVSDEPCCLFVEE LIAAYPEAKIVLTIRDRDQWLISMRKSILTILSWRSWTLLGYLDGFSLRYWTLLNYTT SVISKGTPAYKPEADPALLESFDEHIEKVRRLTPDDRLLEWHASHGWKPLCAFLDVEV PDVDFPHLNEPKSLVELRRDMYRSRVTVIGTKVAAAVALLLFGLRYTGHVSTNGFWL FPSE_05442 MSSETRDFPGLPPFPKDVSTAPLLCLSLQKLLASEESEIKRLIE ACEDLGFFYLDLQNCGPASGILDDVDKLFAISKEFYSLSLEEKTKYDFSAQNSYFGYK SQGAVVVDKNGTRDRNESYNVPKIDMLGMIDALPRPRPFDEGKDVFASFMKRSHSIVT LLLNIFNDSLGLSPGTLSALHRIDAMSGDAVRLIKAPPQPRDDRKAITLPEHTDYGSI TFVFNQLGGLQVLPPGKDAEYLYVEPLPGHMIVNIGDALAKFTNGLFRSNIHRIVAPP GVQGDFTRYSVVYFSRPNDDVILKRLEGSERIPPLEEGTVEEIINSKDWILRRGLGRR ATQAKVDLDKCAGTENLSRRIVA FPSE_05443 MKLVSLSSLLTILSLCAPSAQAEDRSPERVCYDSCASALKPVHF DDMLSNQTNFMTITCYSPKAILSLYLCLDVYCMVEAREAGLSPLNKTCAEQAHIVLPP FGIISNYTAEDKERIHRLERNETEPALTFGEVVIPSETWFGIWWDTMDSVAYTYTHHD LYGRAMIIFWVVVVAIGVLNHLMQQIANSKLIRSHSSKSGGRLWSLYTSTVNRVAVPA TFGDRCSQKVGWGTVPPRIQSLTLFAFLILNIVLSIHGYRIVPINMYFPSTAKQIFRY SSDRTGIISFANFPLIWLFGMRNNLLMWLTGWDFGTYNNFHRWVARIATLQAILHSIG YSILVYLEGGWEGYIFWWSYMFWWVGGVATVVMSLLVGASFYWIRRQQYELFLVVHII MSILLLVTMLAHVSIFQGEYDVFFWVPCFIWVADRVIRALRIAAFNPKLWDTWATSIY HPTSNIVRLVIPYSGSLYRPAPGTFYYIHVLNGPRCWESHPFTVAAVTDEGQRGAKVL GEQVPLLESAASEQTSDVDASQDMFSDSRTMTFLIRPYDGFTSRLRDAASAEWPKNVS QRVLVDGPYGHTQPLHTFDNVIFVVGGSGIVVPLSYLQLLTSGASSPRSVKIHWAVRE PAFALDVLKTDIADALGSANLSVEIHLTTHTPQDELQEWPSQVTLRRGRIDAAAVVTR ASDVVDGESLAVVACGPARMADDARRTVSDLLKRGVRGVEYFEESFQW FPSE_05444 MQFKTLFTASLLSGLTVAAPEPKTFGLTALRSGSAFHLSSVSAS ESGFSLLLPKGKQGAKCVDNKKEDFATFRISKDKKLVLYHKGKEQQIAYTDRSGMGQG VLQYTGQKNYPRNAETEGWKVDKSGNLVFGSNNAGFMACPGLKSTDPWSIWVATGNDH PGNSDKECYSFSARVTENKKPVSCVYSQYSN FPSE_05445 MQIGIFPASGALGTSTYTHLLSQVPNDKVTLINRYPEKVPKKYT EKGTTVRQASYESSAEDLEAVFSGVDVLFLISYPSHVHEYRTKVHTKAIDAAVKAGVR HVFYSSLGFASLGKDFTKAEVMGAHLDSEKRLKELAERNSGFTFTSVREGLYSESFPI YTSFLDLKNPPSKVLIPHDGSGPGVSWVKRDELGEGTARLIASYAESPSDFGYINKIV TLTGTKSFSLAETMEVLSRAAGKDFEIQQISVEEYINLPQIKEYFGTEEKATTWATAW EAIRAGETAGVTTTLKELLGREPESFEKTIGEFVEK FPSE_05446 MGVQDSHADERHGSVALQTAENVEQIEAPVTWKAYLLCAFASFG GIFFGYDSGYINGVNGSKFFIEQVEGKGATKLSESHQSLIVSILSCGTFFGALIAGDL ADRIGRKWTVIMGCAIYSIGVAIQMITGHGDPLACIVVGRLVAGLGVGFESAIVILYM SEICPKSVRGALVAGYQFCITIGLLLAACIVYGTENITTMQSYQIPIGIQFPWAVILA VGLFFLPDSPRYFVKRGQIEKAVDALARVRGQPKDSKYVQSEIAEIVANEEYERQIIP STSWFGSWANCFKGSLWDGKSNLRRTILGTSMQMMQQWTGVNFIFYYSTPFLQSTGAI DNVFLISLVFTLVNVCSTPLSFWTVERFGRRSILLIGALGMLICQFLVAIIGVTVGFN HTHSAPTADDPDRMIANNISAVNAQIAFIAIFIFWFASTWGPGAWIVIGEIFPIPIRS RGVGLSTASNWLWNTIIAVITPYMVGENRGNLKSSVFFIWGGLCTCAFVYTYFLVPET KGLSLEQVDKMMEETTPRTSAKWRPHQTFAQTMGAGDMKIVPKTEHDDHV FPSE_05447 MAEQMQAWQAASPGTFESVFKHHPSITKPTPESLKPGQILIQVS RAALNPADYKVIELGLASRAITSYPKTPGMDLSGRVVAVAADVTDTKAGDYVLARASP LKAFGSLAQFTVCDKEGYSVIPEDMDLDQAAGVGTAGLTAYQTIQPYVKAGDKVFING GSGGVGLWGIQIAKALGCHVTVSCSTGKAELCKSLGADDIIDYKTSDVMTELRKRGKV FSLCADNVGDSPPNLYGASNDYLLPGSSYVFVGGHVSAKSFLSLSAGIVRPAFLGGVK AKFVSYMTVNKTKDLNQLRDWMVEGKVKTVIDSTFGFMEADKAFESLKKGSSGGKVIV RVEE FPSE_05448 MAGGRKAKAAPPKGPTPTLVLDNGASTIKAGIVRDGKFDDPRII PNVIARDRARKVYVASEIEKCRDFGEIQFRRPMEKGFIVNWEAQKEIWDREIFENKAF AIDPAESRLILAEPPNGLPILQANCDQIVFEEYGFESYYRGIGPTFNAYHDIQNIFRT PRDDPTASNTPAEAVMVIDSGYSHTIITPLLRGQPLHSAVKRLDVGGKVLTNYLTRLI SLRHFDMRNDTYIVNEMKELSCYVSPDFKADLEKSWKGTRGDRRPDYLSGGGIAKDYI LPDFHTHSKGRLCEYEPSRHSKAKKMANQSEEDALTLRNERFTVPEILFNPSDVGIRQ PGLAELVQESLNELPIGLWPSLLANIVVVGGNSLFDGFIQRVQKEVVQRVPDDCIVRV ARPADPITQTWYGGANLASHPHIEKLAVTKKDYEEHGAAWVARKFVTGLGT FPSE_05449 MTVNDDNLPEVYDNSLPEAVRIPETTHEPYHTGEQKDYAAQNVT DTNVTASEETTKGISTRRKKYFIALAIFLIVIAAVVGGGVGGVLGSQNKSDAEKDDTT TSSSSTSIFSSTSTTTSSDSTTTETSAPSTTSTAPCTEGTVAAGETQNYLGLCKFSCA YGYCPPGPCTCTKHGEGSSAPPEIGVEGCPLPGESEGYRGLCSFACNHGYCPQEACTT NC FPSE_05450 MQHPNSVALLSAIYAFTLAAAGGVPRGVGPEFVSHYEGKEEFSC ITNAAIKLSLDRINDNTCDCPDGSDEPGTAACANIDPLSPEQPLAGSLSGTTNTTNAL PGFWCANKGHIGMYVPFLYVNDGVCDYELCCDGSEEYAGVGGTKCENKCAEIGKEYRR LEDEKKKAMQNAAKKRAAMVNEAKDLRQKVENKVEDLNKEIAALEVKKEDLAQKHREA EQQDKGKVVRGGDENGGGKLGVLTGLAKTRINELRDALDNVVSQRDVLKERVGELEEL LTKFKTEYNPNFNDEGVKAAIRSFEDYSARQAAAVDEVIPDEDILSVLKEDSESNGIN WSEFSEGEGSDTDILYNFEAYLPTPIRSFIHGTLDSIRVWAITNGMLADNSTPGKEST LVRAAREALDAANRDLTDKQTSLSVEQADLNTDYGPDDIFRALKGKCVSLEAGEYTYE QCWLDRTKQKSKKGHGQSTMGNFKRIDREMADEEDRIDGKSLGKGERIVLRYEDGQQC WNGPQRRTDVWLGCAETEELWRVSESEKCVYRMEVGTPAACDFSKWDVGTQPKKPRFR DEL FPSE_05451 MPLQEKASSPSHDSLTNTTHLLPTNMAPTEEEARKALETLITTA STLLEQLQSILTSIQRSPTTPSASSTEITDIDALALARDCSSLIRAHGTKISLLIINE PFTPSALTTVVRELVKGPIPGLATAAQACETKLYTSVVRKELAYRAQKVLTELLNLLN RVPKDGKVLSGVGRDSGSGSLALTGMLWSACDEVVALANMGVGGFFVKKAEEWRDTLK DVMEELKEWGEEEDDEDEDEVDDLADKMNDASLSNQEMLDEIMNSSSAIPRSDPDKIR PRLDSTLKRLRMVVLLYQALSKRRFKKLPKDTTKGDMPAKLDTTANVLAALPDKFGDL AEAFYELDGEEIDNLMEECFESAVGVSEVLKIGWEGESDEFSEWMEKFKVEVKKS FPSE_05452 MGNSNTKESRPEGDASRRYSQPLDPSIRDHLRERQDNRSNRRIS RAEINIFGLGPSSSRNREQQDAPFERRETKQEREARRLERERVARAKERERSMREEHV DGGYLVTLGTYTGTEDFSKPVVRQLQVERKLAPFWRGLSDWSSSWAEHQLVAAARGLP IPPADAPPDPELIPQPPPASENPSASNNNLQNLTVPMGPRTLSAASDRSGSNTGSALP SPSTAGPVKTAFKPRAKALAAALSGSSRNASSTDLTPKEISLPNDPFVNGQPLEVFLY KDADECPICFLTYPPYLNHTRCCDQPICSECFVQIKRADPHLPEHHPNGEARDPNEGL NADDPPEMLISEPSACPYCQQPEFGVTYEPPPFRRGLAFSGHPSHNTAMSSQTSLSST LQTPPTPGRRRAHSLSANAPNVITTDRVRPDWAAKLAAARNHQARRAAAATALHTAAF LMGGNEQRTILRAPRLGRRSTRNLETDSGASTSGQAQTQDGAQDEGPEPGARGSSRNG TGRRNRMEELEDMMFMEAVRLSLASEEERKRKEDKAIRKETKRREKEERKAAKKGGHP YSGSASGASASSLSLGGLGRRRGNSTASNLRVEATMSRASQASSNPESPAEPKANDSG KGKGVDRSTSDMQAGPASSSSSLPLPSGPSRGGSHLRQMSNASSAGSSVNDSPVGTYT GPDYLGTGTDGGPRSSGLSLAYSDDGERDGGSAGSEPMFNFSSLAQMVGADLDGGSMR RDEEGESPDSTGYTRPLSQVQEDDKEDAEAEHVEGAPAQIQVVPSSGLATPAVMITPE TPAPVDDAEHEDKQLGHSQLPIRTHQPATEQKHV FPSE_05453 MDEPAPLRWSSALPVVNPSTIATKNLRGDKILLPQSALEQLLAA ARSLPSTTTRSDPWSAHSDVDSAQQLPNPLIFRLVNPKNKNAVFAGIREFSATEGTMG LSPWLTEALGIQENEYASLKEVIDLEQDPAQLDGIQIKVEARQLPKGTYVRFRPLEAG YNPDDWKALLERQLRENFTTLSKGSMIAVKGAHGEEFKLLVDKVAPEGDGICVVDTDL EVDIEALDEEQARETLRRIMSEQRGPTGSSTGGEIDVWKAVDGQVLEGGYVHYTLPSW NKSQALAIELNTDEEDYALDLFVTPNSPRQRGQPREGVHVFGDFSPTINGVKRIVISP TNVELEGAEQIAISVHAYRHPDAMDGPEKTLQYTLRAKVDLPVEQGAPNGASNGADDK ERSPDEEQCSNCLQFIPKRTMMLHENFCRRNNIVCQGCKGVFKKGSPEWEAHWHCDKD DAFGNSTISKEKHDYVFHTERQCPNCEFSTNSLPDLARHCTTVCPGKIILCRFCHLEV PQEGDPFNPSPEVLMSGLTAHELADGTRTTECHLCDKIVRLKDMETHLKHHELDKVSR LKPPVCRNANCGRTMFGVGSRGQVRQAPSEDQASNDIGLCSICFGPLYVSMHDPEGKA LKRRIERRYLGQLMTGCGKTHCANEWCKTGRVNAGLDAKPSSAREVLPMVKPLLADVT DMSKALYFCVDEGSQRGRKLAEMMAGEGVWDVEWCIAAAEAEKGSLDRMRDWLQAWAP TR FPSE_05454 MSQVIVDHHTRAEIIQIQQKGPTLFDTLYNSLILRHTLPYLPVS GLLNLAATCRDIRYLLHETPGVFRHLDLTRVKTAHCQDAQKEERNLAVWHNVELEDYL TEDDFYAGPLRGIFSTLRQRDILIHVQSLILDGLSVTAELCHDIINDPNYSVRMLSLR GAQNLNHGKLRAALSYACRSSRPDNTPRLKALYVFGEHKDEEQDAWWSRKGRVLESSS DMDDWAQCMLACQGIISFDAVLCQGPRHANSPAFGKTFVRSPCSPAVATFAIGGCASC GEAPEGVMTAQTRYSSLPLLAPPPILASSVQAATTPRPGHEEGFVPRCAGCLSDRFCV TCNKWWCEACYQPFGGNCAPVAKRHVSRSCWECGMNCDECIDKTQKGVLARRHSVYFL MMFYDGFGSQGVQFNS FPSE_05455 MAQRKPLSEVIPPLILGTATFNVQYHPDPKHMPYTDIVGRALAH NILAFDTSPYYGPSEILLGDALRKLTPPPPREGYFIITKAGRIAGDEFDYSPAWINYS VCRSLERLGTSYLDLVYTHDVEFVSPEEVLVAVTELRRLRDLGLVRYVGISGYPVETL ASLAEMILRETGEPLDAVMSYSNFCIQNNKLGNQALLDRFKAAGVDCLPNASMLGMGL LTTRGIDNSPMRAWHPSPPELRDLCAQLSAIAQDEGEHLEEVAIRWALENWARVGSQF GTHLNPSDTGRLGVSVMGVSSVDELEETWALWTSVVGLVGDEEAEQRKAKIEKIVNER MWPTLGSWRNHTWVSGGPEFVNTRAVEDMGVVPRDETARRWGLVPVALDTPKI FPSE_05456 MAQAQQIALERLEQVSRGLRSKVSDDVRKRSAVQLRELVVICHR DLSPELFQSFYNAVNNKITQLITHGSDSSERLGGIYALDALIDFEGVDVAVKYTRFTQ NLKTILRGKDINPMQPAAIALGKLCRPGGSMISEVVDSEVNTALEWLQNDRVEERRYS AVLVLRELARSAPTLMYQYIPTIFDWIWIGLRDSRQLIRATSAETVSACFRILRERDQ EMKQRWMSNIYNEAKQGLKVNTVESIHGSLLVLKELLEQGAMYMQEHYQQACDIVFKH KDHRDPTIRKTVVLLIPDLASYSPADFAHTWLHKFMVYLSGMLKKDKERNDAFLAIGN IANSVKSAIAPYLDGVLIYVREGLSVQSRKRGSVDPVFDCISRLAVAVGQTLSKYMEA LLDPIFACDLTPKLTQALVDMAFYIPPVKPTIQERLLDMLSVVLCGEPFKPLGAPHPN TLTSVPIIPKDAKDPLAYEHRRAEVKLALNTLGSFDFSGHVLNEFVRDVAIKYVEDED PEIREAAALTCCQLYVRDPIVNQTSYHALQVVGDVIEKLLTVGVSDPEPNIRRTVLAA LDERFDRHLAKAENIRILFFALNDEVFSIREVAISIIGRLARYNPAYVIPSLRKTLIQ LLTELEFSDVARNKEESAKLLSLLVQNAQSLIKPYVEPMISVLLPKAKDPNPSVAATI LKAIGELATVGGEDMMPYKDRLMPLILDALQDQSSNAKREAALHALGQLASNSGYVIL PYIEYPQLLEILQSIIRTEGQRVPLRQETIKLMGILGALDPYKHQAEERTPDSRNGEA TQLTDISLMMTGLTPSNKEYFPTVVINALLQILKDSSLVQHHAAVIEAIMNIFRTLGL ECVSFLDRIIPAFLQVIRSATSTRLESYFNQLATLVSIVRQHIRNYLPSIVEILQEYW HTSPSLQTTILSLVEAISRSLEGEFKIYLAGLLPLMLGVLDKDNSAKRTPSERVMHAF LVFGASAEEYMHLIIPVIVRTFEKQGQPTFIRKQAIDTIGKISRQVNLNDYAAKIIHP LTRVLDMGEPVLRTAALDTLCALIQQLGKDYLHFMGTVNKTINQHQIQHSNYELLVSK LQKGEVLPQDLSSGAGFGDGADEATFADQGTKKLEMNAIHLKAAWDTKGKSTKEDWQE WLRRFSTTLLTESPNHALRACASLASVYLPLARELFNSAFVSCWSELYEQFQDELIQN IESAIKSENVPPDLLGLLLNLAEFMEHDDKALPIDIRVLGREAARCHAYAKALHYKEL EFLQDQSSGAVEALIVINNQLQQSDAAIGILRKAQLYKEGIQLRETWFEKLERWEEAL AFYNKREEEVPEDQAIPVDIVMGKMRCLHALGEWEALASLTGSTWANSTPEVQRMIAP LATAAAWGLNKWDSMDNYLSSLKRYSPDRSFFGAILALHRNQFREAIACVTQAREGLD TELSALVSESYNRAYQVVVRVQMLAELEELIVYKQCDEKKQAIMRRTWETRLKGCQRN VEVWQRMLRLRAIVIAPTENMHMWIKFANLCRKSGRMGLAEKSLKQLIGTDAPLESMI PYWNDQRQPGPGPRNAPAAQVIYAVLKYQWETGQQATKKTNIPEKTLYCLRKFTNDAA QRLDITRAHLNAQVGGEVNITGDYGFQNPMDPTIMSPQTQRALYDQTVLLAKCYLRQG EWLIALNKDDWQYTQVQDILTSYSQATKYNPRWYKAWHAWALANFEIVQTLTAQNEGT LSRADQSMVIEHVVPAVKGFFKSIALSEGSSLQDTLRLLTLWFTHGGSADVTSAVTEG FANVSVDTWLEVIPQLIARINQPNKRVQQSVHNLLADVGRAHPQALVYPLTVAMKSWQ NTRRSRSAAQIMDSMRQHSANLVAQADIVSHELIRVAVLWHELWHEGLEEASRLYFGD HNIEGMFATLEPLHELLERGPETLREISFAQAFGRDLKEAQDWCRQYETSQDVNDLNQ AWDLYYQVFRRISRQLPQVTTLELTYCSPKLLNAKNLDLAVPGTYKSGQPIVRIMSFD TTFSVINSKQRPRKLNVNGSDGKSYAFLLKGHEDIRQDERVMQLFGLCNTLLSHDSEC FKRHLNIQRYPAIPLSQNSGLLGWVPNSDTLHVLIREYRESRKILLNIEHRIMLQMAP DYDNLTLMQKVEVFGYALDNTTGQDLYRVLWLKSKSSEAWLERRTNYTRSLGVMSMVG YILGLGDRHPSNLMLDRVTGKIIHIDFGDCFEVAMKREKYPERVPFRLTRMLTYAMEV SNIEGSFRITCENVMRVLRDNKESVMAVLEAFIHDPLLTWRLTNAPSPAGPNFRNDRD TAMPVPGGVRARRQSILDSDVAPSELLNAPEPSIQTRARARTNSSAGVPETNGGAPEV ESQNARAVEVLDRVQQKLTGRDFKNNEELDVINQVNKLIMEATKLENLCQHYIGWCSF W FPSE_05457 MGNDGGSIPKRHELVKNAARAPTTSELKATTLEALAHAWSHCAL SGEPLDIDTLVSDWRGRLYNYEAILNGLMPSDEPVDITPASLGIKSLRDVTKLKVSKD GDKLVCPISMKELGTSVKSVYLVPCGHVFADVAIKEIQEKTCPECGTEFEQENIITLI AHTKEDVERLEKRVENLKSQGLTHTLKKDKSEKKKKRKGDDVKEEDKASSAEKAKKKE DARISGINNSFAASLTAKVMAEQDERNKRRKLVAESRREAARG FPSE_05458 MYSVPSQSPPASGRKGSKKVRTGCITCKIRKVKCDEAKPYCLRC IKTGRQCDGYRPSPNSSPEPMALSPSQGFDSPQEVHAFDHYRLRTAKVLSGAIDASFW GGVVLKMSTSEPAVRHAILAISSLHEAVETRSRTNREVDTRFAFREYGNAITSLRNWG QRNDPSLVPLLVCVLFICVEFLIDRDTAAQMHICQGRQILSTLNDGRSPSMEMIKHVL VPIYARLSLSSFLFGSRPAPIPTHLRSWTDMPIMFSSLEEARYALYLMLDDTLQFTFA ARRIIFDPNADPQEIVQFQHEQQRLLSQLNRWHAAFTVITSMTPQSPALENTLNVIRI YHQSTLIYISIALDTGEMRFDNYTSNFATIISLASTILSSTPANSKLEPFSFETEIIP PVYWTAIKCRHPLLRRAALKLLTREQMRNRRENLWHAREASVIAARSIEMEECDFDTT PIDPDLMMGSPRTIPSPSALSDISAEAEVIYEILVNKDIKIKIETSKPPSLPAPPVSF SDPTPEEFLSGSPLSLASSGSSPAPMTPASVTDGVPQLDVAALKTSGLEPPFNIPDSR RIKNAVFGPAESEGILVTFFRDPDPGETQWKVTREFLKY FPSE_05459 MPSLSKIAAVAAGAFTLIDAKACPPLGAVFPPPQAPGDSPLVQK AAAALKTGLDAKISSQFNHSGLAISVKSIHEKESLFDYYFTPPNPGEGSDKVNEDTVF RIASGSKLITALAARVNDKIDLQASVLKYLPELNKTAGDDDIMSLKWEDITVGSLASH LSGVGVDMAQDLAIVGSKPWAPFGLPEIAKGKGPDCSGLPGTIPCTRENLLEQVNLRP PVYAPFTNPVYSNVGHALLGLVLEAVEDMPFEDIVKRDVLDVVGMKSTYVDKTPPTKD LFIPEKEPTWNSTLAVFDSAGGMFSSVSDMLLLAEGILNNKFLSAAETRKWMKPEANT ASWGYQVGGPWEILRSDNITSDGRLIDIYTKSGDLGLYHSQTVLIPDYDIVISIMSGG LEASANPYVTATILSAIVQNLLPAIEKVGRDEAKDSFAGTYEDKETNSTITFTQDSGP GFKIKSWQMRGFDVLNNIGNYNFNALESGASTKTPYVDARMYPSNLAKKGETAWRAVF DKADSETDAKFEKDLFFKDGTCQTWFQQDRMVYNYLPLDLFVFVEGEDGVSEAVKSPA FNITLTKVRQPAEKKNTGEGSTNAAGERERFGVAGLAALMMVAMMNLF FPSE_05460 MSDQNDKAPVPDMAEDDAYFPSPFSLTQYVTSKTDFDGADYPNK YTGGKWKVLMIGTQERYLKMADGKFFSTGNHPVEMLLPMYHLDAAGFDIDVATLSGDP VKFEMWAFPKEDEAVTSIHEKYKQKLRSPLNLSEVWGKGFTQDTPYIAVFIPGGHGVL NGIPFSKTVGDVLRWADANNRFFITLCHGPASILAADIGKPEGSNFIYEGYSVDVFPD SLDEGTNIDIGYIPGKMEWLVGERLKKLGVTPINKTISGECHRDRLLLTGDSPLASNN LGKLAAKTLLEEVNK FPSE_05461 MSDHSSNITQIGEAGLHHLPFRTKEGRHSDAPQLSKFPILNRRH GKKLPESLHFNPGTFRLRSPTESSLSSISSANIPDSATTHSLSTLASPTSTTYAGSIN EQFAATTLDGGSRPASRICHRHNPSSGTCSTFVNEDEDAIITGYPEFDIKIRGIDEFQ RKPAVQEIQPASPSTESFIKEEKVLTPTESSHTEDIPDCDDNEEESEDVSSEDANLVL NYALQVIYGVDLNDTSIAQDKVQSLVSSFAQNINQHIWQSASDGQFSHTMSRSSSSST PSQDASNNDRRGKRKKQGKREDDGDEFSDGEGSGYLPIKRSRPNPKDDENLRLSCPFR KRNPHRFNVRDYHSCAMTYFPKFAELRQHIVKQHKRDDPSAYVCDRCSRDFPTRKELR DHQRLPKEHMCDIADADAESGIDPQTATKLLSRKRASGTSPEVQWREIWNIVFPDDDD GDVRPYEYTPVIEHFEVAAKYQKAFEQLKLSLVDKISNPATLETLSTKFYQCFVETLD HCIANAQSMPYTNRSNKKNEITRSQAPQSIVQRKSRDIMPRPDSGVILDDGSDESGSL GHRDSMRTIRSGAAGRGSQVPETVLEIITPSAGFDDMMQPSMLAMTGGTDVQAWNNGV VYPQMMADQMLPANGLTTQADYMNWGQMYPGFDTLGNGFTGFNGQQ FPSE_05462 MASFSEYSSLPEVVVSAEGMPKLEFRPRVPPKPAEYYQRSDPTT KVIQDDIRRPQLPRQRSFAPMPCCVCNGPYKAWKCVQCDDFFCNDCWPKERPHRPGKV GIDGREHEKVDEDIVYRLQQIFGQPTAEEQHRRHTNDINTTWFGVTKEYDQPYLHYSN RLVDILRESQVGAFAERFPQLVSFVGQTGAGKSTVIKMLIDRLQAGLESSRNIPAPVP GLVGDNVPTTGDVHLYEDPGTYHAQSPFLYADCEGMTGGENAPRGLACREKLESAKRS GKTVKNLLRKKITWADNPKMQSREYAVTSMFPRILYTFSDVVVFVLREVRTFQTEVLT QLVNWAAMSIDKSINQPTLPHVIIVANDTDTSIDDQQWDPDIATEGLLNDYENSVHQV PALKDILDSLAHVGKRVTTTRGLLEEYYSSVTVVRIPAKGRYMQIDEQIGKLYGIITD RCMRSRVRKKQVRMLLNAEILPQYVNSAYDHFSRSLDEPFDFNKEALRHAPLPRNFGG HILNLILTVYNQIGHRRDRLPDFFARLSRPLAACIMLAATRDNIQGSYSGLLRSTYGK SLDEALHQFCDHWLPCSYTRDEETCHNVRNSHTKGHQSRTGRIFARGSYESTFIAEAF FPEWMGQIDKQIKNLNERMYRFDQDQNAIPRALNVVMADFYQSVRVDGSVSRFKSNLT CLCCVRKVPENVLPCGHILCKACIQAHGHNVGQGLFHMHCCPLHHKRTLWPEPARVRF KPDEAGVRILCLDGGGVRAIDELVILHEIQKRLGNHVPIQNFFDLIVGSGTGGIIALG LGVKRWNVGDCKDHFRSLCKQAFTPRLVKQLSVVSMRSQYKTKPLEKGLKSAFGQHAY LYGGSKPDHSTSIRVAVTATLASENRPAVLSNYNTESENDSMPYRFVRPQDPAWELKT WEAARATAAAPPYFKPFLHSATGVQYTDGAVHHVCPVFVADNERKRLWGDANQPTPDL VLSLGTGHETSRLTHKAKRAVAQRPPLPSQQTGPPQQRPYASFSSMWRTTNPVMDDQH CSEDIWNSYVEKTSAPSQLQMASHEHRYMRINVGCADKRPNFDDIEEIETIERNSINM MCEDYTVRMAAHKLVASCFYFERTGVDAQNRETELYKCSGNIICRFDEGSRDIKGLGL ILKDHIRGSAFIPYFILEEDYGTPVMKQHAVTVPVDTIEKMCYTGIFRLPSHLIIDGS HETSLTRLSLCLQQNGYGYSQESSPFESSVNPSMSISGFPRELFVQYDPLSPRAAVED EDDGEGPWELPGSDGPSPASDVPKPSRRGLFRNRSIHHSISKLSLGARPSSSEEKVAG SGESREASSMESSRLSLISKKRSNSEEDGSFLDVVDGKSEGVSGSWGKPFRGYY FPSE_05463 MSRTLASYLVAAACVYACLPDKDELAHLRSKRNARTSHSRHVKR DVVPFPPVLTETESMLANSFDNNSISDWSLYYSSGYRLAGHNRSQAEWTQQKWIENGW ESWIDEYWIWYTEPIESTLTLNRADGSAHEVQRLEDALDVDAQTNNPNEKPAYHALSG SGKVNAEYVYVGRGSREDYQKLKDLGVELEGKIALAQYGGANRGVKIKNAEAHGMIGT ILYTDPLEDGEITEANGYLPYPDGPARHPSSIQRGSTRWGSLSFGDPSTIGYASTKDA PRRDITPYGPKIPSIPISPRDGLQLLHALDGHGLSAEQVNRTNYKGAFSNVTYHSGPA PGATLNLVNIMDARLEPAWDVIASINGTNPDEYVIIGNHRDGWTGGGAADAVSGGSLL IEMAKAFGKLVEKGWKPRRTIILASWDAEEFGLMGSTEWVEDHLPELIEKTVAYINLD TAVSGPRAEIVGSGEIQTIAIETMKKVIFPEGYGAGPTLYDAWFNATEGVLPAMGSGS DYAAFYHNGISSIDIAGGPGPKDPVYAYHSLYDTHHWMTNYADPGFHLHAAMGQFVTL LTYHIADDPLIPWDMPHAGSALRDIFEDLEEKLEDRFAEYTVDLSPLDDAVSAFESAC KHIDTLAKQAVALNDNVLLGVVNTKFREFSRGFASAGLLPGRFSFYNVVSAPGLDSGY GADVFPAIQDSLDQGNLIQAEEWVERSAKAVLRAAEILKVVA FPSE_05464 MRFAPLAIAFLSAFAMANPSTEGELERRQCSCKKVGDEWLCSGP KCYDKRDETALEKRQCTCKKVGDEWICSGKKCNDKRDETAIIERDEDALQKRQCSCKK VGNEWFCSGPKCPSKRDESELEKRQCSCKKYAGEWICSGRKCGRSLMEDEHLEKRQAW CKCTKHGDEWVCTGTKC FPSE_05465 MSVSNLISTTPNHHVRLDEPISNKSVKDAENFAEIQKAFRAGKE HVVGWSPQEDTRIEQAMQDLGSYLTDLDHSLSYEGTDKKVVWRKSCWFASHGIGHPFL DSESDIAKFDGDLKDGLTGLQALHDPRIGDSMHCLKSVLDSALVETSMAVGIDPELVQ RCTVRYRAIKYTPHAGAPGGIGLHPDGNLLSALITNGPGLRVYDLDGTVRFPGHKGTI MMGGSTLYRWANEFLPTFHDVDISGDTVKVSIVAFFNFPDMETIPRNMSTQDDGFFHD IRRIKEDDKLPHGELSPLWDIIIKNHNLSLPPVRASG FPSE_05466 MGINISNIVESLDADGSSKVQVGNNTTYIYNSERDQDEKKKLLQ ALRSTDPRHDKTNIEQTNNDLLKEAYIWILENPEFLAWRQGTGECRLLWIRGDPGKGK TMLLCGIIDELRPSTRLGNEDSPISLSFFFCQATNSELNSSTAVLRGLIYLLVDQQPH LLCHLQANIHWDSRVAIETLFRTIVADTALGETYMIVDALDECLEDLEFLLRIICDTV SRIKWIVSSRNRREVAEGLAKSSSKLAISLELNQASVSQAVRHFINHRTDILTKKKEL TKNEEQDIKMHLTENAHGTFLWVGLVCQRLQRCPAWEIIDQLSQIPQDLKELYARMMH QIRNSGSCEIYIKLLAVISTVFRPLNLSELKAMARLNLDDKRLLDFIGECGSFLTTRG TTVVFVHQSAKDFLEKESSQLLFQSGLAQQHYALFQRSIDVLAVLRKDMYGLVYPGVS LDEAIHNRPEPDPIDGLVYALVFWVDHLGQAYQLSDKDEAVLQMSSAETVYRFFCTKF LFWLEALSLSRNAPVAGKALFSLKSLPAYVLSQPGLSELIEDELRFFLLFGPVIETHP LQIYTSGLLFSPQKSLIRNLFKQCTPNFVKKCPKADENWSPVLGVFETSRESKIRTMV FSAMGDMLVMTTSDSQLLTWNVNDGSMHKELRYNHAKLLTPSPDLQLLAFITTQNVLE VCELNSGNTLWTVKFNNTEVQAMEIASNSLWLAVCYEDNLDLCNESYYGDEKATREIY RASFVTNTNFVMVSPWNKGVFLWDFPTTKCKEWFPVEYDVACLGYSHGEVWMVISSFN EMFLVDREQGIVLHRFDFENTSDNIERIQVSYGNDKIAVHSEWNAWLFDVQTILADRP KKRESCILDDGVTIASHNDTTIQIENPITEVITTLDIRNTVNGEVRCMALSPDGQLFA YSDWSPEEGLSSINIWDLKRDSLRSRIQVHDYIPHIVMSHNLSQMDFPDDHNTSRPLT LSPNGKWLVSHIYGSGTSRYHQLRLSDAEAGVHYAVIDIDASNFSFIDGSALWTNKGI LYFDRILDKLANKSETVESQIPTIDGQVAHELPVIIPSVEKYGYTTDFDWITFHERPL IWLPQRFRIQDFNFPPYPVAIGHHHVISEHAGVIISNEDFLLNPSTTMPCSAHWLLET YHVYCSTIMFGQTARVPELKRKSSYWQVPGLH FPSE_05467 MAEVFGAVAGAISIAALFNNCIDCFDYIQIARHFGDDFSKYQLR LDVAKCRLSRWGAAVNVNDDPRFCNNASKDQTTTLAETLLGEIVARFESAQKSSLLYK TVSRDPELEICSEADLGAVPQRLHTHFRTLTMQRQNRVGLTKKAYWAIYDKNKMGRLI DDIFDFINDLEKVFPATPQATSRLVEMDIEEVNDQQGLKMIQGAAKDLDPILADTTES KLQEITGQNTAGYISGKGRTNIGHTFVNDSFVQSKGFCDNTFNHVDEINLDETARVNI GNTYGGKGFWD FPSE_05468 MITGIECAGLALAILPLFIEVAKAYSDGVETIFNIVIKSRWEER LESFYLDFYIQLFYVEEVMQRVRDVISASTNTIQLISVWYNDPKFEVALKGYFRSDER FRIFTVICKKVLFLLGQLVKDETNRIYQKDQKSQVMFEKLKTFANARDSRITKSSFAS RFSFFKHEKKRTECLTRLKEYVEHLRDVTGQDHESSRNNNAGQYVQQKENQDYNTPSI SSQNNLKTLDTLCDAFSPDLDGFSIAFILEASQGHDLMRRLQCKPRRLRFFELSPPIS LGELLEGPTKLDPIEKRRLALIFAESLLLYHGSDWLQPGWVKDDIYFFFKTEDEPDLK TPFLSARLNVPGSGQFLAGSMAYHANPSILALGVLLIEIFNERAIEKWKTAKERSNSN TATLQIVADRIVNKMDSSGSTKAIQACLSLDWIPAGRSAGLDGADTRTGFLKNVIWPI KQELQCLSANKIF FPSE_05469 MSHQIVVTSTISGAILLCANAFSDVLLRAVSGQKVPDLAMLKEH HARFNIWAEDLSVSPESNASPEDHPHHAPDAKNVIGKLLQQLKTNLEAILSHNHDSQI PEKPEETNDEDEYSSDSSLGIHEDDDMEGELELATESHFATNKHTQCIGEIISHLYRF TSIVKEQHVDAEDQRINQWVLGEGQKLEHQLEGLELYISYSLDSDFPTLQPFLRDRLI KTVIERRKRLLYR FPSE_11222 MSENSEKLSACPFCNLSASVFEPKSHITAFAHWSTAENSKVTHR KAHDHISEHLRLIAHESLPPALPTPQPRSLRSFKIAIISGSSLTGRAVHSLFNEDSNM DHVFQKRIDDTKSYSLAAIGRHNVVLVRIIVIGKLELGTLVSDIRKSFPCMELFMIAD SYHRIQSVRDAEISFGDVIVGDRVEGNSLMRDQRPCLSDLNRNPGARVRSLLAKMRTP QVRKMVQHRMSTNLEVLSKYQSLAATYRSTEHSKGVIQIPHRREDHTNVFNTYKPERQ SNGAPEPHFHFDSVDNPLPVRVVESDDIDFDKDQRGDSFESLPCLLIESTCNYIANRQ KTDERNAYAAATAAAFVSAILDLWNYMPPTEIPAIYAPVHHIPIPKNEDFVERKPSMK ALQDKLFRDNTEQVTIYGSDGSGKTQLALWLAYWVKDNLTNYSVFWASAMSKDAFEQD CHKIMESLGYRCTSGDDPRVVLQSYLNSYRSGRWILILDEASDEDLTYGHYAPFLMSE FLPNSRNGQILVTTESTKVARVGDAIVTLSYMTLDESFNLLSRSLVTKSHDQDLVREL SMFIPHQPLDISLAAAYININEAPISSYLKLLEDSFLGMFDTQLQLCSPFDVSFEYIV KNHVVGARVLLFLSFFKRNTIHTSILPGVDDAVEFKVAMQSLYKYGFLAKKHDGGILD IHSHIHRATQLWTQKRQVAVSSRGAVAHYFAELLATDILGTLLSREQFLMDILQLLAG AEHFHRGICDLGYQVGLYLHQKGRVEEAIELFERLLGSQKDALSEDEAYYLTLEFELA GLYRSNAQTQKAIDLLEHVATTRDRTLEESNPDRLESQTELAGVYQENGQHEQATKIL EHLAAVRKRIDSEDSPDLLELQHQLANAYLSTDRLDEGNKLLEHVNAVKGRSSWDVHS EQEI FPSE_11221 MIDELFDEDGQPVSRQTLSALMQSPSWHRQNGLLEPTKFVFILG INGFVGHHLLGRILKSTNWKVFGIDIDRHPISTPLSFVKSPLRVFEVDFEANLKIVRL AAKHKKRLIFPSTSEVYGMCHDDEFDTEESQLICGPIHKSRWIYSCSKQLLDRVIFGY GAEGLDFTIFRPFNWIGSGLDSVDNNSLAGSRVTTRFLGNIIRGEDMILVDGGSQRRV FTYIDDGIDALMKIIANDSNIASGKIYNIGNPANDYSIRDLATLMLDTAKTMEEFKES VAKVKLTDGNSATFYGEGYQDVQHRVPKITNACEDLGWSPSVTMGDAIRKLFFDLTKP F FPSE_10854 MVSSSFIAGIFACLAFAGINAGPCRPISSSVEFSSSTELSPAAT TTATATETGASVTMTGDTETTATTLSTDTTTTDTETPTTAPQPNNKLDEILVPTFDEC LAACDRNPACVATTYFGDTRKCTLFSSTNTNPNENLGLTFGQCIAKCDDTPACLGVDY LETAQKCTLLFTITTPPEPETNPNELVGVTYTQCIAACDATTSCVAVNYLAEMQKCTL LFSIL FPSE_10855 MNRHQADNENSQELILAIIATCVSVAVFVVSLRFYVRLVIIRKF GPDDWALAAALVATIFGGAIMGVSVRRADPYNAAATTKDPAFTVGTEGGVDGGFLSGL FIVWAMEETVFKSE FPSE_10856 MMPRINEAHMGKPYRYAYGMHAVKPGYLSDSIIKIDTETKKTKM WVPKKNHLPSEPIFVARPGGKSEDDGVLLTVAMDTSVKLSSMVVIDAKTMTMKEIDRA RMPVVMGYRFPGIFI FPSE_10857 MGENIPVHKKFNTELTDYSVEHHDHQGVYADNLEVDALIVGAGF AGIFMLKTLRDKGYKTVVYEAGNDTGGTWRWNCYPGAGVDSEVPEYEFPWPEVYNTWT WPCNYPTYADLRAYFDHVDKVLDIKKDIAFNTVFVKGNFDTESGRWHIRTADGRVAKA KYLVLGTGFAARRYIPDWPGMDKFKGVIHHSSFWPDEKVDVTNKRCAIIGTGASGVQI TQAWGPEAGHLKVFQRTPNLAVPMRRKYPSAEEQNAAKKFYPELFRYREECFAGFHYD WYEKNTFDDTPEEREKLYEQVWADGGFRYWVALYKDNLFNAEANKESYRFWAKKTRNR IGDPRKRDLLAPLDMPHYFGVKRPCLEYDYYEQFNRENVDLVDIRNNAIKEFTETGIT LEDGTHHEFDVIAVATGFDVVTGVMTQLGLESIYDTKLEEEWKTGATTYLGVSTPGYP NMFHIYGPHGPTLLSNGPTSVSVQGRWIVDAIDKMALNNIKYINPTEKAGKEWKQHIL DLNNKSLFPTTRSTYMGGSIPGKIYEPVCYSGGIPKYKKEIRSALDTMEGFEVVKNKP KS FPSE_10858 MVSFSVLITCLSGATVATASAVPNHGSPYAPKHAKCPSTPLVRG ASGISAAESDYITQRHRKASTALKKWLKSVDKDFESATKEWGSEKYGDAPVVALTSSG GGYRAMLSGAGVVKAFDGREKVKTAVSGLYQAVTYEAGLSGGSWLLSSIASNNYPTVS SLQKKLWEEALQSSLLVPSILNSPMKDPVYDTVEADIKAKQAAGFEPTIIDPWGRLLS YGLLRGPDGGVRETMSSIAQTSSFKDHVAPYPIITALGVEPGSCIPETNATQYEFHPY EFGSWDAGVEAFAVSKYIGTSFSNGRPKKDCITNYDQLSYVLGTSSNVFAAACSPISS NNSAEATLVENFAALVSPSGPGVSVRQGFGLFPNPFQGRKKSPEVSALSTLELVDGGV GIGYQGNPIWPFLYRKDVDVIIVNENSADTEDHYPDGTQIFNTYKAAKAAGLTRMPTI PSNKTFVAKHLNQKPTFFGCNDKNAATIIFIPNYNYTYESGQSTNKIQYFRNETVGMI DNGVEVGNYGGKKNWPMCLACGIMKKKDGKLPKGCSACFKEYCFN FPSE_10859 MASVTSIIFVALFAGVLYAARMSLVPILKSLYIRLWKGVNHFID WHKLPTWFAVFNLLALRYELREENLHDTSPNADFQGTDKCPMSDSKFVSSRDSDGLYN DLKQPKMGCAGMRFGRNVPRKYTKPPTEQELLTPNPRVISERILARPEGQFKPAEIVN LLAAAWIQFQVHDWAQHFLVTNGDKDIDIPLHNKDKWTEQAMKIPRTKKADILSKQDA ETPAYDNENTHWWDASQIYGSSEAETQALRAKCHKSKPGQLEVSVADGEQFLPRSSDG IPKTGFRQNWWLGLELLHTLFALEHNAIATQLHLSNPSWSSDHIFDTARLINCALMAK IHTVEWTPGILQHPALQIGMNANWWGLLGDKLWHAFGRVFDNKSEVISGIPGSGVDHD KAPYCLTEEFVSVYRLHSLIPDNIAFFNIKDGQHEGTLPILDVSFESARKPFDEGKSG LGLSFADVFYSFGVNYPGAIRAHNMPNFLRDLKIPADKDFPQGRHLDLGTIDILRDRE RGVPRYNAFRRLFHMPPAKSFIDLTGGDAKLASELEEVYEGNLEAVDLLVGTLCEPLP KGFGFSDTAFRVFILMATRRIKSDRFIAGDGWCPEVYTREGMDWVQKNTMKDVLCRHF PELAAPLHNVKNAFAPWTKLGQTAAYAGPETNKAKA FPSE_10860 MPILFHCSNAHLPSRYIFNVLLYQPDLAQYTSILDLHSSMTFVP DSSALLKHMAAKDIDPSVFESRICWKSVGASLLMSICSNITSLALNDDSILALLEYSP SLWGTFECPQLKYLECQLNTTDERIFDVLLSLLHASPTLDVLILSTTKTDEDWAQLLR HAMYKLLPPLNNVAEIQALWCLVMTSYETSGRETRDIIIPVKNIRTFKYSVDASSEVS SRLEPELVGPRLPNNTFRALTASAVTLKCLTIDNHEIKRDFYSRSMFVSPQQIRQFYQ LDTLEIRQSCYCRHERGKKKLEEEDWNRNTYLADFLPTTVEHLIILWKPDRTTYRCLD CVLYLGQRAVAGDFPMLKSVVVQCRIPSAMMHGLPGQDNGEYAGISEEDDAVAELEGP ARRLREAFEGSDVATAFRIWSPVDKTYVNIDGEGGVEKFDL FPSE_10861 MPRLIESIKDGIWDSSARCQRWLDTANGRGVLKCTVAYTIASLA TFVPFLANFLGKPEGKHVVATITVYFHPARSTGSMIEAILIAIVAVAYAEIISVLSMV TSVFFGSTMHLVTLSHVLVVVVFIGGGFGFMGWVKQRMLNPLVNVGTTLASLAIIGVV TKENHVMSNVFSNEKVLQVFKMLVMGITTTTLVNVFLWRTSARTSLRETMNRVSIPLG DMLYIITNSFVSGSEEGLVSDGFVAASTHYAKSYAQMMKDMREAKFEHYFLGHEAIYE HEKSVARSMETLSQALGGLRNATNTQLEQLRHPKLINSNTDGTSTTNTARELFEQFNE SIAMSMSSVRQNLCRILHEPQFGQPPHYEINVDEDLRRNLMDSLDTFDEARSDALQGL YDRIEQMASSDFTTRANLEEVAAACGHFTFSVQSFGEDVLQYLDVLDDLQYVVLHKSR GWRWLLWWKGNEQDRNKAIRLFESAEADVLMRNRQRSVSVLSGTSTSAAVPILVDVRT WYQAPDLNKILAWVWRNISTLFKKMARDDIQFGLKVGIGAALWAMLAFLEETRELYKE WRGEWGLLSFIIVCSFTVGASNTVSLARFIGTLFGALLSIINWKISHGYALVLIPLGW LTSFINFYLIIQYGKASFGRISLLAYNVSTLYAYRVKRKADGNDTADDGVFDQPDILE IAKRRAIAVTAGIIWGLVICRVIWPISARRKFKESLSVLHLQMGLIWKRGPLTVLFRS EGSQSYLKSGEQAALQRYASNLDSLRVSAASEFELRGPFPMDVYGRVLKGTMRILDGF YNMSLVACRKGHLTEGEKALLEYTARERSILCDHICQAFQVVASSTMLEYPFADATPS IVSARENLLNKIFEFRKNHQRRLVDDDTSVSSDSSEVLVEERDYALLYAYALVTGQVA DELRMVGKEIGSLFGVLDEDTRLLQ FPSE_10862 MNKDTSSLQKAFEARMVKQYCPDPPTPGYLWDPILHVWHSPDSG QIKASHLFPSRQANFMNSIFGRDAREELFSPSNGLFLHPLIEKALHCGYMAIVPDVDF NERLPWWNWNNLSQSIEVCGWEKLEVKNYKVIVLSDRTKRIRSLFAHQGSQLLKTWWS RDGQKLVFQNDYRPQARYVWWTYLNTILHMVWRCEEGVTRNGCMYLMDLERAAQYWRT YGRYAKRSQILGLIEHIRYDHAHGLLNQGYEEDDGIEDARPEAAEALLLEVIARSEED GDDDFGADNGDDDDDYYDFNDYEYDCERGYDCRPFPRYSSGLVRN FPSE_10863 MSEHLTRWSPVTKVTKKVKIDDLEISFKRTIRVPDNYDTNYLPP DAGSFPLYKVDDYAETLPLRMAQKGGLFIPMYQREAMWINFKSKCFYAIKVFVGGINA VSGEPSVEDAGTSLRRRNLIHQGKSVQDYIVTPDQRWLDGVAVEPGKIRQFVAMPVGT GHSIEAQMTGAEKAGGVQFEITRLDSKPPEGDIITIDIVTGGGKVHRVTTSRQETVEQ LMRRIELVEKLTLDEMRLIYAGKHLEVFRTLADYQIEDNSRVQLLKRLRGGGGPGPTE LEMYIAAGGLIKQGIEGLRQNDWKKSVPVTFNVQVLNSAGFEQVTGKKPPRSPITAKS YADCGYPFYSIYEEPTTISGAFDGLRSVAQIDQTSEDSLPADMPVMDVDTRQVRPRVN IGAVGILNPQGSGQELEFEWEMKERLNRMRNLF FPSE_10864 MANYGLDPFSKLPSEICVNVLISINNTAMISQLIQASPVMLAQY CASKMYIIKKIIDYDEDMMQDAMAIVLFPRVSSPSQGLIYERPATAVRVHLRKWSKSQ LPDPLKKYDNRLAGKLISLHSRIMIYLEDYITKATAYFPPREYLCLPDIKEPWAEAYS TFRGAKVTRRFDSANLTTLERRRLLKAFLMYYLTSEANFLAQVPTGIPPRKVSKAQEQ TLTCVIEYFRSLYGAIFAHFTDTWLPTISSVTSSSEPGLLYPDDFYFDGEVYAKGIKF AYHDSPYGYHKFCLGFALLGPDRLTEFLRHDMSNANEIRALRDELKSLWNSDCRQPWN GRELTVGLFFNASDDYDYKDGCESLMYEMLSPTMEPHTLGGKIACQRAWVFFDDSRLY PQETTERPNFPPKDFLESRRNRTRWQRYGHEKQRKMRRLQRWHDGLIDEDWDARYLI FPSE_10865 MSHLKYSTYEGAGEYLTNLLGYSQVVRVGDRIEISGQGGWTLKE GELVFPETQLEQIDQAFHNVATALKAGGGKGWEQVYRVNSYHTEITPEVGQRMAENYK KWMPNHKVIWTELGVAQLGVPEMKVEIEVVAIDADAAAKA FPSE_10866 MRNKPNKPRPQRNSRPARNDNRDRPYQNSDSYRPGDRHDLPPRP PPPRDDFRNSGGDSYRPRVPQGDFTFRMDKPAGMPEFPSDYRGPPSDRRGPRRDGGRG RGRGRGGRRWQPPPHPSERALVSGATQNMPEERLGEDGIAKFRDVDQLSDDDELDMDI SSSSEAEGPSKKRARTTNDDDDDDDASGDAAPKWSNPDPYTALPCPDESTRKKKDMVK LIRKARLEDQTGKLAASTEAEDFISFDFTEDEDEEASDEEEEEQKDAPPPPPRGPPPT IEQPPPPPPNAPSGPRADIDKARAPNDDIMEARRLANDGLGSRKRTADDIIKPPDYGQ LKKATTKPSKGSLLPSWQPKATEDPCPWDTVDHTATTSMGFRLHKEVMDFYDYVRPRD FEQRIRDNLVENLRKAMRRDGRNFASASVHPFGSFMSGLYLPTADMDLVVCSASFMRG GPPTYLGAKSWLYKFQKFLVAQQVAEQHSIEVIAHARIPLVKFVDKQTGLKVDVSFEN LGGVNAIDTFLQWKEQYPAMPILVTVIKHFLLMRGLNEPVNGGIGGFSVICLVVSMLQ LMPQVQSRSLVPEHHLGEMLLEFFELYGYDFHHERNAISLTRPVGYVRKSTVHSLTYK NYDRLSIIDPNNPANDISGGSANTPAILNRFKDAFNLLRDRMSDIARNPNKGNILEVI LQGDYSSFRMQREYLRHVHEKLIGPC FPSE_10867 MAQPVIDEEDYPSWFYDDDFRVARFEGDPRSTRSVIRRLDTTLS LRHGHKPKKWGFAIIRTAYGPGSDQKFEHALEIINNIAQHWAESEVEGLKSRITLGKE TDFRYKDIPVEVDTRPNEEFVRRYENDVMEDQSLENASFATVREYFKTWIASKGGRSN VGNTRYVACIMLDAETLFQLATAPKGFPHGCDVYSSSYWVKMVDVEGSPDEAIRVRVR GSDDLIQYWFTRNMIRSHVLTHRKDREYPGALYFGDPPSLGFEGMEWSPLP FPSE_10868 MSSSGSDPFDKLPAELRVRILVSTNCILPILQLIQASPIMLEQF LAYKQYIVQRVLDFDKDMMQDAMAIILLPDLFEANDNTRSSAGAVLRLWLNYRLPNPF KNKSKHLASQLSELTSRMIFLAEDYITKATAPFPPREYHCLPSIHQPSINGHSLFKGV QITPRLDITSLSSLEMKRVVKAFLRYELSCKASDHFLKRYWETIERRINKAESEAIQC VHEYCCSLYGALFAQCSDTQLPTRPTGALLGTEDEIPDQFHFDPDAYAHKFDQLFGPG LNGGKFPEHANSFAALGLDRLVDFLRYDVSKADDREALMTRLQNVWIREQNYLYWVAD SIFTSNYENRPADSFSGMYKELSLHNRCELALDIGRQRAWIFFDDSRLYPQDSVERPN FPTDYFIKTSCVDADWFRDSLFAREKRRKRLGREEGRKRRLLNAGLT FPSE_10869 MALSQSDPFATLPAELRLHIIMLTNCTKTISRLIRASPSMLAQY LGHKRYIQRHIVDYDEDMKQDVMAIILIPFFYGTDEEEPDENTLTSARTVLQEWSAGQ LPDPFKVKTEHEASQLNQLHSRILLLAEDYITKATSSFPPRDYRCLPQIRRPLYNGHL MFKGVEVKSRFNFADLTSFEKTRVFKAFIRHELRCRIGSLFDDFKHLPKRPISEAESQ GIYCVKDYYSSVYGAIFAQCSDAQLPSDLEGISLETQLGFPDNHHFDPNTYAHKLGLF DDWWDQFPDFALWFTRFGLDRLTDFLSYDMAKEDERQSLKVEVQEVWFDGIVKPNLGW DIPFAPISKEAELNNNGGRDSKLYKQVFPFSTRLRWEIVRQRAWVFFDDTRLYPQETT ERPNFPSESFLKAESMEKTSSEDWHRCCYIMRRNRRAKLAYEEWARSQAVDDLV FPSE_10870 MVVADYLWGDTSKEEKKLIRKLDFFILAFCCFSFFFNHLDRQAF ANAYVAGLKEALDLHGNQYNVLLSMTSAGMLVGQIPSSIIIHKIRPRIWLSSMVVTWA GLTMASAACKTYSQLCAVRFLMGLAEASTYAGSIYIMGSWYKSDEIGKRTAMFTVGGQ VGKMFAGAMMAAIHESMEGHGGLAGWQWVFLIDGIITLPIAVFGFFYFPDVPEYTDAP YLNEKERQLALDRLPPKNEDGHSIQAWSLMKRVLGNPLVYIFCVFSILGTALQSYVVQ GLMLLYLKFRKDIDGFTQTEVNTLPIPTHAVGIVAEFSISFFMDRYNQRMSLGFILCI IQIACSIVLLIPNMSVPGNLTALYLSASSYGIAPLMYGWASNILARTADDAARSVTLA SMTAVDGLWWTFWGIVLFPADDAPYWKNGYIAMLCVSTAMAGWLFVVRWVDRYTAEKY PAGEHTSASSLVPTQVNYSTMSQPEKDNKTA FPSE_10871 MPSTKTIAVAVAALAASGVSANKCNPSACTTYDLKTESEIEAEE LNCNKRGAFKDTDSYSLPSWTMYDCAKSCYDYEQFTCEQFSFTPGEEAGIQGLCTLYP DNEIPGNTRGPPAYYDKKCFKCSPPPSRGGRGGAKIRGRIRRS FPSE_10872 MSFDIKDRKTHQFILAQLLVILSFSSYTATLLYLPNVKERLVPS RPSIAITTPSTLITQGNNTSSFPTVLTTNETQKHGNYAALLCGDVWLALSLMAGTDAF WVVATFNHTLMKNWHYFVSLRMMALASIISFCLYIPSNWGTKTTRLQDYSLALCLSGI AYFLVNYALSRAHRRPPSTLINAHFGTLQQYSDKMSRFSFGWSRALQVSAIICSFPVV LTTIMPSGWVTVIPLLTIPFESILLSSKKLGRSPEFILSGGVFIHAVCFTHWGLSQVA SSPRLVLSPSAFLALVVAGRVILDLHLQSSSLRYPEFLWSENVNSRIKQQSGSGFLS FPSE_10873 MSGRRVLLSSRGRLAQTLMHFWPRIGRDLCYLPYHRLVTFVLVL GAIARVSFIGFPPSTAISSLLLGITKALSWTYTAQSAYYTSWSLAAMLSSFSLLSTRN PFSLPSDSQALSHVLASLLSLAQMFGFTPKQVRPTWVLIVAAVLPLVPYSANIVAIRN AQLEAQIFSESNSHPVEVLFNRSKIEFQQMLARQSTTFEMASREYRSRYGLDPPPGFE GWFQLARSLESPLIDDFDTIHKSISPFRDFSGKELQKVIYQAQTLQGMDLWLCRFSSA TSKTECSHPRRKFDRHISQMFNNILVNATGLPDVEFLVNHIDEPRVLDHLHQDGGISV DNHSHMPVWDLVTKGCNSMNGGAKDESGVNTFGLPFVTNISSAQDLCKHPDYQGRHGL TSSPVSFRPITGPLPVLSTGTLSTMRDILFPSPAYTENEFIYNETYDIEWDLKRNNLY WAGSTTGGYATDSNWQLFHRQRFVEIAQNLRKNNSYLRVKDGVAKRVRSSFLNGRLFN VAFTRIFQCERQSCRDQDAYFGTKSWVDKDEALKSKLAFDIDGNGISGRFYKLLASRS VPLKQTLLREWHDDRLVPWLHYVPVSQSMEELPELVSFLTLTRSGQIIAEEIAMNGRE WLLLEMARLQDLGRIPDN FPSE_10874 MAGVIQFGDAIKFAEIAWTVWQYGWADVNNAGKNYHDFGADVLT LHKSLQHLENAVTRAQQSLHSHGVRDNDNLCCDQDSLMEVVGDYNATLQECNQLLQDN KRYAQTTGPIKNIEWNMSIMPEVERLRGRIQMHTSRIQHILKPFEIDLFTNIHQELNR RFISIHRDLRGIRETVDSLLKHQNPSLANEIEQRREKQICSVQISDSLLGRLERMLNR RQSTRLPMMADCFLIHLRRATQQPQHDPYSVPRHVSVPNYLPFAKCQLLMNRMKGLHE LRNPQWSSYWPGYIGGLEEKLSEEYSRIQSQIVAPDTSTVNDEMLAFWPEDEPQTSEA PTSPAVEYNCLFQGTLATLSPYIRWRDVKLLRHPRSINRFKIIETFEWKKQQRSTDSR VLEFDLRSAVLIPLYADPTAPLEIIIKANGLPHHYVFLCLQDVLAFQAFITGFKVMDG YMESRAIAKFMVRGTLGPPEDVTIQVWIPREWTDKDEPTTNEPPDDPIGRGSGHSSST PSLVERSSGWNGSNYKLQRSQSIPTTGFQPSTLTNGSSSTATSSRRTSSTTHEQCRQP DRQSRSGSISSHWSNTTSSSGMSYSSPSSQQSVNVNGCSTGTGYGTIRTRPRSPLLVL FTGPKGPESRRSIVAITLDKGTVPDWNSCKCARWPAECRITALQNERGRLEAWRFDGD TWDLLRLAVARHGEQRRWDGLIRVSIGFAHPDVRRKFGGEPCKCYKVTEGEVEACHLQ GHQGLLGVVRDFHRRLLTQYRNQTDSQVDVVNCTSYG FPSE_10875 MGSRQPAEQVGRPRRPLNEVNPNEGETLQILRDMGLNKNIVVTE APTEPFQLTFVDVTCLVINRMIGTGIFDSPRTVMRGVRSPGIAILFWLCGCVYALSGA HVYVEYGLNVPRYVIDGIEQSVPRSGGDLHYLQYVFPWPRYKKGIVMLSGVLYGISFI CIGNMAGNCINCALRIVEAANPRKEAGDLNGGTIRGIAIVIAIFPCLIHAFSRRGGIL LNNLLAFIKVFMLIFMIIATWAVAGAPSGVRGIKANLDKPIDDPNPTGGKAHAQAFLA IIFAFSGFNQPNYVLGEIKHPRKTYPRSMWWGVILVSTLYMAVNICYMLIVPASEQEQ ENVAQQFFYIIFNASPGDSKRYEIKRAVNAFLAISAFGNIVVMTYTAARMKQEIAKQG FLPFTSFFGRNRDVSLGRFLMWLQGGERKPTDQPAENRHQDTQKFTGSRKPRNRFFKF LNPSNHLEETPVGALVLHFTSCIVLILATYNTSPSNAYAILSGLVAYLTSAFFGFVLS LGILILHFRGPPTTQPTLTLRHHEVPNQGPVQRSWAQMIQRSVNPKLSIVCGFLYLVG NLYPIITVWVPSKLFSQESLDWWKVPVISWCILGFSGLWFLGFIGVVKYKYHTSRRKF VYLSEPKFGPAENSENRHMSASCDDLSVGEGASRSGHGGLILLHETIYRGWEGIETDQ MVSCIDDTADVVINPAQPMPVHGSEKRRPVDPPVDLYEDTDFPEFSQNRAPVQEPETV YDSVRDHRNGAGQGRH FPSE_10876 MSPLSSSETIESTIVVIGAGIIGLTSALKIQQLIAESPSATSTS VLLVAKEWPTSIPGAPVVHSADYASMWAGAHVRPIPASTPQLRREAKWVKATVAELEK HRQSEPGVGIRRLPGIEYLEDPPAEYIKQDAASFSAETGLPGYRKFETHELPEGLKLG FEYETYCINAPFYSANLLRRFIVQGGKTVQRDLKSEWEAFILEPDVKLVVNASGMGFG DAKCFPIRGQTVLTNFTASDKTITTQKKDGTWSFVIPRSFNGGTVIGGTKEMGNWDLE PSQETRTKLLKAAESIIPQSCGQEQDIASLKVIKDIVGRRPAREGGMRVETESKDTTW GVKHVVHAYGAGGRGYELSWGVASEVAELVKEVLGSQSEAKAKL FPSE_10877 MSQKQPLRPKSRDEFEIAIICALALEADAVLTLFDHRWDEDFGK AYGDPNTYSTGVIGQHNVVLAHLPGTGKVAAAHIAAFCRASYSGIRLALVVGTCGGVP SYGKGTEILLGDVIISTGVVQHDFGKRFTDKFRTKDTVEDSLGRPSLEIRCLLSKLRT RYHQGRLQIATQKHLERALKETMKLRLRQGLQDHLFPANYRHKHQEPSECTICAACNG NSDPVCEIALRSNCKELKCDAEQRLSRDRLGGVKFDIGNESLSSNNNTLFPTIHFGAF ASGDSLIRSAEHRDQLATSKDVIGFEMESVGVWEIFPTVVIKGVSNYTDSHKNDDWQD FAAASAAACTKAFLRYWVSTKEE FPSE_10878 MVVNTPTTCCRSSDGASECACAQKATCSCGQKSALQCTCAKAPV ENTVNGPRCSCRARPAGECTCDRAASENATPSGATCACGSRPAGGCTCEKAADGGFNP ANEIDFTTKK FPSE_10879 MAPRAAVARAAATKKSTTTTAATAKKDAKPTKAAAKKAEPATNG ASKKRTRAEEQEVEEDEEEEVTRKTKKTKTTERVVKTATKPAAKAKTTKAAASKAKAK ATSEEPEEKKPVAKAKTAKPAAAKKAEPKKKSSFPGIGKKINEAPTKILDVYVFGEGS SGELGLGSKRVNNKKPIDVKRPRLNDNLAAATTGVVQISCGGMHAVALTHDNKILTWG VNDQGALGRDTNWDGGLRDMDSAEDSDSDDEDDSGINPKESTPTAVDDEFFAPGTKFV QVVASDSASFTLTEDGRVYGWGTFRSSDGILGFSETIKIQPTPLLIKDLKNIKALSAG SNHILALDHKGNVVAWGCGQQNQLGRRIIERNKMSSLIPQGVGLPRGKIAKIACGSYH SFAIDKEGVVYGWGLNNFGEIGVESNAGEDDAVILRPAKLTYLDDYNITEIDGGEHHS LACSDKGDLLTWGRVDGYQVGFEFDKLSEENSIYDERGNARILFKPTIQPDVKDIVSV AAGTDNNFAISSNGTVYSWGFSSNYQTGQGTIDDIHTPTLIDNTAIRGKKIIGAGAGG QYSVLIGEAEDVPQTNGAATNGADDKA FPSE_10880 MSNYYASQQPQYPPSGAPAPTAAQNLQFFPSQYTTNTGTPQGGG GYGYANTGGYGVGAPAQQSNFATPGFGAAQQGVSGRMGEQGGLRTGWVAAFSSEGYDG EPPLLEELGVNFGHIQAKTLAVLNPFRRIDAHIMDDSDLAGPLIFFLLFGFILLFSGQ VHFGYIYGLAALGSISLHLILSLMSPSDVDPGTQAVNNFPQYSSDPSAPPQPHDGQHG GHFSSTLTYPRSASVLGYCLLPLVATSLFGIVMRMDTPIGIVATTAAILWCTYSASGM FCAVGQMKRMRGLVAYPLALFYVGFGIMGIFSSRGSGTFSGAAAKLNA FPSE_10881 MKSSTTFLALVAAVHASPISKRDQPFDTKESLCKDKAWQLDTVE GASNVWETTGASDGLDNQIMGQWEHETNWLFNLEHAINNGSGNLGMSGCGLIASTECL PQGAESCEEHFDKYGTSGDPLKDPIGRTSYWIFQAIKGMHSKFQMLHSELVEQTIITN LQIGEMVADFQGAEDKTEDVLKWLSAAVGLGSTIGGLVPGGAGEAMSTGFDIMGGVFD IIADETKPEEIDQGTISAALGAIFQRSSEQLKKTMRLATGTLEKGETADAFNSLPASK KYGPWIHSPITRFFNGGWFLLSDRAEPVQNLIKSISGNIKPKIANNVMKAANLRLVAD KRVGSQEDCGYATGRQWMNFKDSEFYCFYIARIDEHGVYGEKYDEATEDIYANMAKYG LGNRDPYYRAILDCATSGEAGLNVDNLGFNNIPVCFFDLPAYWLERNDGPECTSNMIN KACNPTKSSPIA FPSE_10882 MPTSKKSSDKTSSASVARDFNNALTGTLGFEAMRFTANYARIAK AELQSCDYDDLMIAAKDAGKLLPETFNPATDEWPTDAEVINKNIEEKLKDFDKLAGGF KKFVENAHAAMIVANRQQ FPSE_10883 MADETVISEPQQSPPPRKGVWHLLLENPYIFGLSLFASLGGFLF GYDQGVVSGVLTMESFATKFPRIYLDSSFKGWFVSTLLLCAWFGSLVNGPIADFLGRK GSMLVAVVIFTIGSAFQAGANDIPMLFAGRAVAGFAVGMLTMIVPMYVSEVSTAGIRG TLVVLQQLSITLGILVSYWLEYGTQYIGGHRCAPDIPYSGGTKDKPTFDPRHDVGSGG CTGQSDAAWRVPFAIQIFPAIVLGLGMLLFPESPRFYLMRRKEEKALKALAQLRRVHP DTHSLREEYLAIKAEVLFDEAVNRDKFPGKKGVSLFIAQHGALVSNWASFRRLAIGCC IMFFQQFMGCNAIIYYAPTMFAQLGLSGGTSGLLATGVYGIVNTLSTLPALFLIDKIG RRPLLMCGAAGTFISLVIVGGIIGGYGASLASNKSAGWAGIAFIYIYDINFSFSFAPI GWVLPAEIFNLGIRSKAMAITTSTTWMCNFIIGLVTPDMLSTIGWGTYIFFAAFCLLA FFFTYFFVPETRGKSLEEMDALFGDTAAYEEKARLMEIASEIGLTEAPPDSTIDLARK KPATAEHLA FPSE_10884 MKTTLFTSAAATALNFASGTIANHCSWKPAEGPFLRKWRVIASG VDDIPGKCGGFWDNMNNKNFNGACGALSETSCGRDGNGDMAINFFSMSTCNGGHVESA WWEATRNQFGGITCNKEEPFKRV FPSE_10885 MVKFDSSGSESEMTTGEVVYIDTKYEVKLKMKNGNGVYNEPKHD QFQDRDEMEVLILPDLFSSLMSVPARENPNYASVKAEADDWISSVIKADADWTNRNKR VDFTYLANIWAPDCSAFALRTSADWNSWAFLFDDQFDEGHLCNDLEGAISEIARTREI MEGTAPRYTVDSEHPIRYVFQTLCDRIKQSPGGFFVGKPSSERFYKRWMWAHELYWQG LVDQVRTNVEGRSYTRRPEEYMAMRRGSLGAYPALVNNEWCYGIDLPEEVADHPSVFE IMVIISDQILLVNDILSYEKDLRLGVDHNMVRLLKAKGLSTQQAINEVGVMINNCYRR YYRALSKLPCFGEEADRALLGFLEIEKNHALGSLLWSYKTGRYFKSKEDGARVRKTRE LLIPKSLAAL FPSE_10886 MFSTLRQTSGDGDRLLSVEIAPPFDKDRMSMRTACERCRIQKSK CVSGENGCMLCVAKNQKCEYLVVSRPRRRKSNIAGGGPKSRDENDDEDGDNIVVNQQK QSNQARMQKRWSRMQTIRQSSPLSGSSSPPTDQLRVSAKPSIQDDNSNAAGPDLGLED AHQLIFNGGLFPDCLGQIPAVFPHLEPAAIRDFFSNMPLPTENIYAGRGVTAEALTAR GDSGGLNSHTSVYSTSSADSLFDFGVDQMDFLMDESRITAMAPRSASNNNKVASDARA TTTHSSAPSESNSTSMSESSSCSCMMTAVGVYEALQVELNWGDPIAGPSATSSPKSSS AGSSYSSGSPSWSHSGSTTNHSTTLMTQQTILKRQKTVLLRCDSLTRCGTCWSRPDFV MLIITICDRILTSLEAVERFVCNKKDDDINRIISNGSTTAVDIQTASRTELDSSLSST TQGLQSGVGAWQIDDEDEQEMVISLIKSRVTRLGNLINIAEGTISANGWPWHERLVQA LRRRSNKLAISLSFRGLP FPSE_10887 MAYPIILLGAIGLPVIILLRWLLTYTRTVLEVRRNGHPAIHSGI MIFESVVRSWYPRIPFLVPMEKFTLKDPFKKFADARSDMIVITEASSPNGIAYLFGSP KIFREIGRNGDIFLKPLEKIRYRMLNTFGLQLASTQNGTQHERHKRVVKAVFNNELME NGWQNMRNMWRSLLREEGVYPAAANLDTAPIVRDMKSTMLKVTLGAIGASWFDIDIPW DPAKETERQNDELMPFAETLKVVWDSPFVQTVLPLWFMEWSPSLHLRRAAWAQRSLVA HIKNAQAETRRRIEDSKDTSQVHGRTRKYRNLIDALVDSQNDVEMAEKAEKGYLAPNV GLSDKEVQGNIFSFMVTGHETSSHTLTWVLSLLAKNTDWQEKLYAEVSKANTLPLDET ESANGANGAKPLKSLGYEEMANLPLILAATVETLRMRDLAMQMTRVASRNTTLSYTTW DGDATNPAEAKVQQHTVTIPAGTRVHLDTAAFGVNPFKWEDPETYNPERHLRETEDVN GNKKVTISYEDFIGYSSGSRQCIGKRFAEVTMVCFLAHMILNYRWEVVPEAGETQEQA KVRASTGSEQFMLTPPAYDLRFIRR FPSE_10888 MAVGRTKPAKIGNRLIPQILDDLAATEPDRIIYSWAKSSDLSQG FRHISAREFTKAVDKTAWLLQRELGETSEIRAVGYIGPHDLRQILLTFACIKANYTAL FLSPKNSIEGALAVLDAADCNIWVNPAGERPTPLVDDFLQKRAMHVMDLPLLSELLPE DESEMENVKPFPYAKRWEDAINDTFCILHTSGSTGLSKPIKWTHGLIGTVDAVRLLPP HEGMEPWAKGWDDGDTLYSTFPMSHGAGILMDVVIAPLFGLHCVLGPRDVIPNLELIS SLADHIKIDIWSMIPSLTDELGEAPDILPKLSRSKFICASGGPVSSVLGSKVNEFIRV LNLTGTSEGLFIGNLWVDRQDWHWFAFHPWSGFDFKMVEPGLYEQWIHRNEHADLFQG LFQTFQDVESFNFKDLYVPHPTKPGLWASHGRSDDVVVLSNGYKISPLDTEALVTSHP AVDGCLMIGSGKPQAGLLIELKDPTIKRDDDNAEALFNSIWAVVERANSLSLHKNQLH RDYVAFSEADKPFIRTDKRTIKRRATMALYEDYIERFYQSRTEDGNEDEAATLGLLTI DTTSLVSTTRAVRHVLASIVPAVKDAPVDADLFTLGFDSLLVFRATKTIAAVTDLGGK FSPRNFYAGPTIEAIAATVVRLASERRATTIDSTAASSPTEQQQQDPKEAMMSTLINR HKAVLSSKLGPMDLFGGNMYEGINIFIPLCPGVSFEQAYKVLQRGLVRAMEVVPDLAG KVIPCSEHEIGYKKGDLRLSLPPLPSTALGMTASEEPRQLRFRDLSSVLPSYAEQKAS GFLTSAYPDELLTTCPAFPSLPADVCNIQANFIEGGCVLAFNVHHHALDGVGLLIALT VWAECCRFVQGDQSATCAWLHPESLNRDMLSVLYELEGFAKPASEIDPKVWGFLPYAD PALNGKDATAANGHGTKPRTEKAPSSRNLPEPPRLPPCENWPPKARADGRTLSASTFV ISAEKLKRLQASVEVADPERQSLNNESGSLSLGDVLQAFFWRAAVRARRRPEGASPDD TSIIEMPTDVRPYFSAHLPPTYMANSVIMNRQQMYVSKLCSSETTIYEIAQICREART RIDQELVHDAFGLLHTIQDNSPGNHTTAFLGQGIQDGPHSLFNNMMLFHAKDIGVFGG NTLGAPDAVRVQMDWLNKAFRSLFILPIREDGGVELLLGTLPEELGAMRNDEEFMQFA EFLG FPSE_10889 MPHQVVAKLDTAQEGHKAPEAIKTMDMVDDVKIMTDTVVLTYPE PTTNMTNASTDREIVFDDGVSKLKTPAITIASSDVSFDDTMSTRSSNSSLGTEMTGPP ATDDKMEVSSESKVSKISERILDVVLEYSLNKFESTSELHSKGRPKFLAVISRFVREQ QKVVMCLPAFPFKSANKVEKVLGNLPDKAEEVSLARLNSMCTTIGQFYEPGAELTIIS DGLVYNGISDLDTWRYGSALRAMAERKAFSNLSFSRLQDLVAVKGLPNDLNELTYVAN ATNFRRTLFNKYGRDGDLDIDHEIATNADTLGTYKGYCRFLKSDLQHIYGPAKSSAKY RKDVKYLAKQLLIRGYAFAGAVKARFPDHLRLSIHQSTGEHKISISLLNTKSGFTTPW HCSVALMEDGEWLSGLTIDFKADRLLELVEEDGRPSYFKEVARQRPYLTESAKPRIVV KQEPQAHRPRIRAS FPSE_10890 MDDFNIIALKRFVSPSALLGLAGLWLGYRVALALYNISPLHPLS KFPGPKIAAASYVYEAYYDWILQGRYGRRIEKMHEQYGPIVRVSPDELHCSDPYFADE IYTGKPGRIRDKWQHHLKIAGASPASQATGTAGPHELHRKRRAAHARFFSRGQVLKLE DEVLKFAELFIQKMLRWTGKEAFEVKGAFNCYTADVFSQYAFGEPMGFIEQEGWEPNF GTWTSSFLKTTYMMRHNALARRLADILPIFADYMGEDVKRIMHQMNHVIPAYIKTALA NPDGGRVFNEILNSNVLPEEEKTMFRLSGEGFVFLLAGTETTAAILTVMTFHMLHQPK IYARLMKDLEGIDPNNLKWAQLEQRPYLWALVQESLRHQPGAAGRSARIAREEDLFYK SQDCKTQYMIPRGTPVSMTAMINHWDTRLFPDPDAFNPDRWLLPDGKPDYTLQKFLIS FSKGSRVCVGESLALCEIYIMAALMAFRVIPKSELFETTIEDLTYDHDLVVLQTKKGH ISTRIKIS FPSE_10891 MDFNQNITTLGVGDYTPEGAGLVAAGAIILVLSLLVLVSSKDKI PVINKYPNDWLSVKAQIAFVTNADGLIKQGFAKFQNPFRMITLVGDRLILPEDHFEWI RRHGPQLDHQPLVRKDFFAGYPGFNGTAAISDPSKLLANVIKKKLVQNPHVSKLHKNV QRDILATWPEERDAWKAVDWAKDGLNLISRMSASVFVGEELSRDETWQQVSTNYGMTV FLAARALRQWPRWLRPLVQWFLPACKSCRAEVKRAREVLEKNLAKRAAEGKEHDDSIT WFSEIAAGKSYDPVAAQLGMSMAAVVTTSELLKQTVIEICSHDLIAPLREEIEAVVHE HGWSPAALTNMRLLDSIIKETQRMNSAVIVNLDRQVLSPVTLPNGQYLPKGTALSIYM SRLRNPEVYENPDTFDAYRYAKLRAQGGKWTYASSATSTSEDHFVFGIGKPICPGRFF AVAEVKTAIATILLDYDVRLAEGYTPTLMPFGFELFADPGVQLEVKRRS FPSE_10892 MGQSYMRVPENGEGTNASASRLSVSGSAHMATTTLQVGGMTCGA CTSAVESGFKGVDGIGTVSVSLVMERAVVTHDPRIILAEKIQEIIEDRGFDAEVLSTD IPNAGATRTNDHFNESTAINGETTATATTTFAIEGMTCGACTSAVEGGFKGVDSILKF NISLLAERAVITYDETKISPEEIAEIIEDRGFDATILSTQRDMACQGGDTTSAQFKVF GCKDATTAQALEEGLIAVQGIRSASLSLSTDRLTVVYQPMTIGLRGIVEAIETQGLNA LVASGEDNNAQLESLAKTREITEWRRAFKISLSFAIPVLLIGMIIPMAFPAIDIGSFE LIPGLFLGDIVCLVITLPVQFGIGKRFYVSGYKSLKHGSPTMDVLVVLGTTCAFLFSV FSMLVSVLLEPHSKPSTIFDTSTMLITFITLSRWLENRAKGQTSKALSRLMSLAPSTA TIYADPIAVEKAAENWAKSSDEPSTPKTPSNQTSGSAWEEKVIPTELLEVDDIVVIRP GDKIPADGILVRGTTFVDESMVTGEAMPVHKRIGDNMIAGTVNGDGRVDLRVTRAGHA TQLSQIVKLVQDAQTARAPIQELADKLAGYFVPMILILGLSTFLVWMVLCHVLSHPPE IFLEDNSGGKIVVCVKLCISVIVFACPCALGLATPTAVMVGTGVGAENGILIKGGAAL ERITKVTHIILDKTGTITYGKMSVASTDLVSQWARSDASKRLWWSIVGLAEMGSEHPV GKAILGAAKGELGIGPEGTIDGSVGDFKAVVGKGVSVTVEPATSSRTRYLVQVGNLVF LQDNGVDVPEDAVQAAEKINLSADVGKSTAKGSGTGTTNIFVAIDGVYAGYVCLSDKI KEDAAATISVLHRMGIKTSIVTGDQRSTALAVASVVGIDADNVYAGVSPDQKQAIVQE IQQSGEVVGMVGDGINDSPALATADVGIAMASGTDVAMEAADVVLMRPTELMIIPAAL TLTHTIFRRIKLNLGWACLYNAIGLPIAMGFFLPLGLSVHPIMASLAMAFSSVTVVVS SLMLNSWTRPAWMTEMAINDGKTPKAERWMFGKGIVGWTREMMGRRGKVEEVGYVPLQ NMEG FPSE_10893 MKVATSIQLFILSSLISFNAAVASVPTNATELEDAPECAIQCLA QLVKGPTLSDKNKDILCHNKAIGNGAAGCTLVQCSMRDLFGTPIRHDIYTGRSQSWGP DDVTIIAAAAFSVLSTLMTGLMTFKGLGRNVWLFDDYTITTFYIYLRRTPTTACIFTV GGMERVATEGDFLEYSGNHILACCRQYCLGCLDVCSSSDPAVSFGVEAKEESWGHAYF RCWNLSSLIAASCIRIPYLIGFSRSLNSTADSQGFIVWSNIECGIGILVACMPHMQPV FRAIAERARSWKVLPTSSNGGDGMFVQRGLKTIPITNAGGNDMRTDGTTLVGGDDTTP NDSGGLLTLEGSTIYGKRNGGSVSDGPKMVYLGEYIVRWAAERVSDVVEGIVPLELLA VFIVDIIERYRNSWEQDRCIPSMIGAADGCFDVLC FPSE_11007 MPSPSDIATRAFIVTLKAPCSGKSSAEVATLSGQSIRQVDRIYA RAIKRGFDPHSLPVVLKNEHLEDAPRSGRPLKATEEAKKLAISKVQTDRFGREKTCAD IAGDLSAIGLEVSASTVYRMLVSEGFRKTKPTRKPGLTQRMREERLAWCLARQDWTLE DWKSVIWSDETSVILLHRRGSYRVWRRPDERFLRSCIRERWKGSMEFMFWAAFSYDKK GPCHCWSPETKKEKADSIVIIDALNKQLEPEKKSAWELETAMRRVGLRNKPGQKPRWQ WNESTGKLVRKEGKGGIDWWRYQQKVLIPKLLPFAQACEEERPGTVVQEDKAPSHAHH AQQRVFDLAGVQRLLWCGNSPDLNAIEAAWPYLKRITTKKGAPGSRAEAIRRWEAAWS ALPQEKIRAWIERIPRHVRKIIELEGGNEYLEGRD FPSE_09614 MSKASISSVVIAGGTGRLGPSTIKHLLQNGFNVSVLTRDPSSAK LPEEVQVIKADYTSAETLTPSLTGRSFDAIVIILNRLAYDASIITLQAAVNAGIYRAI PSYFGVSMDNPEIANMPFMRTKLPVYRDVEDKASKGLITYTGINTGMFLDWVLDEDIF VGLSGKIPTRVADGGDIPMSASTLDDIGKAIAAVLSKPEESVNKVYYMHTVVMTQNQV LDYARQAAPEKEFVVEQVDTAVLVEAAWKRWDQGIRDRISTRDFVIRASYGLGNGFFH KTDNAFLGIRQWDDEELKKEIFRRVQGNQPIV FPSE_09613 MQGSLHFKYQNPTLLELSFVLIVLLLVLVKSEDHNDFRQLVFVK FLGSSSTNNFKPRVPFIGHIISMLRNGSDIYVNLFKQRKEPIVTLPMLNGKLYVINSP DLIQAALRNNDISFTPFILESSKAMWGLSDNAMASISDLANLKGGMQIIHSTLGGESL HKLNISSLSKFMTYLNRVKAGGTLGIADTYTWLRDMLTDASATAVDREYDKQALLVAI GLPSFMTKAAINARLKLNNLLLPYYKNGDNHEKGASEIVQQRESYLRRTGFTDDDLAH MELMIIWVGVTNTAPVLFWLFVHILTSADYTSRVRAEIQAITIITETPEGRKATFDTR LLEKSCPFLNACYQECLRHYSHSIGNRRVMQDTEIQDSKGRSYLLKKGVNVQWPPPVT HFNTEIWGQDAEIFRPERFMDVTPQDEKKRRGAMLSFGGGKHLCPGRKFAYTELLGLV GVIALGFEVKGLELPESKYAGVGIGGKMPDWENMEKGFGLRRREGWEDVTWVFHEDN FPSE_09612 MADFTEYGNATAEWLAVTDSRPPMDPSLSLKEMQRITNMHRENL AKRELEKLGNPEIHMKDYTVTSRDGFPLEVRTYRPPSSDNNARLPVYIHLHGGGYVFG NIPSEDAICTAIALGSNVTVVNLNYRHAPDYAYPTAWDDTEDTFHWVHDHIDELLGIP TQVLIGGISAGAQLSASLTLRQNMSPDGLSRPKLAGQVLMIPALVHPECYAPILEQLT DPSVSSYVQNENAPLLNKAAVEKFTGLLKVSNPDLKDTRLNIGHASVDDVKGMPPTTI GISGLDPLRDEAIFYGKKLVEAGVPTDIHLFKGLPHGFRRFGDQLSESKRWDKTMENG IKWALSNPAATGKFDIKLD FPSE_09611 MLYSYARQLLLGALALQGADAASWKDYKPQSRTPSSCPDYVDYS QKPHEPLSSGKLKLPFMRPSEECRTFKSPAKVIKDMKKRIKNPDLARLFENAFPSTLD TTVKYFDAKKNLAFIVTGDITAQWLRDTGNQFAHLYKLLPQDENLKDLVKAIINTEAR YISEYPYCGAFQPPPESGLSPSVNDYAELVTVNPPVDNQTVFECKYELDSLAGFLKIA RSYYNNTKDASFINDNFKSAMTQILRVIDEQSQSTWAEDWSYVSYYNWTGNAGSLSPP VPNSGNGEPKLANGLVACSHRPSDDLSVFNYITSDNAMMSVELDNVANVLDKTRSQKT LAKTLRSHAKTIRQAVWNHTLTSNGIFAYETNGYGGQYIMDDANVPSLVSLPYLGFLD RSDKTYQKTKSLLFSRANPYYAVGKTFRGIGGPHANATNPWPMAHVSAIYGTDDDDEI KERLNMILENTSGLGLIHESVNIYNSTVFTRPWFAWANSYFAEMVLDLAERKPGLIFK DNKPYVIGK FPSE_09610 MEIKSIIIYYLRYAWELTKAVLGAAKLLFISTLPLSGLTIILSF TQGLILGPWFYETTVDQRDFLVHDMVTVQTGEWLKMPGADNPLYEIRAPYYIPQLYNP MKWTRDIGLSRPDGWRTENAAKYAEFRDLFRVRRFYEPTWHHWIYLSENEQPLNETWN QDPWDKAFRELLDHRDKHEILGSSNFNYLTCPHSFLCATWRISGPALVHFTNEPVRKI TPQEESRRRILDPVSVRVFEFPLNETVIPGTFPTYFEQMRSITASNSTYWTKRKKYSN FDQVTVQARKVLKKYEEKYPLTYGMLAKAEHKWTRLTAAEDTNLIFWPRHGSFIAAAV PSFYGARWWAKVMMWWRKRQHEKSMGGDTKKLEADRAARDPVAYQLQWILDSMTDEDK EKFGKTYRGQVLLERLQKGLDNKDWDGREDIIKEMQGALGA FPSE_09609 MTGQYYSMPSNGQNDIHLQYQNPPLLHTPSPSPLPFPPRRFQGL PARRRSKVKLWLGLIGKWFVTIMFIVVIYIILVAYALYDVISELQKKYFNALITGFLI ALGLTTMSQLTHSVQDLRWWILSKRPRSRQKVKAILQVHSMSQVLVLAFKSSRWTIHL GVATWVALFLATQIGYASLGLCYAIEKTEEHALLIPGHVSIANLSTISTSSIVNASSS SKAEDYAANSYGIVSLGMSQGFLDEIPEPGTLFFADNKFLFCDVNCSYVFRETNTYTL DNPTKAPVAVITNRKVNIGTHCDAFKVRQSQNETQEIITIQDGGKDRNITLPNKEVSG EKVYMTSASRDCGKDCSVVSVYEPSSTNPWFYNCTVQMGPVQNSTRPEHEVGERLARL ATSAIALGGPDGANDTKSNSYPDASVFGIPMNGSTKGVEILLSRFATGVLAAAIEANA DTVLPGQMPTVGQKLNVSHWGVITLILWITAFLQLLVAVVATKVSERVVVPSGDSLSE AKVLRNMVEEDMLDEEVKDLRKGKSLWIYRSRHLGDGLYDLYMEEVRI FPSE_09608 MSNVSSTMEIEATKEANGENTGGDESDVSIWSGGDENREEVARI ERDSAKNGASFQPIARDRRIPEGDIFENIFGIEDSETDGEQPPPVISQFLRSNLNYID FDHGFERGDLKYAPSRRNPYYMAIQGNKFNELFGRMENSKEWATTTSRAGRTGAMSAI GSYFNVSLKLFHDMANDCVDWLSLSRLVDPQVAERIADDEENEAAMLKEMAFDGLLDA PKNDPLQDEDHDAIQHHPVVFPIEPMWKMSEEDTNPPVVHAVDANVVDIDAMWAKRQK DIGREIFNDGRRRIYIPIRHPMGWLMWVRLEDWGTTEEARLAQLGKIKDGFLDIWMTA TATDPESAAGYRARFTSVDLGFPLFVVPHPKKLHLQLGKPLGEVNTFFENLVYDINMD HAHPFTELIVKAQDEVNGDGWVKMGENPFGLFDTYIHVDHYDAYMKTLEILNAFPDEI LARKDAHRVSKTSPRDIRKLENWLTANVLPMPEKNHENKDNYTEAQKDARSAMDKWKL IARISPLKQLYFKDDDFTFESAWCIDVSSKKITDASGKRIHKYQPAKIIGMHANDFAD YALGWDPLDRAEELLKYKHRMSSGFFMAEWLHLCAFSWGGLTKIPQDGQLLYRSSDIP GNLVLGTSETNSVMTRHVALPTKDSPDLNSPSSYPKLTVTRNIRNKHVVRDVKDEITG RYSRTTSEMSIEEKGIATKYHFLAYTISYSISFPQGSKLLNMKAGTSLNTMFYPFLRP VYHKLEDELDQALYGEIKNRDENNVGQREGLFPSRATSLGPYNQDGSDIYAGNAPYGD LMGLQQNAASAPEVQFQTATRYGVNAHNHGDGTNHEEKEKIKKRFKK FPSE_09607 MSLSQVAAPTQAPAVLTADGFDPETFDPLVPRITQQAITRSPIL LPWQPRIMPLGMFFRSNMAETAHNPFAPETAFSQESLELTRIIFTANDGSCSFRSSEA FSSSSSMDHLSVSLGASIDMTCLEASVSMHYDKDVMDNKDSNKASVTTSYRAGSVKYS RSPELSEASFKILTRQGLEAFKSVYGDYYVGGYRIGGDTAVLFSTDQSSHSEREKETV QIKVESWLGDYDDNWSTSSTSSSSSAVVRVSAFSTLEQLIVAKTVQTGTPEFQAAIQQ GRAIHKRAQGLEDGVAKVLDEVGVRHGKMVTPRQCTQLCQRAVVVELQLVPIECLRQV RFWTTL FPSE_09606 MDHLLHLDTDVVCLLAKLDSYDYIIVGSGFGGGPLAENLASKKK KVLLIERGGVIFSTHVLNTSRPFYKRGASNSPEGNERVYDAVKAKVQLTDSSDSYVGG PVYCVGGRTNLWGTWIPEIGDETLNAYFPPEIVKHLKEGGYADTYKYLTDDDPRDGIY PEGDGAHEVSAKDIGFAKEKLNHALKTAEFGIMPIAAQFNAPAPYRFAQGAYSTTLSI MNRMYANDPYLSVLLNTEVIAVQCNDNDTSDKDVIALQIRDKETGALKELGVGKAKVI LAAGTIGTASIALNSGLQKSNDLVGKGLIDHNVCYARFAKQKSGGFTEKPLNLKTHLK VGGEECLVTVTINANFFLAGSSATLSTTHFYDKQGRLMGPKSPANEQENFDTICVLFE FVGKLADGNSVLSIPGMDPVLDFRRDPLKHEVQCAMEDIVRNVRDAFVDAQPFKSPTS GEELCPDPGLRPQHLGFGVFSHECGTMRMDGPTKKDGVVDSDLKVKGIGNLWVCDLSV MPVSPEANPSLTLAALSLRLAEHLSPEVQV FPSE_09605 MGNFFSRPGREADALPPGAFSAGNISSINSSSVAPYLGINAGSY EDPYPPIPFEWIVSPSKIDGTCPNGHQILTWFGVTDAVITVLAILCAYRPFVHWLTRG KLGNRAKNRLALMWTVTFACQLTASAIVAGIMGNTPGYESLNMLHIFTVAMSRPRFYP VVLGLLRCVVTAQRTRDWDKTTIIKRSADDRVEFPYTDTWITTSFSELALLIISAVFT GVTWNRLPSDSKSREYTSDHVSFISSTPGIMFLCMLAFLPIYKRYGEAYPLEGRRYET GRHWGVTVSSDGRTRIGVKKDNNKTAVKRGANAVCAVLLLGYIALVQWAYWTRLVEMS GVLFCPPKMIESSVVWVVFTAVDLTLPLHVLKLDILQGRLRSAVGLFKIVVRRSTTAN WMNTIADQEPDPGYLKAGEEDGSVSAEVARRLGPRLGDILRGTTHSLSILNDDSMLSG LYLESGRARCISQIAASVGKLGRLNPNMKIVEFGAGVGCAIIGKVYAFAILTEEVTHR PEEASASDIANVGMVV FPSE_09604 MIASNIGKVLLSSAMLWATTSASNLGLKDTGTPAGCTGSTGCCI PSCLVDVSDFWGCNGNVAFSGTCQWNYDNPQGTQDVGGCDDVQVTFLRDPDGNPAIDL YHKTDKTYDRYAFNNCQAPSVGGSQCVPKGGGCDTNIILTGAQGSKKRSTFSA FPSE_09603 MNSPLEPFKNPTGGFYTSKDVTDITKLEYRYGPGSLDIENEAGR YMPPKEPISRIVRVHNINRSDYAGSFVIRTHVQLPDGGYLEVGREAVLSRWNVAGCAN CQNHLEENSFIPIDAKTIETLKGDNRVEDIKFQVQIQTRGFGGDGFREPVQEPKYEFL FPSE_09602 MEVAASVAGLISLADLTFRVVYKYVRAAKEAEKDVKNLKREIEG LCSVLRTLQALTDILVTEEEKDNSALSIDILEQCKGTLEDIRTKVLDAFASFEQKQSQ ILKSTLQRLKWPFSATETNEMLNTLSRYKLTLSMATSADSLSKLNILLNNQVEHNIKI EEAVKNIDGSTKLIANIVLDKEKRRILHFFMSTTLNPRQNLDQSIELREPTTGTWLMA SMELQSWLTNSGSLLWFNGIPGGGKTILAGLVIQKAMTRNSDEVGVAFFFCDYKNPAT LRLVNILGAIAVQLALQNDASYEILEKYHEDLNPPNDLATTPDVDGLERIIASMIKTF RQVLIVVDGLDECGNEMGSVTMSLAAFASLDTPASVALFSRQEPNIRARMGNDFNEIP IEAHTEDVEIYVRAELEKRIQSRRLRLSDPETAHKIEEELVRRADGMFRWVACQLDSL CDLFTDQDRLDALKQLPETLHDSYRRLLERVNTKPRTTQKRVQLCLQFIAFFPKKLSI LELCQAVSTPEDIGDTLNRGNIVVEEDIVHYCSSLIRKSAKGDAFEFAHFSVQEFLES DMAGLEKYRISRRESSSLLALQCLKFVQLGNIDVLTGDRKKFLDKQKDLDNELPFYRH AAAYWPMLLSPKKSSQSLTQEATESLFGEYFSPKLRTWIYWFLATLCEIIYTNCPATN CPVAVDIALDEQIEPLHLASALNMPELCIRLLEDGADPKLNSRLGPPLLVAEISFLEA LKTDVEDLAVDSEVFLRLLPTAKQRQSTINCLMKEASLSDISEHPSLFSYTVIVSCHL QDFRPVITLLSSGITPTTADIDLFGSYLSKWWSHCESRFNLEGSHQKKALLKFEASIR ALNRHLQDTSAFEHEWGFELGKVLWTKAVSMELSFTRDPSLTHREISISLETVRERIM LAISSDSPHSLSDHLEDRRVSVFDSWSWDDSTSRTLLHHATSRNALQCVDLLLGRECD PYALSGKGVPALHEIDITKNGSIIDTFLAHGVSLLGTDSNQWTLWHVCAYDGDSSADF MLKLFTAKPEETRIAVLMKDGDGHTPLALALSNDLGPNSDFEELEDNAVSFIKHCSDV PGFWGQHDPILPRAFNFGSEKVMNRLFELGFKPDPFIAGNLTPLHELGANVSPAWVKF LMATFPGAVECRYSDRLPIENYADACVKEGESADEEVLGMLASTTILQSRDPNGVTPW EYSCYNMVRLRRQGDISISSWKSLRLVWDYYITLGASRAHEEAVGECGTGTLMSALLE YFQDWRDNKVFYNLDSHMVEKAILASKSWNPSVNTIVHFLILSIQCGRNDMVKVLLKH GVDVHQRIDGYLSAIEAACDTETATDLCSTERGRNVLESLLDHSDHRKLGELDNFGRG LGLLHRIACTGQEPHVRWLMGELVRRGVDVNGFSLEADGDFRMTPLIHHIYKNSICYA GYLLELGADPSFHTNNDVGGHDRYIDAVPFATQNGNISFLRKLFDFSQTLALKSCQTS YTANVYYGRATWIINVSSLHLACHRGLYEIAEFFVKNKLLAYNITSEKGLTPLHMAAL GGHPRIINYLIEQGQEVDTLTSLRTTPLHCAAVNGHLEATKALLAQHARGSTDAFSWT PRMVASNMGHGDIADLLDANLCMYDEMESELIQAHRHKQRLLGQMREAITSGNIPDCR TIAANGCPLNEPISRCDGSTPLDLALEMMQLQTAMTLLDLGASTLKHSYIVRGYKQSV LEQAASRSDLANLLPYLLDGYLEQGGDLTNGPDSPIFFAARENVNGMRILLTFLRKHY QKSGGRHSHEISEALDRRLPLHTFEETRWDEVTALHVAVESDQIEIAQLLLESGANAD SVSTFGLAPIQRSKSSDMTSLLISFGASTVPVLTMSLGEVMHHWRGSASEMVKSLDNI SMVEDTMQMMHVQEVWLGLHMHAQRCMFPMADWRYILAARHLQGYLRENLGSDSRLTN YLLGLPTGHCFFLNGDYQLDTLEPFPWYQSGGYSFHQMLFLRKNFRFFQRRFSRSAFK QWLNLEPDRGWSPLCRAASMDFLDAMENCLSIGADIDFEGCFWGSALMIASACGKLAA VKCLVRAGAKIDYVGRRGHTSALLLTVSKAVKQWLLVGRFIEQKKMAAATECDKNHSM EQMGRRSGIAKIKVRLKELYYRRYGESSLDYLRRLDRLKLEMRGRIPYYTDGVIYEDT S FPSE_09601 MPPVPPPLPPAPSPGDAPPPPQLIPRPVVSDDRPPGALLLHLAI HSGGSFKNHWGYFIKSEHNPTVGTIVHAVGDVKNGFEFEVKRNHDFETTSPAPLHIIP LQWIDGKYFNEAMLNNGVQELDDKPVCDFERSVYKIKPPAGSLNSATSDAPRARVDRK DCQTWILESANQLVADGIMHPDIAAYLDAIKQ FPSE_09600 MVAIKSVFLLLALGVEALAASSPSATCTSKYGTVSIASNKIPRA TTSVQTKVTVIRKVTRRVTVIVVPKAKTTTQKVTVKSTVTATANPNVKVATSTVTDEQ TTVLTRKFTTTLVATSFTTTTKVTTSTISAAPSFHPIKEFGGYVAKKAKRGAVGDLRM AVAKSQYVQRVDCTKRIPYTSIKTTTTVVQGPRKTVAAKTSTKVVTTTQTETSTEYPD DVTRTVTETVSPTVTSFVSVTDVSSSTETVTVETIIPAAEPVYAACGANNMMVTANGG RPVPYVDMTVGFGVASVGAAQGDAYKCCTACMQNSLCFLSFLSDGDGSCTHITTNTNV CPNGQIQVGQYKSQAGATDTYHWMNGPCGYLINGGDVSGI FPSE_09599 MAFTTSVPTSDPPDPKKKPVGTGTEVVDQRLPPSNVKFHTDKFF ISFSCSVRQEIGSYVTFVPSPGQTYVIMPSNKFYVTIGSFYVRDIVKKPLQTSSDTAL VDFDQLATENVSLVHDAYNNLSIQATPPLSRSVAMMMSAPSWEVKECWHWISTNSDG FPSE_09598 MSSQGPSVKEILLDAVEQATGPNNLETIEPTDVSDVHGDVKGPA ITEAPVCITQLPIPPAFQEAMDRQDGLYDIVVGMHDHIPRWVPGSVVKWAAWRQGFAS QEDADYAAQQLAIAAQAWNDAQVGVTFEWVPLAKDASFVLTHGGASGNTLARAFFPGG EDLNYVFVFSYAFNKTWKPHMWNVFAHELGHVLGLRHEFAIGDEQGKMTASKEGPSAV RIDAPDPMSVMNYRNEPPMIQQSDIDSTRKFYGMTEDENGESPKIGMTKILDYTPR FPSE_09597 MDNPLFAYTLQQVLADNHTTTDVSRYTKPAGYQTVRYPLSGLVG NVKDKHETEVHNAGQGLDDGTVDIKDDGDPLTRLHPPDTYSIYFRFQICLKVPNYTIF SNKASMAKYIQDHGGKPHYGIAPEEPHNAIHLALGGSYQKAVYNADPILGANGDMGVL FPNCPT FPSE_09596 MKFANFLFTILPLASAAKSINKPRTDYVPQPATAEECCPFTYKA TCTKNLERMYHIQLFYNHKKDITPKKFSAYWANNHTKTAGDFHLRQGVYKYSQYHSTP QYRDLVRVPGAAPVLEFDGAAQFWVQNMETFAAMGADAFYRDVIQPDELNFIDMESMR LIVGVDYIVVDNQNAVTEHGRSF FPSE_09595 MDVKFLNDELTALLSQHFQIVATHSQRQDQWYKRSYQSFTSQHG DRKPLILVPHTSKEEWIPHLRQFASSLGKKLEIARRESRAFDNIPESKLFSDNVLDEA HTRPLCLAITDSKPLSPDMMQMSWWTTKIQHPDDKARRLKGIKALIACGQVDSLIRLA LLPGVHPEQASHIKPYQWESLNSGWHTAVDKIIVIMLFLGITGTFAEDLVEKDGYKYW APVAFFTYKSPLTAYIDAFGGKIPSSEHERRQQMTHCIEALVATQSWALSTGYTINWG ERIMDNFLYMLGFEAWNRSREGEGYLGCDLNKTKTAKSLQGVGYDEEDISTKSDEGST KKPIDPLKLRDPKREAVPEPIEEERREMDALAVLESIEADYGRKRSTKYISKNK FPSE_09594 MSSYYRYGNFDGINGATASEMAQSRIDAQTDSIRRPGVKIDVMS DEEWNRRLQASEERRRKKEAQIQLEMEQQHATAKEAAIKILAPYMATVNGQREYQAKL AKKLKAYHEKPAGDKTSAQEWREAYAEVGRLLAGVLVAFHFQLDKHNGNNVQGPGWGK FSDVGLEPDMPQNAALGAYLAHRRVPVSDDEVKYLESLIERKVDLPIFIIAASEDNLK RISQTEGSSLSAPKALLEFLIQDDLMREEITELFLEDDTE FPSE_09593 MTSEAYDRWLKDAEPWAVEDDWNPEEYLKWVTRLNEAKANLDDK FAEVDAWLLGQSFQMSEKHHDAIMKSRPNGDYGNVNNYDISKLFVRPIIAYTFYQRNT FEEIPEKSLVKRVQTHLSALGIPMMDIDVAQMLQYAADGVPVEEAVEKHLRDYVKKIR ESADVAKSYDHYGLGYDTCILVSRLQQTRVNGGPVDRSKIAQIFNDINADRVKEGHKP REESMLKNIEAAFSDDDKMELWLKRCAEPMVRNDDGDNHAATALEVLIDAGDLKTLVG WSVTQEREQCSWEATIGPAVKALRRLGRTSAGKDMQKLAEYAKAHQKPVKV FPSE_09592 MGDRSSPSFEMGNNATVKADKDIKVSTTAEPDSGRSSTLNYHDK DQLERMGKKQVLRRNFGFLSILGFSCTILITWEAILVLFAQGLNNGGTAGLVYSFIVV WIGNFSVFSTMCELVSMAPTSGGQYHWVAMMAPRSCSKFLSHLTGMLTVGGWQGSVSS SALLTGNMILGMATMNNESFEPQLWQGTLLFWAIFLFAVFINTLVSSVLPKFEGLILI LHILGFFAILIPLVTLGPHATASDVFGTFVNNGGWSTNGVSFMVGMMGNAFSFVGTDA AFHMSEETINPSVVVPTSILLSLCINGSCGFAMLIAMVFCMGNVDDAFASGPGMLGFP YMYIFEQATNSRAGATVMSAIIVILASCATVGMLASTSRVFWSFARDRGLPGWRTLSK VSDRTTVPVYSVLCTAAISVLLSLINVGSPVAFQNVTSLSISCLYSSYLIAAGLLLYR RLTKGFALPGTTELPALANTTGAELVWGPFHLKGALGIANNVFAICYLIVVGFFSFFP PMVDPTVDMMNYSVVVTGGLVIFSVVYYFVWARKEYNGPIVEN FPSE_09591 MSSSQRVITDSNKSPLIQVLSLMFLVISILACMVRTGTKLYMIK TVRTDDILIIVATLLAACQTATVFNACEHGLGQHFETLSSDNRDVFFKSQYATNSMFI ASLLFCKLSGTMSLRIMAPKNQKWIIIVCEAIVGVWGVTALFVNFFQCKPPAPWMYDD NDKCINFTAFWTYYSTANIITDLIIVIIMVENVVKIQTSWSKKILVMSVFGSRILVTP AIAAQIHYSNKAFASDDHSFAIWPASITIQLVQCLAILTVCLPNFKPFLDSLESGQIR VDDLRRQGKSSSNGYPTNKPDYAGGYKSNNKTGHSNRSRALTGGGGSRAQRSEIHEME DFATRSKPRHEHDKSWDGQSRSSHSSQKILIQQTWQVDVESTHEASLANPRQT FPSE_09590 MQFDLAYSAGYVADTAMTDWRNAEHQMICYGTTTRPWDRYCLFD VQVDAGSCCLAPDLVSKSKQRSLLDDNSGLTLSVITSKVRQVSFTVVIGVNALDGKRR KPAKTIVKGTVNVYGPKYLMDEVDQALSHIPAYLQHPVFLETDILYINPQFFYPSTEK TDLRHLVGPACEDTTSQLSRTVDGVMDCLDNWSEDRNAAGCSIKHFQSLFNRYLADTK LKEHQLQGVEFILDREDPVSVKQMEKTLLMSINHCLLSYADKTSLGGILADVMGLGKT LTMLSAILCSKQLKQSYDMSGSNNPNDYRQQLNSTLIVLPSRQLLDVWKNEIDRRFQP QTFKVHIFHGLTRAKNKDQFIDCDIVLTTYQTLEKDSTHHIRNSSTKTHKAAVALQGE TRWCLTGTPIQNSLDDLRSLLQFIRLEPFCHSKAFEEYIVKPFRQDTGESYEAFGPSR NFRMMLKACCLRRTQAKLSLPATTICKVPVTPTDVEKALFKKILHDCREEFDIMACNG KVSKKSNILFSAIMKFRRVCNHGDIKINTTTHQRSTHLTVPMIKRYNSRSQSAEPACE FCSNTILENDFLDALDSCPLCGRLLSEGNSNVPSAAASPQSMPSSTGSPMDIDMISPG PSSVSFGLDTADNSLRAQSSKMSSVVENIKTSCLDKDSKSVVFSSWRDTLDILAKILM SEGIPFVQVDGRNPLMGRTELLSRFRQDPTVKVLLISINTGAIGLTLTEANIVHIVEP QWNPTIEDQAIARVVRMGQTRPVTVYRYIMSESVEQSVLKLQQRKTQIIKLSMQDKND DESDSNLDRFKFAIDPNEWEVVCQSPK FPSE_09589 MASSPRLSLERSIDQFRRELTDAQLQEISGANRTSINHEIQKIQ NELGREKSLCRIGRMSRFLDAMEEVEKLVTIFLNVSEVVAFIWGPVKLVLMLATTWTI SVKNIIDAYEEIAIALDNLNVFHNVIRHNEQLKRMLEDYFSDILRFHTSILAVFSKPD WKGMFTFVWRGFRRNVKPIIESLKRKQAMLSDDKLQRHAILKNLQDSDLYAKEQFDQI QSGLDDVISLEELKRQKQEIEQIKSCLERKLNVSLMQIITQLDLPDTVMESSGRWILS NPRFQSWEANKSSEGCVLYLNGCPGAGKSTLARTIIRHLKDNPSRQSSSQPSLVYFFF KHNDADRKSLRSMLCHIITRIINNDDIMMRFAYDKCSAMDYLDLNSLKSLASDCLFSQ RNVIIVLDGLDEAKDKEPEQVLKWCLYELLQMAPSRGCHVKLLVCGQEDGRIESLLSS YPQIRLHTEDPHKTDIQEYCKVQASVIGTRFRLTTNDEGELIIKVSEAAKGMFLYAKV VLSNLASMGSRKEYKAELGGNDFPRDLDEAYERILQRVLHAAGPSAQASAKKILGWLV CSQRPLRWREIQSRFCIDVDTGLCDADDVRADNCKQLCSSLVDATDCELFPGVGSEQT ITIIHETASKYLIYTNTIDLMEEHIAMSLFCCRYLSSRPFLGIGTNQVRDALQSGYFS FVDYAAAHYKLHIDKAELLNRKTVFDSGLNIATAKNALSDLEKSYQSTGADGTAEMDK VAGFTEEPSKLLAQSIQDNVFDIRAMIHTQWDDLSQNTGFKELEGEIRHKCTKLHCPK FFVGYLSEDLLRQHLTSHERPFRCKDESCFAYVVGYTSEVDLQSHNQNFHNEGSRAKV SFPKTSRAGKYDLISACRSGNLKQVELLHRLDVDISGVLLEKLPLTVAYMAGHGHICK YLVENGVNPFRKFRLRRKARPIDLAIHKKDFNMLEIFLSRYQDITSSENMFSIGTCIE DIFRFYPPGVEILLRLSTREQVEEGSFLIENVMAEKLEFLFDIWKHKLNVSPVDLSLS KHGNDVTPIHTAFRDIFPKLYVEGKFCPDQKSQEYRICQLTVKQNQISLHSALLAKHY PLATFWMDIDTDNLMYIQDYNDNSPLHSFIMESCEKACDTCVLMAKRLIKLDKIGLAQ KSNKKGQLPVHIALMRNISPILLQTIVESCIDRNYKDIQGFSPLHYVRSEENLKLLSQ QKGVNLFSRNKKGQTYFGTLCDSDEAFDEGFMRVLLDANIGLAWTADQYLQGSTPLHY AMRARRWREGEHPERRGFGPSRAAKFLLNLPEVEQVLRAFLPSPAEDCRQVRKFAVEE KLDHALEVMEKIGFALLPVRLRRVTSQRIEDTTVGRILIVQDNGIYSHKRSTLLIHSL DAIKSSGLGLNQIAQASDKPVSLSHPAPAVPIPTKTWHTYFSYGIGHTEYDTIIGQQN ACIRTLRQERKIKSQWFLKPPAAEGMPQLGANFESSTESATFPYPEAFRKVMFPTTSV PQRDEEHGNSRSDLADHEIPRLPEAGMIKKKSFVSTPVFGVSRTTAIEVESRIQPTYV VLGIFPRGALNPKERIVFIDRPEQFFSKLRWATFRIRGMRGTLLSLKHLAAFRLYRCD FNTGAHTRINLDANGVCDLYLLLITYKSWHIPRHISRAWADWIHRTLNDEQLDVLQGE YALELVLDWSITRILIVVLIPVLLSLAIGIWLNAKAWTDLATIQTAWGTASYIVTAGA LLAAMLGFLDIADK FPSE_09588 MDTFPYSPPSYNIRHGNDRSAYEYFTSEQERHALGVPKKRKLCD LSEVAALLLSICCSITALICCFHHATAANLGQKYQLIIVGLMLSIMDLCTSKLSTTVF LQLETRWASLLQNYDVIVKKSVLGSLLNMRRGSQRWTILLSASLAFPLLLSVGYKAFV GGTITNQVFASGGEYGLTGPVSLARFSAGSSLMVNATIPLMFNNLTKLPFRPQPFGFN MLVLPNKSITVAMLDGPSPSYINTIRSELKGQQYYTVTADVNGLAWHLDNEADQHRDD EECWDKLYKASDDDSLNQISLYKWKKWLSTLWPQGQVKQFFILLTPHESDRKAKPSKE RFRKEALYFTSQQLHCNGKWKITRSSVDLIDGSCDGGSFDKAFPDGCRTLHLNDASFI LADFVGDLLTGKLDESSQIRFTAAVSSMPWSRLTAYCGIGAPTNDLSPKDMEMFKYHR QDEAWKTVGVLKRTPVLALVLLAQPSVGLVLLIVRIVYCSAPVSGGFGLISVLAGHGP RKGNILTGAGLSGEVQERIQLDFNVNGERCDDGANRVQFQLRRARHSMPQDRKKLESG VKYH FPSE_09587 MLEIIKRIFPASWALYVARLLYVWKCRLTYVFCRGPLSPFNLRP LAEQQHKFMVDQFVESIDTDAIRTLASKYTGGLPCSIRCRQQGSFNVCFILDFPGDIA RIVRLPIEPAVYNVWDKVCSEVYMCVTIQIFLFLEYTHTVGFWHRLIRPILHRQETFT PQSATNHRLGLKVVGAISMRRNELQIDGYRAPRSISTTTNEFFRERYQLLQYMWKMPS QELSREEAERDEFALHALSLEETQNISRLKTDPSGDSFYMAHPDLRVDNIIVDDELRI RGIIDWEFSVTVPRYAFLPPSWLTGHDTGSIISRVDLSSEFMSVLSSKKHKSHSHSQL AQDWSFQDDLRLPMTYILLDPSDLVFIFYRYIYPRLYKKPRNEIVPLFFQPPDSKDLR AGLERRLNASKRYTQYLKDHNLIDDNEEPELQQIHQWTVETQKKLEQLNKWSDESKGK LARLDRERAMQCEQGEQM FPSE_09586 MNYHIEIRFDDGITWIARIRRFNTTSPPPALRDYILQSEVATLM FLDKTSVPAPKVHDFALEHPDNPVGVGFILMDKLPGKSLRWSLATQQQRKKVMDQLAD TFIELHKYPFDRLGSLDSPGGSNVGAFARESLTDFAESEMRTSGPFSSLQEYHVSSIQ LILDLIVREEMYSQQAVDAYLIHRYLIDLVPHVLPAVHDDEKFYLKHADDKGDHILVD EHFNITGTIDWEWAHTASPAHAFNSPIGFLPVSDFYSGSNDLGDDEVVFARLLEEKGH HDLAHFVWNGRLQHRFAFCCGYDLADWDGFLGLFRGLRDAVGVDEGLEWDEWKIVALQ RYQDDPRLQLLLARH FPSE_09585 MRYLPSFASASTLAFLLDLPAGGYAQANVIGPADFSTWFNMTEF PNAQASNVSKYMSEAVAVSQPDLFQHFLIRCVDAQKYPAVLNGMHPNGFIAPARPFQS LFFVGQSHVSSWAVDTGDGLVIIDTLDNPDEVKKVLLPGLETFGYTGHDIKAVLITHE HSDHYGGAGYLQSTFGMSVYASEAAWTTMALTKGTPKKDKHSDRHTVGFYGGGGIPSS AEAKSQQVQSFSKFSTVAQKLGADVLLSNHQDQDQSIQNFDIINAHQGGGMDGSHRGN FVNPFVIGVDAYSRYLKVMQTCVRVQVARINQFLTV FPSE_09584 MVLVSGPRHVTSFPAGTSVQHAFLGPSPLTLDHKALETSTKILD IIGRYRMKQDERICSQSDQSALRFIALIYTHVKAGSPFPLCLPAFPFKSPNSSSKTLG KLPDKGEEIALAHLNGLCNAIKDVYKPGAKLTIISDGLVYNDLLGVPDRDVWEYGETL RSLSAEKEFHNISFSRLRDLVEIDLPQELDEMSYVANASNFRRALLNTFSKPEWNWEQ VRQSDDQCMTYRGYIKFLQTDLETVYPVGENRTKSKYKRGIEYIAKQMMARGDAFANA VRQKYPDHIRLSIHPSTGASKLSVSLLPTDSIYTTPWHCSVAYRLDGTIRTGMRSEFE SDDALELVYDNGRPFYYREKSPLLSWAEDKGGIVFDPMYPAGLIIRPANGAGSLTLDD IDTKKVRALSELNSPVVLKGFVKKPNRDRFIDLSHRFGTPLPWKFGLLLEVKDRGDEG RGLNNVLSAEPMPFHYDGLFKVVKQTDEDGNEKTVSTPPQFQLFQGATASPRDTGFTI FSSSTLFFKYLPTWLKEDISKLTWTVATSAFDNTVLKGLPLAIDHPTTNKPCLRYHEP WPQSKTVFDASEVTIDGLEPTESAAICDTIDSVLYDRRVALYYAWEKGDILVSDNILM MHTRSDFTAGIDRELWRIHFE FPSE_09583 MEQVDVTIVGGGPTGLFVALLLQPLGISVRVLDEKPCSLELGRA DALNARTQQYFEVAGILDKLLPDGLKCNTSSTFKDGNFKSRQNEWWVGIEHAYHKNFL MIGQPVVEQVMRNRLGDSVNYNEHVINITEDEEFVTVTTSSGRKVSSKYVVGADGARS FVRNTLGISFTGTKPEMTWAVLDTFLDTDFPVCSEIITFELNGESRVAWIPRERAMSR FYVLLKGEITQQLAEESIKQHLAPYRVEFPKTEWFSTFHVKERLASSFISKEGHGRII LAGDAAHVHSVNGGQGLNTGVSDAFALAWRLAALVRPSNLTTESRNIILRSYDFERRS TAAQVIGVAAALVRDTVHTAKKYVSTIERNAGFITGMGVNYSEFATPLVQGIEHGIWR PGYRCPDVVMAATSGETTRLYQNVAYGKFIVLAIGKRFPNGSISGPVYTILPDGTTNG HVQLDQDRDGDKTFTADWVKNDSAIVIVRPDMYVGYAGTAEDDGWKRYLDGYCGKS FPSE_09582 MDTKKNTAGDSPTAESTSSVEDASSPSPIPNGGLIAWLQVAGSF CLYFCTWGLIASFGSFQTIYERDQLSSHTPFQISIIGSLQTFLMVFSGFIVGPVYDSG YFRHLLAVGSSFIVVGTVLQSLSTKYWQYHLTQGLMIGIGAGCLSILSVAVTSLWFNT RLPLVNGLAACGSGLGGVLLPIMVRELNEQTSLPWATRAMALLLLVLLAFSNLVLRPG PKSGGPKQRRQLLDKTAFTDWPYVLFVLGCFSVFLGMYTPFVNVQSYALDNKIASPNL TGNLLAILNSSSIFGRILPAFLAQRLGPMNTIVCAAVLLATTSLCLISASTTARLLVA VISQGFFTGSFFALQPTIFVRLTGDARRIGTRFGMAFSVMSVALLFGSPVGGALRKSM GYTASWVWAGLTIFVGGMLILCSRLLKDSKSLRL FPSE_09581 MLKSIFISALALAIPVVCGDTTEPSAVQYSLGMDYRCKQDNDYY IPDGGAFVNRLGVKLTLALGGIGYGIYTISILLYNHFGNDIRGFHIFAGVLLGFCAAM LWTAQGTIMISYPHEHQKGHYFAWFWGIFNLGAVIGSLIPLGSNINSQGNVDVNDGTY IGFIVLIFFGATLALLLCNANDVIQKDGSYVILMKNPTWQSELLGLYETIRFEPFVIF LFPMFFSSNWFYVYQQNAVNGAYFATKAKALNSLLYWLAQIAAAAIWGYLLDLEYLRR SVRAKIALVVLFALTFIVWGGGYVHERTYTRATTEEMGKDGGKEWDDAGYAGPLVLYI FYGFYDAAWQATVYWFMGALSNSGRRCANYIGFYKGIQSAGAAISNNLDARKVSFKAQ FISNWVLLASSLVIAAPVIFLKIRDHVAMAEDLEGTDETLADVAPRYVLTQMGRNAET HDSKEPKQNGPGFTAV FPSE_09580 MPSISERDEWILSQTASELEHLRDKDDLIHLDEAIAFCNYLNGE TTAMAAAQNITVMLRPAMEQCEYKPDGPGRILTFIISYLWRFDEDCDKILDLLVAIQN LPSVPGIRWSRLPPFRALWDYLYTFNRRDNLDFPFFKKVGTLEAKMYLSGLNPVDDNW AYRAINVMCLETQELELVIHEIHPWLDVAGPTLVKNMEPAQLKCFERAVRGRREKTYD IEATMYEHWEHWKKRFLQISCDEEFLSPESRMMAGKCHEIMKGLVVAQPDPPTET FPSE_09579 MPQATSIPGVLSSFTEKWSPRLVASINDHDVKVAKIDGAFIWHA HPDSEELFYLLSGKLTMEIQGEEPVVMKEGDIYVVPKGVTHKPVAENAVIMMVEREGT ANTGDQTDSERTKTAKDMRAEKLGE FPSE_09578 MQTLAQRSSNTGYPIYLFTTPTADQNKFWKRTVDVIGHWAICIN GYCYELARVKSSEKNQRAYSTRYLPEDEWKRVKREEGRRITERDPLGYTARPWTHEDI KYMSELIWNGPLQTQYNVVRKNCQDFVRLLVDLVGNNAVKITFPALLTKRAKEAGIAR DLTYFTLAGAAIGGGSVIAGVSLFFTPGDLTGVMGTCCVAAGSVALEATKELWEGRIN REEYIEKSQAELRMQLVREGFLS FPSE_09577 MFWNWGLFFSIFALISAAHQRLLRYLPEKVDQTFDHQQIEVALS LFLCPVVDQKNEERKISCKMLWFWQCPTMLMSYSWVFFVTGYTLHLLTPVFGSPAANV SKEVSGLTVCGCGLVVLNFIFCGSLCQRRLSTAKQKSTTTASADAQV FPSE_09576 MEVIASHLAKRRLQLIKFAQETLKIFTGSTTVAFADLAVASICN TLDKANITIDPILRVERDYKSIYSCTAIPVKHFRIFWDNGFRHGEYYDNLGLMPSMTY RYHMFCPPTHSENFNFLDSYSWMRDNGFLDVTPTDPLKLGLNLSSTAYHYIGAMFGSF HDVKSLQRPKQESEDAWYLIQELSSVQVEDNCDCWCNENNHGCSPMKLFLKSHLDERH FGDKVDYDILQQVILRHTMFEESHSHQGENPPDFVTELLRLLTFEALEMTHTCCTFEV ISDDIVTDLFSTASCKPAAIFGCRAEKVREIRSCDEERLSARLLEDLMSEFTSQLRRQ GSDAQAFERFITGYWRRRISEIPP FPSE_09575 MSRPNLPLDIQLNILGYLLSMGEHEDSIEKSILATVSKPWQDAI ERKLFRSLTVNLLDLKDFCVYTKDRTHLVKHILLELNLCKLDRGPTISRNCFQAATWI LFGILSTWHNRDITLEIGILPCIERRDKCPKTNHIHPMGSVPRCRSPDTVFKEIFELP SEMLFGGVLAESEPAWHNKASSLLGRMDLGFQPSMECYIPSVKCISKLLIRRRYFPNI SSLTLARIMLALPSLESVHIERWCYGDLLLDTLYDMKFRAFFRVPRSCKNISFYEESD TAYHRRVTRPRESQPNTTLRESLVEEAGHLENIAVSFSFDAVSFFTPPFTSDFKELKT LALTSPTMVSKEYGVVDDFLCATAKVAKGMPKLETLELWYFSSPSFMPPHPTPSCAGI FTYQRLDRYSSCISWKSTRSFKMSEETRESWKGVVDVEGAEFSVEHLDLDPDQLTSIG KLYPYLALRDRILHGITWTEA FPSE_09574 MDSPEIVMRNDGVLTRLIPESAEGDLSRSIRLRSAHQLCPAYKS CLSHFCKSQVDPKRMKNNVLHSNSLSTVFTPTEGQCFICSQLRNRITELNPGLGPDVY SDYSIVCCWSTPRDEDKETKMWMVLFKSPVPNSPIHIYQQILQLGLWSKKDFGQYFDS NTNHGECTDPGLNESRTDGTNDSDYTKELAISWLARCIQNSDGQHDICNKGDQDYIPT RLLDVQHALENNVVRLFCHDKEDKKFKGVEYATLSYCRGPHAAEQNPLLLTNNLETWQ TEGFTWDRLPKTFQDAFKIASWLGLKWIWIDSMCVIQDSKSDWKIKTKMMDQIYSKAR VNISAHSGEDSQSGCFVQRKLTDITPLQFATQDLGDEWIVTTDDTFKWMNFAPSRTRA WVHREKQLSRRILHCAPKEMVWECCALGKSGFASETMSRGSPSDKVFNGETKSQIQIV NASNTNLSMRERRERLHMLWNSICQDFANKSVSYVSDLSYILWGLADEFHSLFNGEEY VCGHWHRRAVVEIQSVSRAYESHPDNGFGKHAPGSSVSVNGFLRRLRFDYSGPEEDDI TLSMVEEDEDGNDRIRHIGQHRNLTGDLTFRLTMDHCQKLPSCGLDCYALFTTLQETG NCGRQLSCVLLEHDSVIHNGPYQYHTYKRIGTMDNIGDLYSFKLRYRVAPSVKVPETG VSRMDSGDICTGDDVSEDIWNLLSQYLRYVRWDVISLFKENGIPDTSGYSSLEEDEED DSEWEECSLPSRPQSVTRLNDDDDETGIEDAVADEMHRQRLRDIVLCIHDHRFSGLAN VAGTENGAEVADRLQQVLSFESQTVAYGTNIREHPGEVLYQFDDVLDMWQKSHGVVPW LERLETSVFTII FPSE_09573 MQFSLAFLAAVLSATSVSAAPTEQAVNMMAAAPQWTIETMQRSC AKDDSSCTWNFKINTHKGAATACKFVVKGSKASQRNGGPVKCGDYTVTSGWSGQFGPG NGFTTFSVVSSKRQIVWPAYTDKQVQGGKVVKPDQSYAPANLPN FPSE_09572 MKTQRQDHVFSGTPERLASLRGTCLLRDRYRCVISRKFDAAEAE RRFQKYGENNAMDQDGVPLADPGQEFDYLEVAHILPHSLTSLDSSGALSSTKAAALAV LNMFDAGVAYLIEGVDIDRPTNALTLAVSHHISFGDFRVYFEPVGETHTYRIGTFRRV GFAKNFPVTRTLFLTEDRSIDPPSARLLAVHRAIAHILHLSAAGDYIDHVLRDVDEVG IRADGSTDLSRLLKLRLGEASGHHKNWPLEA FPSE_09571 MELVSKIFRRRTTLVVAVFIICLTWLWASNSHQQFITNSSPSSS HNGAPKTSRLHYLIPSSIVNDAVCAGIVSALVNQYPIPTLIGYKGKNEFDSADHLAKL RVMNRYFDNLDAQDDDLVIIVDSFDVLAQLPAEVMIERYFDMSKKSEQRLADQRGLTV DQLHELGIRQTILYGAGKMCFVASPNEPMCPLMPPSNSPRYKFGVRTGNDDTRFLDSR YLNSGTIMGPVGDIRKFVKAILDLVKADDEKVDPNDEDRVRIHHMDQWFTAQLYVRQE YHRALDMNDGEYPADLSNLTSLPRPRDGPEDNTEFHVFVDFDSAFTQTQCRNELEIQF LKYKNHDLTASIDRDFLQQDTAFRPYTIQMPASLYQAFGRIWERLSAVPELQIALPQR QWIQRTYLATNIASESIYAFYHNTCDKRFFLERYKHFWFYPYITTLLEQAKAHIQQGK PIHPGLINGRSWVAAQAYPVDDSSRVGKDSLGGVYTDLEEELFIDLSQLCRENTTTIL NIK FPSE_09570 MIQALFTRRVLYAAVSLALTWILALNYRESLFNTVKSQLTSSTT SGILIKDKVATITDTLFTPRLIPLILHYRAVLGPSWPIVFFTSQATFDKHFSPDAPST SAAWRQAIADGSIETRIISSEFNLTSRKGVNSYFSDPWLWEQLAPAKHVLVFQADAML CANARKAVDEYLEWDFIGAPLNDTRQVFNGGLSLRNRPMMLDIIKSRDWWTDTNTKDA EYEGHGEDYWMSVLMRERGAHLPSVKEALTFSKQLPWHFKLPGNPIGYHRVHRQLRRD KKAIPKIREWCPEIDLAGTGKLR FPSE_09569 MPNRFLIWGETGWVAGHLKALLENQGKDVHTTSVRMENISAVAE ELRRIQPTHVLNAAGCTGRPNVDWCEDNKAQTVRSNVIGTLTLADQCDLLGIHCTVFA TGCIYQYDEKHPVGGAGFTEQDAPNFVGSFYSMTKGHVEPILSCYENVLILRLRMPVS DDLHPRNFVTKILNYDHVVNIPNSNTILHDLLPVSISLAEHKDTGVFNFTNPGAISHN EVLTLFRDIIRSSLTWRNFSIEEQSHVIKAGRSNCMLDTSKLEAKAREYGFTIPEVHE AYRLCFERMKATGVN FPSE_09568 MIFTNFIAGAIALSVGVSAGPCRISSQTSGTAATTTAEASLATS TVLTTTALDFTTDLTTFLTLTAETTSAAEETTTAADETTAIETTAVDTTTLEATTTAE ATTTAEVVTTQATSAAPEATTSSALTVQCHTNEECDSLLDVSGLSCMDNTCECKTDFL CHVVVY FPSE_09567 MEVPKQSEFLIGWICILGVEYFAAMKVLDKSYGTSQKANIVPGN NDRNTYQLGTIGDHHVVINCPQSGTNGQITACQIATGMKSTFPCIRFILLVGIGGGAP STKYDIRLGDVVIGTSVSPYRKGKILDFKKFKQTSNRETPPAELLGAITELQHQVFLG SSLETTMDDFFRHKSDLREKYPRPTEDRLLMGDYFHNDQGCVCLQHQLEDRGNLVDRQ QRTPGQLLQIHIGTIGSSDVVMKSAKERDRLANKQEIICFEMEAAAVMQTTRCISVRG ISDYSDGHKNDAWHNYAALTAAVCAKELLKWLALESLKNSPMELTSEELENLVKGTVE EVYRKISQSQRRDGNAKTVIGEANDTIKQSIESLDQYTRQPTQGNNLKTMILMRGLQK QIESSVHDLQVQVKTKTKSADRKSLAEWEKLKQEVDNTEKEAKDWGETCQKLLDAAVE LSKHVSDHVGDKHSKFVTKYLESISGIFGIARSHKENRPNDSSKHCNDKNIGDLGSSS TASSTTSRSSLELESPGSSSNSNEQHMPDSCPEHPSPVTGGHPTPISGLPAPDHFPRQ PGSNSSPEHNSTVPPIPKSSPPLPPTSPPQRKEPPSKRPKPDWLYVPRQ FPSE_09566 MHMQCTVYLQMNNLQHVVIFLGLWGIQGSFGRILPDPTQASQAW ELDRPPSDPIHTTEIRDLVKREDTSSSIDPIIVTIAPDETCGFLSGRPALPITCENQR PYNPLYLLCTNESAPYCGTYEFPQGIEDYRCSSTPATRVSTASFTYIGQENANFVTTT LNVAESVSQSSSTESESTASNSSTIPSSTSPPSDTSPSKSPPSSKSNLGAIIGGAVGG FAALSLVLFGIAWFLRQSRKKNRQSIQVNPMEQDPLSDPNIGKPDPRSPVPSDWRGST VTALSSPYSASPQVWMNHPMSPSAQSDMSQAMSPTLGEHLAYEMSGESAQPPHEMGDT RVYEMAGDNDHRMV FPSE_09565 MHVLNSLIIGLLLRGVWGQTVDESATEGGDVVSQQIPAPNVSVT APTQQDAPVEPTVPTVPTAPSEPATPTQPTVPTEPTQETTLTEPTEIPVPSVPTEPTE QTEQTESTETLESPTLPEPIQPTPPTEPTDSIETAESSLPTEMTEPTAPDDLATPSQL EQPNNATESGTDSVQPTESLAEPSSEPTQSSESETDGFPTAEPTEVTTDTELGQSDEP IVSATESVQPSETGDAQESAPTGPVAEPSEQTEAVDQPTESSESIEPAASIQPPEPTE SPVQTESVEAPTQSAPASESAQPTDQGEPTDASEAVQPTQDIEPSQSDVPAPPSDVEG SSASETEQGSAGPVNTQAPSGDSNEPSGIPDQTQSSPSGFTTVTVPPSEPSGEVVRLD LTEASLGPGATFTTIAGKEAIVLQAPPNSEAKFTLEVKEPLDIVEDSLVNIRVSVKVE ELDPNNRRKRFLFERATGTRLQLIMNEKKIYDKQVKTTDGRFREIKSEKTRFVKNPMI EVLQRAGSNPVAVTVRGLSFVGSSTETRRPNVPVVVSRTEVLPGTREESPVPADEPTV KPEEPTVQPEEPTMQPEEPTIEPEQSTAQPEEPAVPSEDTGQVSVPGNQETTVPRVPG TESIRVPQETKAPSATAGGGADEESTNMPSLSNLQPETGIGTATGVPGIGNPPMSTPT STPGPVQIRPNEAHHDAMVNMVVLYGIPLAAAILV FPSE_09564 MNSSLLWVVFLGLSATQGAGLSESQRATQAGKIDHPPETTDIPD LRRRETSQTATSYLLTIAPHNTCGYLSGQPGIDITCESQLTCMWVTSRAIICGEEGDA NNWKRYNRCHDSSYALDPSRCANTCVKNKFNLLCTNATAPYCITYAFPSGVSYFECSE SSTELLTASFTFSGQINARLKTSTIYIGASDSQDTAITETPTVSSTAPYSSSPTSTSS SSSPPSSSNQINLGAIIGGAVGGFVAISLVALAIFWTIRKSRNDKRRQSMQVDPLAHS GGKKEAQIDWRSSTATALSWPTSASPQTGTGQPTSPSALSETSQGIMSPMRPQMTHEM SGESVHSPPHELADSRIYEMGSGSADR FPSE_09563 MHLLALCFVGFVIRGVWGHKVEVLTTPCETLTVYQYGASDSDPV ESSQSNSPIVVAESPAPVAPAATIESDQETAIPSEPVTPCDTTQSSLPTVPYEPVTKS VYYEPPTEPKDDTATVVEPVTPCDTTQTSSISIIYKPITEPVTYVPPIVTIETSSISQ HLRIITTEPLVTYTESTYETPIQYPKPKTDTIYLTIPNSREPEPSKDYVPGTYPPADV PTAEPVQPPIPTEHLQPPVQTGQVVPPVITKHTEAGLPSQPGYPSPSSGLEIPTETMD VPNKPIQTSAAETPKQLDEPTRVTAPTSAGPFKTEELPTRVPDPASVSNEPTVFTQPS PPIQSGFTTRTSIEVVPTDEVYELNLEDAILGPYARLVDVCGEKIIVLEPPPYHEANF TLKVNGTSGFSPGGFANLITSVKIDKVHHNDRRQMVIFRRDDDDEAGFLEASANDDDI GKREDSETGDAIEEWVADKPITEADPTLAISYIAGSDPKSAQIEGMTYIKRGNIGTNV YRRKATPAGRNTTIRPEDDGKECNTNIHSTGTPTAVPTPVEVHPNEAGNGVVMNTAAL FGIFFVAAILA FPSE_09562 MGNPLSNNTSNLPQTLPKLTFETLSKIQDGPGMMVNAMKEQNHE KVALGISTVRKEMKTYGADWQKTFDEIK FPSE_09561 MAGPSHGTQHSEQSSRSSSFAEVSSSSSYTGSPLEAFGDIPPRQ TPCMVGHTSSYFDSLARSRIIAVMALNIFVYETPLNSHRDTWVCPFSNCKQSFPDPKA MMSHAAKCTHVSASGAYCNCCGCYYDFPGQRPACLTRDGDTAPAIVKDSAMTKGKRKI QDFLSRRSGSASSSQEQLATVNASNACPFFAVEWQMDPMPASRKASAFLEISTTGLSP GHQSGHEPAELCADPPIVEIGNSSILPGHSGFPSHTDSHMPQHLSQSTISTLSTTGYV EATVPDFGDVCMSPTEYSTGDNCDIISQQTYHGSLSYGSGDNCFHSIDQSQDLVQDSP LNVDVPLIEAEAFDFDQFVVPSEESQGLMVDVPNFSRPRLPLSSGQSGQASPSGNHHL HQRVDVIPTAMAHDQHHHGEFFGLPDLPAEEQYYFDVGITSDNAQSLQTQKAAWVTNV APARLSPRLPVLNPEDDGEIICPACDYRPRGAKAYRKANFNKHWKNKHEEALPWICEC GRACKRRDNLLVHQRNVCGKPNARRPLGYQRRKHAQRSGLMGRPLLDVA FPSE_09560 MLAIVWSHIPIAVLAFPEATKRRHHGYLSQPDPTPAIEFSHLQH LELGKRQQTSAPDDDVTLSLVISPDSTCGYVSGSAGSGVVCFGDTKCTWEIGDLKAIF CGEVGHLRCLNRTDALNTDICDDSCKSNEFNLLCTKTYEPYCGTYSYQSGIVDYRCMQ SSIKAQNVSFSYDGQKGRAFTTTYITDIFSTGDDTTTPATTPSTSSTDVPEPTNKASA KPNVGAIAGGTVGGLALIGVVVTGIFCLCRRKKRGVSPDEHEPQQPTLPPPVYEAPAN TVQLFEAPGDVVYPKCPVSH FPSE_09559 MTEVQKEVRQEEFDAVHAYFIGPKGSNLPDFRANINTILDELLA ARQSYYPQDQAFISKEYRRSPVFLKARSDLRLATEKVAQLLGEHSAPFWSPRYEAHMC TDLTMSSLLGYFMTMLYNPNNVALEASPMTTLVELRVGQQLCKLFGYNIDPQKSPLSW GHITCDGTIANLESIWVARNLKFYPLSLCLALRRGKLRFIADRFYAPQCFHATTKTLF KDLQGWDLLNLRSEVILDLPDQLNKKFGITSKFLESALNEFNIQTIGREVLEREFKVK EPIKYFVSKTRHYSWPKGVAITGLGSGNVVGVDVNNSAQMDIKLLEEHLHKCVETKTA VFAVVAIIGSTEEGAVDRLTEVLQLRKKFQDEHGLSFLVHADAAWGGYFATMLIPDRR YSVEEQTDTKPEPEWYLDPKTVEDIKAMAEADSITVDPHKAGYIPYPAGSLVYRDGRM RHLVTWSGPYLSQGSAENIGVYGVEGSKPGAAAMSVWFSNQSIGLNHQGYGKLLGEAT FTSARISAHYATMDNEYFTCIPFNMLPSENHGNKKFLSTSVMNERKKIRDLIIGKTDT EIFKSKEAMKIIRDLGSDTNINCFTFNWKDKDGVLNTDLEEANYLMKRVVDRLSITSP NTDPSTIPIYLTSTQFLPEDYGKCAHKFMERMGVHKSDQSLFVIRNVVMSPFPTKKDF ISTIMHDLENVVIEEVKKCRERNDPGAKKLQFLVQGSPNAPELYLVFQASFHSVTRRQ QVILSAELDDTLKEFYAKSLEDSHDTVLMLETTDELFVVDVISGIPDGTQLAVTLYEN GLQEYEKAQGVITLKSMIKSRPLNSINRDINYPDKFMPFYLYGSEDELHITHALVKSP NISLSASNVTFDQPLPSSVTSNLSQGFILGLVEIPEASMQPFAERNKDLGEDFFFSSG KKFKVSVWKDPKDSDAEGPDLLKDLDDELYEGKMTLGENVFVDAEGPNEDQLKDIKVE SDAWQRKLDEIGSVLDGTHTCKD FPSE_09558 MYGFWALEPSNINKNKRQNVMGLLSSEEQEIPRSLQLSHLEHHI PMPRSLSAISNLEIRDLNRDQVRFYHPGYLKPLNLLFCLPRVDYEPLRGAWGVHYRTA LTACQIIANNAFGTGRLARDERGKDIVADDDQILLNRDYWFFIDGEDRYPIVPSFRDW QFPHDKLPDWWTAPECATTLHSKRCAVTNTSYAFTWAHLIPRDEQQWFNKNGMGLYGG GSHTIDDPHNILPLKADLYVCFDQSVFALIPKVARSANGAETSNQYVLHVLDGREAEF AALYQNRNVETLAEGSREYLFARFAWSIFSFLKPFLTSGVGRRVVRYRVRAADEDEEE EHLVSEMQNIFLDSRKLDQLYGGVRGRRAPSLEGSYVDVEDEWDDENPGRNEMEG FPSE_09557 MKFTPLLLSTLLANTVVGQTPDKTQEKHPKIETYRCTKAKGCKK ATNYIVADAELHGISQANGQSCGNWGEAANKTACPDEATCAKNCKLSGMNEAAYKAKG ISTSGNALRLEMLRNGQSVSPRVYLLEENKNKYEMLKLTGAEFSFDVETQKLPCGMNG ALYLSEMPADGGKSTSKYSKVGAAQGGGYCDAQCYVTPFINGVGNIKGKGVCCNEMDI WEANSRATHIAPHPCSVPGLYGCTGAECQKDGICDKAGCGWNHNRNGVPEFYGRGKNF KVDTTRKFTVVSSFPADKSGKLTEMHRHYIQDGKVIKSAVVTLPGPPKVTGNIITDNY CKASHADDYIRLGGTEEMGDAMTRGMVLAMSVWWSEGDSMEWLDGQGAGAGPCTKEEG LPKNIVKVEPNPEVTFSNIRIGEIGSTHAVKMPRVYGAHRL FPSE_09556 MEDPQDKIVTLADGRNVAYTIYGTDNPAAPAMFYFHGFPGSHHE GYLTHSAALKNGLRVVAPSRPGYSDSTFQDNRSILDYPKDILELADLLSVQRFTILGV SGGGPYAIACLKEIPRERLVGIGTVAGCMPLSFSTQGMLAMTRIMFNIAPYATGPLGW LVDKLLGTTARDTAHPEKLEDMMDKDMTARSPSDAEIWTNHPDLRRSLLRSTREAMKQ GGYATAWEARLFGSDWGFKLEDVKVKKGEMILWHGDQDINVPLRVSEKAVELIPQAEL RVLKGESHMSLITKVDEFVVEMKEILER FPSE_09555 MAPNSKRRFSLSALSPANRRYNQVMNERSDNRRSVDSTASRSTL GGMPVVDVENHLIDPAYRWTTANRN FPSE_09554 MSSEPLYPAYLPTRSDGYMAPVPVPLFDADEPGLRADAAKTNLF KGGRVENITPRVGTEIRGVQISQLDQKGLDELALLAAERGVVVFRDQDFKDIGFDKQK EIVRHYGPLHQHPTMGYPKGTGPEFHVVYADEKSGNLRKLLGPRTTYDLWHVDQTFTP NVPSTSFFWVLEIPSSGGGDTAFTSLTAAYEALSPAFRQTLRNLKLHHTSASEGEVKR VGQERALAEAINTTHPLVIKHPVTGKPSLFVNPTIARQVEGFLPEESDHLLSFLKNHI RSLDFSCRVKWETGMVVVWDQRTVAHSAVPDFQDNERRHMVRIIPYGSQPQPAFQ FPSE_09553 MSPPSSLSERRDEAMAESTSWLAKIHPYFKNPSHVLVFKLDCLL LVWAFIAGLFKDMDQSATTAAFVSGMKEDLKLYGNELVEFTTYFSIGYAIFIVPSQII QTRVRPSIFLPICEIIWGLFTLFTYKAPNATTIFALRFFLGVFESTSWPGIVNLIFNW YRPEELGKRLAIFGVSGVAGNMFLGILQAALYKNLDGVSGHAGWQWLFIISGIMTIFW GFIGLLVIPDSPAITRALWLTDAEKELARLRMSDCGVKTSSIIPFKTLVHKLKLLVLS PISYLFLAAYLQFAWSQRANSYFLLFLKGLTNADGTPRYSVYTVNLIPLGGYGISIVC NIGLNAISDWKAWRWQVSVGAAAIQLIATSVLSAWPDSWRTIMGFYFLTFATAAWGYA LLAWLGDILRKEPEVRSILVAIAITLVYVGHATIPLRAWRVSDSPRYPVGFPLAAAFS AGSILAILGILFYVRKYPQVMSWGLDPANETAMEEEDIPGTDDRKQPDERSIQV FPSE_09552 MRFQGLSLQLAALSVLLPVSDAFSVTTTNDANSLANAILGQGIT LLQASFSGAAISSGSFSDGPFGIGSGAILTSGSAVGALPNGDHYVNNGAPGSDTYCGP NTFNAALLTVDFFLNPTYSGIRVEFIFASEEEGGSADPMGIFIGGTQYAKDNDGQRIT ATNRYILRPLAITPPNSVTSYPGSSPPLLVDILTSGAQTMVIAICDQGDSEWDSAVLI KAEGCVDCDTDMRLAYVTTTTTLDAGEVPYTSTITASGTVSGTIEIGVLAATTEVATT TADDGTTTTTADSTTTAVDGITTTTAEEATSTTAEEPTTTTTDIEITTTTEEIMTTAE EITTTAADETTTTADETTTTAVNGITTTTTTTEEVPTTTAEEPVTTTATDGTTTTADS EATTTTHESSAGSTSDDPTTTTEESPTESTSDKHQLTTTQDTTTTGTTEVSTEANDTV TRSEPLPNTTTTALATSDATTEASTIGTESSVITTTSQEPSSEITTGTETTNISDAPV DRTTTSSNDGETPSASSTISASSNAETESSSSGISTDDNVVPNSGTKTTTESIETTTV HQIEPSTNTIPTAEVTTSNSVQTTSNPTIPLSASTSTESFINSAITIGSSVCITQSQG GGPISPPVPTTYSFNAGNSTRTEDVVVPIVTTITYMVVDPNDPSHLTMTEFCSTLRPS PCRNCQYQRPTTVEMTTVTVDCNACGHSGENTVILEVPAGAAVATQTRDHAAHETHHV QHHQPNPYRQRPRPSKGDSQTWEMPRPQHTVTVAGDKPYEGNEGYKFDHPQSDHVESS DAEGGHKAPKPVETIKTEPKPANEPADVIKPEAKPTTVGGNDQPEPLEPTFQRRPVPA PTPMTPDSPVVVVSAAVKTMDGMLVSMFCLTGVLLLL FPSE_09551 MPGDIESFKDAKVRQITDHEVNLQTREVVLTVEWRDSPDSSNET TTTFSEAAVQEVDEDLVLKYWKDDGGRFEATTLGKEQVFKIVDEMKRWWGVQWVGYSK EEMTVVPKHDIAYKPGVGDAIVKWRRSLTQMQLAEVDHFSLGVDYNRRLPAWIGQLPE VDWDNIDMESFENGDF FPSE_09550 MSLVLSRLGLLVSGGFTVNETASALTQYGVRFTKLAPWLEDVQF DRSGTILGGQLREIPCQNTIFDVKLRTVEGVATFIVLVARYVESRQQLAKYVEDMIRG KYYIVSGGDLETREKDDLERVSMPYSSRILLQSFVNAVIDADARSPQHDRCRQLLGEL THVVGSAMFQKQTSKHARGQRTSISGKPDNIFNTLSAAVAMIALAAMANGAHVRVVCQ TSSGSVEIPQGGRTFYNPSIFTVVLWLTEPPMIVSSEMNMAGEEYDLADDDESEPGAL PIYGGAAEISRAIASQTGCGLPDDMAMEIWERGVQEGALASWEMGDVSGMRFRLTDEA LRCEIPDSLGHLADKLYGERDQRCKIVRKAAAILHDIANYSEYEGFGDSWFNLTLSYV MIAYSVGCLRSVVSVGGNLLSQYCWTSDCELNKDGLRSRLTSEKADALRFVFMIGTNG ASIQDFLWRIASVWGGSTTEYHNHVEIHDRVFGIASPHLTFLSDVLRDPKALAQNGLS KGFISVHSGSIPTLPRDPLSGLVIAADASALLEGRFLFDEGPRPLYKETTAGVLFSLE PYTGQDGALCAAICTWQYGEVLLELDPMRVHDNLLAQRSLSSYQRVQGATYGNPNHCF VPLEWGEIPVLQRVFMGASWGRSGINSPLTAVLRAEGRLDWQVVVSGIMEGGEMIMAC EGESLEEVLTRDPWRDFSGVLIVACRDNVLSQGYIDLIDTQKDLARRAQLAYEKRN FPSE_09549 MIPSKVKTPSSSLVPGDSDAWGLLGPRFSLREKATATTIGKICG SIITRTQYTTLFDAAQAGFNLTSERLPPWLQDLDFVTSATVLGENMRRIRLDQTMKDV DKYKLSGLATFVVLCSKYGEDIQNITRMINLLLIGSLGTVISPPDPDQRPNLPYSFKP HVNGFITSCLDADRESDISREIMSQLAELHLFGDLVRVNGLDGLERRRQASLDLMGEL LGAPSPEESMSKHVRKLYQIPENPQGWARVHDTLHLTSAYMAIAAAAHGANVMVECIS HQGSKFLPKPPTSVVHHETFLLRLWLIQPPGDVLGIMRYSSSNTTSTWQDRNEDRFGR DPDNLAPTVFGGQLELAICFARYLGFQHQNHMVEENEELLALWSRASECASHYRWIIQ ETNVKRSTIRLTLFRPDKDKPISPVAATLCDYLKPDRRLNSISRTVAALVHEYYQFSD YSCFSSKEGESQMAKAMHLVLLAMAVQILRNTINPKSDSPDSYALNVGTLDANKMGLR TLMRMSVSEEGVSPSLLVHTAATVWGGLNLAAYNAGRPGEAALGVVAPHCCVLLDMIR DPLEFARKGTSGYLLSIWRGAVPMLPRDPNTNLVSGYDDTNSHPFELTPSFQPVEEAY QKLFASIIITFEPHVNKPTCGVFCVWYGGFLVTEVHPENIMSGLLKCSGATATTPGLS GNGGKSTNTSRKCIILSKADLLHIKQFTVANEISVIIKTIDDPAWLIFAAGCSTRIPD TIFRECTDELLDIEDVKEKCRGGETVILKKLSNNDG FPSE_09548 MAQQLQAGSSSQAYPSSWDLVGIQLTVGEIASAEAVRKHSGSIF SFSQSARLFDAVENALKIRILNLPPWLKAIDLARTVAIYGAEMRRIKIPQIMQDLDMQ RLSGLATFLVLCCRYTESEDAMIAMLKTLLEGQLGSIVKRDGDQQKALPYSFHGHLGS FVRSCVDADKDSNVSRRAQEWMASLHEFGACSHDKSTFFSRNQQESVDLVSELFGAVG REEDLAEAAEKLSSRQQQDKDADGWGKTHDTLHLTATYIALTAAAHGARVYVQVVSDQ GLKTLPTEPIGEEHRKSSFLVRLWLKQPPEHVRGILRHGNRSASSGDKDGDPPDDNII VSGGTLEIATWIANKIGFSCTLHGKTTSESLLKLWQAGKEYGKTLQWLIRRKETAAGL ARIQMVLKTMDSTVLIPPVTRPLSAYLAQMDRKFKPIARAVSNIAHDLYGYSDYSKCL DVDDNGGKPEIGLAMEFTIYAIAIQVLRNTVSPAGDSADIYALNLSTLRRDESETRNL INFVRQALNEGTDHWVILTTAATVWGGMKYPKRTRNDMVTNKPSSQIIGVIAPQCTVI FDFLRDPWSFAASGSIRTLISIWRGPVPMLPRDPITSFIYSGHNARWELREVKPNHTS VSGPWDTEDKNPILVTFEPFDQEATSGVFCFWHRGQLLNESSPHSLLVAILTTSQEMD VSPEKQHDIVAGHARRIRIIELGKADLLNLRGFNIESYIGVVIKPMHDISWLLYALAC AAAPSDSINRLRISAYRGQPEEFDIEAHHSTMEAGQIIIVIPQYQ FPSE_09547 MDVIDEFITKFQHERHQWELLKDHAIEICNNTLRDINTIGIVTG RVKRAESLRKKLNTKNESKTYPDEDSVLKDQLDFVGLRIALYFPSQKQHVFTQLQEVF RYEALRPFDRDWKPREPGIYQNLFGQYVADHLWVSLRAEDQGLAGPHAKQPFEIQLRS VLMDAWAGISHDLQYKALSGHLTGTELKLLDALKGHVEVGELMLEQLYQVHRKRIETE KEPIPSVQDLRYIILDFIPGVQVSEINLGDLDALFLVLQAFQCANPHTVRVLLHEYTK ANLRLQLDVFEQTFDPLPATVSFFLLEKLLPHVKDHGQSFRQVAEVIRARQQRPLHDS RYWQSLLWLSCQILDRAKSQNVFPSGARVRKYAYIWCTEHHHRPPLSLTRSDDNLIQC LASGHEHSARGLEFLAELSFLGLGPTVPEYMEVHDAGHEDNRFNVIAKTLLLIYDSHP NEWKEAISDYGRSLSEVDATTNRFLHLVDVATWLMSIRAREPLVRLLDIWPLGDDTNV WESLDFQDGLETTKEVPSGLLLQILKGEKHGYHMFKDGKSNG FPSE_09546 MISSYKALIEAKCQSNPALLNLQAFLSASAISPHSEQIISQKFF SVGQRTTRTKLTTSQIAKEVHDNPQLNNATSHNKIGQILIVENISKETMAELGSCLDI DPMFFASHIHSAWRNLDSLSPKFCELPSQTKAQNFATFPYHRSLVFPDINREDYKLLR LLNIRRKVIVLPPIQGRRIGLAQHCCSVMIVPGRKNTWLGLVLVDSPMQDNYISFRGK QEMYIKTPCTTLFHGTPEGLQMSCILNLNTISRDRSLLEKLLESWESSAQDFAQNDLN LKTLSYYPLRLIATEWVNYIEVVRVSLRNNSSPPSNTTASPEDLERIKSAVTSASSWP RRVASSTVSLRRSVLFIKKHSRLDDNSTNWEALRDDYEYITASLVQHGEQLQTSVLSV TMFLQLLESRRVSSETKHVSRLTVLALTFVPLSFVSSLFSMNEVFSPGGPLFWVYFVV ATPLLITVFILARSSQWGSVFIYNRVKGFPSG FPSE_09545 MHFSQLASLALVGSATAWLPHERDLASFNQTARFEQLGKRFKPN LGSGITKIRGVNFGGWLVCEKWLQEDTWANKLQCGNSASEFDCMNDHYKGSNREKGNQ RFEEHWKTWINPDTVQSVHDVGLNTIRIPIGYWSYTAIVDKASEPFADGDRMLPYLDA VVKKAADLGIYVIMDLHGAPGGQQEDVFTGQNNKPAGFYNDYNFGRAEKWLAWMTNRI HTNPAYSTVGMIEVLNEPVSRHDGGNRYPAPGQDPGLIQKYYPAALKAVRDTESGLKV SNDKKLHVQFMSSKWDSGDARTQSSIANDALTAFDDHNYIGFALNDNQNSNGDAYKLM HSACTDSRLVKGQDFMLTGEWSMTSNYDWKDKEFFNKFFTAQQQLYEVPGMAGWVYWT WKTETNDPRWTYSYATYLKYIPTDAAGLQNNVYRDVCAGYR FPSE_09544 MASPVASAALKARVRNPALLKKLARPEDLMHHFPNGSYIGWSGF TAVGYPKKVPAAMADYVEQNNLQSKMKYSLFVGASAGSETENRWAALDMINRRSPHQV GKDIAKGINSGRINFFDKHLSMFPSDLVYGFYTKDRQNSNLDVTVVEATDILEDGSFV PGASVGATPELIQMADKIIIEVNTAIPSFKGLHDITFTDLPPHRTPYNITAVEDRIGS TSVKIDPSKVVAIVESDYWDATGPNAAMDDTSRQIAGHLIEFFEHEVAHGRMPANLLP LQSGIGNVANAIVGGLNESKFKNLKVWTEVIQDTFLDLFDSGKLDYATATSTRFSPDG FKRFYDNWDAYADKILLRSQAVSNAPEIIKRLGVIGMNTPVEVDIYAHANSTCVSGTR MLNGLGGSADFLRNAKYSIMHTPSSRPSKTDPHGVSCIVPMCTHIDQTEHDLDVIVTE QGLADVRGLSPRERARVIINKCAHPKYQPILTHYYEKAESEGLKKGMGHEPHLLFNAF DLHKALAEEGSMLKVKPW FPSE_09543 MSTNRRDYNDLPFPFNNEYYADAQGLVRGSDGLLHDPSHRETNG QRQQRVNKHWQENVRRVMGEEFVDLWPDLQTMISHYTDRYPPVLQTLNSAQLEKAGRL QAWCVHPSIIHVIVGWPSQGLSKEQRDVIHACCEDERSRLRQN FPSE_09542 MSSSSELSDIDSPTMLLSPNQSPTPSVSRSVSEGPLRRAASEPC FGPITPATSQQSVEPVEQKSGDCREESIDDAIDQVPFSLARMVVNMQGYYASELEAER QRTDELTRQNEEMMAKMAEMDKRLQMHVVLMDGFVGFMREVKQGQFAVAELEIAKQFG GVHLHEVKGLVSDSCEPVEQSVEQLTLSENIPEPSDEQRTVRFTEEPTPFDSAVFDQL PPTPDVETPPVRSTGRRAPKRKNPPMRPHREVKRRTRADVRSVRLRHTSWRAINNGHD EESGDEHRGDMNDQDYDPEPEVQDEDESEGEEHQEQEPEGASASPPPSEDDGDDGDTE KPRYTVSRSTAPRFTSGPSGPRFKYHRMPKTVALVWQEWKHGSNGNPAIEELENKYNT SWRMGTLQERKYASNYVGVRQKIVRRVEEMCEVEGMSVRQACEVLDKRVDGRMQLLMT ALRKGEDPLVVIPRRR FPSE_09541 MDERSESRASGTTYHSFADTELFESASPPPRPPVTYDTTSLQHL EHLQHELQRQQQQPKEPTWVRDRRPPTAKMQRQDSGYESNTPRRTSTSNTTTSSRWSN GSSRDTSSNSSNGSGVRTRFRDRRPSLRRSAKTHPVQQTRTSAQSLHLVRTNTATQQP SKQPTAFFHFPSPDPIQLADSVPDRRVQPTPIPSPPPQTTHYWTSDRTRRLEYAAIDA ATRGVKGWVLKHIVPDCFVSRENRHVSFDDDSGSVRRYRLELEDDHHMEKIKAGAHKN KNGRRRKGWKFWKRQKAEAQPAAYI FPSE_09540 MDIFKRHGDHGHEEKKHGHGHQTEDGARQHFLACLYWYVLATLL IIASISHLVSLFVAKQRLKRRDLGASSDTAISTIERLIDTPKNLTSKLIGHPAWSATL PLRKTIVVVAYASFIAFLITYKSIKHDGTFHERIAFRAAWITATQTSLPFLLAVRVNP IGLLLGTSYERINWFHRWASRVFFASATIHGGFFTYEWLVANFFWTEIKTVKMVLPGI AAWFILAWTVVSTIPFFRRIKYELFVMQHIISIVLLLVFLVLHVPDHHLFSIWCAVGV FAYDVVTRSANPIWRNLRLRVSANPSVRYTHDAQVEAVDSELTVMTVRNVGFKWIPGQ HVLIWSPTFRWETPHPFTITNIPDLQTKTQDLQLTIKTKTGLTRDLNDWARRTGLRGD TGGLRVMVTGPFGAVPNWKQYENLVLVAASTGGSFTTPILEDLLSSQSPGCIHTISAL YIVRHTAHAKVYLQRITRLLSRAKEMGISTKIQVAVTRGPNTVSEAVLQDESRERLID PETARGPSVELERFSIDSARSSESAKENQLLKEEVDMDLDGAYASVIEHTDGRPEIAT FVRTAVGDVPGNVAVAVCGGEAIERAVKMSVASLRRRNGIDAGDTLFNLETTPSKGNI LSLTMNNAFDAKNSCFVYTWNNTVFNDYAPAPPGYKIFNSKFQVYNFHQAHTTTSSSH NYTTSLSMCYFTIYHFTRCHTQRRVIINPVTGATAYHPFELPPPCHHRSNERLRLPKD PNATVPCPVHGPCCQAGQVLVCEGRDDPKARCKGWQANHRILEPEMLELFDSNLHPIT SNDWRIMSINSDEFAYEGDIRREFFDAGAKMWELWNRGQEIIDNISQSQSHPFGAKIE REDVLDHGECYWNWLVARKELLQLTEAWEDLASVGCMEVCPSKLLAVHPWMKYAFHGW NERQPVDFPQFRGIPFRFVKNLERQRDILRWHPYYARRDMPSTPNLVESLWKSPTITQ IHNPELPHQLGKEGMGWPAEWKGIYDVAARRDSLPLRKYAEPSNLYSMQGSVDSAPAQ PEPQPEKQYGPRYESESKPQPGLQLVQPNGPQCTSESEPNYDDGYVSLSTHSSGPRTP PWAIPYGQEPKKIKWGPVNWDQAATFVESAPVSPRTVRSRPVLSLACVYNEESLSKFK HFVPREGIFDLKPVSDSESDSEEVRRSKRRSEDDGEAVTRPVKKYRTA FPSE_09539 MRDCHSWRTARHDWSRLDTVPLFRSLVSGSKTTKEEPIKSEDAQ EPITIISDKDATIRLEPVPSRNGLLIKIETPKEPSVNIPHVPCDIVLVIDVSGSMGQP APVPGEDQESAGLSVLDLTKHAARTIIESMNENDRLSIVTFASKAKVLQPLLPMNKDN KTRAINNVKSMKPRDATNLWQGMLEAIKQFNTDESSPNVPAIMILTDGMPNHMNPAVG FVPKIRNMGPLPASIHTFGFGYSLKSDLLKSIAEIGNGNYAFIPDAGMIGTVFVHAVA NLQSTFATRAVLKLSYSKPLELLETTGPSVEQKPVEFADDSEDSVAELTLMLGNLQFG QSRDIFLRVNNTKELESLDEQDPTRSVVGAYLTYVKPGNCIKSRKVLEAMMSKDADFI PMATAQRSVLEQSDISPSEIAYHESRSIICNFLSTIFEIQPSGDRLVTPLIKQRHPDL KTLIETLPAKDFTDDKNKSLMQELSMEEPKGQIYLAIQKNRDFNRWGLHFLPSLCNAH TRQVCNSFKDPGPLQYSTESPLFISCRDSLDQIFDNLPVPEPSITHRSNGFFSASSVP SSMSAYRNSSGVCFAASTEVTLASGRIVQMRKLRRGMKVRTPRGSRRVALVLKTPVEQ EVLCRVGDILVTPWHPISSDSKRWDFPANEATAVVMYTGCIYSILLERDASVAAHAIR VGDMWGVTLGHGLTCGADVRAHEFFGDYNVVGKSLLGLERRANGVVVGNGVERDESGL VMGFRQSK FPSE_09538 MALSLSTRLLPRLRPILPSTRTIVSAAPRPTPEQWPQRHPLGSY YETILLSPSTQPADKPEVPPKSADPSVQDKFPTKQATQTPEEKARLVFGSRVLGQAEK DERLAARKAKATYIAGVLVPPKPTEPDNCCMSGCVNCVYDQYRDELEEWQLKNAQAQA ALRKVEGSVDSDGGGSEANWAAAPSVGEAKIAKDFWDEELYANLPVGIREFMKTEKQL KKRHESEGSKES FPSE_09537 MSDDTHDALPVLPLDEQAYEYIYAELYQFQRLIRTYREIANAIS MKWPRATREVRLESSGKRPECPVVPTVIKGIPIRQSGQALGFPVSKVHPLLPDHLRAL INTYCDPSVTDCMLNDPNLGNAKLFLRMGEESPRNEHLNTRLTRAVYFDQIVDAACCD LEKRVKQMGVALAIIHKKGYDAAGVEFQIAFHPKTRKVSMGVGSFTRCEKPCSLSPNL EYELALAIAHNPTWPRPPGGFGFIGYDSPLTPLARVVWETFRGAYMNAFFELGNTVGQ TTFAPAVVMEHVCAMSNPDYAWITGQPEAYCA FPSE_09536 MVEKLYVTYNDVHKMCQKSAEKLLLDFQPQLLIAIGGGGYVPAR ILRSFLKKGGAPNIPIQAIGLSLYESLGNDEVEAPGTKVTRTQWLDLTALGEMQNLVG KRILIVDEVDDTRTTLEYAVRELEKDVETARQKMGGTAPKTEFSIFVLHNKDKPKKGT LPGEMLATRYSAAETVGDVWINYPWEAIDIDEHDRNASLQTKSN FPSE_09535 MHNVLSKRTCFFLVTPTDATNIIKETRDDQDDEWKIKRKYVKVL SGPVLKLDRRIEHRLNAEELPGKNRKRWTRRD FPSE_09534 MITLDPKDYKIAWIAPLEIEAKAAMCLLDENHRGRFPVSRGDDY VFQAGSMAGHNVVIATLPAGQEHGTGSAAALASQVKKFFPNLWFGLLVGVAAGLPNLS CDPVRDIRLGDVLVGLSVGESAGLVPYDLGKETEDGFNPLRGGHSLAMTESIVLSAIG SIKLQAPNDTEMFLPYYEKISDCEHATGTFADPGQDNDILFDDNEDDKIVERPQRSKS NHQRARAWYGPIGSGEKLLKNAKKRNELRDRYGIIGLEKAAAGIMNRIPVGVIRGVCD YGDRHMNKNWQPYAAAMAASYARALLDEIPSSDRTVSTTKNEHEPRYYIPLTRNARFV GRSSILNAPEDEYPLREVSDSKATASR FPSE_09533 MEESAPKRQRTDGSASPRKRRPSYASPTKASMSRHHPEAFERRM SRSPQKLPTARPSAQFTFDSPSEALAARLATSRIVSDAPTPTRPGEAAPGEDDFNPFR GGGLRRSPEAGVRMDIDTPPADPFRRGGLRRSPPVRAQGDEDAPSASLPEPELPPAVH ASSPPALPASMPEPQFGRPADEPLLESESEASVPLDKLPSEELSIARSISPVASPSPA PSFSSLNIQLPSRSPSEIRSPSPALSSPTQAPGQQTELEQDAETEPQSEPVSEPDVTA EPAHGSNQDSPSPPPSLPELSPSPPPASMPPSRIPRLAQESRNKAPAASQPVPQLPPL DDGPRKSFQNSPVRFHEPSQQHNSPLTKPPSRRFEKPKKDISHASASQERKPAATNPS DIRQTRPFDPDAEKKKAREMIQKEIESLKKDLEVARRENERIRAMQESGRVLAPVDQN EVIDLIQRHLMDADAEPSQTSTQQLLQAALKPTALLPFGKAIAPAPSAPVEDKLAYIK SHYPVPMTANEELPYLQLFTPFTASSTISILPQTDDQPLRQLHSIVLRSREIPGLFTA KVEMTVNPLELSVLDLYVTTLEPAAKSELGPFIEKICTGDCNRSMQRNVGILSWAMGE WLRVAVERAGFWCQLEQTLGTKDGISKVTMQMRTKKRRRKDDDGDDDMDEPVGVDSVK KADLIRYMGQQHYDISIPYNDAEESSANVRFNWKVEFDWTGEAQTKLSVLVGAPGKWH QTDERGVLGKIPKLFEELVHGHQDTEIAVKTIVALIAGEQS FPSE_09532 MTRAQQTISLALLVSSLYLALFLELIPLPPLIQEQIVPVLPFWA LVSFGAYLLFRLGFGILTFNDVPNAHKELTAEIEQAKVELRKLGVTVD FPSE_09531 MLVVEPNEEVEDDGSSFRVNDSLPQVPAGTCASSASMVPTDALA SSKPMKRERSTELEQVHGASSTKRHANATTGVLLAPNTFPWKRCRKSRDAKRLKIKEK AVVQAEEYCSKTRTALESVLRTPTDSPEAIMMGLRIVEDWCKKHGKTFTEYRVDDVRT KHERCEILVGVEGPTGAGKSSFLGTLLDMKDLLPSGQEAASTAVTCMISYNWSDDPDS RFHANIVFRKRDEIASILETLLKDLNRWSDLVGGQADDDEHDSENKDENEDDNYNEDD DDGDGDGEDEDDDEDEYDSESNDDNEDDNYNEDDDDGEDDGDDEDDDDNEDTIEALRS AIDYQLPEIQVVWDMDEEQLLQLAKRCPEELTYTMAAGIIFQRNPTATRFLTDGAVAF HAETQDIFSTGIKPFLDSTSVLDEGGDQFRPWPLVKGVRIYVKANILKPGITLVDLPG CGDAVQSRSEVAQKVSHTLDVRMVVSPIIRATDEKQGQSLMKNGFDEAQMRLRGKMNG RGFCVIASKMDDLTVDSYIAGCPELRRDKEVAQKQKQLEDLKHEKVVLSGRCKELKTL KDEQKRRLKNAATLFESAMQRHMSASQEDANATKKNMLNSQTQRNEANDDLNDAEQRL SDCELRLKQIPIMIKNNRHWIYHRAIQTRNARVIASLRAGFAKRKSRLTHGKRSRKPH PESSFILPILPISTRAFWQLKSNDNPMFGFPNEKCTGIPAAKKWIHQAISAKREKHLD VILDRYDKLMALMRTYSATNGQDGDFNFTRSELQAILTPIHDLYTSKLGSKLSEACSE IRNLNLLEHKDLAKKRFLEEGPVVVQKWAYRDPQDPKTNIIDRATPIIETLSRDWGSK IHKQLPLIRKPMMLDYSKLFAEYLDAIQNIIHDKIPMISARFNQLRPILDDSKITTKT KIDEVLAKLTEEAIIITLNVAPRLRTEMKPTFAAALSDSGTGVYARRREAMEKKMTED GTSMCDKVVDSLVDGVADKLARMPIQLELAANRGPMNVKRQISCLVNNLVENHSTDPE KKAMKDKVQQNIRALVEAWEAAWAETENYGEHILDLDLAIPSTLPDSVI FPSE_09530 MIDRKPVPNLPELDLDNLAILNDVSVHGDQAVALTSNDNVTTLP SWLLGEAPDDTGRIANATPCIVLLVERSQRDVDAYFFYFYSYDQGANISQVLPPLNSL AGGMADGMHYGDHVGDWEHNLVRFRDGKPTGIYYSQHSSGAAYNWNEEGLSLRNDRPL VFSAWGSHANYASSGDHVHDKALYDWCDAGKLWDPILSAYFYHMDPTTFRLTRLSPPG STSPPTTNFTSFFYFTGIWGDEEYPENHPNQKKVPYFGLKRYVSGPQGPIWKGLVRKG LFPDDPEPKKLIQYVVGAFMTLYPYCLKGWRVWVFLIVLIGVIVFMVLGIKRGVRRYR TRRMGYKRIDTEIPLSNLS FPSE_09529 MARFTQHIFVLCVAILILHVGSAMADMEPFHVFYKEWGFIFERI IHDNCSAEYDVYIDGKMPRSEWYKTSRWLGSGSTCANVVPLADCIIKYSPEYMKAGMA AANVVLGLTPAILSSLGSGVEETSTLFICGRRPFLALCLCLGSPGIPPLRLFEYRQLF QPLERRQGRLRLQLYSFGTETLILIAEYVLVFASIANVAQLGHEMASRVVLVFAPHLS YLILLWFFIGSSAHIFSAMSLSLRVRIEHEEYDEDGDAFAWFKSWIVPWQRRGSTKIF FLPETLFYSFFVGFISLLIAAHIIFGTLVFSSVLFISVRDCMPLIGRLMASVIVCRVV LMYEIAKIRHLCWTEDPPLLTYENPEDKVDDVGEQGYITAKRQSDGFSLIPKFRRLTT FTAWEL FPSE_09528 MVEPVWGPQTSYLNFCEEDYIVTRYIAEFINTLSSLSYIVYGIY GLASCDKFPTASRLIPYCGLMGVGICSGGYHMTLKYHTQMFDELSMHLLTTPLLYRLL SYNASPQRTKVVGLVLSTLFTTVMITHVVLDEFLLHAISFGLGVLIIARRSLQIISQR TLEIDTRRDLRSISIAGISFFIFGYVVWLIDDWACRYLTDIRHTVGVPFAFLFELHGW WHFFTAIGGYIAVIVVDTITSNEKVDNPTSRLAWPLPLVARLMANSTVAKPT FPSE_09527 MNFVWSPDNEWDYIANLTGDETWGHEHMRRHLMNLENCTYVPEG TPGHGFDGYLVNDLSNATIQIGEPNVANMFQQMFQQTEGIDVETTDEMIKLFQRDLNG ADPDRYKDGSLYSTPSAIDPSTGARSGAGIYINEVIDAGHPLTVSFHSLATKVLLKQS RHGEKPKAYGVEYMVGEGLYSTDGRYDPEQTGETKKVFAKHEVIVSGGAFNTPQILML SGIGPREELEAWDIPVVVDLPAVGSNLHDNYEVPVQMQAEENWYEPVEGSPCTGTYDE KDPCFVEWRDNAAGPYAARDSGYGALWRSSQSWDEDSDLMFLSGIGLAGLTGSYPGYS RGELSSIDPAYWMHAVVKMQTSNSVGTVRLNSSDPRIRPNINFNYFSESAKRDLDAIA EGIAMLRRAFDATGIPYKQLIPNPENERQEIKDLAFSHHASSTCTIGPDDGDSCVDSR FRVRGVDKLRVVDASVFPRSPGAMPNGPTWTISRKAFETLLEDNHKK FPSE_09526 MSFHPDNLPSLNGKVFIVTGGNAGMGYYTVLHLAAHGAHVYMCC RSLEKGTAAIAEIKKEHPSANIDLLQMDLMDFSSTVAAAKHFLTLETSLHGLINNAGI MATPFDMTKDGHEAQWQTNYLSHWVFTEHLIPVMQNTAKTLPPGSVRIVNLTSSGHLG APKTGINFDDLTLKDQGVWERYGQGKLANILHTKSLHNKYGPGSENKDGEIWVTAVHP GLVETNLATTVDPTEKGMLTLVSALRCFRMLWSADKGSWNSLYCAASQDMKAEQSGQY MEIFHRFGEPWWASSAAKDEKLAKKLDVWTRETMSKEGWVQ FPSE_09525 MAFHESKAVTMSCIEITPMPQLAEAIDKADDWTGTKDAAARRRA QTRLNMRAYRKRKAQEKKNQLAVVKQETTIDLWDIKQELMSSVSSSNAKQLYNSRNPL MPYKTQNNQLNIIFPLCPDHLITLLQYNALRALSVNRSLISGILYTPLECDQEIIHVV PYPPNPESIPAALLPTLLQQTVPHGDWIDLFPSPEGRDNLIRATGTFDEDDLWADCIG GLYEGYPDDEMEKRGMIAWSPPWDISGWEMSPGFVEKWGWLFKGLSGPMEATNRWRVE RGEEPLVEMFEELRL FPSE_09524 MTTFTQLPIEVRGMIYEHAIIPRAVLVHRRKVPYSEFAREYERR HNITLQSKRDYMSVYVQWNASNEFRFWSRTRIPALLHTCRESRGELMRLGYRLMFATQ PNEPLVWFNCRLDAIYLSGPNIPAGGMSSLLEGLYQSNLRPRRTRDDLDDQMSTADKT EIRWVVEPIDCAEYRYTGEVTGFDGYPLPFLALD FPSE_09523 MEDSSLKRMSSIPRLSKLPVPRPTSGIPKPTSALPRPTSVIRAS PSRESLGGGELRNPKLRPSMSRDQLRTSQPDLQQRAQRLRPTASRDQLVVPRSRQTSL INGPPSHISPAKPPSQRITSAAYRPVDREADIIEERSTTPPYDPPGGAVGISEGTIFP RQLTLTRRPSESFAVSPLYDPPDNIFNPQIRPRTASTDEDDRSDTLSASSRRSRPSLS QRTMETLANTSPALRKTSNFFDPNMTGPRSRADSGASRPGSSYASDGSLRLSRPGSSS GQSDHGFPNLRASTNSFKAPLEPIDGTPRKRSGTVIRTTPNSRAGASKPPPFADARSP SPGKTAMPTPMSGSKSLAIRPGSAKTPAKGMFKKPSLPALNKASLHANSTRRGSVNSA STASWDGTIPSSATSLAGESAEPGSPPSARKSSAALREQIAKAKAAKRAQMKQAAELA QTQEGEEAPIVPHDTGFDFGVNNNDPFNLRQGEDPKKKVLQNRVSAARTSGRLNIAAL HLKEIPIEVLKMYDLESIGTFDGSWAESVDLTRLVAADNELEELDDFVFPDSEPSSFD EAQASQGNIFAGLETLDMHGNLLVNVPLGMRRLTCLTSLNLSSNRLTNNSLDTISQVT SLRDLRLANNLFYGPLNPVLAGLSELEILDIHGNNISALPPKIENMSRLRILNLSENS FESLPFDSLATLSITELNVRKNKLKGTLIEEPIGCLPQLHTLDASANQLERLVPLGAA IDLPVLHSLSLSVNRLQGLPDMTTWVNLLTLSVEENSISGIPNSFMGLEKLRHADFSS NDIRVIPPEVSRMDNLTMIRLSGNPLRDKKFLSITTEELKDVLANRLEPPPPYQEPAG QVGVLDVLKDAKDSDAKPAYDEEDYQSNDSFATPPTSPNRSRSHTVSSRRSRSHTLSN QSWPVKPGGILDRSQTESSSLHPVVCAKVAAENRVRQIFLQNNLFATLPNSLSFFSET LTALSLSHNQLVGESYLTEELELLALREINLASNHITNLRALTQFLHAPSLEKIDVTM NRINALPTDLKEAFPNLNVLLASNNHIANLEPEMIKGITTVDVSNNDISHLNPRIGLL GGPGGLQRFEVTGNRFRVPRWNVLERGTDATLRWLRGRVPVAEMGAWQGEENEPDVD FPSE_09522 MPVYHTNPFIESLSLMPRHTDDVLKSTNPSPDTTLKIVAAVWAV GWIMFGIISVVSLNARGRAGKWVPEWYLDSDGTKWAKLSVAAWWTFVVLFWPLIWIVY LVGITWRAIKRGYGNWKLRNMDFQSPEGGSDV FPSE_09521 MGSPVESDQPVRPPQGDTIFVKHPRLDPSTQPATPQEIGPQDPV QETLPSTEIPNSPIDPNESFTTQVNEDRPHVTVIPSSLTPPPSTQVTISHNASQPGPS KRKFSASQQSTLCSPPATIRNIIRDRSATSDFLPPAPQQVLEASADELRVMVQNALAE QQKLKTEAAHFKLQYNLMALQADDDAKRAAVEHEMMRREVEALRNAEHTRQARKELDS ASESLQAKYLQMKAWYEGTLQDQDILQRRLKTAKKVIKQREDEYNTLAEERDMLLNRI RENREHMQMLCSPGGIFHALAPKQRGVVVPSSQGQRGSQQQASRTQVHNRQGAHGLSA LLQAMSQDKDNNSAPSTPMHSHRPPTRHVGKHSRNAQSLSSLPTTPMSRPAGPHVGLL PSVDLVPQTEPQRYTQRQFIPTTPKSERQRRRSRESTISVDDNEELARQALETVAAAQ SFTSQTSQSRNRNGQGEVYDSQASQAAAEMLRRDPRQSFEVADARKMPGAVEKSVRMQ ERLLSHHHNGDGDKRKFNGDYNSEEAHRDDESPAKKSRVVEPLTDSQKKFGLGIQYRE FPSE_09520 MSARLHKGLSSVLAKSPSDTVILSSVRTPICRSYKGQLKDAYPE ELLASVLKATLKAHPEAPVDDVAVGVVLSELGGSKAARMALNHVGFKNTTSLYTVNRA CSSSLQAIAAVSGQIQTGMIDSGIAAGMESMTRNYGSRAIPVDVWPELKESENHHARD CIMPMGLTSENVASRYNVSRADQDAMAVESHRRAARARSEGRFAEEIVPVETRFQEVD KQGNKVGEEKRITVTADDGIREGVTIEALTKLKPAFKADGTSTAGNSSQVSDGAAATF LMRRSTATALGLQDQIIGKFVSAVTVGCDPDEMGIGPALAIPKLLDQVGLKNEDVSRW EINEAFASQALHCVRALGLEDAWAKEKVNPDGGAIALGHPLGATGARMTSTLLHGLKR DGGEVGVVSMCVGTGMGMAGMFVRE FPSE_09519 MASPFLRLFLGVTAAIIFIAVFTPSESVSSVASSFTTPLVLRNL DVVIRQEEKNPVLMRTAVTNNNDHPVTILNYGSPLDALAIQLGTLYITSKGDSSPLEI LEIENDRLWPPMEDALVEIGPGQTAIWESTLQEPVVPMDRVFESATVQLKGTWTAVWP REKEGIDFSELEEGTPINGTLTGSYKSNIIDIEVA FPSE_09518 MKWLAAGFAFASLAAAVPLTGGRAPTPLNVELELQGNSKVKAVV TNNGSRNLKLLKLGTFLDEAPVERAQVYSASDFSATAVKQPVPFDGVYLSIDTSLLDD TSFETIPAGGSYETSFDIAEFHDLSAGGKFSVLSSGVLSYAEENTTELVGSIPFYSNQ IDAEVDGTQAFSVRTSFHSKRAQVQSDCNGDRFAVTQAALAQCVSQAAAAQQAAANGP ADKMDEYFKRSDAATRATVADVFAKITAACGTIDSGEMRYYCSDVYGACKNGVLAYTV PNGNYMSYCDLYFDRLPATTTNCHGQDKGNTNLHEMTHLNQIKGTSDFGGYGYSFLRS LTAEQNINHADTYALFAQAVSMSC FPSE_09517 MAERWDRDRFERMSRGRPDDDAASYTRSTRPRDHSDERYDRRPG RGYYEEDNMSRDRRYPDDPRYPPQLQREREREREAPPPWARRTDVLERERERDYPRRE SPPRRPGFLRRQSSLDTYDRRPKFFEHREEYPAPARREDVRPRDPREDYRAPPYQPIP LPKSRGLPPPRRYNDEHYDDIKVAEPDFYGDEDYRSMPERVREREYTRSRKSRGRGRD RSRESRSTRTRSVRSSSYSSGSSSRSSSSSGGTTVRSEYPKKGKTRIPAKLVSKRALI DLGYPFFEEGHTIIVQKALGQDNIDELLKVSEDYKKVEAEIAASREPKAPTAALPAPP ETVREPTPEPPPAKTPPPPPPVQEPPTPMPPVQATPAPPPVQHVPQPMPMPTPAPPPV YYQPPPPHMAQPVPPPAFYQPGPPPMGGPPMGGPPMGGPPMGGPPQQSVYDYEETVIR DVSPSRTTTSMSSYDSYYRDSYHHHHHAPTEELVVRARSRSRNGKDIRKEIKALEREL AHRPRGRSTGGELVRAERLPDGQLVIREERVEKEVLHRKPPRIEKDKKGPPPKLMRAM FATLT FPSE_09516 MAVTSAINDLFASIYELLASVFNTVYAIIHSIFSAVLGFVQGLF NLIGDVVSGLVDVTGGVGKFVASNAAILAVGALGAFAYVRYTAQGKQIANKKTQ FPSE_09515 MASCFGEDKNQVLDQFPCDPSGKVKSCCSRGDSCASNGLCVTSN KDALSPYFINSCTEENWDDPTCIRECQGNGNGVSPCGAGKFCCYGFGGCDCNNSTQVF TLDPVKVITTIPSDATRVVEDTSTASEAPTETGSSTRSTVTHTSTSAAETSSSSSGGS SNALPIGLGVGIGAGVVLIGLGVGFWLWRRKKSRAAKPAAVQDDYMVKPPMENHNSPN YGHYQPVKPVEMSANADRVELP FPSE_09514 MSVPCLVENDALADWAAWDESLGWVMEDPDPVMDQPPTETPASP KPTITELKATIDARVRLAAEPISRARRLTGRWRGRLCRVVGIGCYGLEILCWAKLQRH LRSKPHLRHEEALAAARVETAQQVAVVEDGGLPAERAMNSAHSAVGDGDEEALPKQNV HGYAQMR FPSE_09513 MMNILDKVALAHVVMVAVGSYIPSLYLFFSSLCTVRSQKDPVRT AFTYAKYALLIFSAHAFFDIGNYITFLIFSLTYSYIDPEDEDFDWGRYASMMEALGIC TPVFRMVAKLSDVVTDILIAIILLRLSTAILTLYSGSAAGKKLRHVSYAAAFAMSALA LAFFGLLIRSIFDIRYGDIDIGADCYEKGLKVELATRVLIFVISLAVFVKAVMVKKQA KADKNLTWASTMLVVASVVWILHTSFAMASMAAWENFGNYWSAPRVEYKLYFHILEVV FGIWPQFVTLVLVYIMGRAKANGIWSKQQNSSKQDEEGK FPSE_09512 MAKKLNPTQFTKAVVRSFMKDSGLEPTLLGKHFRVVSATEGRVD FEVDIQKQHTNRLQTIHGGTLASLVDLGGSLAVASTGRFSTGVSTDLNVTYLSPGGRP GDLLKGTAILDKIGKTLAYTQVTFTNSKGQLAARGSHTKYVAGTMGEAGPFVAPAEFS DVD FPSE_09511 MQLSHIFSITILLLPQVIAQEGICWGVNGMQWKDNHKCPGSSAC CGPDATCMPNRLCQRKGQAKNQFVRGPCAVAPYDRKKCAAICIKEETNNRFPRVEQCD DGSYCCDYDSGCCVGGRGVFLNSDGSIEDNSTSTTSEISSTFSTSSTVSTFSTEYSTT TSEPTTTATEEADTEDEDADNSQGVKIGLGVGIPIATIIAGLSTWLFLRRRSKKQNPP PSYPATNKMYEQSGAKIPWTPQELDSYNGMTGVYNGRSAKIPGTPQELQG FPSE_09510 MSLASALIFRVSQLIRDPPRALVRLGIFAAFSILLILVTWKSSS FSNGWSAAPVIETELGNITQQAKTYNESPVKAPYKTTFWEVGQRSRELSKWLSRSEQI GTTSRSGRELRNVVESAAQDLFPFLKHPPRKPRTQTPLSDLRNSFDKGSRGIVIPVGG GEQSVRFAGHLIVSLRKVLDSKLPIQVVYAGEDDLPKKDRNRISNLDGASDVEFLDIF TVFDDTTLKLKDGGWAIKAFALLGSRFEEAILLDADAVFLQQPEKLFEQRAYTEKGAL LFHDRLLWQHAFKQRHEWWKDQIKEPTAEMNNSLVWTEDYAEECDSGVVVLNKGRVSN LVGLLHVAWQNTHDVREEVTYRLGHGDKESWWLGLELGGSRYEFEKHYGSMLGWGKGK EGNVTEVCSFVIAHTDQKDKLLWYNGSLLKNKRVDPDGYEVAEYWMMDGKWHKGGTKD DMSCMTDSEVMELSPEEKRVLKESIEVAKGVDSALKKGG FPSE_09509 MSVTPSLQLAEYLEKLPGTTFRKLYQQPSTALAIFRRMLPQLAK VFVMRILFNPKPILLSDLDDWVKPSHKRQKDQALSVMRVLHIVQISTPSKERPQEMQL TTNFKVSLRLALSGGGSHNSFGVPSTLQIPPEIDIDFLDRYARKKWEDILHFVVSSVG YKSAGESSGPNKSVKELLVAGRLVDRRPSGAIGITQAGFTFLLQEANAQVWTLLLLWL EAMDVNKMAGLEATDMLSFLFVLASMELGRAYDTNALTEQRKNMLPSLVDFGLIYIPN HKRSMFFPTRLATTLTSSSNSLRSISDGVAAATAAALQPGQSRAPGSSATGSSNEQRG SVIIETNYRIYAYTQSTLQIAVLALFTKLQMRFPDMVAGRISRQSIRQAINFGITAEQ IISYLSAHAHDQMRRTASLNNKPVLPPTVVDQIRLWQLENERMKTTGGFLFKDFEDHK EYMAVAGFAEEVGVLVWRNDVKGMFFASKHEQIRDYLRIRKKAE FPSE_09508 MDSFRAGLEGKRLPSSKLRLPTTSNFLTRSKRKRPVVSAPIGPV KNSRGADFVRSDTLIIVPTIKDCCSDDSSSDKENAQAIKTTRRISASFSTHGSLASPP TVAKSGLTATSSHQLLLSAAPVKPLPTTASFTNFSRKTLANSSSYGVYLTVDNIFQDE NVPPPDHKEVAPAETASSTMNKSRLPKSRTLTVLSEIKSSISRTSMNSKPSSPRPLAD QSRKASSSSTSSLLTSSSSRLCLPGYASTSASRTSSTSTLVTELLPKPDQITSSQPSA YWSGRFVSLHDRFLTEEFSTEDAVSQGSLSNNSFYAFAMKTDRAAVNSRPTHLSHSTT TSALTSLTVTKPRNKTSTKDARCLRVFHHLENLCITIEARRSLLAWQQTYARRMNKPN LLPQGGSMEHRSLMGRIFSGGKGTSGNTRHSLPAMNGPSGLPVSKKRPSLASKQRGKR LSFN FPSE_09507 MCIVLLTTAHPDYALIAIDNRDEFILRPTSRPHWWTHSTSGRPV LSSRDLQRAEKGTWMGLSKDGHLAVLTNYRETDIKDQSHPIHAAKSRGGMVTAWLGTP PEESLKDSVHKLVENDGVKGVGGFSMVCGKLRKNTSGIAIVSNRAGNVNDVPIIAKER DEMWGLSNTAFDATGKEEEWAKISIGKKLFREAIDSSVSDKSTQEDLISNLLDVLTHD TLPRNEDASLVEYINELKKSVFIPLIGDEKHRKAMEAAMAKGPGVWATDDQKAAEELM SEGRPDPSTTPIMGFETGMYGTQRQTVVLVDWEGKVTMVERALWDGNGNAVPKGEGDL KFEFSINGWNDESCNGIINGVNGESNGHL FPSE_09506 MLLEATAADRANVLSPTLTSGQQHGHDHVSSSHSCPRPCPRPRP PPVNPPPPPPPPPPPPPSPSSSPPPPSPPPSPPPSPLPSPLPSPSRVLASSSLSSSQN SSCAAGSIPPSPSAKKRAARYCYACEKAFNYKNFADHQKVHKIEGDSGARVKPCKQCA AKFPDGCRVAKKPDEAGTYACARCLKSHKSCEYTALKRNIASWTGPCAGWAGRKHPKL IEHRRPWEL FPSE_09505 MADTKVKVNGHGHGHINGNGTATPEKTPVNKHTKLWQLLALAKE VSKDVGSIEDYEKDAEERKILAAELEAKQGENKRLREFNDKIVREFSEHKTAASAKTD MIFAEFEQKYKTYESEKAAVEAMEKEVQEAREMLAAAEKKAAEADKLKQRLSSSEMHA KNHAAEIREMNAECELHRSQMQTGAEELASVKTKLSKAQSDLGEGILKDYGSEEVKKL RSDLQDLSTKVHDFVNEYFNFHDGAVDSASEIQELNARFPKIPLSIRTTKAAAKMRCA VADAVIAETLLSHIFVPFYVAHDMRSTASSMLSFFKGDERRETVYRCQILGSLTDSEQ VETIQEDIVRKASNEVRSTLHPLVVAYKQTGFYNAVPALFRQAVTLWADAQRSRDMIT AELPDEEDSRGAGQYGDYDVGNVSTRKAGKGSPKPVVVLAVLFPQFVCRDEVIAEGIV LRSDQAIVVEAVEEAQSSENGNGDAKKKPGTRRRSSADPKSPLRR FPSE_09504 MHYIRFLRAPKFVQERHGAFVQLLFTITTDLGDSFLYPERFLDL QVVAIAASPEGGSTWLLSDPGHLHWQPGMRVAKPTLELPIALERAVESGMRVHVCVRA SEPQHTAESVPRILALSAEKMRYRREAAEKGAVMPAWVPVTAQPSETDVSIRRLVLSE SPDGLGTMEIEEEIGESIARHIWDGGVMATCALAGIEAAPNSESSQNPCMRTMKNIFS QQDTIRVLELGCGVGILGLGLGAVYPQLGSLEGDCTILMTDLPEAEQRARANMRRLEK SHLRFQENPVHMLYENLDWEEGKQGRFGPAVRSGPWDLVMLSDCTYNVDMLPPLVETL SAIHMANLTYFPKGEPFTTKVFLATKPRHDSEEVLYELMDKQGWYSVHKQIIRKPVLG EAPQIVELHLFDKSGLSEGQSATRTEVKREEKKEFDDEGDASDTESSVSSDEESEY FPSE_09503 MTSSQLGIDESENTYNHLKTDWDESLGQATSKVKKTPGQYIHHK EASRPLVYLILKFQASLIQVQLLLRFHMLSTTQNGQDSSAAIQDPVVPFTVQYSLLPS DTNLAITSIPLIESSHQNQPLQISDTKSHQGMSIHRLANPVSPKPLAYTSLATVNHRY STKSYWLVQPPCSSLRYAISMGSLLRTAAYNKSILLA FPSE_09502 MHDTIAPACYCCCAAAADASRLATLLFVLHDLDSRSPIPGYSLV DIYQNFKSPGNQWISHSQDKVQPYNNKPDSATQGNDSRW FPSE_09501 MSYHSPRTPGSTPIHDYDTYSRMSSATPTPSPRPTWADSTPRRP ATRVAHSRFNSYSQASDFSPRPPKDSPRYNSNGQYSTADVSHKTSSSRRPSESSPRSK PGRRFSFTYVRASTPYGESDEDEIIEALGHTYVLPAQSRSKNHHHRRSAFLPRDFDDD RGRYTNYDDYLQGATVGYDEVYTREQAASVFQSPQSRPPTSFGHNRRSSTVVPPSRPQ TVRPGSSSHRSTTTKPVSTPSTPKATEADARKHRIPTGYSLKNWDPAEEPILLLGSVF DANSLGKWIYDWTVYHQGPATPISDMAGEMWLLLIQLAGKVKRAEETVGRVRVPDNRD LVEEFIEAGERLTEKLRSLLKACEAPMLKAAKRKQAGLGKNAGVEFVETLFGRDRELD KTEKFMQNVRLFNLRFDANCEEILRNPTK FPSE_09500 MDPDSEQLRSILQSKSWFGFDLDDTLHEFRKASRAATTHCLNHI ANKYQPTLRDLQDRYAIILKQGTAEAFTDGKTSHDYRRARFTATLSHFGLDHDDVCID ELLNTYERVLVDKLTRKPGATSLLQAIKRSGRKIAVITEGPQDAQERAVRDLGIEQYI DFLATTNYFGVAKISGLFGKVLEHLNVQPHDMVFIGDSQERDIEPATKEGIYAIHLAE DQTEPLVLQRRSVNSLDVVARLI FPSE_09499 MTSTCLNDGSWGPGVQGCRGDFDFTQKFERIFLSIIPTSVFIAS AIARVAVLAQRERIVNGVLLQSVKLAFLVVYTATQLGLLILIVTGTAGIVHDLSLAGS CLAFVASLLACALSYKEHSCDRRPSTLLNIYVLLTLLFDIVQTRTSWLLVSGSSQQAR LFTASVVVKAVILCLETIPKTRWIHWVAEEHSPEESSGVFSVGVFAWLNQLFVRGYRG VLKIDDLYPLDESMASNMLQKRFARHLRIHQYNQEPKSGLLRDLTRTLIGPILLPIAP RVALIAFQFCQPFFIDSTLNYLQAPETPNSKSVSYGLIGAAFLIYAGIAVSSAFYGYY MERATYMIRGCLVAAIYEKTTQMKITAADDSAALTLMSSDVERILRGAKAAHDLWGNP IEVAIGCWLLYGKLGAAFISPLVVILVCALLLSWLITLVGKRQSEWMNRIQNRVGLTS NAISQMKLYKISGITGPVADLIQSLRVGEIKVGNSFRWLLILAAILGFTPVAISPPVT FAFTSRELNINTLFTSLSYILLFTTPVVALFQSLPGIFAALTCMARVQRFLAANPRND FREHQSDLVSEKSSPDDASEVAFSIETGCFGWGGEKMTLRDINVSIPAHKLTIVVGEV ASGKTTFCQALLGELPVSSGTIRTLIPSHRIAYCDQSVFLYNGTFRQNIIGHCAFDQA KYDEIIEATMLSQDVALLPQGHDANIGSNGIMLSGGQKQRLSVARALYSEANLMIFDD ILSGLDLGTESELFRRVFEGITRRRNVTVIICTHSVRHLPLADHIIALGNGTVIEQGD FAELMRNNKYVHSLGVKHRDTDSSSENEKSEPTVPAPAVRTVSSSAVEAAEDKARQQG DFSIYKHYFQSVGTWAVVGVALSGMLAGACQSLSGVWMKFWAEDAFDKSFTFYVGIFA FLRSGFVVFLFFNGVITLIGMTASAGTELHQRAILTVISAPLRFFTTTDTGVVTNLFS QDMTILDSELPLALCNFTLDISITIGSAFVVASASPYLAVGYPFLAGILYFIQMFYLR TSRQLRLLDLEAKSPLYSHFSDTMRGIATIRAFGWQQNDIVYNNQLLDTSQRPAYLLA MIQQWLASSLRLIVTGIAVILVALATQLRTNAGLTGASLISLLTFSEIMSDVIKSYTS LETSLGAVSRLRSFSETVATENMPGEDIEPPETWPQNGHVQIGKVSASYDVDQDEAEL NLVLRDLELDILPGQKVAICGRTGSGKSTLILLLLRLLDPLSSCSTNMKIDDVNLHEI DRASLRKRIICIPQEAVFLPDGSSIKANIDPYNAATDAECFSVLNTVRLTNFVHDKGS LNAGMSAEDLSAGQKQLFSLGRAILRRRVRDRGEKKQGGLLLLDEVSSSVDRATDRAM QEIIRDEFESYTIVMVSHRLEMVMKYFDRVVVLDKGSVVEDGGPRELVEMEGSRFGEL WGIENDGKSE FPSE_09498 MAEKDLGRAEEAIAANHHTELADPAYRNSIETDSTRAEGRQDRA QNHGVSVEQAEADFAELQREFTGVSRASRRKSRASNADPEKNVAAEDEAEVESLFDLE AALRGGLDREKEAGIKSKHIGVYWDDLTVKGFGGMSNFVPTFPDAFVGFFDVITPVIN MLGLGPKPPQVALLDKFRGVCKPGEMILVLGKPGSGCTTFLKSIANQRYGYTAVEGEV LYGPWKNTDFDQYRGEAVYNAEDDVHHPTLTVEQTLGFAIDTKMPKKRPGNMSKAEFK ESVISMLLKMFNIEHTRHTIVGDHFVRGVSGGERKRVSIAEGMITNAAVLSWDNSTRG LDASTALDFAKSLRIQTNLYKTTTFVSLYQASENIYNLFDKVLVIDGGKQVYFGPAST ARNYFEGLGFAPRPRQTSADYLTGCTDEWEREYAPGRSEENAPHNPESLAEAFRASDA FKSLDAEMAEYKASLTQETDTHNDFQMAVKESKRGTSKRSIYQVGFHLQIWALMKRQF TLKLQDRFNLFFGWFRSIVIAIVLGTLYLDLGKNSASAFSKGGLLFIALLFNAFQAFS ELAGTMTGRAIVNKHKAYAFHRPSALWIAQIFVDQIFAASQILIFCIIVYFMTNLVRD AGAFFTFYLMILSGNIGMTLFFRIIGCVSPDFDYAIKFAVIVITLFVVTSGYIIQYAQ EQVWLRWIFWINILGLSFSSMMMNEFQRIDMECTADSLIPSGPGYTDIDYQVCTLAGS KAGTTFVSGSDYVAQGFSYHPGDLWRNWGIVLALIIFFLILNVALGELVNFGMGGNAA TIFAKPNKERKALNEKLNDKRDARRKDRSNEEGSEITLKSESVLTWENLNYDVPVPGG TRRLLNNVFGYVRPGELTALMGASGAGKTTLLDVLAARKNIGVIHGDILVDAIAPGKE FQRSTSYAEQLDVHEPTQTVREAFRFSAELRQPYHVPMEERYAYVEEIISLLEMESIA DAIIGTPEFGLTVEQRKRVTIGVELAAKPELMLFLDEPTSGLDSQSAFNIVRFLKKLA ASGQAILCTIHQPNAALFENFDRLLLLQRGGRTVYFGDIGKDAHILRSYLESHGAVAK PTDNIAEFMLEAIGAGSAPRVGDRDWADIWEDSAELAEAKETIIRLKRERQESAGGSN AKNGDMEREYASPFTHQMKVVSIRMFRSFWRMPNYLFTRLFSHVAVALITGLMYLNLD DSRSSLQNRVFIIFQVTVLPALIITQVEVLYHIKRALFFREQSSKMYSPFVFTASIVL AEMPYSIMCAVAFYLPLYFMPGFQTDSSRAGYQFLMILITELFAVTLGQGLASITPSP FISSQFDPILIITFSLFCGVTIPPPQMPGFWRAWMYQLTPFTRLISGMVTTALHGVEV VCKQSELNAFSAPPNMTCGEYMEPFFEQGGRGYLVNNSTQDCEYCAYKVGDEFYSTFN LSFDNRWRDLGIYACFIVSNLIILVTASRYLNWNRR FPSE_09497 MTVSKLPFHADHIGSLIRPESLSRTQEQADAGQVSAEQLVATQK AAIADIVKKQQEHGVRAISSGEFDRKYYFGGFFERLGGFKEYEPVPWDLVRLSAPPIA ALKKAGKQYPMAAICESKIEYKQSPYLENWKMLRECVPREQWAECKFTMPPPCYFHLR LASGKCYSPEAYSNDEDFFADLAKAYRREFQTLYAEGVRNIQIDDPTLAYFCSDEMLQ SLRDEGVDPDKLFDTYLKAHNDAIADRPEGLHVGLHVCRGNFSKSMHFSEGSYEKIAE KFFTTLNYDTFFLEYDNPRSGGFEPLRFLPKHKNVVLGVITTKEPELEDAQVIKHRVL DAAKIIADGQGRSLEEALETVGISPQCGFASVAVGAEGMTEEKMFAKLKLVNDVAKEI WPGKA FPSE_09496 MRYSEILALAMGNLAAAQFNTMTFSNSTTAPFETGTATDTETGT ATTGTAAETTTAAGGIGNPDGFNFLGCFSSENGFPGFSQAYSSEDNDADLCAISCLGS NFFGLYDNTCYCGDTLDLSTSPSIATDQCDIACPGDDSQNCGGLSGDALMRRQVSVNV LLSIYVAIGVNPGETDTVINTDFVTETLPVETTTATVTFTEDGTTSTATVTQVIPIIP TNVIIICYGNYCAPQVHCPTCTKWQIVCHDGLCAPEECYDDTWHQLKICNSGKCHYAS YEKEECNQRIVCYGNKCERDQHYSVDYARKFVCDVDDDRWYFDDCKDDCYTYEKCSHG ECEPVHPPVHPVPAPHPKPIGKPPVVVVPEPEHPVKPVHPVAPKPEHPVAPKPEHPVA PKPEHPVAPKPEHPVAPKPEHPVAPKPEPGHPAPEPEHPVAPKPEHPAPPAETGSSEK PQKPEEHPVVTAGAAQKTFAGVAAAIAGFAFLL FPSE_09495 MNSPPTSFNRQFNESSQKDCESRPTTSHDTHNNNINQLTQPQMV PPSPDSSIVSPLTVGSTHEAALIQSIERGEYATDDLDETRSLTDSIRQHIVDGGLRYH SYKQGKYLFPNDEVEQDREELKHNLTVYLCDDRLFFAPIDRVLEKGAEVLDLGTGIGR WCIDLADNYPNTQFHGMDLSPIQPDWVPENALFFVDDIEHEAGWTYNEDSFDYIHIRH TLHSIKDREQLWDRIWKHLKPGGYVEVQEFLFVAASDDNSCDGPYAWRDWCRYLKEGM AALGSDMHGINYVHGELAQAGFENITTKSYKCPVGPWAKKPRLQECGHVMRDAVMWGL VGLSRRPFRDGLGWTLIQIEMFLVEVRKCLMEEVNGLPRYHTYFPYYNIHARKPLNPS VGMTES FPSE_09494 MSALYGINANNDATAAKQGAPGAGSTGNNVPLADQHQNSTDEAI NEKPPTSSPADTLGKGDDEDDSEMERRTSIVQALARSYSHASASHPGGQNPFQAGEDS PLNPNSPNFNAREWAKSIVALVRQDGNSFRSAGVCYQNLNVYGYGGASDYQSDVGNVW LGLEDVIGRVTGRSQQRIDILRNFDGVVHAGEMLVVLGPPGAGCSTTLKTIAGELNGI YVDDGSYFNYQGLSAKEMHSHHRGEAIYTAEIDVHFPMLSVGDTLTFAARARQPRQLP QGLNRNDFADHLRDVVMAMFGISHTANTRVGNEYIRGVSGGERKRVTISEAALSGAPL QCWDNSTRGLDSANAIEFCKTLRLQTELFNNTAVVSIYQSPQSAYDLFDKATVIYEGR QIFFGRADAAKQYFVNLGFECPARQTTPDFLTSMTAPNERIVRDGFKGKVPRTPDEFA TAWKNSAEYAALQVEIENYKVAHPIDGPDAEAFRASKQAQQAKSQRLKSPFTLSYMQQ IQLCLWRGWLRLKGDPAITVGSLIGNFVMALIIGSVFYNLNETSSSFFQRGALLFFAV LMNAFASALEILVLYAQRPIVEKHSRYALYHPSAEAIASMLCDLPYKVANTIVFNLTL YFMTNLKREPGAFFFFILMSFVVVLVMSMIFRTIASASRSLFQALVPAAILILDLVIF TGFVIPKRYMLGWCKWLYYIDPIAYAFEAVVVNEFHNRDYECDQFIPNPGVTGYADVP SGSRVCSAVGAQPGKSAVNGDRYAEMQFGYKWENRWRNFGIVIAWIILFTITYMTAAE LVSEKKSKGEVLVYRRGHKPAAVANAEKKHSDPEAAMAHIGPMVTAERTRSRASGTKQ AGGMLQEQTSVFQWQDVCYEVKIKDETRRILDHVDGWVKPGTLTALMGVSGAGKTTLL DCLADRTSMGVITGEMLVDGKPRDMSFQRKTGYVQQQDLHLQTSTVREALNFSALLRQ PAHVPKQEKLDYVEQVIKLLDMEEYADAVVGVPGEGLNVEQRKRLTIGVELAAKPPLL LFVDEPTSGLDSQTSWAILDLLEKLTNAGQAILCTIHQPSAMLFQRFDRLLFLAKGGK TVYFGDIGENSHTMTSYFERMSGHTCPPEANPAEWMLEVIGAAPGSHTELDWFQTWRD SPECQEVKAELERIKREKEGVDDTDVDDGSYREFAAPFMVQFKEVLYRVFQQYWRTPV YIYSKAALCSLVALFIGFVFFKAPNTIQGLQNQMFAIFNLLTIFGQLVQQSMPQFVIQ RSLYEVRERPSKVYSWKIFMLSQLIVELPWNSLMAVIMFFGWYYPVGLYQNASDAGQT TERGALMFLLLLAFLIFTATFSTMIIAGFETAEGGANVANLLFMLCLIFCGVLAGKDT LPGFWKFMYYVSPFTYLVGGMLATGVANTDVKCASNELVPIVPPNGSTCAEYMGDYIN KVGGYFVDPDATQTCEFCTIDKTNSFLTGVNIDYADRWRNFGLLWVYIAFNMAFALFI YWLARMPKKSFKKAEKKKAVKA FPSE_09493 MAAIPGSTGGPAMDPFSKLHSDTGSLLHEAEARPEFQQTQDEVM QRRMAQVIEDQLLAPMTVNVLGVHGAVNTRMDFLDPIFLPIVANKNNENSTVGGVVNT LRIASQKLDGLQIFQPEPEIFITSAQQTDPSTSPTDVNIDILVKELSRFKLQTGTDVG NGEGSAYGSLLWRNMFGGAEMLELNAKAGTRTRSAYSANLTAPVFSNSDMRISLEGLA SAAEKPWASHEEVLKGGNLRFTWLNEQRDQQSVEYSGIWRQITGLAEGASATVRADAG DSIKSAIKHTFRRERRDNPQLPQSGYMIRSGLEIAGLGPLAGDVAFSKGEIEVGGAVP VPLPGIHGRTGISIGGGLRLGMLSPLPLGYEFGGNLRSTRVNDRFQLGGPTDVRGFKL GGLGPHDGLDSVGGDVFAAGSVNMLLPVPYRGPDSGLRFQLFANGGRLVALKDPKKSS SGGATTELTGSDVRKGLVNAVGEVFNGVPSVAAGVGLVYAHPVARFELNFSLPLALRK GEAATKGLQVGVGINFL FPSE_09492 MASKAATKRLTREFKTISENPPPYIVAHPSECNILEWHYIITGP EDTPYHGGQYWGTLMFPPNYPFAPPAIRMHTPSGRFQPSTRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSVSSTTAERKYLAARSRWWNSTGGGSNMKSNPANKGN VKAGDGGAKFRAEWPEVDVENWEWMTKHKVDPISGNRLDADNNGSCRPQLGISGTSGH QTQAVVDVVNQQRDASTGWIYRNKLLVAGAAIFFYVLVARIITGDA FPSE_09491 MAPQNGKPQNGKPQNGNSKPRRPGGSKLAQSSKPLPAIPLPYVK RQAAAAARASAASAASQKPDAPSPSELKLTNGNAPAEVKKAGSVASAAAPSSVSSPVL TSASKAEKNSTESKVESLPKSKNDNANPANSHNVSVASVSEHSTTTENEDTHKNPAVS DRADQPTTKNPADIGSRTSDKTAAAMDEKSNGVAGQGETAQRHQGYPDAIDPNLDNHH FSKMKSQPPPAAPSGRYQMPPAFQPSGQPLGPTVNGDMRDPRPLLPKGPLPHPHQPHP SNGSIHFGAFHGSTSSSPAPLAGGIAPPPGMLGPDGRPPYMAPGANGFPHMMPYGAEH VPVSTFDNFGRHQMAYVPMDTYSFGPSTPHSYHDSQTSGPPDDVNIYNQFAAAPVRNG GPGRGEDNQSPNYPGRMFGGPEYPQMMQNTGPPPHMMSGMDDAEGLINHFQQQFGSPQ FADCVLELQFANRRALPVRIPGHRIVFARSPELAAAMSKGLSRPSETGQLPTLVLEVE SKWIQPDSFYYAVQRLYGLPLFTPPPSLKQGTTANAGSLPERFDFALGYAAAGHLLHW DAVTRRGCELAMELLDVQTVDKAMEFALEGHRDEGSYDAFKYGDGSRAMLREIVSFIA NNLHPTFKIDTSVTDSSNYARLPPQASLGSNATTRKLSPPPIARGTSVHLKGRLSQQI SGIKFGDLASNESVMSPTSDASGASQPQRTSLNAVLSRILLNLPFDTLKELLENATRD GGAWPNANAVFQAVRDTVLERERRRLQIVEMVKTRQIPQWEIVFNQLSTPEPRNYIGP WGALGWEEEIVCVGPSDSPTLSRTWTPLIDPQMRTQSAYP FPSE_09490 MDYSMSSSDASGSRSSRSSAATNDPVLRNTLRYTISAHEYAALH KYIISRSRVLRRSTPTPNRVEKALKPPKGGDDYNARTIRHALRVFVMTFLGMKGWDAV AKRMGKEEVHSGPKKPFYKSPALRLSISLSTILLLYRILFRFFTRLRVHLLDPQVEPF RSRNPRTAAMLTSTSAPAIGASFAGLALGIYPAQKMRVTIAIYTIFRALEFAYNFCEA DGLIWGKKNGVKRERPWWFGSWMLQPLAFGQLFHAAVFDRDCFPKPFGDLIFNSSSGY LQSRPQDWASGLKWPQTSEIVDSLAQMARLSWPAFVSPTLFPGKEVLPPSLTAIAPLT SRAHPLITSLSCATLHPGDPSCARTYLTFWLQSFPPFARFFVAVFSALTVIPRFSALY HNPLATLQLIITKALRMSTFATGALSTAWASICFFQTWLPRHLLATQRVFLGGFFAGL WAFVERKNGRGLFLYSARTSVDSLWKVGVKRRWWKSMKGGDVWVFMLALMVTGVVYEK DAQAIRETNWRKGVSWLQGQGFKDWGAEEDEEEDDRDKRE FPSE_09489 MGSLRTGVEHYMEPLPFRREPLTDRPLLFSEQCDRGHRPPSFDM SLISNFEFVPKKETSAQFWASMLEELEKVITSIPSGERSCSSLESLPSYPFTWMDGGR SRGEFPVSPLGESATLASSRYASPLEASSGTLRECEYEPAPSTTQSEFWSTRTSGHEL GVRPASAPIAQRIETPQRPHQWATQKELSPSSYYTSSDRESPRIGRENPPFSPWDEDR EPFPVVYPNAPTIDHGASTPRLFLGELSSPGTAATGEYFHTLSSAFASTTYGTFAVQI PFTSRSPTWSEYERDIILYDDSMDDSDSIGDFVCIFMGAFISFVAVSTYAFVLLAIVE YWLK FPSE_09488 MAMDELEEFPMRRTSQTVRFAETLQPSASSVPGRTNNQTKGILR NSNGDVPNGAFDAQSFDTIHAPFRDFSEVHTFADVPRETLPEEKSHAYTFEDLVNVPS GMFSDPARKKLLDLRPFTTILAGYEHTSCLMSGRNFQASVEKGVPDMEDIDEEFNEIW VELQLFWDLLLRMQPNCITSNAEYTGQAVDFLLDLCMAMQLPIDGPGYQVSAARMQIK CFRRRLRRLELLLRTEMHKCGNSIVMLCGCLVGFKRPESKEHDGFWAPVFGNRDRNQP DQADNRAFTVRDVALLLRVEAYFAHFWLAFKKKHGTEVDDFVAAAVESMDDEIKQYIP KHSRNPLRIKREWLNVLLHPSFRKIVVREVNEIVKEASIFYTIHGTSGHRPGCQAHKT A FPSE_09487 MKPTVSQDGDSTDAALPIDDSSISQVFGDPILDLHLTLWDIFES AAASKPDGDAIVSMWQPRHHLSNGDLPPPPNGKDRPYFNWTYAQLRDKAERVAETLES LGCRSGMRLAVVLSNCAEWALFFWAAAKMRMVFVPIDPTVPRDARAAILSVKAKVVVA QDAEAAKALDLSTESRICIVCAEERMGDWLELPDVLSSREKLLESTPKLTNGVDSTDT TQDDAALIIFTSGTTGQPKGCIHTNANLISQCCDFDPEVNAMGKLRWLIHTPVSHVFA INNTLRAWRWSGLAVIPSKTFNVDATLDALVKERCHIMSATPTLVKALMSHPNFPSVD QLDLRIVTIGGTSIGPEDIKLCREGLGAQMAIQVFGMSEGAPIITWKRADPDLVDSWH PGVGKVLPGAAVRICRPETREILPRSEIGELHIGGTSVISKYYDNNRGSDGAMYTDET GNWLVTGDQARIDDKGIVYILGRYKDLIIRGGENIYPLKIEQVLLQQHKELQVQVVGV PDDLAGQVAVAVVILPENLTKSEIIETARNADQRYALDGVYTLQELGLEKFPLTSLGK VKKEQLKAHVLKLRQGNSSPKGPLSSKGSISPTSPVSPNGSNLPKGPINKATPIPPFV NKLLSVCEQLIGIRPSITESFKHLADSITLLRYCDRVLRACGQRLYLQDLIEHDTIEK QAHLLLSRKFQQARLVITPEVPKPNPIQPRQHVPAPNHDIPTTTSACKDTGKDTLSHA KRAVTRAGFSPSEIEDVLPIRHSLHRTAIGSRPQSYHNRMVFRICNVAQHQILRALEK ALVSRPMLRTIVFPDSNRIPFHAVLAPSPALFAQLIHEVDVETEEDAQDRFKDGSAQG HSSPFMFQADLARTSGGQVFLCVTFNHSVFDALSILQWYHDLDHWIQDIKTNIPALTS YRLFSDLFTQYEESVPAQNSVAFHVRKLRGISRYDRALWPPQKAPGMMIANDQGSPHA RERCQVRNQVWNGDWESRAHEFQFPRNGRVATIPGLPKLQDEHGIHPALFTKSAIILF NVLQTGCSHAFLNVWESGRSWPFIPQWMNKLLPPAMSIDGPTVQWILNMTEVVRNETV IEFLKRMIAESEELKQHEHVPWNKVVEKLSDEGEAAIDASFRQSFVWDVSLAMSFSKQ GSFKTFEPVARYDWADCGFFWNAFMVDSVNLYYIASWDTAQMNDTEVGGHCDALSEIL RKLGDENNWDKKLGDVFHL FPSE_09486 MFSLLFLQFLEQHFIATAILLLLPIPLRAIETYLRRWRLAQAQG CQDAASKVPVKDPIVGFDFLYKVFFGQAPERYLDSTWQAFKEMGTTYIERRWSWQCVY TCDPQNLKHILASASEDFDLPEFRTSVIGHVFGQGIFVLSGHTWKHARAVLRQSFRKD NPTPFLETLERNFQSFSKHVPTDGSEVDLQPLFLAFAMDVSTEFLMGHSTNMLSDKAD HTREQQFVDDYMICSEEIIQQMQLGPLHRLKFNFAANRAKKRVYKYLDTFIEESLNSP KQGSEGGFLTDMMTISGDRKGLSDHILHILLASRDTTSSLLSNLFFFLSKNPRIYNKL RDQVLNVAGHEPPTASQLKEMTYLKWCVNESLRLHPVIPTNARVAVRDTTIPRGGGAD GSSPLFISKGTALFYNVYAMHRNKDVFGPEPEEFIPERWEDLRPGWGYLPFNGGARAC IGQQYALLETHYVVARMAQTYSLLESRDDEEWMELYALALCSKNGTRVAARI FPSE_09485 MAPGRKKKGKKVPSGPVADKPCHNCRRRRLRCDRLLPHCAKCEA KGVDCLGYSQIFQWTGAVASRGRLAGQQSSAALYTPAPSTAGHSRASLSSLSSISSNS PASSSSASSVASPSVPSSSPAFIIPSLSQVDEVTETSEAESETGSELDIFDDRYIDEQ DNGDDNDATPLASGDTQLVCTTNNGYPLTSEASTPWVLVDPLYQDITSNHRQYLAYFD ARLSQDFVAYDMPDNPFRNLLKFTQAHPLLRQVIIAASATHMYNRSRPWLSSDSLDRG LGPRNLLMDALEAKHQVLRMLPSALQSIESTGGDIVLAAILFLINVELIESGKHNWKA HFDGAEKVMKLLGPVSDVDESLRDYIVSDYFIYYILNSTFRPTASDSHSYFESCEAIQ VLGKATNSYYCCPPELLEIMLIAARLSNTKPDEVVSADMVTAAGAALLDRARNFDVFP WAQDINLSNIPSTEQDPVLSRFRAATSHRLAICLYILHAIPSVRAWVGEDLADTIFTE LHQMLCMIPDDDINLKATTWVTFVFGACARTPEMKDWVTVQIKKLMIESPWGFLYAAR DALQMLWSVQAEGMPMTSWVQTLRDLHMDFLIV FPSE_09484 MSPSTSFPHEYYYSSGNTTGDQTLAMGATIPASFKSRHHLKQTH EKILTNIKCKREEFGVMDAKDIRSSTEVLDETQRKSRVAVEKMTDAITLYYDDHERYL RQRRQQAYDALAELEGHEYKLKHFLVTVRYGVGPRVWLMNQRKDAKIQQLQDAVDKLR MSCFMARLTDGGREPNNIFAFV FPSE_09483 MSAEKDTPEVEPEEATPAPTKKTNSRILIARDDAEGIKAKADEA ISCILPAAKNHPEVYNATVRNIKSANLRQNWTNTPIFKDFMVWCRASPLDSRPNLVNF LTVLAAHDTFEKTGNKFMDEVNARNLKEWANEQLKVPPPAMSATQSTQSQNSQQAPKV KIEQSDKEMGMLQQLVQNHQGTNTYAGMKRPASSHSDEPANKRVIPSIEREFPALQAQ PERIILRDTGTQTDEFSSLGDVIASMKRATQTMDERSQVQDEHNKTLQEDMKTLKEDI KTMQELFNRALANQQVRSINNSQQNQLQELIPLQPANRAPPSFYYDPSLGPGSGGPTF RFG FPSE_09482 MNRFRTKKKGKDELDAPRPSIESESSSPFRMFGKKKAPEEEPKK ELDLATALPSTDDFRTSLLMTNLSARFSMLREQDDPNTKLGKASDDSVLFPNRQSRMM DFGFAAGLGDIAEVESVKAPFARSSVLSNDDTSSLNGSVMGRSKPIEGNNLFGGRQKV YKIGAGGNKGAMSGRVLYGDDVAQSAFQKWRQSEKDRQSFDDLQQSESLESEIERPES PSQIDYNRKRETNSTTSSGPYAARDSTAATSVSSSQKDRESFANTFRTASPTPTAPTV ERTVTRTRRLYEQSLNQDQQDHQTSALSRFDTLSKRTIGSRTPDLTPPVPSPSSATFG DRFITRSVLGKASAPNLRSFSPSSESVNKFPNMDQKAFGATPPLSPPISETGEVQPLD RNMILSRNNSQYDESRFAQRQVQMQRGRETPTTGFRNDSNTSLSGPRSRSPSAQRTAA EKSDSGSFPTVQEESQSSTFFDDDDDEDEIRSPDIITPQLTVEKPADIEHPALRHSAM PTPLIISDGPGDIASAKVNDLPHDSPTLGPTSGGLSGMVRQHLRSDSNASSIYGSMPN DADLSSRFPPERPDAHAFEPKTMDSNAWESIERDLAMSIDGSVTSPTRSSGLVEAQIM SSPEPVQEFRQDTRPQQQDETDEFARHLADGARRVRERLTSFVESDSGATVPQAPLSE LPPPPRPNALGILKSKPSIGSMERGRGDREAVNQSKTKKLLGLRGSSPASAKVSPTRD TFDDRMPQSPEGMNDADTPRDSEEKEGVHAGLKAFRQARRDLQRMKELELQQRRQGPQ SPPTGRDRAQTATSSRAPSQERSRPPPVSYNRMPSEEAGANGGSRAGSRAGSRSGSRA PSERDRSGSEASSGGRSGSRPPRVRAGSSAHDDYQGPLGSPVGVNAKHRQGPMIRSPM MPGQEMRRSPHPQSQQPYPGNASSGRFGRSENSLHIHPAHGYDLGQPSPISPCAPSPK GFASHGPGPQRPYGPGGMMRNRDMSEPSIKSSGSPMGYPRSGPAPLNGGTVSTPNLHG NVGAPPLPPINPRRKNTGVALGDATMNAHHLPSSPGIPIDQRGLRGEEDEGIGHYRQR LRKATSEANVNARARSMLQNPAPPMVPPPMPPSTSSNALPGGMI FPSE_09481 MSVIGEASRSHKLATVGVIEQNRYEVEADPIRTMSPIRHEVNPC AQTQAPGDNTHPGGLQPTWEAKIMKGLASGTVLLLARGELTVAADHGSLN FPSE_09480 MSSMSTLPNGLVTFGPQANCTLDTCPIEASILRYQPSIPANAVF TGVFALSLIIHAFQGIKMRTWGFMASMIAGCILEIIGYVGRFIINDNPFDFNGFLMQI ICITVAPVFFSAAIYVLLAQVINHVDPSISRFPPQYFYWIFIPSDVVSLVLQAVGGAL SCVASTHEDVKTGEDISLAGLIFQVVTLICFCALFIDYVVKASKSSSRNRLDKSMMTF LAFLFLSTIFILIRCAYRIAELGQGYFSALFRDEGLYIGLESCMMCIAVLLLNAGHPG YAFRKSQEEVTEEKQAQDQDLA FPSE_09479 MFDLFPMLLSSVASFLFPIFASYKALKTSDPTQLTPWLMYWVVL SCCLLVESWVWFIISWIPFYGYFRLMFLLYLILPQTQGAAFLYDEYVHPYLEKNETQI DDFIASAHERLTAAGISYLKLAIEQFRVKVLGLPPSEPETPPPETNQGYTQSLLSRFN VPAARWGNNNNNGSTGTDFYSLLSSAVAAATNAGAAQSAGTRTVGGEPTNPGALIPPH LRESGARMDFISTQRDRLNVLLSALDREAQDLRSDAQRAQANMGPQRDPMAYGGYDNT RNDDEEPTQRPPSGLSGWSGISKSRSETDFERIDADVSSDEDHKMRRRNMGAGTRNTS GSWVPWSWGGDAAQGGASASGRER FPSE_09478 MFASRRAFFAAQRQAPLISNRSIVPALRSYSIDADVIQQNPSED ITEGNQEDAAAKPKYARATAAKPSTATKPAPVRASTAAKPATPTATRAATTSSFPPKS GSKLANPHTPVSVSKSTLSESSETDVESTHDINWETSWFGLGVKPVTLEQNEVLSRPI DVEDVEVKPDGIVYLPEVKYRRRLNEAFGPMGWGMVHRGDVVVGQNIVTREYALIVNG RIVSQSQGVNNFFSPEGVPAAIEGAKSNALMRCCKDLGIASELWDPVFIRWFRKNYME ERWVEHAATKKKRTFWYKKGLAEAVYPYKLV FPSE_09477 MAPAKLNVLVYTGLGTTVESVKHCIWSLRRLLGPNYAVIPITET IILKEPWQATCALLVFPGGGDLGYCQALNGEGNRRIGDYVRRGGSYLGFCAGGYYGTQ RCEFEVGNKPMEVIGRRELAFFPGTCRGGAFKGFEYKSERGARAVRLSVPKDAFEPEV ASEITSYYNGGGVFVDAASVKDKKVEVLATYDEEIDVDGGDGKAAVILCTVGDGKALL TGPHPEFAAANLNPQPSLPNYDELVSQLAAADKDRAAFLKGCLTKLGLEVSPHDNGVP SLSRLHLSSISDTGVSELLSDWSDIITKEDGEEWIRAETDNFHIQNEDTIWSLEGLQQ SLPDTNEASISENGSIDYTKIIKKIVPHEKGLPHPKLTPHFNHGLFFSSLKRYRQIEP TAKTWGDLLMYGEVVTSTNTMLEKNPKLMPKLPSGFTVSATTQVAGRGRGSNVWIAPP GMLIFSTIINHPAHLAVTHPVVFIQYIASIAIVEAVQSYDRGYDKIPIKIKWPNDIYA LDPTRSQEKPHYSKVGGMLSQCLYFDGNYQIILGIGLNTLNSRPTMSISDLVPAGAPE LHLETLLARVLTRIEAIYAQFLREGFSSGLEARYYRHWLHTRQEVSLEAEGGVKARVL GITRDWGMLKVEEIDSSGRTIGKTWALQSDENSFDFWKGLVRRKT FPSE_09476 MEIQQGSSLDGSPTSSPQHKKKARSFVDATGNLFSSVPPGWTPI DTVPGWATVYTESGKPVTASRNQLTIIGEIPTTTVTEQAPPPSGSSRSGWSWVTESST YTIDITWASTLTENPTTTSESTTHSSVTVPWWSKTYTESIQIPTATETTFISTTSSTE SSTESITASSTTSSTSSTSSSTTWPSSTATSAPETSPTNVQWISLTISLFTCLVMIAM VIFVFVYVYRRRSEAPPRERENRNENNINVNVESGRFRNRPLAETNPGCVPQGWDDIR L FPSE_09475 MPLRFPDIDLDKEQNRLAKERNDLPNVDKDKDNNTFTSTGLSSG FTVIGVTTIDTTVGWDTAGSKTQSTSETQSNSEIQSTSETLSTSEIESTSKMSTSDEP LTVTMTVPINHNLPAEGPKTVMSTITYTMTVDHSVELEPSTVWITSISPPTDIPPPTT SDTTPSPSSPLSTIELIGVIIGTICLLFMICCLPVIYFMRRRRSSAPSGSRNNINVTV GNGECSRWSHSEESRPTPMPASLHSQEDAVKTEVVKRPVRVLSPPPRYDDYWKGENDG YEMGVRETAGEASGSNYAQEQHYYDPKGKGKARDDTWI FPSE_09474 MLGRRLFLVAMAALSSASYIVRPRPDPDTYRYLHNEEGPLPKPE LDDSRIVRALTVPYVPVQDPTPVIVPDPTWNKEYTFSWYRPRQTAAPEWSTRLRQPAA STTMTDEPALPLKMTEESVSIPTTVIITKPTGPPIWRTLPNPDESVPVPWTTTSTTLS EPTLTSLTVPESTAVIEPTASLDPTATLEPTETLVPKGESRDASGPIIAGIIGGIVFV GILILLAWLLYRRCKRNQANKRPTIAHPFRRNLPPVPAPPTPAPQAMHRQSRSYFLPD TPSDRQSSFTREWTGALRAWMRVPGRSRQGDSTSVVSRPANVYTGRPVGIPPPAMTAS TRPGGNPDFPPMTTLRPQHISDWSPASPVARRPVPSVGTTPRPAASSYLAPSPYTTQS GRNWRPSQYSESVYSQPGPGVRPDSGRPLGGNWL FPSE_09473 MAHKFVVTAFLTGSRILGRSFVAAYKQAQAASAYQRAQVKAGNT TGGASLSSGMTLDEACKILNVKPPAGGQANVEEVLSRYKRLFDSNDPQKGGSFYLQSK IVRAKERFEREIGPLREKMEAEAEIKEGFKPKVYKD FPSE_09472 MASTPQDVAVLLQQEGSGVSPDAYDAANESQDAISRRTKLLSPV LEALKSQDASTLETTAKALGDGSRDVAWRLPYGQSGILEFFLDILASEEQQSHGVKVQ ALRVTGNSCADTEANRARVVEGKYIVSFIKHLRDNSLVPYLIPVLYNVLVDYEPAQIL ASQSRLSSHLIALLQSPNLFEYSPLVTYFCKILALLITHDGEALVADPDTVSVLLKLA TSSDHSSDIEDFLALVSTSASYLANESFQERLLKSKQLDVFVKAFYIAHTQFDAELDD EDTAKELGQLCTSLLTTLADLTGSDYFPALYPLESSVPQSLLKWLREPHAILQSAACL ALGNLSRSDWASSSFVQQYRAHVPLEAFLSNTEVTDAQLLHSALSFLKNLAIPVQNKS LLGELLEPDSVPRILNIDTLPQVQFSAVSLLRLLLVNSPDNVLRITTPRTVEAEGSPK KHTTVHDIIALFGRTDTEPTKLEAARSVATVCRLLHTMPLDGVLSGWNSGNEETQSRN MFYAEHDLSQILSFLITQEKWPILRSEAWFVFALLSRSQDGAQVVAKVLAVDGAMDAL NFAITGKTASDDQTPQIESGMPEVPPAIPEELALKPQQVDPKQQANMAKVDRENCLVL CTEIVKNGQTLESAQVSRLQNLIRQGTELLGKKADE FPSE_09471 MVWIYAPITIRYNQKLVNNHFRKDWQRRVRTHFDQPGKKSRRRT ARQAKAAALAPRPVDKLRPVVRCPTIKYNRRVRSGRGFTLAELKEAGIPKAFAPTIGI AVDFRRQNLSEESLAANVARLKAYQERLILLPRRSNAPKKGDTKTDVSKVEKAAQIAA AFPIAATDLAVKEISKSDMPSNIEAGAYRTLRVARANARYEGARQKRVRDAAEAETAK K FPSE_09470 MASKMGPRSVKDATRFTSTIPHATSKTAQRTPRIPGETPEQRVR RLRQAHLAAQHAQISKTDRFIDASRRFFDVAHRWTVGSIVIFTAVAGVVSVYSVWDMV QYNRARRAEWVEAQKRLEADELAMARLAYIKGEATEDQILLVEEANQAAEARGEKLPP LLAAPEHRTHFEEHIKPTFQDNKTEESTTTKTSSGKGVLGVFSGVLGGGKKEEIKEQA TEGLVAVQTQLTNLAADGKAKLEQAIQTERENQQNGGPLDRLGTQPAASPASGKSWWK FW FPSE_09469 MFAAQQHPFERKRGREEEGLAANGMSFGEHRNKRLQCLPLRASP RTAQQWLPDSSMQASNMACNDQFQQTSFSQWPPANTTPQNHGYSDMNMMQTSQFDQQQ ASDRLSMMQLESDNSNGRMATPIQPSFAAQVRGQQPMMAHPNGVANLGHNNTGLNDDQ SIPRTMAGGWQAVQNERRLPSPISECDDAMVCQPVTPITVHFDSGINGNMSPGMAHPN VRVEPSPPHDVEHHMMDAEPLYPTQTADGEGDPTTPSPRRGHIRSRHTVNNWTWQPGM KKSFSIGYRSDCDKCRDKVPGHFNHIIVS FPSE_09468 MPSATIDMSTIAADDTRVLGQDPLIPPALLTSEIPLPEKATNTV VKGRQDAADIVLGQSDRLLVVVGPCSIHDPATAQEYAARLKEVSDRLDKDLCIVMRAY LEKPRTTVGWKGLINDPDIDNSFKINKGLRVSRQLFVDLTTQGLPIATEMLDTISPQF LADCISVGAIGARTTESQLHRELASGLSFPVGFKNGTDGSLNVAIDAIGAAAAQHHFM GVTKQGLAAITRTKGNEHCFVILRGGTKGTNFDKDSVQNAKKVLQDKKQKEAIMIDCS HGNSSKDHRNQPKVAKVVGEQLREGEKAIIGVMIESNIGEGNQKVPAEGPAALKRGVS ITDACIGWEDTVTVLEDLADAVRTRRKLNTA FPSE_09467 MARISLFILLLGAFISLAAASTPTFCKCTCFKNSTIIPLGPKDE SLRRSVVDLPTVQLEPRSKSKSCSECTKAFCLKQGIDFCKDATEEDVSTMCFQRDSNK DKIIVWAFIVGTVGLLGWAAFKKVVAMRQGGAFPRQGSNYAPMQGNFR FPSE_09466 MPRIPRVGAFASLPSAVVPVHRVPFVARATFSTSTPVNALGDKT QWIRKQLWKGEAPGAEDPYNERPEPEQPTNLPDEAKELSNVDRRPTPVRNTRLVLPPS NSEALTEKEVEAVETGYTPATSIDDLEEISTLKTWWEQPGHWGEESEFKGFAKAERVK DQPVLEVYLRQALVESLSYQQRGLLEEYAVKKWPIGNRAHLDRTLSAGVLFKNGKAQL SSHFRIVTEKLKARPQELEERVEISADEAQQMVNALDPSWKTVTLDNDYLKFAIRKRL YQLTGHFIPDVKLAAAKTPRELIIVALTINKRGKKLAEVLEEQKALAALPNVTVHNRR VTPIDREVAVGRWKVIEEELRKRDLPVTGTAGYGKNKERDWLTGKA FPSE_09465 MLRNGNDVTSASLDIRRGRQVLPKNVKPLHYDLTLEPNFETFKY EGTVVIDFDVVEDSTSIALNTVDLEIHDTLVEANGATISSSPSLDYDKDSQTTTITFD KTIPAGQKARLTQRFTGTLNDDMAGFYRSSYKDEQGNTKYIATTQFEATDARRAFPCL DEPALKATFAVTLIADKDLVCLGNMDVASEKEVDSKVTGKKSKVITYNKTPIMSTYLL AFIIGDLKHYETNNFRVPIRVWCTPDQNLDHAVFSAELGARTLEFYEEQFGSKYPLPK MDMVAVPDFAAGAMENWGLITYRVVDLLLDEKTSSAVTKKRVAEVVQHELAHQWFGNL VTMDFWDGLWLKEGFATWMSWYSSNAFYPEWRIWEGYVTEDLRSALGLDSLRSSHPIE VPVKRADEVNQIFDAISYEKGSCVLRMISKYLGEDVFLKGIRIYLDRHAYANTETTDL WAALSEASGKDVERVADIWTKKVGYPVVAITEDESKGTIHVKQNRFLRTADVKPEEDE VLYPVFLNLRTKEGIQEDLALNVREADFKVPDFDFYKINSGHSGIYRTSYTSERLQKL GQNAKAGLLGVEDRAGMIADAGALAAAGYQKTSGLLSLLQGFDSEDEFIVWDEITLRV ASLRDAWVFEEDDVNKALKAFQRDLVSKKANEIGWNISSSDDFTAQRFKALMFGKAAI VEDEAAKKAAFELFEKFINGDREAVQPNLRSSVFGVVLTYGGEAEYNAILKEYETAKQ SSERNTALRSLGFAKDPALMKRTFAYTLSDSVKTQDIYLPLAGLRAHKEGIVALWGWV KENWDVLTKRLPPGMSLLGDMVAISTSSFTHADQIDDVKSFFEQKGSKGFELELAQSL DSMKARQNWLARDKEDVKQWLVQNKYL FPSE_09464 MPSAAIETLPGHILGGDAQSRPRLDQPLTYTGSLDNYSQLDVTP VIGREFNGLQIRDLLKWDDVHIRDLAVTISQRGVVFLKDQDVTPHEMKDFMLRLTDLA GCPTSSGLHVHPLTEEGSELGDQISVISSEKQKKGGGLTHQLSDVSRFASAGWHSDIT FEKVPSDYAMLKIHTLPATGGDTLWASGYEVYDRLSGPMKKFLEGLTATHDATFFHDE ARRLGNPIRKGIRGSPLNQGEQLTSVHPLIRTNPVTGWKSVFVNKGFTKRINGLSKDE SDTLLAYLFNLVTQNHDAQVRYRWSKNDCAIWDNRSTFHCATYDYDAARAGDRVCSLG EAPYLDTNSKSRREALGI FPSE_09463 MGNLDIETSSAVAERTSVDHIDKSSVGVGRTELSHALPPHADYE GGHRWDPAATWTPEEERRVVRKTDIKLLSWLCLMFIGLQLDRGNLSNALADDLLTDLG LDPDIYNNGTTIQVLCFLLAEFPVQFLTKRYGFKNILPTLMVCWGLVSTFQAFMTGRT SFYITRALIGFFEGGFIPGVVLMATYFYTSKELSIRLAAFWSSLNVARVISALLAAGI LEMRGVGGKPGWFWLFLLEGGLTVVIGLVSMIYLPTSPTGTKSVIWRKSWYTEREEVI MVNRILRDDPAKGLTALKEPLTWQDVKNAWTDPSLWGLYFIGLIAYIPASPVQAYLTL TLKRAGKFNTLASNLLTAPSAALQIFTMLALAYSSEYFNERTFHCIFGEIWSLPLLTA LLTLPDEGREWGRFSIITLISGYPYFHPLVSSWISENSFDVKKRAVASSTYNVIVQMG SVTSSQIYRKWDGPYYKTGNKVLISILCLSIVVFLAQRQWLVYLNKKKIEVWEQMTPE QKVEYQTDKEQREIDGNKRLDFRFAY FPSE_09462 MGLFGLATTGFVGALHSYIMLLEVALWTTPRGRKTFKLTPEFAE QTKTMAANQGLYNGFLSAGLVWSLLHPNPVFAKQLQIFFNGCVVVAGVFGSVTTANTR ILYVQAAPAALALGLILLGI FPSE_09461 MSNLFHRRKRDTDDVESNAGRRASRASRARSASIVPDPDLAEYP ALDHYISNYREDRRRSVADGDENGKTKKKHWWSSSSNDQEQSTTKAGVSEAWLETDLS LGLSSEEVERRRKVTGWNELSSEKENMFAKFLGFFTGPILYVMEVAALLAVGLGDWVD FGVIVAILLLNAFVGFIQEKQAADIVASLKGDIAMRCHVVRDSVVQEILARELVPGDI LIIEEGGTVAADARLICDFTRPEDFEIYKRLRAEDKLDRSDEEDDMAEDDGANGDAKH TDDSHAHTHGNEPLDYRSRPLAAVDQSSITGESLAVEKYLGDMVYYTTGCKRGKAFGL VQTNAKASFVGRTADLVQGAKDQGHFKAIMNNIGTTLLVLVMFWILIAWIGGFFHHIS VTAHGSQNLLHYALVLLIVGVPVGLPVVTTTTLAVGAAYLAKQKAIVQKLTAIESLAG VDILCSDKTGTLTANKLSIRDPFVAEGEDVNWMMACAALASSHNLKTLDPIDKVTILT LKRYPEAREILKQGWVTESFTPFDPVSKRITAICRLGQDKYVCAKGAPKAILKLLGPG SEHLSELYREKAQDFARRGFRSLGVAYKKNDGDWILLGLLSMFDPPREDTAQTIIEAG HLGVPVKMLTGDAIAIAKETCKMLSLGTKVYNSDRLIHGGLAGSMQHDFVERADGFAE VFPEHKYTVVEMLQQRGHLTAMTGDGVNDAPSLKKADCGIAVEGSSEAAQAAADIVFL APGLSTIVLAIKTARQIFARMKSYIQYRIALCLHLEIYLTLSMVILNETIRVDLIVFL ALFADLATVAVAYDNAHWEPRPVEWQLPKIWIMSVILGILLAIGTWIIRGTMFLPNGG IVQNFGSVQEILFLEVALTENWLIFVTRGGKTWPSWQLVGAILGVDILATLFALFGWM SGIGETGAIHDNFKQSSNGWVDIVTVVIVWLYSFGVTVVIAIIYFILNKISWLDNLGR KDRRNKDTKLENILGHLQKLAIEHEVDEKTGKSKFTLAEKVADDDEDI FPSE_09460 MGQLTEAERLASCPLLVSLRVALTEGLFITLLLSGKCLQGMSDA VGGKLNRTLSRLQQTNMPRVTHFDTDMGGLSFAPNGHRGHAASNASLLAPRVCQRLNG ASWHSRFGHRGHAASNASLLVPRVCLLIATQADRKAWTKLHPEN FPSE_09459 MNYAFRPRETEFIAYMRERSQRLPIALIKPSNTFQAELRFPPSE NRVVDEGRDSHLQKTTRRLAVQHDS FPSE_09458 MAWAEPRDTGMIYRRLGRSGLHVSVIGLGSWLTYGGYSEDEQAF ACMKKAYDLGINFFDSAENYTAGQAEVVMGKAIKHFGWKRSDLVISTKINWGAVNGEI LVNNHGLSRKHIIEGLEASLERLQLPYVDIVYAHRPDRLTPMEETVRAFNYLIDNGKA FYWGTSEWSADEIAEAVGIARDLRMIGPVVEQPFYNMLVRDRVEGQFQRLYERVGLGI TSFSPLKMGVLSGKYNDIVDGQPPKDSRFGASKDRFADFMRGQIGNDDWKTEIEKVRQ LKPIADKLGISQAQLAIAWCLKNPNVTSVITGASKPEQLEDTVASLKVLDKLTPEIME EIDAITDNKVKLDPARQD FPSE_08954 MSQQYAKDQPEGFVNKLERVAIIGAGGSVGKYLAKHIIDTGKHT VTAITRKGSTNTVPQGAHVIAVDYEDESSLVEALKGQQFLAISLSVQAPPGTQERIVR ASATAGVPWIMPNVYGTDVTNKSLADENMTGGGIFPGINAIEEAGVSSWIAMCCSFWY EFSVCQGPQWYGFDFSNGQKKVTFYNDGNTQINTSTWDQCGRAFASLLSLKVIPDDEN DKSPAISQWRNKPLYISSFLLSQRQMLDSLQRVTGTTDKDWTIEHEDVKKRWQRGVDM VKSGDHSGWALAMYARTFFPNGDGNFGAKYGLANEALGLPKEDLDKASAKAIKMTEDN YNYFMNRSH FPSE_08955 MESQAPRDKNVHIDDSAALEQLGHKQELKRNFSKISLLGLAFAI LNTWTALSASISLALPSGGPSSVIWGLMVAGICNLCLAAPLAEMLSAYPTAGGQYHWA ALLAWPRWSRGISYVTGWVNAAGYVVLTATAPLLGSVFVTDAITFMHPTYETKPWHQF LIYLAFTLIALVINVFANRLLPLFNKAAFLWSISGFIIISITVLACAAPDYQSGSFVY GKFINEVGWPDGVAWMLGLLQGAFALTGFDAAAHMIEEIPDARRTGPVIMIWCILIGM LSGFIFLSCLLFVLKDVQTVIESPSGALLQMYFDATGSKAGSVCLIVFSIVCMVFTAT AIMTTSARMTYSFSRDRGLPFSHVWAKVHPTLSVPANALLWTTGWVIVFGLILLGSSS AFNAITAASVVALGVTYAIPPAIHLLRGGNRLPEDRPFKLSTPVRWICSLVGIAWAIL TTVLFVFPPELPVTPTNMNYCIAAFGVILFLSVGTWIFDGRKNYKGPLIEISMDGATL EGASMAETTCTSNHEDDMKSHKP FPSE_08956 MAPLKDLGLGQVLARALSSSTLSQPPTLVSRQTVETVTVTVTND GNNNPNLSAGAIAGIVIGSVFGVLLLLWIVRSCLNLGAPPQEREKWYHYKEEPRHHHH RSRSTRSHRSSISAPPPLVVRESRSRSHRRGRSPGHHGRDRSTRYYV FPSE_08957 MTRNLRIAVLECDTPFPAVLEKRGPYGDVFRTLLDKGLKHETLG DKAKDVSYEISRWDVVTAQEYPSIEEVDGFLLTGSKHTSFADDPWILKLVEFVQKIYT ATDKPIVGICFGHQIIARALGAKVAVSPGGWEVCVDRIDLNETGQKLLGVPSLGLHQM HRDAVLEIPEGLVSLGSSSKCEVQGLYKPGRIISFQAHPEFDDFIMEQIMEARYAQKI FSQEMYEEGITRARAAHDGVLVAAKIWEFLLGN FPSE_08958 MSPTNPTPSTSGMRPMPIIRSRTGCFTCRKRKKKCDESKPICSG CKRNKLDCIWPEPAPTSNAKVPTTTNNNARVARPSFGASSTGSQTSIASSIRHSQDPD HELEQHDPHSVTDPVLPDSIPEHDREIHNGDSSPTYGDTHMMDADVEGDTQIDVDDDP VESVVDDNAQHHHQPSPSPSSSTSSSDNPTTIQTTYDPDTANALTLALQPSPESGLPL NGSIVMPMSMLPEQGHHSYELLSYYLARTANSMGNGSTDVNPFISKLIPLAFSKPLVL QLILAQSAAHRQASAERHPSDEVAQRYYTDSLRMFRNVVDEYVSGNAENTLVLTVGSL IMCLTEVAKGDIHGTIFDHLTASKSLVSTLLTGAQNHVYDDLPDFLIEYYMHIAATSM ISIDPQYNSQSLLSPDIEVRARNLVGRKYVGQLCGCWLEILILICQIFHLGQVMSSPT EDGVMSPSPDNIVNFAFLQSQVMGFYPDPSVTPYTRLAGLVWKQAALLYLWTVLGKPH QQPQDSFQRALIESAVAEAVTLLDQFPATVRINTSLCWPLAVIGCSTSDPTVQQVLRT RLQTMLDTIGLGNMRQTLVLLEHIWELPPEQVSPWILCRAMRERQIWISFA FPSE_08959 MMNMRLLRQQCLRTKPSLATRISTRGFASQKDLLGSSLEQGDPE IHAILKREEKRQNHFINLIPSENFTSRSVLDALGSVMQNKYSEGYPGARYYGGNEHID EAERLCQSRALETFRLDPEKWGVNVQPLSGSPANLYAYSAILNTHDRIMGLDLPHGGH LSHGYQIPGKKISMISKYYETFPYRLNEETGLIDYDKLRENALLYRPKVIVAGTSAYS RLIDYERMRAIANEAGAYLLSDMAHVSGLVAAGVIGTPFDDSDIVTTTTHKSLRGPRG AMIFYRKGVRSTDKKGKQIMYDLEGPINASVFPGHQGGPHNHTITALAVALKQAQTPE FKDYQEKVLTNSQAMANQLTDLGYSLVSGGTDNHLVLVDLKPKGIDGARVERVLELVG VASNKNTVPGDRSALKPGGLRLGTPAMTTRGFNGEDFKRVADIVDRGVKITLAVDKDA RAAAEAKGAKNPGTVKNFLEFLGDGSSVKEIAALRDEVAEWVGGFPQPWLKS FPSE_08960 MSGLFARQAWATASKVRSTALRTPKAAFTCRVNNIAPRRCFSVS MNLLARKYTESHEWIDVAADGKTCTIGISNYAAEALGDVVYVELPAVGEETAQGESFG SVESVKSASDINSPVSGSVVEVNDPIVETPADLGKDPEKSGWLIKVEAEDVSALDALM DEAAYAEHIKEEH FPSE_08961 MMSATRIGQRLARQSRRSPITLQSRLTQTIRLNAVSSRAFSVTA TTQAPRFVESQSSTKLQEHELFSRRHIGSESSEQTEMLKMLDPPVSSMEEFLEQTIPP QVRRKQKGLNLVEQWYEGGAETAVPVNGRTEHYIQKEMRKLAKNNKVYESFIGAGYYG TLVPAVIQRNVLENPAWYTSYTPYQAEISQGRLQSLLNFQTLITDLTGLDIANASVLD EATAAAEAMTMSMANAPKGKGQKTFVVSETCHPQTISVLQSRAEGFNIKLVIGDVLAD NSKLVREVEGGLIGTLIQYPDTHGGVHDYQALADIVHEKKALLSASTDLLALTMLKPP GDFGADIAIGNSQRFGVPLGYGGPHAAFFATSEKYKRKIPGRLVGVSKDRLGKPALRL ALQTREQHIRREKATSNICTAQALLANMSAFYAVYHGPKGLKTIAQDIWSKTRLAQSL ILEKGEFQIHTEGLREDGSVLFDTVTLKGSPEAIAKVHEKADSQSINLRRVADDKVGF SLHEGVTLESLGNLVKIFGVSESDFNAALESDKAKFLNDEIPAALQRKSAYLEQPVFN QYHTETELLRYIYHLQSKDVSLVHSMIPLGSCTMKLNATTEMLPVSDPGINNIHPFAP VEQASGYQALISSLAKNLSEITGMDATTLQPNSGAQGEFAGLRCIKAYHEARSGEKRK VCLIPVSAHGTNPASAAMAGMKVVTVKCDGKTGNLDIEDLKAKCAKHADELAAIMVTY PSTFGVFEPEIKQVCDLVHEHGGLVYMDGANMNAQIGLCSPGDIGADVCHLNLHKTFC IPHGGGGPGVGPIAVKKHLAPYLPGHPEIDPQRIGAERDSTAVAPISAAPWGSASILP ISHTYILMMGGDGLTKQTGTALLNANYIMSRLLPHYKVVYTNAQGRCAHEFILDVRPF KDTAGVEVADIAKRLADYGFHSPTMSFPVSGTLMIEPTESESRAELDRFCDALIQIRK EIADIESGKVPRKNNILTNAPHPQEDLLSSEWNRPYTREEAAYPLPWLREKKMWPSVG RVDDAYGDTNLFCTCPPVEGSEDL FPSE_08962 MSPEKYEGKPLRGAPLCKNHFLDHVLGHKTKSKEPTRIQPRRVA KDKHLLVNTNTKKRQSDEELSSSSTKRRKINKPFTSLLEQQVSQITEQAEEKGSHNCS FGPAPWECHKCVSHFAEFVVEKLTIVKPEAPVANMATIGKILKLNSRMDFEEGEMITF EWEDNCFTDQPIMIAHMQKTRGNCNVTSIIDTITLTIAEHWDKHTSPYLSSFPCVIAP CDIKLSDVCLRVPCQLPILVLLKSGKQA FPSE_08965 MEGIPSPPAGLSSLPPELGDYIASFLTNRDIKSLRPTSSSVCRI VALRLERVFIGANPRNIQVAYQVANHETFRTRVQEIIWDGTYLDWEGSTICESSTVPS SQLDWYIQSCRNSIAVLGRTERWPGDVARMRQLAAAMGPVESIEIYKELLRGQSSVLE SGADIKAFEYALNRFTSLRRVTISNKTHGRLFMPVYQTPMIRSFPYGFVHALPRPFLA PCFQNNKERKTWVTRGVRNTLRALAKHGKHNVSEFIIDEEMVFGIGLNDETTLADLYS LVQRPGFRRLHLHNRHPIQAYKLLEKARTLHSLRFRLVDYDPSHKWDAWAAQASDRVP VQMNTYLEFPMPLSQSLQKLAITGYGVDADSLATALAPLASLRSLELRSVSFYHWIHG RSGMRVMRSRNARDFLYALRDKTDWRSRPLRPKVTIIFSQDVSCFAGKLLKIDSEVNK FLYEDGENPFLPVQQSHEYPPSHNIVRMCSGKGVWIDELDPECQRPNYDDDKTLEQRL LERRPLERRLHREQNLSIYELRRPDSWRDGPWGPRTCPTLEEQGINPDCGG FPSE_08966 MSSRDDASHGASPPSYEPQSSNTGAPPTFASFSPVTLSANTPSR SSRRSTILVHQKSPLLLATPPQITRALAYSHPFLLPLNTLAGLLTWSTGDPWQSFLLL SFFWAVVLYGDVVITWAGPVLVGVALIAGMYGRRYSPLSSSGWTESRSQNAGTKGANQ NAGESSQQNGKANAGEKQSKHVRGNSEVTNTKHQKTLDEIVETLKQLTGRCNVLMEPL LDMTDFLSTQTTAISATTRPALTVIFMRLLIITPIWIALTVPPWRVVTTRRVILVAGT IILTWHARVLRVSRAILWRSSTVRRLAAGITGLHFDTPEQPFRKDLAKASKKGADRVA QQGDSELTKALGKATSFQTNRRNVTGKTAGVKFTFIIYENQRRWIGLGWTNNLFAYER AAWTDDHNNSVPTKDDFELPDVLDGSHMEWRWVPGSRWRVDGVSDESGPIDYDGNEGK NGWIFYDNKWQHGQRGADGWGRWTRRRKWYRDAELVEVDQKEDSKDGEKDVKESPAVA KLRSQPYASNEAMVSTRSAEAQAEDTSNDDASSKAADDSLSVHSTSSKSFLRSPFLRK RATDRPGADNKERTRRDSRTSRTSSLYNDDDADALGAELALEIQKQGRPGGQWGIGDE ARMSLE FPSE_08967 MELPPRDASRTLGKKRSARASALTLSLSPERAQHQNHPQRSITS PAKPSSATRSTHSSLFSPDLLPRSASAVPHHQQHHRNISVSRPSPSSIDYGSMHSEAR AESALTVRPPTTLERGGSPPLTPFPPWVSEEEDEGEEECENRTWGENSTTKRHSYDGG HVPVTILRVEGKWVVSSVIHGLVLALQFAVTLGVFSALMWITVWKENEPGNDFDNWLW KFADPSLVVVLLLCATSLIIHEIKLLSSVALLYLESLILVATTVASFVLWTRCFQEES RSVKGVLMGSNVLMWGLAFFGFVRAVIVWKVEASEVDADQERAVMYGTFVPWDERRES L FPSE_08968 MSTCNVSDPLYQYGGQKVQSHASYNAESVQPLALRVCMRYVTAA VFLEDHVNI FPSE_08969 MATTEDIRIEPITNPADFEGCFRAQANAFGQQVNDAVWIAFNPG WDTPEGEKACVQRLVDRFNSVTQNRDGKPNIVYIKATVNGTIAGMAIWHQFSVVEGWG DEPGDITKGSFLEDLYPGNKTEQRFLAQADKSLFGRRGEIIREKATASPPAVFVMDVC AVDPKFQRRGLATKLVQWGLDEAVKRGNLECVTEGSSMGRLVYLQQGFKPDGEETVYE MDEEFKDREMPSNLVLRTGGQ FPSE_08970 MPITTFDLKEKYRYQNGFNCDLESEAVEGALPIGHNSPQKPPFG LYAEKLSGTAFTAPRHENKQTWLYRVLPSCSHPPYKADTQAASEDNKTLDGAKDAKLH YIPNQLRWDPFDHNEAKDQDFVTGLRLVAGAGDPTLKEGLGMYIYAAGKSMDEKSAFY SADGDLLIVAQEGALDIRTEFGWLLVRPMEIAVIPRGVKYQVHLPSGPARGYALELYQ GHFNLPELGPIGSNGLANSRDFQSPVACFSEDFGATAMEGSSKYTVSVKFNNNLFKTV QAHTPFDVVAWHGNYYPYKYDLGRFNTIGSISFDHPDPSIFTVLSAPTNTPGTAVADF VIFPPRWLVGEDTFRPPWYHRNTMSEFMGLIQGGYDAKKGGAGGFVPGGASLHNVMSG HGPDAESYEGARNAELKPVKVGAGSCAFMFESCLMVGVTQWGLRTCQKVQEGYNEESW GGVKNFWKMPEGLKVKSHLL FPSE_08971 MTEPLSKVDSAVQGLSSSPPKEEKKTRRQSSAAAPGVYNVNDLE AEGIELELAPETQKTGWKINKSSSTVEDAAILKLHLTKPPVKRITLHFPLGMEVQARN LKGVTIKDALDAIHKPYKKRADDELDKPYLAGFEWDKEESWTRLIVHTQAQPTSTTLD GPSGGGGKKKKNKKNEE FPSE_08972 MTMPPPLPPVLSTTHQHDLDAAASSSSTSILNIIKPPVPGRRPR TAQSHNNSEVLVPPLIAGALVAAFASALADGLDNQLLTHYYLPEEKFRVNIDRVLDRL LSDFTSMLWDELWAFYCDSNPHYSEQIKKLFDGPICQLILILNGPEAPRCILDKIGPG ISRRPSTWSEVARGIDLPIALQLLCSYYDREYPARSPRGSPNEIAASLHTYITTGTSA KHLISEIRETLISPHHVQMHMMESALWHILMKPPYRQPRDGFHVVQFKFECQLPGVLQ DVGDPQLFKICSLASITGTANDCVYTTVSEYATRQWPKCGELLLGCIQEAVQGALMSS RDGDSFNGMSIWDGTDNPFLCPGLRLLHVEVEEGYIRLTVSAWTHTMIEILQQMAWTC SVLSASPLPGSLSESAVQVADWQYMEESVFVECSLSHRPVPEGDGAPWLRQLGGVAIA NGFPIRHIRQDLQMS FPSE_08973 MRHTTSIASDNGRKVLRRSALLSSWARAEPSAEAPTYSTPPMSF HGDSHFRFLDIKYQGWVIVEKILARRVSSSHENYLICGPLVSSNMTEDLEAIPESGSS WDSWPDDDFHTQQGQGTLLYSYRD FPSE_08974 MSQQQPIVRYGQAPLGDDENDRLQRYHGTRGLLRGDDGVGNDSD PQKEKAKAHTNRPSHPSQDDPSQCKRQPQPESSTPSTPSTPDSRSLIVHNSKNQSVTT MIWSFQVVQLLFLPTFATPWF FPSE_08975 MERDRGSHVSATDCFGQMWGGAGSYLGEGVQCNVPVDQVWVAVQ GGF FPSE_08976 MSKQLDVGAQVTAIVTEVKYLREICAAQKMEMEQLHAKNDMCTN ENQRLLGQREGYEKRLAENDEKMMSLSVQVEQREAECDSYTQNLGQLRQENAELKARV ESDTQYIWAIETELTRRNAQITKLITILRRKIATSRVAQTALKAAYRILPDLERKATL AKVLEIAKQVPNKDGIRLEFANSLREAGIAVGRWDQKSAQKPAQTRGKETVDNMDIDD NAESARTSTVA FPSE_08977 MARFEDLPLELFDNIVIKNDLPPESLAALRLCWPHIDDVVLPRL FRKLYVTFSERHLERLKNVTSSRLVPLVLELVFAVGTLQVYSGHTYNDEGFEEYSKVF RSEFFACVDALPNLRIFASKGGPRGIIHKDEEIDGLAHGIFPALCRPSSRITHLRCQG PFDHLRWLVSTFPCIQESPPMLKSFPKKYRSRKNFERRYRPASTRYRTPLGQHCCPYE WGNALRGLVKLDLQFNTKEHRFPGSDRPIANILVGFLEAAVNLEELCFRSGYEGLRMT YVGEQLGRVFDSKLKLPCLKTIKLAYVPLTASGRPFQEFIKRHAATMRHVLLCHIADK IPDVVRFAATSPEIQLHRFAVLPLQFRHRSADSLRTQAEYEHLLKLRLVPSPSPPVLI AEKSLLDYINSNNPNHQDPLVDALSSDDRWGTVDQKVDRSKWPEEMYFGCKECGDP FPSE_08978 MSRQYPITSFQGLPHDIYFEISKFIGVRDCLRLAATTRDLHEVF NPKTILRREQVLEFVTERDENLRWIGHDLYACTNCLQFLPKRKFVDSEWFYVLSLAPR FCLDCTGALRLRPHLELAFCADSILEYYFCNNCGGYGTESARCYGERIYSDTTEAEAA MARTLCIQPRRQPQGIEKLPTHILATIASFLDFKDVLNLTRASTKLNDVVKPKEWVPL HKRYAFVAYTWFRRVQDLPFDEVPVFPCLICCKIYFRFRFSETSLERIENHPEKLWKT YCDHCRELGSEKWNIVSIEQRRRELCQACGCVKYKRKTCEHCRELYIEGAIDRKTVYP PAVEIEEILPSLEDLFTTTCRKESKEVERPRQDMSMQERPREVRSMQARSRQESQWQG RPWQQRAEQEIQIHIFAGSEVYCI FPSE_08979 MALLSQSLATDLDTPSLCQAAPKRKCPHCEYYPYRQEKKVCEAC EFKMGEKGVRIYFESNEKNWVVYHLVREGELPARNILEDHMRTRLVNENRCRRLSCAV ERFSVYVHCDPCREELTSKGRLADMKDPRAVPETSVDYLRALGYSETGFAMRIAKNET WILPEDWRWESSLGQFVHPDRKIYNGNLDPEEMV FPSE_08980 MPLVPSSAPLPGSMTTFAYLREQKILNIVYVGLLVVEVLMVLGV ISVALVKRVKAHRRPKAIRPVTPLTNYPPHQYMHFGNEKPTKS FPSE_08981 MSANSTINVKNIAPATSDGEIKDFFSFCGKIQDLKVSQDGETKS AEVIFEKETAKKTALLLNNTQLGTNHLEVTSATADGEDDVPTTKNTDRDSDEITQEEK PRARILAEYLAHGYVVGDAAIQRALDLDVTHGVTNRFFSTLKGLDEKYHASDRAKATD ESYGISQRANSMWLGLSSYFEKASNTPTGKKIAEFYTEGSRQVQEVHAEARRLADLKK EEHGGSAYKAAGLEKVFGKEKTSGTDAPEAGHGALGTSVPAASADNGPNVSAPEAGEK H FPSE_08982 MPEGRQSPAPEQQSGRQQQDPPASGKGINETDNKDPKAQLENLS SNPKGITDDEVEKKFAKTEK FPSE_08983 MATPPGPASPSLNILPSNQSTVNHSRSPSFSSPVLTASQPHPQE VSVGAGPGPIRHPRPLTAAELHLELEKEQEAVVNRLSRELSLLRAAQNASVVSNTSST SAANSSHDVAEQSLLSGSGFSIPTARHHRTSSTTSQSMGNQQLSSSYEARIHAPRPSH ATPLSRQDSTASRRSLTNSPGPQHSSLDPSNYFQQQRLPPTSIPPSSVGATPGSLSEQ LSPGLMPTTMRYEETAFYRNELENAKKENDALKRKIRELERQVRQRRASDTSRPRSES VSTTTSMSVTPAGVSVAGPREGLPIRPGSDRERGMTAQSIASVASVGVGVPEEELKVG ESAASAGVAHGTQ FPSE_08984 MANLHSLPQELLDTILGYLDIQTLGVLRLVWPSLEPIILPRLFS VVRLSFLEKHRLQFLGISSSPHLAPHVRILRWNSLWHTGQGIGLSQLLAFLSSDAIPT FRPLFLEGLDAMANLRTFTTVILDPSQSLGALWHENLALRRAPSGGLSKLDLVQGFDN FLVPTMLRFESKIESLRLSCQSIIIEDTSPRPDSSTGTRHGRDLAGDFPSYNRALIEA FRSLRVRLDSFALGNIKEIDLCAAFPSAIASEFQRRELQSQLHDIVSAAKGLRVLSLR STDPESELEPNSRYSTIFTGLHTLEFKYLNTLKLVNLVFSITEFNELLAKRAETLQHI LLYNCTGSEAGLLDVIRFAAADPSIHLHRFSVCVSETIDLGPWKVGQTMAAQIIPEQE ILGFINNDNGVEHVAVDPFLHRQPIGADDWQSASERTGLTDTDGLEIDHYTDLWATAV ESPETLGYEWPRWGSLHYACKACRRLSGFE FPSE_08985 MDDHIDLTFDRHVFPVGSANPAASPRNQAKLVLCAGPIALSSST PKSRQRAKRDSRASLARAPHDSGTVPGRPVSVLNDSAIEQSTISIAPDPMPTSTRGSI RDTTTRNTAPVISPLASRSTEWPQQDAQPEESPLALGSNDEQQHNLHIVGPTVTSDNQ VLSDYLSAMPSSTRGSRIVRPVPGNISRPVLFTMVQKRPVGLDSNRTFPEERLQLIEK ILEPFASDVLDVSPGLSVIEAAILNIGGREVTSLIGNGVLFASSIAMAHSLGLNHSPT PWEIPQSEKNLRMRIWWALLIHDKWLSLAHGTPPIISTSLNDVPKPLAENLCEEGASS DRMLKATVYIALVGLTDVLDLHLRHVHRLRNADEPRATTHLELALNSWVEDLGDDIRR VVIRGTNLAIPGAANLRLSYLTVRLLSQRIELEAEKRIREASDSRLLNRYMQARRTAE DILILVQELQAENLADFWLPSSAFALSTTVSFLLRCALETENSTSGLVQSSSLKIASD LLSTLRSHKEKHAWDLGDICLAQHTEVVEKLLAITPPEDPSAEEPQDFSSFFMPDDSF LDQLFPSLWDPLQNVW FPSE_08986 MFSELYLIWRRSPAARFLFHLGGLLESLSEMFPDAPGAIYRWAT LENLNWLKSGGF FPSE_08987 MSDKPIVLVTGANGYIAGSVVEAFLKAGYAVRGTVRSKASGDSL VKALSSYGSDLEIVQVPDIVASGAFDAAVKGVHAILHLAAGVSFSFTDPDPVLEVAIK GTESVLESALTEPSIKSVVLMSSIAAISGAGNPSSNRVTEADWNDAALEAVKKLGKDS PGSLIYVASKVAGERAFWKFRDEKKPSFTMTALNPTFVMGPQPGLESISKIGGTTAFI WQVFSGQDIPQPLVPNPSFVDARDIARVAVFSVDHPEKANGERFLLTAGLVPPQAAAD VLRKAYPDRQDIIKVGQPGEGYYPGYAFPEEKILDTSKTIKLTGQDFYPVEQSIIDTA KSLEKFL FPSE_08988 MVGRKRPATRSSTRRGAAAAAVPTGGEIYQDMLAEAGVNPRIPS SPERPLKRRRATPKKSVAQEVKDPEPVPKDHEPSKAPQAAGEDDEDEDEDEDIEFQDV ILPQPTMQTMELESEDESDEEEIMFEDVDFTAPLQDLGSKPEAPQALELNLTAQQSPT AQAKKTAERRKPIKKEERKIRVDVHKAHILCLLAQAARRNHWCNDGRVQDYLRPHLTD KTVTYLTPGSHLPQFGRTESLKTGLKQAEEVWKTKYEVTERGLRRSLWAEDPQQLNDY EPPEDMESCLDRDDFREAAKKLQGSRDVGAQLYCALLRGVGVQARLICSLQPLACTNS APTMPKQKPKPKPKKGLTSAEKDEQLKATMAKYQEMATAGYGSPSSGSSARRRLGHPN ATAYNFTPTISPPKPQPTFETRKRIKESAYPVYWVEILDVGHQKWQPVDAVVTHTFWK PKAMEPPITDKENFLSYVVAFEADGTARDVTRRYAKAYTAKTRRARIETVAEDGDAWW KRVMKLYGRRRRTDLDQIEDNELVGIEAREPMPRNVQDFKDHPVFALERHLRRNEVLV PGATPSGTVAAGSRGPLEKIYRRRDVRIARTADKWYRMGREVKALEIPVKWLPKKAKP KNPLDDDHEEDTQGDAGTPIYTEDQTEPYEPPPVRNGIVPKNKFGNIDVYVPSMVPAG GVHIIHEHAGRAAFLASVDYAPALTGFSFKGRHGTAVLTGVVVAKEHEEGIRTIINSL GDLEQEVEDERRRHRALKAWRKFMMALRIREQIWSGVDADERKAADDKAAKEAQLDQE IEDAPSDVTEEFDMADDDGMGGGFLVE FPSE_08989 MAFIKQVGTLMGKNFRILLFRHLALCIWMAFILPIFLAALFSFT KNLLVPPAKFGIGNVAPLMPLDEAITKATNNGREKLVLVSNGNNDTDVNRVFSSVKSK FEDAAKSAEVDFEVVFVDNEDELTNNCPSNLRGVSRCFGAIVMESSSQGIWNYTIRAD GAFEYAPAKFRVDKANDQQIYIMPLQHAVDQAITRLNNSDSTTLDDISEYPFTSMTPE ERDREIRRRFQKAIMGWMGVAFLGTVVWVTYHLTGFIATERESGMSTLIDAMMPVRKP WLAMVARIIAHHLSFSLIYLPAWVIGSVIVRGGVFAKTSMAIVLVFHVTTGLAFSSIS LLFASIFKKAQLSGITAILVVAILGIVSQVLTSPGTVPVTVLSLLFTPSAFVFFIADM ARFEEIERPTNLFESAPNSPSALPGIVLWVFLIIQIFAYPLIGAFIEHALFSNDTPGR KILVNQSDVDGMGSNAVQLRQFSKTYNPNFFSRLFRRGANKKQPVHAVSELDLNIGKG QIIALLGANGSGKSTTLDAISGMNKLTSGSIEIDGRGGLGIAPQKNVIWDDLTVEEHI RIFNHLKAPNDRADAHQIEELIRSIDLYAKRNAFAKTLSGGQKRKLQLGLMLTGGSAV CCVDEVSSGIDPLSRRKLWDIILAERGRRTMILTTHFLDEADLLADYIAILSKGTLRA EGSSVELKDRMGGGYRVHVPKDIGVRQTPDVDGVVKKDAFDLITYTAPSSQLAAVVIK NLEAAGVGEYRFSGPTIEDVFLQVAEEIKDEEAFRNNNQALSVPSTEKMSSKENSIEK PGLELTNGQRIGYAKQAMILFRKRLTILKRNRILYLFAFLLPIFAAGLTAIFVMDETT PSCQPADQASRTTSRQDIFSQREAGEQIYFLTGPTEKFIASGFSNLSSALFPGIPEDN VIKVDTFQEFKDSIASGRKIITTGFWLGDDKQGPTFAWVANLFISSPIQAQQILDVLL TKTIISTTWSNLEIPISPSTGDSLQMIVYMSIALSVYPAFFALYPSNERRKYVRGLQY SNGVRPFPLWIAYLLFDLIIVFLATSIAAAIWVGVSDIWFHLGYVFLILFLYGIASTL FAYIVSLFTSTQLGTFAWAAASQTLVFVVYIVAYMSVLTYTPVTKVDSTLRLVTFLIS AFAPIGSALKALFIATNLFSTACDDTELTANPSGILYYGGPILYLILQSIIFFGLLIW LDTGSAGASIRGLLHGKKKDTDSDEEQIDEDIISERNKVTKNAENEHGLRVMHLTKSF RNNTAVDNVTFGIRRGEVFALLGPNGAGKSTTISLIRGDIKPDRNGGDVLVEDISVNK NLAAARANLGVCPQFDAMDQMTVREHLEFYAKVRGIEEVEHNVRAVMQAVGLESFATR MAHALSGGNKRKLSLGIALMGNPTVVLLDEPSSGLDAASKRVMWKTLEATVPGRSILL TTHSMEEADALAGRAGILARRMLALGTPDNLRHRFGDALHIHLVSSTAPRTSDEEMAR ITDWIRQTLPSADVDEKTYHGQIRFSVRAGQVLAATSGRSEEEITSKDADLSQSAIGH LVVLLEENKQKLGVGHYSVSPTTLDQVFLSIVGQHNVKEENSEEKTVSIWRKIWMFGR S FPSE_08990 MCYRVVTHTMRCDMRPVISSGATIYTDPFAETMSCTCINEHLAR PWLQCDDHGCCMKTAKMEWCPDVHTCNHVTELHRYNQARPRSRNIWKTTTSSIWSLPT PLEEPESWIEVVDVIDALFPKGVPHMETISPHLDEDVNNLLHVGRLIVTLEAQLANLL DDIHFRREVHNAFHGSQCERVLNEWECKARGPIEAGEAMATQMRKTLATQRRLFEASW SFIDVILRDHEEKTTSVEDFAVKKLEVVWDDASNRGSWPGPWI FPSE_08991 MSQTFKQAAESARSKARGDSAAIANDLLHTPLMRAALPFINGGI AGMVATTVIQPVDMVKVRIQLAGEGTATGPKPSPLAVTRQIIASGKFLDLYTGLSAGL LRQAVYTTARLGMFDTLMGNLSARAKTEGRTVGFKERATAGLTAGGIAAMIGNPADLA LIRMQSDGLKPLAERKNYKSVIDALSSIAKSEGVGALWAGAAPTVARAMALNFGQLAF FSEAKVQLKKNTDLSARTQTLTASAVAGFFASFFSLPFDFVKTRLQKQSKGPDGKLPY RSMIDCFSKVAKQEGLGRFYRGFGTYYIRIAPHAMVTLIVADYLGFITK FPSE_08992 MPKRKRGEPNLGEKLEKHCNDVSKALKAAKGLERQRYSKRLHDD GVESDKKERLEREVTVLKSLDLHQTARAHLYSSILKVKDLAASPNLPQEILTGVPKPE LTPEEQAALHNVTSGLYNRELVKQSVTRAITTFCEALNVPLPGKAKRVRKSKKEEKEE QPSDRIEEEPKPEVKEDVRASIEKEEEEAGAESEFEGFSDHDDDPPQEPEDVNSEDAA DEEKALSKYDHLLGGSSGSEDEDDFNHERYAQFKGKEQVNLDDISDGGSDAAPGLGSG SESEEESDEEEKLSISASPSPPPAKKKKSKEKASESARPRASTFLPTLMGGYISGSES ASDVDVAPAKKRLGQKQRQAIWEKKFGNKAKHLQKPAWESGRDAGWDGKRGAVEAGDG PRTPWKKGIRNPLAAKQGGGAESRPEVKRPPPKKDDEGVLHPSWAARKQAKDAEKTAA FAGSKITFD FPSE_08993 MALLSVNLRDGIILTAVITILLYVWEKNTAKITRNGEPLRFVTP HRAYPTLTLSTIISINVVNHPNRKPPNTLPLVGNGIIFLQPRQKLFSWFHRCERLYGY ETLHITVPSLPPGVIVNDPQNLDFIFRNEGVFEKGEFFKQRSWDLFGHGIINVDGEFW RLQRKAGLRFLSAAALKTLTNEKLPKYLDQAISVLEEKTGTKEVVDLQAVVHEVTTQL MGRMAYNMEMHADDDFTVAFEHASGGTAERFQNPLWFVTEMFAGARMRRSITTVKTYG KRIVTSAVADRKEAEGKTHSDAPGSLIQSLLDSIGDETLVADAALNYLSAGRDTVAQA LTWTLYLLMKNPHVATKLRQSVESLRDEDTSTRDDPELLTPVRLPYVLAVFYESLRLR PPIPFEIKQAQQATTLPDGTFLPAGAIVVWCAWAMGRSHTTWGPDADDFRPERWLTTS LAGDVTVAQRPAAEFPVFNGGPRVCLGKKMAELVAVQTLARLVPLFKFEPAFEGERVS KSSLTLPMEGGLPVYVQHKTPS FPSE_08994 MAAPSTFGELGLSIIGLSAEYPPHSLDYTCLNTLGERFYPDTPS MQKVLAINRYTGIDSRSSVGTPDHPAVNKKEAPSIAELHSIFQSDGVPLAIRAARKAI DEAKVDTRFITHIVATTCTDSANPGFDHFVAKGLGITHGVEKVLLHGVGCSGGLAALR TGANLALGHKARGLPARVLCVALEVSTTLVRSELDSINELQQTRIGACLFSDCGSAAV LSNGIGEPSEPIYDLLGWDHRTIPDTEEDLGFDVHPAGWKVILTPRVPKLTAASIGPA FSDLKASLPQLPSDYQNAADFDWAMHPGGATILSGAEKVMDITPEHMRASYDTYINHG NSSSATIFSVMNRLRSKEMDAMAPDGRVRDYVVGCAFGPGITVEMCMMKRNMAHRTNV TGLQTPPETPAETESEPSEAGDGDEWSTDTSGSPAERNSSSAYELQDRYARFVTESLD HLDLD FPSE_08995 MKTEIEDYYSVFGVMEGDVDEGGVRVVEADDFHNRNVHSAPAIG NYPGHVESPLGRQYPGTPGPGNIDWGTWRWHNSRRRSQRSVQGPAVRGQVPAPAAVAP DSTPGHFGQFASPLAVRAHIHPHPQTQTQSHFQTQAYSYPQTPNTTHAHHLHLQHEQL LFSHSHSPHSHLHSPLVDNSAIVNGHFGTMEQIETQASDLRFLAQVPVLNPTSSPSLG PGSGAGRGGTPARTPGTSAGAAIAYDNQASPSSASVGDNSAHGASASNNKRKSTDDGQ GNASKQTRIYINSMVSTSPSLRVLIDAIGSNECKRRKIKCNGETPCQRCGNLNLACLY APNCCSGNFKESDEFKQVTVQLARLQEEVGWLHQTVKTMQSEPGRFPSIGDRAMAHSH GASTIAASPSHSSASYNRHDGSKYGSFRGPTSMAFSLDVANNTINNMGYKGISDDDQH MNEGPGAMSMRPMDPLHDFDKDEMVRLCRLHEEEIGIMYPVLNIQSVISHAKSMSTYL ESVRHQNPRELINDDKTLQLKIIMCCALVVEEHGHSDKAIRLFESMDTVLNRKLMAEA ADVGTIPILALVAGYRFLSNDEVLAWRVMGHVARLCLELGIHQRTGLMRIQDEEERKN ALVSFWSAYVLDRRWAFATGLPFVVQDEEIDSLLPFPEEYPYLVAMITYSRIGAKVWR QVAHFGPILARDMRSEELEGVDQELLQWYEQIPEEVKVRNWDKEKHITSTPSYNLQRL RIWTYLRLNQMRIWLYTPVLHSATSIVAHPAQSERVVDIAKDTIRYLSHLNNTTNLYR RVQVFYHQFLTSAIAVVFLASVHAPVRFSANCREEFYMALELVKDLSAKSWASQRLWR TIRSLKDVAPRFGLNPDDDPQSTAALGMIGLARGHMDQQQTFRKPSVPGQQSSTATPD PLAQNGSRIQAEMSRMFEGYVGLNGFQYNENDGQGPNNEVSEQPNSMFGIDGTVFPQF KEMY FPSE_08996 MVSAATAPFLAHALIETPAALTFILKPSTQLQPLPPSAALIVQS FGGCILTTNLIALIFLRRPFDHVAQHVALTFAFWHIWPCYRAYMRMSGYTKEEGASTT KTLGGPVVHLGVHVVLLTMFLGTWLFGST FPSE_08997 MVKAKGRAKDFQDPDEPITKDYDPEADVDVSEHGSGSEDSEDEN AGTEHYVSVGKSKLRKSEGLSLGPQYRGSRVSRDALEEESASEEEDEEESGDEEFDDP ETADLECDAAEANDSEISSDNALGESDEERFKDYTFRASSKPNKPLSKRAKAADYMSS SDNEGAELGGSDSEDEEMGDGLDALVDGEGDSDDESEENDDDEEGSGEDEEDDDEDGS ESDEEDSKAKAQNAKPMMAALSTQPDVDKGLAIRQQRKAYDGLLNMRIRLQKALIAAN TFEALDSNPEPESEPYEAAEEAAIKLLNTISSLKDNFGPSHAGEKRKRELDVSMTTSE IWEQMQAEEERAIKSREDRLEKWSRKVQSVNVTGPKGLEGRNKTLISALKDQLIDPDN RLAKRSRVPRSCAPAQAAKGVSEDSDIYDDADFYQVLLKELVDQRTVEGSSGAGAGDA VPTVVLTAAKDVKNRKNVDRKASKGRKMRFTVHEKMQNFMAPEDRRAWEQGAIDRFFG TLFGRKMQLNEDESDDDMDVDVEEAGLRLFRN FPSE_08998 MRATTARLFQSFRALQHENPLGLPRSGTPPTWGKRPVRRKITGV EKVIAVSSAKGGVGKSTVAANLSLAFARLGFRAGILDTDIFGPSVPTLFDLSGEPRLS NNNQLVPLTNYGVKTMSMGYLVGENAPVVWRGPMVMKAIQQLLHAVEWGGLDILILDL PPGTGDTQLTITQQVILDGSVIVTTPHTLATKDAVKGINMFKTVDINILGVVQNMSLF QCPHCHGETSIFGSNARVENLCQEHQIDFLGDIPLHPNIGDDGDRGKPTVVAEPESER AAAFLKIAQDICPKIELSSK FPSE_08999 MSSIAEIEVEKKAYQEQFEIVLGQLRDDPDNVELKALKDELNSF IDLLSEQIAELKPAQASKPAPKQPSPPPEPEKWSRENHPAFKKAAPAEEKEQAAPANY QVNDTVLAKWVSGDKGFYQARITSITGSSTNPIYVVKFKTYDNTETLQARDIRPISNK RKADGTPTTSAPATPSAPGLVTSAGATVYPDAKKEADKDGDVKPPKPKKIKAKKELEK NKNKWQEFSAKSKGGKSTKKDSMFRTPDGVHGRVGFTGSGQAMRKDPTRSRHIYQVND ELD FPSE_09000 MPHTKDADSASSTGGQSKRPPLNHQESDSHSESSGVHSQNQHQQ HRRPVRHHQRQHTVGHLHHARGPGSKTAPKQPKLSRRHTDTPDQVEQQQLLQRNAAAL ASNSHRRAASDAKLSSRDSSSGNLVKSASQTKLIKNSPQPKIVKSPSHSKLKRNRSHT EIGKRTRSAELKRASSTTIVYQPQTSGGKSQVHFDLGNEEDEWVDASGSNSPYLSRKG SLNSSNHSVHPDDRSRPVTPNDTAAFKQAEQEEEEEEEEEEVEEEPEQPNSTSPERET AHHKEYLTSRILKRTPSHGAPPQMTADLAQISPRHFAPASAETPGSGTLAGSNTDELT SRFVEAVGSGLTSDGSFYRPRRGDFPRYDETPHKARSITSLKGDLEERREPPTSAPRQ EVDDSALAPKTARRTAPPTAQTSRTQQKLNLQRASSVIEPGQAVGGVGGVVGHTPLIG VGGPGYDGGNSRDPRVGKLLERTGMEYLVVRRYQNPVSRSLERLNHLPGMEKSLRIPR PYSTSTNGKRTGDLVMRQHTRNVSMPDARRPGTPKRATSVRTNGAGSSYDGNDDDGRL TDRLSGSSLVGGEEEDGTTALLRNLWEKSTELSASTD FPSE_09001 MRAGPFIGRFFVHPGLRTCPNVRPNISILSRRFASQSSRHPRFT KWSTPTSKRIALHASGGAVALGTAAFVELSQQENGNDQETGEKRMLEASRAEVRKGVR AESRGLTRVGERIKYLIDLLIIEPVCTGFRFLQLVVIFVPVIITIPAIYFGSRRSDRD NERSGTLWWYGFLVNEMELAGPAFIKLGQWAASRTDIFPTEMCEIMSKLHSNAPAHSL HATRVTVEAAFGGLPFEEIFEEFHEKPLGVGAIAQVYKAKLKPGLAKPEEADLHDSYP LAQNVKRNVDTVLKSSPQRIPSSYVAIKVLHPRVERTVRRDLRIMGFFASLLNLIPTI EWLSLPDEVTQFGEMMKLQLDLRIEAANLATFRKNFKDRSTAWFPYPYTEYTTRNVLI EEYAQGIPLADFMENGGGVFQHDIADEGLDAFLRMLLLDNFVHADLHPGNIMVRFYQS AHPDLRLRKAMTKAHPDEAEDVTEQVLERLRPYRHRKDTKAWQDELTKIDAEGFRPQL IFIDTGLVTELNAVNRENFLDLFRAVAEFDGYKAGHLMCERCRQPDAVLDKEVFALKM QHLVLSVKSRTLALGNVKIGDILQEVLSMVRNHHVRLEGDFVNVVISILLLEGIGRSL NPDVDLLSSSLPILRQLSAQSGTSMAKHGDFSMLVVWLGLEARRFMQASIEDVERCVK YDQLSPNI FPSE_09002 MGWFWADAAPAIAVPAGHPATTNKAPPPGCPMHQKSADALNPAA KPKPTSIPPPTSGCPVPHAARNEEQPKSLISQLNPLNYMFPDLSQKPAPNQEFALPTS RDASTIPKGSGDGNWEYPSPQQMYNALLRKGYTDTDITAVEGMVSVHNFLNEGAWQEI LGWEQRFARGLYKGWQICKRGEGHVEEELNKHWDGVDTEPSLVRFQGRPKELTPKAHM MQVLGWIYPSKYGTEPPFDRHDWYVAREINGQRKEIRYIIDYYSGEPEADGEPVFFLD VRPAATPLGSAERIIRWSTDTWWKAIGGDRHEQDPQPWFRGSS FPSE_09003 MHWPTLIVFFAGSALAAPHLAKRQNPCFIVGSEALPEEVSSGAA GLASAVTCDTSRTTIDGVPDVISNGVSFSSVNFAESGQSPLAFALDKFATSSPLANND VNKFQNELNVYLATEAGIRAAGGNLAIKVPKFFLQFQIARIQQAQGAVSNIPGMTVDH QLEKVLKNAAGEDKALLDQVNELAVNLN FPSE_09004 MSAEAETASSDYVRLHISPLDPELIKVVLSASAAPKARNISYHT IDTFPEHRYGYVELPTMEADKLKKKLHGSVLKGSKVRVEKARPENKIEPTAELDKQDE EEEKKHKKHKESKEERRKRKRNPDILEGVALTDRKVKRGWTENADQRRKKSRLDKEKE KDGKFTEKKKRLKSKYTEGDECLLKTKVPPNLTSTLPEDDQPRKRKKSGKGREVVVHE FEKTTKFPSFLKNSADGEVSAATEYVEGKGWVDEEGSVVETVKEKKKVGEPAPKKKKA KKVTPPPVEESDDETSDSGTSSSGSSSDEEEDESEDEMEVDATVEKKKEEKTAKATPQ KDDESSSDEESPQQPRQATPPLSAIKADDNRPMSSSSSRDLTIKIPPPLTPSTKIHPL EALYKRSNPEESATETPAKKEAEPFSFFGGGDDDDDDIEEQEDQDPANQTIATPGPMT PFSRQDFEWRGVRSAAPTPDTAHPSRMRNFWPEDEEEGDEDADMAEHGYGEEEGEKDA SGPQSSSDFQAWFWDNRRDLNRSWMKRRKTAAKEKRHRENKARASKAV FPSE_09005 MEGYDNARCLPRIPKLFPTSDSQPELVLFSASSDTGSSTNSAPH ALDTCNDSNKDDRREEDQGQIESLPSNAPHSTLITFGDEKAISAHSDGDRQEEATEDW RNLGNEALNPDDHSDGTNHGSSGETTPNARFFETCIASIGAQEQAPQPLTRHRLQSIP DTRLYKLGSVYNKLKGMAEDSQAATAGSDTPQRDLDADPDGTLEGPDVASEPDYPAYY IFDPRSAGSAYYMYQDSDTQEGYKIEKVSFKPMLPEPGQRAYCIEASEKDQGKPRHES QETLWRVDSSRVPAVVIDSDSSGSHEAVVDTNEPLYAGDEATQSIVRKVSDRLQKIRH LREHLRVINGKGRDVPEARGLYLIGDKDIRDVVSIVLSEVFKNGHVDRSERRTTTTES SEGRPLPKLDGDSNAILVPTPTAVDPATTINLPSTSYANINASDMQVHTKTRGAESDT TTTVITRRSVAEIVWARAYPEGYDPDSRTHGRTVSDCCSPTHGGSRSCPDDRRQSHTK ETKGDPTLRHFTTPKSTAEILADIMCNKSFEQQLRVSDGTVITSFPRLFSRDLTTEWP RSFPDGGDLIKPAPSTLYHQGVDARSGLHEPVSSPSNEQPPICPTSPTNNSSFFGANP FNPKSRNKYLPTPLAAERRLSASLDADTLRRRSTQIADIEEEPVDDCQPRPGLMNKIK HGSHKLFHKSHFRRPNGSTATEENALDESSVVRSGNSTRRGGTPEPPVSDSSRVHNTA TGSKLNVASYEEGVCSEDDEPHRCVNDSSSRDLSPKR FPSE_09006 MDADWDEVTRIPFPPPGVRAMQTPVTTMIFDNVQELLWTGNDYG RVTSFYGAELQRYTSFKAHTSTEGPVRQILLNEKGVIALGARDLHMAIRRGLHEEMKD LRCMSFTSKGTTEIIAAGWQDMMLVIDLIKGNVVKQVPTEHQYTMMKRGRYICAATRG GAINLLDPVTFAVVKTWNAHSALINDMDAQHDFIVTCGASLRPGQGYMLDPFLNVFDL KNMSSMPPIPFPAGAAFVRMHPKMLTTSIVISQSGQIHIVDLMNVNTSNVRQANTLAL IGMFEIAPSGEAMALTDSDNNIHLWGSPSKLCFVEYPQPVEFATPQEPLPNIEWTDET PLNTIGMPHYREVLFSAWPDIPCDVGAPPVKFDAQFLSGLKATEFGLYGRNTRGLRRN QAENTRNVHKSGSSGLKAPKFLSEKARELASSSAADSDDKIDELLGTMAEMGIESKKS EVPVMYRNVEIKYSKFGVDDFDFGFYNKTRYSGLETHISNSYANSLLQIMHFTPVIRN LALQHAATSCINEICLLCELGFLFDMLQKADGSICQATNLLKTLSSHPQAGPLGLLEE DPHGSSLNVMLQGLTRFLLDKIVHDAKAIKPASSEMDQSDMDQVLATSATTSIKCINC RSEYTRPGSTYVNDLLYPSAVGGRNAKLPRITFSQILKNSIERETTSKGWCGRCQRYQ TIATKKGIHSIPEVLMLNTAITNQEQRALWSTPGWLPEEVGIIVDREQFFCYEGEDLK LHLQRGMHNIKVYSLTGMAINIESGQTQKSHLVSMVNVAHAEPEAPEESRWHLFNDFL VRSVSTEEALTFNTSWKVPSVVAYQLKDKNNKIDTEWKKNIDTSILYQDFNPNADLAT KTYRVLNPDDEVPGPNTIIALDTEFVAVRQPEIEMNSDGERETIRPIVYALARASVVR GTGEDEGIPFIDDYISIREPIVDYLTSYSGITEQDLDPRVSKHSLLPLKMVYKKMWIL LNLGCKFLGHGLKQDFRVINIHIPKAQVIDTIDLFFLKNRLRKLSLAFLAWHLLKEDI QMETHDSIEDSRTALKLYKKYLEYQDAGILELMLQDIYRVGREVAFKPPRKDDQQLPR SDTPPPLPADNSTGPVTPVRNTNYLAQTPGSAFGGASGWTPGKGSPLPQEGESTAKGY KTARERRCEAPEEHESTDDEYQTADEYDTSDEDYKSTSEYQSSDEGESEASQDDEASD EEESDRRVAKK FPSE_09007 MLLSMDVMKVRDWTYLEDPPQDIGPARHLLEFYSKIPFNDLDGH IRRVREKAWCVSQYPCVGRWKFLYIPDPKDPRYQQALFRLNVAGSRDVLLDLGCGVGQ VIRQFRADGVDGAQLIGTDLQSKFIDIGYDLFQDRHQLGASFVAGDMLDPEDKQMASL RGKITMVHAGSFFHLFNWIQQLYIGKRVVEFLKPGTRNAIIYGRQVGTNHPGPTSTST RSAYLHDQASFQRLWDEVGGLTKTRWQVQVEPTGEPVSKVPGFNSHAFPVNFTVYQVS FPSE_09008 MATKIEPDTDGSNITYPSPGVEAMESGPFYNTAGREGVQEHQER IDGIESHHEQHHEQSEHQVPEQVQHVQVQEHDQSELQQPEPEAPQQHVSRPANLEELQ LAAQLGQGLAGTPMMPATDPNMNVEDPNLRSIMPHPEPDQQQTPSYVHDTPTSDPMVS HAMSVPVGPTIAPQYPIDNSIPPRKRSKVSRACDECRRKKIKCDAQTDTGDAPCSSCA RSSIRCLFSRVPQKRGPSKGYIKELADRIHSIENKLESDGGLSQDDIDRLFVTDRPRQ SQGEDSARKRPFSSISTNEFATPSRQTPWGSEHRIQASPGASEAYTSYDNSSLAPQPA PLLKPESAPAKPPVAPMDVSMPDAHEGVDIDESMLHTYLSSFQPVYPVLPSTKERMQE LLAQCPVSLQNAFANALSAVVGSGGDTKLANWLLFERESDEKSTSTRAANIVHVQSLL LLAIDADLRSLPSFPFLLVRAIGLAGSMRLWRYTPMESIIESDSDDALSVQIWWSLIL MDRWYATGTGKPYLIPDRSVVAPPGLENILGEVCFYLVRLSKLLVRLWHVISTLQPGA STTEQHEASILADYIEDYREDLPAHIEPASHPMVHMAYWHCRLIVILLTPGATPSETI WATKELINLLSANDYLRSPLISHFVSLVSMSLAKLVKLDRSREEATQLIKDIVDKPAT AWDGVREKLTDKLRPASSVEATASQGLQHLADLATAHEGGDDIAFGPSLALGYLELA FPSE_09009 MIIDGEKYACEACVRGHRFVIRATAYHLQPPDRPLQHINKKGRP VSQCAHCRAMRKSRSAHVKCDCGEKTSKCAHLQPTVEGHTETCCCNHGGHCSCSHKNE PALDTVPESDSERESLTMSISGRPKPPGRRRRANTVHSDGVLTFDQHGNHKPAHRSNR ASQKCGPYQLNRVNSAHSTSSLVADSMLQKSSRDPPSSRARAATNRERRVKSETTSPL MSGASSFQNLNANLPPLDLSGIEYPPYMANSTFDLFGSGFNSETDAPMYSAGLSAASV DWSHYDLSEMKGDSFTPSSYSQAGTQSFNGLFDFGSGSEHLPHLANTTSTSGEVSEVE DFLPGGDEDYHGLDGFSRADSFIRPSGSVMANSADLTTIDYDSFYKGADAGPMAGAGL SMVEDDPAFWMPNYNEGIATMDESPDPLGPASMPSFWGM FPSE_09010 MNGEDWSETDYGGGARRAQSEKEEPHASHRQVEFTIPALPDNAE NSIGDVWQNVIEMVAPFGIAYRKIGAARG FPSE_09011 MAENSRVDIIFIGAGAVGCFYASRLHHPSQNIHVSLVARSNYAA IQKDGVKLQTRSFGDYTFNPDAAFPSVDAAAAANVSGEKKDWHYIFVTTKALPDVSDD SSIIEPLVGPKSCIVLIQNGVGVEEPFRKHFPNNPIVSAVTVVSAEQTSPGTIRQNRW TRVSIGPYTDGLAAQDSELGRRGTEATEALRRWWTDLGGIKDVDPHDEVGLQTVRWHK LCINAAMNPSAVLSGGRGNADMVADDELQRHLLGVMNEIRDAVPKILGRPFPDYMAKP EKIIESTARNKGARPSMLLDWEAGKPLELEVILGNPVRIARERGVEMPRLQSLYALLR SAQGMRKRENKGKL FPSE_09012 MAHSDNSDLDPSWHDLDRAIGQILIMGWDGTEVTPQIRTLIEDH HLGSIILTAKNLKSAQQTAELVQELQTIAKNAGHLQPLLIALDQENGGVNSLFDEDYV CQFPSAMGVAATGRADLAYEVTKATATEISACGVNLMLGPVLDVLNNARYQPLGVRAT GDDPQEVSQYGLAALRGIRDAGIASCGKHFPSYGNLNFLGSNLDVPIITQTLEELSIS ALVPFRNAVASGKLDAMFVGGCGISNPSMNVSHACLSEQVVDELLRDELGFNGVAISE CLEMEALSHELGVQNGVIMAVEAGCDLVLLCRAYDVQLEAIKGLKLGYDNGIVSKERI FTSLRRVLNLKSTCTSWEKALNPPGISLLSQLHPSHLALSLRAYDDSITIIRDKEKLI PLTASMHPGEELLLLTPLVKPLPASSLTKKLLAAKDNQSQAEGPHEMWAHNDGRDRRA ILSGEGVFREFGKSLARARNEKLLHTSYTANGVRPVHENLIHRASCIVIVTADANRNL YQAGFTKHVDMMCSMLRARGQKKQLIVVAVSSPYDFAMDKSIGTYICTFDFTENALHA LARTLVGEITPLGTLPGTLRKSKKVVKSRQHWLVEEYSAGRDASGLNDLLRAVHRASA PDLQFLRATTAASFQLNNPSIAESHFVVRNSSTNALYGFAATYYIHGVGVMGGVFVEP TKRDVSIGRSLHRRAIRSLLQRRGIKQVQIGSAFPGVFLGIPVDVEVNTIKEWFANSG WDVQFPRRVSNMVIQDLANWSAPEGLSQSIQRAGISFDLIHGLDNADGVLSHVRTHAS PEVLELYRHALSETKLSGIVRAKDASGNLLGTVIVCKQHSHLETYYPSLKSRSEDISG IIAPVVPSIPLATLTLQGLAFMGVRQARNHKATKVMLGSAVDDGTESLTAMGFETLQA FEEITNSPENFSSLA FPSE_09013 MPIAVSPTLPKNGLTKFTNCRLLKDNDLVWDDLWVSSVNGKIVD SQASFYGGRNMPDNTIDLGGRIIAPGFIECQLNGAFGFNFSTLLDNMTEYGKNIQKVN RLLVRTGVTSYMPTITSQRPELYQKTLPYLGPSGELRIPGHGAESLGAHCEGPFLSPT KNGVHNVDVLTEAHSIEDIEKCYGRGNMVPRPDGSPIPIKMITAAPERGQMMNLIPEI TSRDIIYSVGHTEATYEETSQAVGKGATMITHLFNAMRPLHHRNPGVFGVLGKAESLP RPYFGIISDGIHLHPTTIKIAYSAHPDGFILVTDAMHLVGLPDGAYPWTNGENTSNIV KRGSKLLLENSDTIAGSSITLLECVNNFMKWTGASIPQALGAVTSTPAAMLGLQGVKG TLESGADADLVILSDGYGGQDEVGGSSEVLVLDEVWKFGESVFASATESKLK FPSE_09014 MSTYNSMSGLPTGASRREAMAMNFGSAHSTSMSMDVFDQDITFD ESLLDGAALQTLPFGSSYDLDAFSSTFEDPFSYSTRQFEPPQIQDALHEESSPQEPDN KLLGFSAPVASATIVNENTQFVEVGMTAELYGMFFVAEDVFGGESTGRPLELTCYRRN LWQCSGQITLPRVIRNIVDEQGQHIQIFELLASIAAFESIEGKPTEIISIPWKNANPH GSDDAKSVTAPPTITLDIAAGQELDAHRISLPVSWKRLQFKHATANNGRRKGLQQHYV VKIGLLGKTQTGELIKIADIQSGPVIVRGRSPRNFDSRKDVPLTGDKRFERRSTSTSN LDHPTLKLERENSQGFTQRYPSANLQPNDWSTPLSQPLPSPQPGASPHPAKRVALSPT MGRPPIPAWSSDSNSSAKATNAHHKNSIPRQNPSLPISLSLSEDERSPNRSSAELHSP NSGKGYTTSGANRENSPADEGADPLYEYFPLTVDDWMPPVDAVYRPHVVHHTIMPPEM KAQQLKSKAKRYFASD FPSE_09015 MRLIIRDDETEACKYVANYVVERINAFHPTPEHPFILGLPTGSS PIGVYNELVRSYKAGQVSFENVVTFNMDEYVGLPRDDPNSYHSFMWKHFFSHVNIHPS NVHILNGNAASPEAECDAYEEAIKAAGGIDLFLAGIGEDGHIAFNEPGSSLASRTRVK TLAYDTILSNSRFFDNDVSKVPRMALTVGVQTVLEAKEVVVIILGARKALALQKCVEQ GVNHMWSLSCLQMHPHPMIVVDEDATLELQVKTYFKSIEKVAREQGFEQILPSKVRTG NVAIPETKIYRTQSPVIIAPEPIASHLLRATPMGDYSMRTPSPDLLPDRMASRIPEPN LNRRLTPNLEVQTDVPKTKVDMIDSAVAMSPEHVASDLLLQPPMTKTMRSPSPDLIPD RMASRIPEPSLNGRLTPNPELKNRMNTVGAH FPSE_09016 MSTFQKKLLAAIIKSLLRGKSLVQSLLAYWSSSLGHDTSGKPRV GNTPRRSIQEFLKEAETLFLDPVSQDGLQQLSHNMRKQLLQRLEADLECMLPSYSHQL PRGTEVGRFVALDVGGSTLRVALVELCGRMSNIGEESRIVSMRNFRITPDIKALEGMA FFDWMAEKILETLSEELEQDGRSDGPLPMSMAWSFPIEQTSLAGGKLQGMGKGFCACD GLLGRDLGDIVRTACLNRGLNVELRAIVNDSSACLLSESYNHPTTRFGLILGTGVNLA AYLPVSAIGRVKFGQRPPQWFEKATHVIINSEISMMGRDILPLTRWDRQLLANHARPE FQPLEHMVSGMYLGEICRLALVEAIETTGAFGGVVPASLQKPYSFSTETLSIIEKDTT SGLEEARKQFSSRHPAKHAPTTADMELLKQLAGFISKRSSALVATGVHAFWNLRIDSQ NNFVQTLSTQSPERDSAEADRDLAETTVAYNGGVIESYPGYLDSCQSYLNELVAGDKK EKSRNRTIKLVSAKESSLMGAAVALASLEEVVEGPLGVVG FPSE_09017 MAGVFAECAIAFVTSTELAPKLIGELSTILEDNGATICEPRRDG SLPIEKVTHIISNTIDFPQFTEAQAIMIPVVTTQWITHSIARRKQSQIRPFSPDPRMI FSEVVVTCADLPETDKECIAGAVMALGGQESKDATRMTTHICALSMDHPKLQTALQKG WKGKVVLPHWFDDCFKLGKRIDEGPYVLPDPEILKKSPEDDVKIPTNENLLGATSHAP SYLPLPPDSDVARPPVTIFQDRRVMLSKDLSLTERLSKVIQEIIMNGGGKVVDEVEDC DTFICQYRDGPQYVRAAQSCKEVGNLAWLFWLIVHNDWTSPLRRLLHYPIPRDGIPGF KELRITVSNYGGEARIYLENLIRACGAEFTKTMKSENTHLITARDTSEKCKAAPEWNI HVVNHLWIEESYAKCEITPISTKKYTHFPPRTNLGEIIGQTFFDESRLRDKYYPGGEE KMSPAAKRKRKILEAAEQNAYPRGPAEGVVIGQADSEDVEMEDVEEESEKPTKKKAAT KASSVATPIRSRHAGKENDTPSVISTGGRSAKAKAQAALLGLSDDIALYEKERKRNAK GGSAIWGGKRAADQAEKDITKTKSATPEAEEDTTTAKRPAKKAKPTLPDVTMRITLTA FNRWVGDKAKEDRDRKKLREMGIQIVGEGQPCDHLAAPNVVRTVKFLCALSRGPSVIS SDFIEQALDTGSLPDVEDFILKDKDAEKKYKIDLEKSVARARANRGKLLLGVPIYCTE KIRNGPDSYRAIAEANGAIFKIYRARSGTTIRPTTAEEEGNAPPEPVYLLSSDARDEK ALWDRFREMARGGNMEPRVVVPDWLLDVAMAQQVRFDEGFLVEKYYELE FPSE_09018 MAATTTTSASADSFKFPREYHFPAFFTRQTNLTTLHAQHNKWAD LILAYARHNRIFRLSLSEAADSDLFVNRKLDRRLQFDDIRDVVSFMHTDGRVEYVGGG TSGDVVFLYWRKPEEWAELVENYVEESGQKGSVLTVYELVEGDGTKGNGRLNLDNKSG RRFTNCVQIFTAWTRMSY FPSE_09019 MTATTQKRIVVVGLGMVGIAFIEKLLKYDAKSKEYTITVVGEEP YLAYNRVGLTTFFEHRKVEELYMNPSEWYLESEASLNYHINTKATHIDTDNKFIECAN GESYPYDILVLATGSDAILPRMLKGWDSNGVFVYRTIDDLNKLIKFSGDKQGTNGVVV GGGLLGLEAAKAMLDLECYNKIDLIERSPWVLSRQVDETAGKMVADQVQQLGVNINLG KGVSSLKTDENNNLTGVVFDDDSEVNCSTLCFAIGVKPRDDLARAANLEIGQRGGIVV NDDLRTSMPDIYAIGECASWRGMAYGLIGPGVAMAEVVAFNLTQAKLHSPQTFKTPDM STKLKLLGVNVASFGDCFADRDGPITLPRKYAKNLVNGDAKSPNSVQALTYRDPFSNV YKKYIFTKDGKYLLGGMMIGDVCDYVKLLPMVKSQKELDVSPSELILGAKKGDEDSDD LDDDAQVCSCHNVTKGDIVKVIKDGTCKDIASIKKCTKAGTGCGGCVPLVTTIFNKTM ASMGQEVTNYLCSHFSYSRADLFNIVMVKRLKSMNEVMREVGNDKNAVGCELCKPAVA SIMASLWNRHVMDKTTHGLQDTNDRFLGNIQRDGTYSVVPRVSGGEITPDKLVVIGEV AQKYNLYTKITGGQRIDLFGAQKQDLLDIWGQLVAGGMESGHAYAKSLRTVKSCVGST WCRFGLSDSVGLAVRLEERYKSIRAPHKIKGGVSGCVRECAEAQSKDFGLIATEKGWN IFVGGNGGANPRHAELLAKDVPPADVVTILDRYLMFYMRTADKLQRTARWIENLPGGI KYLQEVILEDKLGINASLEAQMEELVDSFFDEWAEAIKTPAIANKFKQFANTSDTTRN MELEDDRGQKRPAFWPNDAAAKDDFQGLKDKWSMTAWEPIIESSYFDGADELPNGISA TIKRGDTQLAIWRIKGVYYATQQMCPHKRAFILSDGLIGQEGHKAEQNGDKAGAAPWV SCPHHKRNFDLENGACKTDESMSIATFLTEARSDGMLYLKLPPVDELDSALGTKKWMV KKGEAGEAPLAKLDSKIKFVGIRGKKPYVKPTGQSKMTTKPMDLMMASNGCGGGAPEW FPSE_11950 MPGSRFSDMADQDSSGLYGLQDPNLGFVDDGSGVKSDPSSASLP NDSSSRPQTQTQQQYYDAAWQFTGTPPYDSYDPSSSAPPAQTNYQPSWNFNLGEDQQN PQQLPVSTGIDGLSATTFSPESLYAASGPSLAQGNFPDNLALQQPPIISHLTPALQEK LRNIAMPPHLQYHSPKSASSPDSANGEHTRRGLLSSPDPADGSSKASRKRKSSADPED DDDEDDGDQPVKKTAHNMIEKRYRTNLNDKIAALRDSVPSLRIMTKSARGEDTTEDRE ELHGLTPAHKLNKATVLSKATEYIRHLEKRNNRLLDENGAMQARIAAFEKLFMAGAMN GAISPLQQPPTPIQYAQDAQQFGNSPMQGSQDGNAQPGGMIQVPEDMKRIISAQLTAS QPYPVPQQQFRGGNPALIRQQQIQQQQQMQQNRWNNAGPYFGKLMVGSLAGLMILEAV REDEITNEKPEGRGLYALPMHLLKTASSSLDLSVGGYHLHTSLRVILLLGTFLWVFVP SLFSQAQPKHKKPQAGVLQAAPSLASPIHVRRQAWLTAIQTVWVPRHNFFLEAAALVL KTLKLSLRNAIGIHGYQALTGLTQEQETARVQAWSIAIDSQLAGGDVEINKSRLVLTF LASGTLPDTPARLMLKALHIRVLLWGLSQNRLHLGASNIIASKLARAKWNEARQLNRL LTQLHRESAEQHDDDLPQHLALLVEQDCDEVLNVDVIQRAHNLAFNAETDYNVAAPMD GMDAVVDDTAVGSPLDAVAAWWSTQILHRTLVATMEKDEETLSTMAEDVELSIKIAPL GSTAQARAIVARAVLVDKARGANIASALQSMGNEKVDALLSNSICVVDSSFQASASDF RLALRCAMAIAHLRRVECTPGSTHQGLRMIDSIMTRSNASQMSLLGCTAALQLMEELD KQKAAAETFNSSLERLSGGLRLWMGGAPGEKCGVASDVREKVVNRCLLITKSMVGMDS DTGYGSLDECEDDGC FPSE_11949 MLLEGARLSSLSGLLLLLSQHLATAQPGPLLSSVLQARDTDRPI PGGPVDTTYLPAQIGGIIGAYAVSLVLVAITLLALSKKRREHIAAGLDEVDFAVRKDI VSPDFPQAVPTSLNIITDTSFIKPSFPDLQDGANPYIQPSPNSSIGAPGTNPFVDPRV VAVDRVMAQSQLEDMYKHVLEHEDAKKRGLVYEVPLPPTAHHQPRPSASGSALSMKSS VSSPLKKERNKPATLNLSASRDEKTQSRTSSFLSALRSPKKKAMKGMSISSPLMTPQT GEFPRQDYQEMNTIPPRQYAPAAPPPVPTNQAPYGSPTVPGKIHSSLPTPDISPESVL SIDERIGNQLPPPGHHRQVSYAPSERDPESATSEHSQVPLVGLPSSPKPGARFPSLPA SPKPGQTFQRSNAPTAVRTGGSLPLRAYEPALASPNTIAQTTKQTVFERKGPLSPSGA MTPYTAGAVPYSPYQPFTPCMPVTPSLITKEDRKRMRRMVPKTPTLEMVQSSDDVW FPSE_11948 MSSIVQDAILQSLNEAQRRAVTSTASTVAILAGPGSGKTHTLTS RVVWLIQRVGYRPSDVIVATFTVKAAREMKERIGKTLGEECEKKIVLGTFHSIARRYL SIYGNRIGLDSKFGIADDGDSRAIIQRICKRLKLNIEPQHAKSWISKEKAKGPDAMAP PPTQKGRKENPELRTCYEEYQAQLTRSNLLDYDDLLVKCVELLRDHPACVSNVQTVLI DEYQDTNGIQYELMKLFAQAKQRITIVGDPDQSIYGWRSAEVKNLFRLLREYPNTDEI SLEENYRSSQSILDVSLTVIQQDTKRYKKVLLPVHTKGARPVLRSLKSSSAEGEWIVS EIKRAVMMFGDMLKFEDVAILLRSAALSRHIESALGKAGVAYRMIGGHKFYERKEIKV LIDYLRVVSQPDNNDALARIINVPRRGIGEATVKALIEEAEQSDMSLWTLILKHCRGN RKAKANIRPKMEQKLNTELIRTITSLQKQASEISQSSPVTLVNLIEQLLTHLDFKKYL EEEYTEEHEQRWANVQEFVNLVSDFMKDFGAPDEDALPEIANLDQVKEDDMLGRFLAN VALASDAQNKGDTTEQKSLVTISTIHAAKGLEWPVVFVPSVYTGSIPHSRSEDTDEER RLLYVAMTRAKALLYLSCPLYGSQGLSSKVELSPFVAPFASKVFAKKGPSFDRAVVEG VAKILGRDAPSEKTVFGKLPPMFSVEDDRFPVDPADHKNVERIDEEPGRQYSRAPKRQ RVSHPTTSYDESDELPWQREYATTMEKSSEFTVAALPGFTTAGAHRVALDAAAAAAPP PDSSKPGVKKGSTKRGVGQKSMLGWLNQGPNAPKPPPEPQPQPQSRSLAQARYESALS RQNSRLPSLPEPQKPLIDPELAKHKLPTARPLAKPNVPKISDGVPQKAYSCFSSSPPR PPSKMEPEEEKKEAVEEAIPNKPASTLHATTFMSVRPNGGGARKYISTTSPKRNTVAC DSTSSSLMSKWNNLSPRNKRYAKAGLAVVAGIDVCVHYTYWPYIRSWFSGAEMEGKI FPSE_11947 MPASPFQTEAWIEYGLGTLILLLRYFARWKTVGFKGYQGDDYFA LVSLIFWTAELIMLELIGQSGTNIDVTDEMGVNMSAAEIAKREFGSKCLLAGWNFYVT LIFCLKGVMLCLYSRMMLGLWQRKFVIWTQIGTIIAYLSVMAAIWGHCTPVHKNWQVY PNPGDTCTLAVANYLTLVVFNVVTDVFIVSIPVPLLWAVKLSVKRKMLIGVLLCSGVF IIVATILRCVFSLRDIQGINTSTIWAIRETFVAIIAVNAAAIKPLFSASKWLVSSKGS SRDKGGSSYVHKHGHALATIGGSGISGGISSNRHNKQKYMTQLEDNSSEEHIVSKPEF MGYSNKNEVRAGSTMSGRGDAQDGIMVTRTYEVSPVKSTLDV FPSE_11946 MAVPETTYDFIVCGGGTSGCVVAARLAEDPNVKVLVIEAGQHNE HLENVHMVGGWSQNFDKETDWNVVSTHGAGVNDRQVKLSRGKFLGGSSGCNGTLMVKG MKQDYDDWNLPGWSGDDMFKYMAKAEHFHTKDWFKETKGSHGYEGHVHTEPHDLAPIS NMIAESMVSKGLPMDHDMFATGENPHGCGHSVRTVHQGLRTTSADFITKQKPRDNLHL MVETHVEKVLIEKDDQGELKATGVRAVKPDGTFIELKASKEVIVSGGAYCSPNILNRS GIGAKDELEKHGITTLVDLPGVGKNLQDHLIVFMFYETEKEGITTDSLLYHGDALSKA FTQWREEKKGPMTVFPFGIFAYARIDERLKDSEIWNSAPRKEGRDPMGLTPKQPQIEF FTTECYGGPKQFDQFPINNKHAFSMIAELFAPKSRGTVTLRNTEATAVPVVDCNYLSD PLDLEVLAEACAFGNEIIVEGAGTKDIVKGSWPSDLVHHKHKTREDWKEYVKNNATTC YHASGTCPAGKKDNPKAVVDEKLQVYGVKGLRVADCSIMPTVNNGHTQMPAYGIGEKA ADMIKEAWA FPSE_11945 MTTIDGVMVLFPPPEGYVVNFDNPARQGVPDAYLVAGFGLAISF LFFMQRMYVKVFLTGGLQLDDFLLIFSYILAVATVSLCLHMFASGAGGVHVWEIPITT FNIYLMDVYIAAIIYVLCGSLAKIALLIFYLRLSPQRWFKIAIYSSMFFISGYTIGLF FAVMFACHPINKNWDITVTGGSCVNQPVLYFATAAANIASDVILFVLPLPMLLKLQLP FKQKIGLMGIFTIGSLTVITSIVRISLLPGMLKSMDLSWSIAYPSIWIIVESNLIITC ATMPTLRKFFKHVAPKLIGESRYGSKTGRSSKYSKSETAGSKIASSSQGRRKRTNYSQ FDQEHGSLTEDFVMTPMKGARHETRTSVGTRDDTQTAGWMDSDSEKGIVGGTIKPAII QTKTVTVQNGNGGGHEVDSLETLTSLYCDLEQHYVTKTVSTLAFS FPSE_11944 MSLRDKRGGQQNEGRLQRRSRTATRLLCSRLAWPELDGE FPSE_11943 MKLQHLATRAILNASAVKVLQSSPGQCCAALLEEAGLGDKVITK GQTLWSNRIDSYWSVSAALEPDCMVLPTSAQDVSKIMKVITENQCKFGIRGGGHGNFA LSNSVDEGITVDFGYINGTTYDEEKNIVSVGPGGHWQDVYDTLIPYGLAVAGGRAGTV GVGGFVTGGGNSFYSASHGMACDTVAGWQVVLANGEIVEANANENADLWQAMKGGSGN LGMITRIDLYPIEFVERTSPLIWGGNLLYKPESGPAVIDALIDFTANVPKDENSSSIV YWAYLPAIAGGTILNAAIENTKGLVKPPAFDAYYAVPDIQTDTTVSERLSIVTLELGS GQPPHFRNIWFTSSFKPNAELLAYVVDKYNKLNEDLEVLMPSSESGLNTLCMFQPLTK SIADKGVANGGNVMGLDFYTADGDGIMFLLTWAAKGDQTEKDAMPLLQAYMEDIETKA KEMDAFWEWKFINYAHLSQDPLATVGEAALAKLRAASKKYDPEGVFQKLRASGVKIPF FPSE_11942 MSIKEDKKGHLEHLEHSNSPDSIQEVNIDDLDSIEQTQTGKFSW LVSITAAIGGMLFGYDTGIISAVLVYIHQDLSKTLTSQEKELVTSVTSGGAFIGAIFA GATADRYGRKVAIYVGCLLFTIGAIIQAASFSVAQMTVGRVVVGFGVGSAAMIVPLYI AECSPSKYRGRMIGLDNMSITGGQLLSYGVGAAFAHVSSGWRWMVGIGAFPAIILACL LPFCPESPRQLIFHGKPDEAAAIIRRIFPNGTEQQVQDKIQHLTYHVNEAKALNAGKS GWWVFKQLYVIPANFRALVSACGLMAISQLSGFNSLMYYSPLLFSLVGFSNPVAVGTI IAGTNFIFTWVNLMLVDRAGRRRILLCTVPFMGVFLVIAAVCFKFIPINHDLSLASDA KIGWPAIVVLISMVFFVGFYSSGIGNTAWLSSEFFPMEVRAMGTMMLTMTCWGSNIIV ASTFLTQMENTTPSGAFGFYAAICILGWVCIYFCYPEVKGMTLEDIRGIFQHGFGVQR AREVQKEMKMANKAITSEVLTRA FPSE_11941 MQEPQTSSTATNRPLNPCTVCKQRHLKCDNDKTCSNCRKSDIEC VRGYSVRFRHGLNPSIRSGKTIEAKAKEYNFSQDQPWVKTTRTLSFVDETPDVINIHD SSIGNFDTLDNLSVDIESSPPSAPLYKLQSRDFTEQDRPRSVADLAETLSRDNQRPSK KRALSSSSAGPWLATTPRSNLPDPLSPSQKSDNDIILGVASPQVAAALASSRQTDISV PVDHVTEAISGIYLDTPRWPLQDPQEAMLFYNFIHVLAPLFDLCDSERHFATIVPRRA VMCPPLMNAVLAASAKRLSRVDGFDCLVGDRYHQNCLDALIPALSSTAAVMDENLLTA IVILRYMEELDVPLTSAETANESHLVGTRVFVAAQEKILDFTGLRRAAFWVALRQEIH MSFMQARPVDPNFALEDISRLVQNDDTCCTFANLTILQCAACLRYCYGSEDQSFSAWE RLQEAQERWWAERPWHFHPMYINESDDVPGFFPQVLYLNDAVVTGVLHYLLIKVLLAA HNPRTPKLGPGQAKAAKTINEEMRKTVKMVCGVAESNQRNPTGFAYACLAITMAGDRF NNMYEQEALYNVLQKADVQFGWPNRSVQEYLKEAWGWTESPVNVISGMPIAGMLNSNM QFV FPSE_11940 MYAQLVIASTALCLVTYFILYPIFQYLRDAKGLRRYPNFHPLAG VTNLPFVREAARGFRSKTLYEMHKTHPVIRTGPNSLSYGSVQAIKDIYGHGTKCTKGE FYETLAGTHYHLADVVDKADHARKRRALSAAYALKNLENWEFKVADKAERFIRAADAA CTLPLKEGFARPDPQDLKFDYRAFTNFFTLDAIADIGLSERLGFLDQGHDLVKGERMD GSTHHVNFRECLHSTARAQSILAWTEKWYNANVELSKFFSKDFRKWWNLNEGWNDIVY HRATQRLERYNTGERLPDFFQALMDHSEANPPGLEWGEIVAEVSIMMNAGSDTTAIAM NNVMYWLLKNPSCMAKLRQEVDSVMDPEEVVAPYDKVKHLPYLRACLDESLRITPPTT FGLPRRTPPEGWNIMGDYIPGDTTVSISAYVAHRDPHIFPDPESYVPDRWLGETGKDL QSYFISFSTGARGCIGRNISYLEQTVLLASVVHRYEWALPYAEWEPSRTEAMNLAPGP MPLKVWRRDLGGDENDEKHG FPSE_11939 MTNVFAADTLLSQLGPPQSIADIAALSALGVASAAYLLRGIAWD KPDPYHHVWFERMGSKSGSSSSRPKATRDIAKRLEETGKDVVVFWGSQSGTAETFANR LSKECHLRFGLQTFCADLCDYDPESIVNLSQRKLAIFILSTYGEGDPSDNTAAFWDWL TKTSNIQLPNLRYMAFGLGNTSYRYYNRVIDVVVQHLDKYGAQRLMPVGRANDAQGGT EEDFLSWKDDLYTHFQENLGYQERDIPYEPNIQLIQDKSLDIMDLNLGEPIQNRSGPA KVVKQYSPIRPLAIQSSQELYTSPGRHCLHMELDISDQPELRYRTGDHLAIYPINPDY EVQLLLKALGLEDRAEKPLLVQTLEEGASTKIPSPTSSLALFRHYLEVAAPVSREIVG QLARFAPSLGSSEVLKTLAESKEAYATYIASNHITMGRLLHLVAPGAVWAKLPLSYVV ETLPCIQPRYYSISSSSSVSARRLSITVGVDKLPLQQDPSRVIRGITTNYLYTLGNAL NGDASQPDVGPDAPSYALSGPGDTLKGHKVFACIRRSNFKLPTLSSTPIILIGAGTGL APFRGFILERARLQAVGKPIGKMLLFFGCRSPNEDYLYRDELVEVAQKLQGCLEIVTA FSRAEGEPKKYVQDRVEERKSQVCELLQEGASIYFCGRAAMARVTGNLVEESMKTQNN WTSDEARSWTESTKKGNKWLEDVWG FPSE_11938 MQVQDAVTSGYKDVITRYNSPDRSESRILAVTHEYEG FPSE_11937 MSSPKLQVAVAGLGRMGARHALNFHNRTPRAELVAAFTPIPKEA EWAKTHLEGVVIYDDYQDMLKHPGLQAVVVATITTAHAEEAIQAIEADKHVLCEKPLS TSVEISQSVVDAATKKPHLKVMCGFSRRFDASYRDAFERMDSGAIGRPSIFRSQTCDK LDPSGFFVAYAEFSGGIFVDCNIHDIDLALWYFGQDSVVKSVVATGITAVQPDLRKHN DVDNAVGLVEFHGGKVAYFYSSRMMAAGQHDMSEIIGTEGKIAINANPVGTLVEMHEA AGVRRQIPGDYYGRFEHAFVTESNEFTAAVLDNKRLPFKLSGAVQAVKIGCALQESLN SGKKISFDETGRRLEEAKL FPSE_11936 MKFSLLLTAGSLAWASPSTPKLDYNQAPPNLSTLANLTIYNTWR PRAHVLPPTGQIGDPCMHYTDPKTGLFHVGYLHEGAAGATTDDLVTYKDLNPGGAPFI RAGGLNDPIAVFDGSVIPVGINGTPTLFYTSVSYLPIHWTINHTRGAETQSLAVSTDG GRNFTKVRGGPAIPDQPFALNVTAFRDPFVFKNKELDNLLDSEPDVWYSVISGGVHQK GPSMFLYRQYDPEFQYWEYMGEWWHEKANTTWGEGIWAGRYGFNFEVANIFSVDEEGY NSDGETFITFGAEWSEAPAPTQVSQFREMLWAAGNTTMEKGKAKFTPTMVGKMDWGHS AYAAAGKYLPSDSKASKKSGAPDRIISYLWLTGDYYGDLKLFPTAQQNWTGALLLPRE LTVGKISNVVDNELSREEGSWRVDRNESGILELATMKQVIAREPMAEFTKVKPIIEPG RNISKSGSTTFDNNPDSKFYVLKGSISFPKSARDSDLKAGFQILASEKESTTIFYQFS NESIIIDRSNTSAAALSTSDIDSRNEAGRLRLFDVVIDDEEQIETLDLTIVVDNAMVE VHANDRFGVATWARSWYAFSTDIRFFHSGSGDVTFSNVTIYEGLANAWPERKR FPSE_05026 MHPTSATFPLHNSSNTTVEPQSTIWQTQLADVCQINSITRPVFQ DFSDRRGGRTAWSSTVTVHGQKVPARFWYDGKNINNAREDAAECAVKWLTGSETGSPT RCHGH FPSE_05027 MSPRTSLWGACRTLAIRSRPVAPRPAPFVNALPRNRWYSNDGND QLPKAIDNAQKAHDSQVGSVSQEGDAPVASTGNGDAEVTSSPASSDEIIDDATLEQLF YGGRTQSSTIEGGLTPAQEDILYREGTIPSAEKAEALVAQAEQAELDSPDSTEMQNPG HKFGLPKRPWPEGFNLKKRYHPVLEQITRLLMKDGKLSVAQRNMAIVMNYLRTAPPPI YSPLYPLLPGTPPATHLPLNPILYITVAVDSVAPLLKIRNVAGAGGGGRALELPVPLG VRQRRRIAFQWILDVINKKPSKGSGRKQFPYRIAEEIVAVVEGRSGVWERRKMVHKLG TASRANIGSNKLKVKKKM FPSE_05028 MSRNQPMSIEAMLDMERKEVLALLENRPKPNPAMQGGMRSASPY ATPRSPVRSMLDVGNQPAPGSPRQAPVRSMLDTTSPPPPRVRSMLDTDTPLSAAKPSG TSTTPNSPVMTKASLAPANTGHTRSFSDAASNPVEFGPRAASRNDPVAGYQFSDIITR NSGQQLPKRNTQGGRKPSGNALSEALRNSDLSGLQIPGESDRKRSWFGGNSNKKSKSP HNRLASRSRSPHVPQALSPGTAMLDDGTIVDLSSAYRRLSDANLAYSTGSLSALPNRQ NSQSGRMIKDYLGPDGEALGSSDEDEPYSSDDEDRGRKKDPRSLNPDAVGQSGSEKER RKSLSLMAAAEEERVTVSKQQGYRSLFDEPEIKVTTPLGENTRLNKGGGVHPKTAYDQ MSTVASANDSDEELDMDDIKRAQNLTCSMSNIISTNESHRAIRMIYRGDYNKIAQQAE DEQHRLRKYLVASDLSDESTHALEWAIGTVLRDGDTLMCIYCVDEETGIGGVDNSVPD DPKAMKEQAAAINTVASSRSVAPSMSAVPDFVRNTIRGDSKNNTPNTSPAPSSRAGGE RGRAEDERRHAVKQITDKVLRLLRKTTLQVRVIVEVLHCKNPKHLITEVIDLVNPTLV VIGSRGRSALKGVILGSFSNYLVTKSSVPVMVARKRLRKQGKYRGVKPVNNLSNPTAR SLASAKID FPSE_05029 MRLVNTKTLQLETLEEGSEKYAILSHTWGNDEVLFDDIQSPARM GEIRKKNGPAYRKIAQSCERAQSRELNYIWIDTCCIDKSSSAELSEAINSMFRWYSNS EVCYAYLSDVFIHEVGVEVADSLKSSRWFTRGWTLQELLAPNEVEFFDHNWSPLGERH ALSSTISDITGIDETYLHKPDTKKDRRISIKLQRENVATRMGWMAQRETTRVEDIAYA LMGIFGINMAVLYGEGSRAFLRLQEEILKRSPDQSILVWRWPLARKPETKTQRMHFLA DSPANFNLRTYAGQEDSEKFGVRLTDQGLVVRVWKCPCKLTRWNHDKTELIDAGNRWL AVLDCSLSPDTLSRPAIILGESPYAEGVFRRDPTSVIMVIEHDRMKSGYLDTGGDRHA IHSIEYKPEFKTETILLESDTVEPEIRLRGSFPFKVNMTLKDRELKQRAAYTGSQRYV VAGQLGIKPTQNPIYGVIFLGDDETDTELVVWWGLIEEGSAMYDVHNRHNGPRVWSNS VPVCFAQSWKNLTGADTWDASLAESLAVNMLCEEFPLPWKGTERPSVVEVEDGLNLQN KIGDSKPMATETVIELFTQCSQPIIECVGWGMRLKASMRRVEFLGRLCCELDIEEKNP NVEIP FPSE_05030 MDPHDMLDASLQDFDLPPSPSLPHHSAHRSEAAMTEGDLEDSET ASVGGYSPPAWRRLGNGNRSSGFWRGPEDFPSRGMSTLMESTPELDDSEDEGVLERAI RTRLPKGSQSPEKRRSASPGFFDDTTIQFQLQERATPIKDLSLHEPPPPDNYIRFAVR AEVQQRTEPIETAINFIHDHYKALTRTWSTTLSTIVVGFFAVSLFKSLLQPAAPRPVG DLVKVAGLARSFEPLIYYSEHAVSQVHDLQATSVAVWDLGESVRSSDMRDATSIVSNL DALSETMKTLALEMTKFFARVDGDIDGILNVMDWAKMHLNRLNASPSPSTISSAYDNI HNILSQAHILEDATGSPTTLGRLTSHVFGLSNPQREQRMVQLLFTEFLTVLEDSIQAE LQHSVTLFALFEAVDHHFLNLARTVVRESSAQEELHADMLSSLWTRLLGTRAAELRKF EQNRLLLRDVREKTVRNKSILVDHNGKLLTLKASLETLRSKLVSPLVRGVNSTTLTLE DQIQGLSDVSDYLGDVRKQQKGKVMETLFGTVPSRKYTIAERADTVVVNPL FPSE_05031 MAANAAPGAVDQLANDLNNTSLNGSEANAPAVDTGVTAAADDAA APTPTTAAPHPQNSASLYVGELDPSVTEAMLFELFSQIGAVASIRVCRDAVTRRSLGY AYVNYNATPDGEKALEELNYTIIKGRPCRIMWSQRDPALRKTGQGNVFIKNLDVAIDN KALHDTFAAFGNILSCKVAQDETGASKGYGFVHYETDEAASQAIKHVNGMLLNEKKVY VGHHIPKKDRQSKFEEMKANFTNVYVKNIAADVTEDDFRQLFEKYGDVTSSSLARDQE GKSRGFGFVNFTTHESAFKAVDELNNKDFHGQDLYVGRAQKKHEREEELRKSYEAARL EKANKYQGVNLYIKNLDDDVDDDKLREMFKDFGSITSAKVMRETPTEGDEDKKEKDES DKENQEEVKEEVKEESKEESKDETKEGEEDKKAEKKSDKKLGKSKGFGFVCFSNPDDA TKAVAEMNQRMFNGKPLYVALAQRKDVRKSQLEASIQARNQLRMQQAAAVAGMPQQYM QPPVYFAPGQQPGYMPQGGRGVPFPQGAMGMPQGRPGQFPYPQQGGRGGVPQQMPPNM YGMPGQFPPGYGQPGTPQFMAAMQAQQAALGGRGAPQGGRGGPQGMPGMPPMAGNMPG FPPNNNRQGGRGGPGRNGNGPQGGRGADAAGLSSLQQQLASAPPPQQKQILGELIFPK IQAINADLAGKITGMLLEMENPELVNLIEDESALKAKVDEALAVYDEYVKTQSSEGGE AKKEEETKA FPSE_05032 MFSTFTGNSRRPRNVNLSGSTGNPFANTSWSPSAVSNTTKTVSN AQAEREKRQVERTKLKAVGKIQRTWRGYKIRAELRGSQRDAFDALYKPGPNPTPSERL PEAFTLLLSFFALRRDDDIQRAICYAHDTESVDLRQIAPPDVHPSRIEQLIRLLLSAL DKSVSERNLSNDTHLIFKLIIRLVTRYPDLGLVAIDNYYKVVSKVCQAQSLDGQWRDI VIQAITSPFETASSEAITQVYHAFAFSFLTKENLNFFEENISDVSNAIRFSQLARAIM DGLPSTTSPGYSQDAQLWQLAHFIDISRFSADSSRDTGILETLYTQLSALSSSISIRL SASVKTYEDDENESEEQLALPLDPYVSSQLLSLVDTKGILQTLHDFSTRLAGSSRQDY QSTSFLAGYILTLLRCFPSKSDDIRMRLFLEEIPTLAGNVPTIKFLWQMMVKTTVFHK LRTESEPPLDILRRYLGATTGNADSTDEEQDWRIILLFLELYVFILRLSDDEDFFYGI YPPVIQQAPSPSRIRPCSLSLEHVEMLTGFLKNTAFTLHYKAQELSRSRNDLEAASQH RVDTYFSTGGPTATSQGQDARSSKSPTSLDIDSLRNIVTSAMKMLYERDSRKQFLPTD HWLMTSKLDQGVFIAAVIAEEKRQIEEDSDDSDEDMENEYGSVPGFYSTMAGQRLSRH ARLERLKAQQVRVQKERRLAEMGPKLEILKHMPYAVPFETRVMIFRQFINLDRAQRDD ENPRSFTGPYARHHAQISRKRLFEDAYNQFYEIGDGLKDPIQITFVDQFGAQEAGIDG GGVTKEFLISVTTEAFGSNNGKGMFASSEKGLLFPDPIALDVVREDLKMRGYSETDNE YRDCIANLLKRMEFLGRIVGKCMYEGILVDLAFAGFFLLKWASTGPSDEKNYKGSVND LRDMDEGLYNGMLRLKNYPGDVSDLGIDFTIADQISPPGDPVKTATKKLIANGDQTYV TNDNRLLYLSYVARHRLIVQPSIQTTAFLSGLRSIIRPNWLSMFNQSELQRLVGGDSS EIDIEDLRQHTVYGGLYEIGDDGQEHDTIKIFWKVMRSFTDAQRRDVLKYVSSTPRAP LLGFSQLRPKFSIRDGGSDEERLPSASTCVNLLKLPIYTSEATLREKMLYAIQSGAGF DLS FPSE_05033 MYRLVSFFLGVAAVSANCPNYEKFARERHEPLSSGRYAFPLQRP SKDCRTHSVPAVEHVIYEEMDQAIGDPDLYRLFLNTWPNTLDTTVPWRGVSADNAKEE LAFITTGDINACWGRDSANQLQSYKSILSSSDDIASLFRGAINLQARYLTKAPFCNAF HPPPEANLRRAKRSVQPRDTVSPKYDPNFVFECKYELDTLAAFLQLSWDYYEETEDGD FFGKFGWVEAVRKILKVAERMQEGTYDEQGMVQKPAYSWLRNADSASETVSNHGHGAP VKGHIGLVRSFFRPSDDSCIYQYLIPANMMFSRYLTSCAKIMRPLDEKLAKKMEAMAS GIEYGINQHAIIQHPVYGEMYAYEIDGFGSYSLMDDANLPSLLSIPHMGYKPASQHVY DNTRAFVLSPSNPYYARGPVLNATGGPHLGPGMAWPMGLIVQLLTSDDDDEIVDGIRQ LMNSTSGLGLIHETVNSFNEKHWTRSWFSWANGLFGQMILDLYKRKPTLIARSYQDVG NV FPSE_05034 MPAPRPVSRCVQRSSQVLSRTQLRPARSTFSPATIAARSSLTRR TSTALWSAAKVPMAARAFSTTSRLRDDDTDFDPATVERESDEVDVCIVGGGPAGLSAA IRLKQLASDAGNEDFRVLVLEKAGDLGAHILSGAVIQPTAINELFPDWLDENNPNRFE HATPAGTDRMRFLTKNSAIPIPAPPQMTNHGNYIVSLNQFVKWLGERAEEIGVEVYPG FAASEVVYHADGSVKGVATNDLGVGRDGKPKETFERGMEFHARVTMFGEGCHGSLSKQ VINKFDLRRDSQHQTYGLGVKEVWEIDPAKFEKGLVVHSMGYPLPKDVYGGSFMYHFG ENLVQIGLVTSLDYTNPWMSPYQEFQKLKRHPLFRDVLEGGKCISYGARALIEGGFQS IPKVAFPGGALIGDSAGFVNVPKVKGTHNAMKSGMLAAEAAWTALSEKTDEGTVFLYD YENKLRDSSIWKELHEVRNMRPSFHTPLGLYGGIMYSGLEAYVFKGRVPWTLKHKTPD HASTLHADKVPKIEYEKPDGKLTFDILTSVSRTGTNHEEDQPVHLQVKDWDAHTESTY PPYKGMENRFCPAGVYEYVEDESKPHGVRFQINAQNCIHCKTCDIKAPHQDINWQVPQ GGEGPKYYMT FPSE_05035 MLSATSVAIRAGARRAAPRFAARAVSVKTASTTLRSIDVSASRT LSTTRALASSLARDRTREIVAQTINSIGSKREGEQYLKLFTSVESQKFAVIKVGGAIL TEYLDELCRSLLFLTELGLLPVIVHGAGPQLNNLLEAAGVEPQFEEGIRITDAKTLGV ARKLFLEENLKLIDRLDELGIAARSISGAFIADYLDKDKWQYVGKITKVNKEAIENSI EAGYIPVLTSMAESEDGHLLNVNADVAAAELARALEPLKVVYLSEKGGLYNGDGEKIS VINLDAEFDHLMSQPWCRYGTRLKIREIKDLLDTLPRSSSVAIIHPSDLQKELFTDSG AGTLIRRGDKVRKVSSFGEIEDVAKFKEALIRDRQGMDAEATVDRFVDQLKDKKFDAY YDDGMQCLAIVLPASEERAIATLATLNITKSGWLSNIAENVFASIKKDHPSLAWTVSE EDENLTWFFEKADGTFNRNGNVLFYYGCDLRSEALVPVFEDFKAHGRAMLGDANLESR LRDAAKTTSESLNASQSRN FPSE_05036 MEGIQTHPSNAAQAKAFTAPGSLSFPGATNELTPPPVGNGDATQ QPPHNGQQAANGNGVAPATPVATPAATQGPSGITPTLQNIVATVNLDCRLDLKTIALH ARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARIIQKL GFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIYRMIKPKIVL LIFVSGKIVLTGAKVREEIYQAFEMIYPVLQDFRKV FPSE_05037 MSGAPSSILIVGSGVFGLGTAWALTKRPQYSNTKITIVEECAGQ FPPEDGASVDSSRIVRADYSDPHYAALAAEAQKEWRKQGDDEVGGQGRYSESGFVLCA SETPKDFKMKKSGMDYTKESAKNVELIAAQTGLPMDKIQKLESTKALQEFLGTDGYPG DWGYLNGNSGWADAGEGMKWLYKQASATGRIEFVNGKVTELVTEGDRVTGAKLSDSKV LKAEVVMVAAGAWSGSLVDLRGRTEATGHAVTYMDITPEEQKRLDNFPVVLNLSTGLF LIPPRNNVLKVARHTFGYINPVKITNALPPSPNDKREAFIASQPYTSRNSSENPLSVE ADKDLRRALKDLCPVRGLETRPWKEARICWYSDTRDGEWLIDYHPGWKGLFVATGDSG HGYKFLPNLGEKIADVLQGQGGELGEKWRWREMKNDGVGRETNGVYNGLMTQDGSRGG RPLVLCDELAKGRAPVGEAKAKL FPSE_05038 MSSQDNSPCRILVMASGFGSNFQAIIDAISSGSLPNSRIISLIV NRKNAHATVRADKAGIPWEYFNLISGGFLKKGETDEQKVVEGRQKYDAALAEKILAAE VKPELIVLAGWMHVFSTAFLDPIKKAGINIINLHPALPGEFDGASAIERAYDEFKAGR LTRSGIMAHYVIAEVDRGTPILVKEIEWKGESLEEYKDKVHSHEHELIVNATTKVAQE TVQKRAS FPSE_05039 MSSGKGVPDFPNLEAKLQKPSKQSAFDKQKAEAEAKRQREEAET AAVYNEFVKSFDRDDDYDGHGAPGFSAPPRPRLGFGGPPPPSGLGRRHFGTSGLKSGP GSLGPPPSSFVKKRSFQDFARTPREKGALGYDEGSGSGGPLPASRAFNTSDDEDMDGV TNRAEEKAIAKPTLRLSNIPPGTSPATIKALIPENLVVENVKITPSTGSAGSERKHAV SIVVLSQDTPANEIEAAVNALQNRYLGYGYYLSLHRHLSSAVASSVALPVIGSNSASH PFGAKPVEQSSGPQNAPAQHGFHKGFAPPTSYGPPGAGVNRSSLLHVPVKPPSDVKTI QLISKVIERVLEHGPEFEALLMSRPEIQREEKWAWIWDARSQGGIWYRWRLWEIITGS EQSRQKGKYVPLFDGSHAWKAPEENLKFEYTTKLDEFVSDSEYNSSDDEDFDEENKRE TADPESEKTFLSPLDKAKLTHLLARLPTSMSRLRKGDVARITSFAIAHASRGVDEVVG MITFNVEKPISLTGANPEKKPDTKDAQSSVQEEASKEEGVDISAASLVGLYVVSDILS SSSTSGVRHAWRFRQLFETSLKDRKTFESLGLMAERLKWGRLRAEKWKRSIHLVLNLW EGWCVFPTESQELFVRSFETPLSSTTTEKESNEERKGKWKTVEDAQARILPVSTAVEE EDDVPGEAIDEDDIIGEPVEEDDIEGEPIDEDDIEGEPIEEDDVAGEPMDEDEAVGSQ PPPAPSEQPPTESGNKELEQASKAGPPRRRMRAVDMFADSDDSDKEKGA FPSE_05040 MPLINGIKMAWYVAAWPGLIGTRRTREPCIRGHRSTKCTHANER LMVPVRKPGRPLSSCPHPASRPCSCGRVTAAIPKKQACHCGPSKGAESPTLNPENGDS ANSSAPQSPASKTPGTGYRVQKTSSKGSNSSRRESVDPTALQRMDPKMLNILPSFEDA SPKPATPLADMNPYGSMGMTPAESPFGPVMYPMFSHVQTPILSPNSSKTTTANHGGSM TTTQVTEESSQPAPKVGSCCGGGNAHGAVSTPITLASVPSPPKPKKSCCSSKNDSPKA DQKTDTIPSGDLPTPNSMMMSFPAPVMMPNGMYAYYPQPTVFTYPPQYGSYLQPLQPE QWRQVMATMTFASQGGMSSPYGMPGATPFQTPHPAAGTSHQCSCGASCECVGCAAHPY NEATQNYVKSAWQSMMDTGYTHVNGHSNGHTNGHNGEIPATNGHSINTNTVVTPSIGS AEGTVSPVASQTPSEAASGISEEQALSANDFFFVSYPFGDSCAGEEASCPCGDDCQCL GCVIHGNNEAPAEIENQA FPSE_05041 MGNHDRVRERSPRRDYGSHNRRSHDRDTHKTSTRARSRSPAHER SKLPSSSRNEGRLTEPSHRHSSSRHHHHRSSHKHRDHANRKTEAAVKLPFDARPLSKA DLNAFESLLGEYLSVQKQKDMNEMDDREVKGRWKSFVGKWNRNELAEGWYDPETFARI TAENPATSRPRRASVHKEEEEREEPVEDTRNQGSEDDDDDYGPTLPGSDRARRSGPGI PTIQDLSLRAELAEEDKQASIEDIRNARKADRTLQKERLDDLVPRAEAGTRERMLEKK AAVADKMRSFRDKSPGAMEVTDEKELMGGGDSLDEYKKAKEREQRRKTEREIRREEID RAKREEMEERKRAYMEREEGTVSMLRELARQRFG FPSE_05042 MSGEVDYGFGDLMDDPEDYCPPTPPPTSQVFTMQSGKPIKLHLV GASPTEAHHLWNGAKMIADFFEEDPSRVKDKTVLELGAAAGLPSLVAAILGAHKVVVT DYPDPDIIRIMQKNVDECDETVEPRGRIVNTVDAMGFVWGADSVPLLARLNPTDDSHK ERFDILILADLLFRHSEHGNMVNTIKETLKISRESVAYVFFTSYRPWKKELDMGFFDI AREQGFEVEQIAERRLDKPLFENDPGDLDVQKTVKGFAVRWSAEACN FPSE_05043 MPTSQYLQDLDRDGFVVIKSIVDSDKLQALRGVSSKATQLARDG QWPFVRTVPKQFPPWDASQAREHGIWGVQHLMNPELPGHELFTELYFSETILGIVKQL LQCQDEHLVMELFNMLVRPEKDFELRWHRDDIPAEASQEEEMERLGKHAYHAQYNFAL WEDESLIVVPGSHKRARTSTERDADPFAKSLPNQLIVKLEPGDIVFYNNNILHRGAYN SSKERMTLHGSVGHIQGNSLRARNVLQHGVGSWVDKCDFQPLLEDDRRRAEEMRQRLI RLGSESGQVGYSLQG FPSE_05649 MLAIQSMEGPPRPLPGPPPALVGPESTEVRQPKPKTLPCKYCSK RFRRVEHVQRHERTHTKEKPFSCGWDRCGKTFGRRDLLVRHEKLVHLNEGSKDNSRPR KLSSNTSSTSHKSSVSEGQTNTEAIGHQHANVSRPPPPQQPLPQPQAYQHGPPPGSQQ NPHDYRAQPRSAACNLDLLSDAALASSVTPVQRSAAPAPLPPHSPESRRKSSYGESIV AYTTERPREDQPLGSGYVPQQPPPGSYDDYNPFLDEFAASSHFLPPNFETEQQMMYSR SQGGTAQRRLSKSSFLPGRFPPMQTEARDPADPASRGIDEAARQSALRISVADHTVIK NRLDEFSAVLPNDFVFPSRHTLTRFLEGYISGLHEHLPFLHLPTFSPAEAAPELLLAI LAVGAQYRFEKNRGYALWYAAKAVAMEQIRRRRISEVHALLPTAAAYSPHSTRPSPSA TYRHSFASAQSERPATQDTHREPYSPNTPQARIETIQAVLLLFAIGLWGVPTILHEAL SLQSVLAILIREEGLVAEVNQSVVNDWETWIRLETATRTKLVAYCFFNLCSIAYNMPP LLLTSELNLLLPQRGKLWRAETAWQWQEMRQSTPIIELTLHDAFSRLFGRTNQGLPQH LSSLGSYVLIHALIQHIYLLKQTSFATGLPYNIHRTMKPEDVEEVSQALRVWQTSFED QHQLRAAESGHYSISDSIEGGTLDYTATALLRQAYIRLYTDVTPSTALETRDPLLVAS ALSRTPLLVRSLRLHRAVFQAIHALSMLVKAGVNYVARTKSADWSIQHSLCNFECAIL VSKWLLTVAAIGPHDAPASPEEKNLLDMVRRMLDETEFAVPPIDPSLSGQNDVPSSDS AKLRQLAVAVVRLWAETFKGTHIFEIVKVMGQSLDAYADLIEKPRDRSPQVRLLEPNV N FPSE_05650 MPFATELTKRLGIRVPVVQGGMMHVGTADLASAVSNAGGLGLIT ALIFPTPEELRKEIQRCRTLTKNPFGVNITLLPSMVPPNYAAFAQTIIDEGIKVVETA GNSPGPVITQLKKAGIIVLHKCTTIRHAQSAVKLGVDFLSIDGFECAGHVGESDITNF ILLSKARQTLGVPFIASGGFADGYGLAAALCLGACGINMGTRFMCTVEAPVHIKVKEE IVRAQETDTTLLLRRWTNTTRLYKNKVAMDALEIEKKSESGEFAEVAPYMSGKRGKEV FITGDVDFGVWTTGQVMGLINDIPTCDVLVSRIEKEAETALKERLALLVPESKL FPSE_05651 MDKVSLASLPDDLVLDIVEHLDTARDVAHVSALTKHLRSLVRQD GWRTFVKTRFPSLGIDTNENTQWGTIADRATYLDRCWEKRGFWVNVLHEKKQSPEKFQ RRVAGSQSVLFHTVLDARFSSPLQSDVLAAGVGENLMVRMKPINGQPDTWHQISGQAH GYRAGIGDVTAVSVIQNDQVPGVVVGRANGDIQILSGKDNFASISRQLNPADEPLLND VSDMRKSPGQVAVSSLQWQPEANLLAGGKGSILTLYDLSASDDSELTPIESYDFSKTS PDDEASFLRSTKFMSRDVVACALGASRNPLRWGQLTPTGIHFTNAASNPRPLNDAAKL TDIKIGEKTTVRAIEPVRGGNENLLLSTWDDGTYRLSDIRTPSAQDAVYRDRFQPYEA GSSLLVYGTERFVAGSNTSPDIRLFDFRYPKPYHHTSALPCTTQWPFPSQKDDHKMWR QGWAPDCQPQGCDAQAGLLCNWHGTSRRNYWRPDATLHIGSQTYDRIYCLAKSSDLSD TVYCGLRGAILEMNLHLTDDAKYDPGQRTVPAGWSMGRPGGKISLIETGVSLCQAKEW ALENRSVPELIVQQPRPQKQTESSDQVKRHRLDYAFHKTQDFEQVQA FPSE_05652 MCFRKSLNPNRKSKRELDRQLLYADLHGYVTTTKTSRKKAERER KRAERERNSGNSRPHRPTTQPHTAQISRNSSTASTKPLARTSVPYLSGDSAIYTARPD QSLVVNHNMVRPGSFTVTRESSASQFNSQDPNSTFTTEATDIGIYSVDERDLMIEPTP AAYHTAPNTLSQFEDLDFSSSHDLWNTFEPQDMSPDELYRHMEETAREIQRRAPPPPL DPSSDQEGYFARVTFANGYTSRDIVTYNHDLKYNFVSQGYLERLRAATGSPPCFLPGP PSYEEVLTPDGIMAPIQHLVFADLQWESPDLPFPSGPLWFVHYRGNGGTDDTKLILGR SWYDSTKKQAPNRS FPSE_05653 MPYDYTCTDSYLIIIRHWGPLTVDQPLSKRPCESHGPFHITALV YGRGENGLISNKLAEKLRSADHKQATKVPPVVWISWTFDGLDQNLETSQVHIAPGLEQ DLILGDNTNEIYRSVHISPPEEQLPLQPDTREYFQKHGISAANKRSENMLKDLMSLFR PKAQPDNVKTDVAGSEPEEIERPSEVSSESSPSPTFYSISNTGSDADNWITDFSNSIH NVSFPQAPYLDTNDQTFGAYCNVAAHLDRTHFKPGPKNCAEGCGGKSSLGSASYISEA YSPISNWSFVCKESGEMEDREVIQQCQQNEFETHPGHHFWIWDRQRQLWRLRGRDGLD EEDRFTELFFQ FPSE_05654 METSEYETNTLSINNIASIAFRIYEPSTDQSHSFQSSALEIQST FRDQGKLVSYDADRGGIWIFQITNKDGASKAVSFGSAVSLEACGYTLGMVDEGTLEPA ALQKNRIPGNTTQNAPTNTAATTSPAEPHQRNPLISPIQTAGAQAEGGAQLPAQPADT RTTQPVPNIIYEQFITAVISSITLAFCSQSMAVPLNYRTILIPPLQADTNEHEKDSLP ADPVIGTFKTYLTTTGSLVVSLYFSYCQNLATVEDVLSGDSSSPSGSILAAPYGVFAT KSGFSNGDIDRSLAQTPNTQAMSVRSVPDTHDSLWKHSCLKALEYCGLNPSNFKAGLW VNLLITKPTSQDVDGEQKRLRATVPWPGALCFRKKSLEVSTTHRVGDTILSGHEECHD PLGDARGWFASTSEREERVSKRKAERAHAAPKEVNGANLQAQSLSGQSPLSIRRPSTA TAGVMYPTPPDAIQQHLGVTPSIDGATSSPNNPPPTLAVADADTAMPTVTPMADVDND MWDGGHEQKRARSDSNLLGDTEDIMNDMAGDVFGDHDVTEDDFNFFDGPDGNDMDIDM SDLQPISHQIPHPPLPQAILPQAMPLQAMPPPVIMQPLPQKVIEPQPEIKPKPRPRPR PKIHEPVFAKPELKHARSSLNDELNHKVKTERSNSNKRGSSPFDPDTVFKRVKASLAS PTQENFTFQAPLRRKSSIFEKVEFDPKIPLINKKYEHGGAFDFSKDLGSGDLKRDTEG LSRDEYLERQGKLNPDSKTFPGVSLIRSLTGADGSANHANAQKSNCNASFSEDSDVDS EADDLSSLSGGPVSPIKSSVKRTVVDDDAVSQATTSREGELMDDATEEQLAIELPKLS KTESYEMPLHRFFSDPEPLNAEVGLSNNEFVEVAQIVTEQAATGRLDIGIDHKNGSSV ALATMKGHELNVARSSLQLLHNVIPSNLGSATAVRLKGLLEILDLPLAGQPTRLQPRP IPGRDTNVEQLRANNLYQIPVPHLEVRRSETKLSVLPSSVSFWEGLGLSPSWGPKDIT ALCIFPGWKGMSDHVGSFISRLKSVYESLKLGTFNNLPLSGDWDDGVLPYEVDRISTS PDATVTGHGSSLVESMEVLRSSLSELKSKDKNLVIYFVYSPDNPASIIEACTAFYRCF GEYSELLADRRESPQNELVLQLVSSNLISSTTSLVVPTPAEMIKLCVETYDRCTLFLG AEHGGPTPAPAVMLEQPPPRMIDFKLTTSPSQSLMHENSCIHVGYAESLDGRWITAAW TDNRGQRQATASYSITRSRTPDRSTSHHKAAIIAEIWATTLTMISIWKVHWRVIITRS GPMDQKEVEWWQAASTLDDKYSFTMVLMSVDTSPSLQLVPPVVKIPHAATSAFYSTPV STPQANIVSPEQTTTPATPMREASTLAATPGAESATEPDADSFLIDATDQTWGAISGH RFGNSTTLLEMRPALASGYLIKRTGIKIEDPPVVMEVNLVHTEATPRAYEPLLREMLC YFRGLGTLARARGVTDRETDVRPWHIAVAEKGVRALHLLL FPSE_05655 MKLSNPGTVPVYTISGASSARPLPDWLARRRKRSLKDDAEYQNR VELLQDFEFEEASNCIRVSDDGGWIMSTGTYKPQIHVHNLSQLSLSFARHTNALNHSF ELLSSDYSKSIHLQTDRRLEFHTPMGCHYEVRLPRYGRALVYDRQSTEALVPSVGLDG DGKGEVFRMNLELGRFMKSYQVDVGGDDELTGGGLQGGIGVGSVNTAAIATNTHNLLA FGTSIGTVEFWDPRSKSRVAMLGGHDGEVTALDFSPTGLSIVTGSSTGMMQIFDLRRP VPLMKKDQGYGFAVQKLIHMTTASQEKKILSADKRIIKLWDEQTGDPWTSVEPIVDIN DVAWCKDTGMIMTANEGKQQHAFFVPQLGPAPKWCAFLDNMVEEMAEEVRTETYDNYK FLTLPELKQLSLSHLVGKTNLLRPYMHGYFVASKLYEQARLIANPYVWEEERTKRIKD KVEKERASRIRGTKKVKVNQKLVDKLLKKQENREEVDTKAGVLGDDRFNKMFEDEAFQ VDENTGEFRALNPSTMVEQATQPKGPTRYQAKDSDDDQSSDEDMDKPVAAPKKKTKDE DVVMRVSSTSNQGRAVRDTALGSRQQKFGRVNKAHGEVVGERQVSFIPESRRKQKERE DELPAEPRDKRTGAARRSASGNTFRRI FPSE_05656 MSQTTDAFPLASEVYNDAPSADSGTDAGAAGDSSGNSVSISQGG MIAIVIVVVVVSLVGISTAALFFIAKKREWTMRETLRKSARKVVTALTPRRTEFPSSV KDGPIPTHRNRTRIDDDVPPTPRIRPEDLEKGLAQAKAKRKEKKWTRK FPSE_05657 MSASPGSRSPGLGQNTSLASFGHVGDERDFEKLPSVIPDSQVTS NVYTSKIHVKRFSVPKEDVSISNISEGFSFGTKKPPRPGQFSERPKTEQSQTNETSKF FHSTSTVPRIPPPNPPRPPNIRIPRPPPLDLPEIGLVGRQPSPHGSPAPSYRDIAVSP RQQGRYSPKSSRGGTPQNINTGPAVLCAESSERMGRAAVVGLPAPPPRTKAFSSSPQP SRVADQVETSQHTQDKSHIAFVPASERVRNLEHLKLPLPHIAQNGPPHHRVSSPSTCG DLEMPAPQSPRATRRSRSSRGYWSRQPTARSDHYRDSEKDLDRPQSRASNVSRKRSSR RNGRSRTTVDPDRKKLAMQNVAQHWNECIQISEAERIEAAKEIARLEDEVRCAEEALE MSKHLICEKDTEIQELVDLHKARKEEGSLAEKESQKLLSEVESLRSGLAKSQEDKAAI HQKYCKNRNKLNEAMTEQQDLFKRMHALYKEIMELQKGKEKRDIDAKDVELALEASRK KREELRSCVEKYRAETEQETQKKNHVIGELKIKLEHQQQALIRERTAASELQSRLKTE STLVDMVKNMQSNLSTLKENSDKHNARSQNQDRMNDRLSEKLDHLSDHLSSHIEGQPS KEQFKSILENLEANIVTRLASGIHNVISSQTNTAKTAACFHETVEGHFEKLHNNMAEQ QSTQSKNQQRFEESQQVFVDYLDDISIKTRETHRTCEEMKNDWAGFSESNSVWRDSLK NNLHNEIIQQLENRESKIVNLEETLHRVSHEWSQKLEGLRSSMLENGQQAEKGLQGAI REIRETLDKRFQEQSAASQDDNSKSETIRSTIEAHLEQVRRQLESVSSGNPESQLLRE ALLEERKKTSDLQGHLAKLQSDAGTSSELCRREHADLKAMETLKGQLDGMSERVPRVE NLNTTFNKMIDLNQILQSTASYLSQEHSWVRNELAAKLQTVTPQESQESKTGSESGYF QGQRFEDTRPGLQAQDIGAKRSRSLSDVLTLDVHTQGERYRRRVVVASPAIEASLPAP PPSIAQEQQRRREPSVQRPILRPATISAKEADPIKTALNHDQYNRLVMARASSAASGT NPAMVEQVRTGLMPRTEKWELPTIEDFTKESVLGGTDGANPNKKRVAHADEGNDIAPV MKKIKSENQDEYGDTSGM FPSE_05658 MAKKAKARLVHARLVSMAMTGFFYTFKRPRTAPMMSMLKYDPIV RKKVLFLETKKRK FPSE_05659 MRLTTENINQRVVAAKYAVRGELAVKSEEYRAKIAKGDTGDLPF NEVISANIGNPQQLDQKPITFFRQVASLLENPILLENEEALTKHFGYQTDVIERAKFL LSKIGSVGAYSASTGVPAIRESIAQFIERRDGFPADPEHIYLSAGASSGVNTLLNVIC ASPKTGILIPIPQYPLYTATLSLLDATAVPYLLDESRNWGTDVDTIRASYERAKADGV DVRCIVIINPGNPTGASLPEEDIRAVLEFANEENLVVMADEVYQTNVFVGKFHSFKQV LCSLQKEHPGKFDGLELASLHSVSKGMVGECGHRGGYFELVNFDADVEANIYKFISIM LCAPVIGQCIVELMVNPPKQGQPSYELYKKEYDGIFTGLQERATALHKAFSQMEGVEC AEPQGSMYLFPTINLPAKAAEAAKAEGRSADEFYCMRLLEATGICVVPGSGFGQKENT LHFRTTFLAPGTEWVGRIVKFHNEFLDKYR FPSE_05660 MGSDPQYAKWPLLPLSQHVFTLTNGYATKNAQQASVKALQDAIA QDKMAPFYRYLAHPLDGVLNTIGEGGASAPGRPLSRKSSLVGMIATKPAAANISLPWD EGLYNQLKEDNDRELEEIQKEEDDAVEQAGDTEVMAAQGKRAEFWARDKAIATYESLF EKTGILGTKIDLVLAIIRMGLFYGDKPLVKKHVERAKGLVDTGGDWDRRNRLKAYEGL HLLTVRSYNLAAPLLLDSLSTFTSYELCTYSNLVVYSVLAGSVSLKRVDFKSKVVDAP EIKAILGDGDDKLLALSGAISAGPGADDTVGAKAPKTATAAVNLTTLGTSTDHPEAEM AIDFSPLALLVSSLYKGDYKAFFTSLANVEEQFLNQDRYLHEHKNWFIREMRLRAYQQ LLQSYRVVGLESMANDFGVTVDFLDRDLARFIAAGRIPCTIDRVTGKGVIETNRPDDK NKQYQDVVRQGDQLITKLQKYGQAVRLRGSERA FPSE_05661 MVRDSDRAEGDERHGRKRRANDEPQDDLPQPYNAKSLQPAKRRA LSPSEQPRKLKRPGARARISEAEREAIRQRQLDREREAAADDAHEAEQERIRNNSDLV RQHYNNVPERGRDWRTRDSKIKGLRVFNNWIKSCIIQRYSPDEDHTPGSREAGRSSGK DLLVLDMGCGKGGDLNKWQQAPQPIQLYVGLDPADVSIEQARDRYRTLGSRGGRGGRG GHRRPAPRLFDARFHVKDCFGDTIENLEIIQQVGFDPSPMNRRGFDVVSMMFSMHYAF ESEKNARNMLRNVAGALKKGGRFIGCIPNSDVLGERVRKFNEEAAVKREAKKEAEKDD EGSTTPQQTEPEDGELEEGEEEPTAEWGNSIYRVRFPDKTPDDGVFRPAFGWKYNFFL DEAVEEVPEYVVPWEAFRALAEDYNLELQFHRTFPEIWEAEKDDRELGPLSERMGVRE RGGGPLLVSDEEMEAASFYVGFCFYKV FPSE_05662 MATVRICVCGDESTGKSSLIASLVKDQFVNNKIQPVLPQITIPP SIGTPENVSTTIVDTSARPQDRTTLRKEIRKCNVILLVYADHYSYERVALFWMPYFRS LGVNVPVVLCANKSDLVGQGTTPQVVEEELLPVMAEFREVDSCIRTSARDHRNVNEVF FLCQKAVTHPIAPLFDYKEGHLKPLCINALKRIFYLCDKDQDGYLNEQEMRDFQARCF DKHLTTDDLDNIKLSIAKSLPASDLEKGIDLPGFLQLNKLYAEKGRHETIWIILRKFH YTDSLSLEDKFIRPKFEVPEYSSAELSPAGYRFFVDLFLIFDKDNDGGLNDEELEALF APAPGLPSSWTDSSFPSSTVRNEAGHVTLQGWLAQWSMTTFIEPKTTIEYLAYLGFEP SNPKDSITAALKITKPRKRRSRLGRVERNVVLCYVLGASGAGKSALLDSFLNRPFYGL YHPTIKPRRAVNSVELPGGKQVYLILEELGELEPAILENRAKLDACDLICYAYDSSDP DSFSHIVDLRKKYPHLDELPSIYTALKADKDKTNQRCELQPDQYTSSLSMSLPLHVSV TWGSISELFVAYADAATTPSTAFPKSNEEGPDRTSLYIALGATACAGVAALTIWRRAT NAL FPSE_05663 MGPYGAGIHPVPMIETNNTLSNPTGPEWQFLVGEGTYTLKEDLH LATPPPHPSEATVPNPNPLSTLPQPASAGTSVSLIALECRPAPNLFYKGLSSTTLALS GAPSSIQEHPNEGRYSAEGGVASEDGRTGSTSDAAAASASITIGSAPAFGEGNALLTQ APSKDVNKKRKPKNNMTKSNSSFISRVIVNESLSKKLTERPNDGIFAFANINRAFQWL DMSSSTKQDYLTKILFTKAHCLCHDVNVHTKSVSHLDVIMGFSTGEVIWWEPISQRYT RLNKNGAINGTPVAAIRWIPGSENLFLAAHMDGSLVVYDKEKEDAQFNPEEEAVNGMA NGASGESLDANNIGTHHNTIRINKSVHSKNQKVNPVAAWKLSNHRINAFSFSPDNRHL AVVSEDGTLRIIDYLKEELLDVFYSYYGGLTCVCWSPDGQYVLTGGQDDLISIWSLSE SALVARCQGHQSWVSAVAFDPWRCDERNYRFGSVGEDGRLCLWDFSVGMLHRPKAQSV RHRGSVSSRYTALQRAETATSNSRLRSNSNLDTEDEEMAIAHPVEPRARIPMLPPVLV SIDGRPTCEEIRLGDLAG FPSE_05664 MPSFKPFASVTGRNSYSLFDIRLDSDFIVFRGSDHESSGQILKG TLVLCLQSSLKIEDVRLRLVGTLRHVWADPRGTAPGVSGQKVDKTTTILEHRWAPFIG THGRSMTLPAGNYEWPFEYMLPGNMAESVEGIPEASITYRLRATVGRGKLAYDLHANK HLRIIRTLEPGALEFLHAMSVENIWPNKVDYSIIIPQKAVVFGGLVTMEMRFTPLLKG LELGDITARLMEVRECYVQASSSGHGTREHRTEREVALWKFAASREEHWQDMIEDTGQ EGWALTKKLNLPKRLRQCIQDLNHHGIKVRHKIKLTVALCNPDGHISELRATLPVSIF ISPNMPLDEEGNLVDQSPTTPNNERELSTIAPPGYGEHVLDQLYENVDVSGFQTPGFQ SGVSSPFYAQSRAGSNENLAALAHSRPVAPAALSSRLANVSLDPSQRTSSYTSLHSAG SPGFMSPTSAPQYQAPRSEPVTAALTRTNSSEDSSRNSAEHVDLDATDLAELSKVPSY QTAVKTPARSRAGTGDLLLPDYQTALSAPRTPPATDLAPDPLGTISEDQDGEDHTQHI SRPASWIAGGRAYTDDLASYRRSQNRNQVF FPSE_05665 MASPTTTNLPSRATTGFSTQSEDAIPEVDPSSTAGLLAERLQAW KHAVGYIEEYMKAMERIHGHHAKEYEKALKAISSPLKEGHHFDQSLGGMAGFFENMRS NTQSLINTNIETEKSIKGSVLPVLERLHKEIKHKAKELAHGAEKGAKEVEKARNTTQK QIELLGQHSASFDSAGGHLSSNDDPYVVYRGVLHRLNSQVIAENNHRNDLIAVQNNFH AFEAHIIEVIQQAMEAFTQLAGGQADKTRALYNDQLSVIQRVAPDFEWNAFNARSGDR LVDPNEPARSVDAIQFPNMDHPATRALIEGSLERKSRNKLSWGYSTGYYVITPSKYLH EFKDSDNNRVDPKPELSIYLGDAVIGTVQGEKFSVKGKDKSKTMSSKLTGSTELNFKA HTAADAQKWFQVLSECGKATAPLSTGSSPAGSTPTSPNPQVTADPLDSKVQSPITPVN EHGIQEAGVVGGEAPAAAPVAAPAVAAEKKETAA FPSE_05666 MMAKPWNEHRGTITKLYIQEGRTLEDTRNIMKDQYNFEASIRSY RQHFDIWEIGKYNCKKRDRRRRQSLAKTLPFSPPHSPSDVPGEGERSSPTSTSSSMSR RSSEQKPLPVLKQPQAYTAYFYDQVRAQGDRQVKIENNTRGPLWESLDTVSFRNSNVV GLPLILNLQAGLLIEEHDRRTREVLHRGIVEHLCKTQCHGYHDWDAGPRTSSLKPTEG LT FPSE_05667 MPEIAEVARIVHFLRLHVVGKRIISASAIDDKNVFGKVGTSGEE VEAALKGKKIMSAGSQGKYFWITLEKPPHLVMHFGMTGWMHIKDEQTAYTNYYKKMKE GEHEQWPPRFWKFQFKTEGSPEVEAAFTDSRRFGRVRLIDCPGDEIRQHSPLVENGPD PVVDVDRFTEEYLHSKMRARRVPIKALLLDQTMISGIGNWVADETLYQAKLHPEQYCD QFSDAQITTLYKMIRYVCQTAVDKLGDSDEFPEHWLFNYRWGKGSKDAATKLPNGEKI AFITVGGRTSCYAPGVQKKTGNTAAGIKEESLESKAEAKPSKNSRKKSPDAEEGDASP PKKKRAKAVKKETNEDPVKKERAKTIKKEINGDTIKKEPEVEEDKPDLGRRRSTRLRK FPSE_05668 MPATIPQNNFDSIPDSIEAFRNGEFLVVLDDPSRENEADLIIAA EDVTTEKMGFLIRHSSGYVCVPLSPELTKSLDLPQMVPNNQDPRGTAYTISVDSADPS VTTGISATDRALACRTLADPKARPDSFRRPGHVLPLRSRPGGVRQRPGHTEAATEFCR LAGKAQAAAICEIIDDGEETPGQALVQNHGMLRGEACITFARKWGLKVCTIADLVAYV EKTEGKLESNGAETEGN FPSE_05669 MAAPSRPAGALFRNLARSSRRSLAIPLPANRIVAATTTTSRTPR WHSTSSSSSSSVNPDEVSHFNALAADWWDPHGSSRLLHLMNPLRHDFIRECQRNDPGA PTRDLKILDIGCGGGIFAESAARLPTTRHVTAIDPTPEVLAIARAHARKDPGLRSKLE YRQTSIENLPLPATPQEANDIVSLFEVIEHIDAPGAFLEKVRPFVKPGGWLVMSTIAR TWMSWFTTNFMAEDVLGIVPKGTHDWNKYLNEEELRTFLAGRGWGHPMVQGVIYVPGL GWKQVNGSEKVGNYFFAVRRSEEP FPSE_05670 MAAPRLFSLLYIRFLLAFTFVSSSSSQFLPGSDAKARNLTVVHS PANRDVTVSYKTPEDVCSTAFDSQKQYTGWVSVPGDYPTNLFFWFVEAREPTDSLTIW LNGGPGSSSLYGFFTGNGPCEIVEKGMNEYETLVREWGWDRASNMLFIDQPNQVGFSY DTPNNGTINFASNKVEVPPEANADYPDWVLRNGTFSSNNGDFTANTTETAAMAVWHMV QGFLTTFPQYQPSALSRNSSLGISLFAESYGGRYGPVFAETFVKQNARRQSGELPYNS TIDVHLSSLGIVNGCVDMETQVPYYPTFAIDNTYNYKALSAGDAQFYQDKFTAEGGCR DLLQKCATDASVGDPEGEGAEESINKACSDALNNCYDIQDAYTSSGRGVYDLAAASTD PFPPMTFLEYLNQESIQQAIGAPINYTLSNNEVGLEFQDTGDQARGGNIQRLAALLNQ GVRIALIYGDRDYICNWMGGEAVSQSLAQEAGSEYSIRFPEAGYAPIIVNDSYIGGVV RQFGNLSFSRIYQAGHAVAAYQPETAFQVFARIILGTSISTGKDIDATTYNTTGDANA THTDKLPDQPKPTCYIRNLRATCDDRAAELAAKDKGVVINGILYASMDDWPLQAEATE EPTTSTTTPSATSDMTGIYTATQTPEAAAAWNPPNSGLVVAIVGIMVLHIV FPSE_05671 MSRRLLNRPGGSSNPSSSSTTELPEYEPPACPLTDVARRNLNNL SNTGTSAVYQQQVSESIRLLGFSVSDIHERLRTQRESLANQKARREEKGIDKTEDEQR LEAHLDKFEARIKELTESSEKAVRELIDHRAELEDEAGILSELCDITSAEAQQQRQQQ EEQGEGDDQKDQVAASSVIETFKDLRVKKDAEYKDMSAHQRYALNNDYAGFKKLWHDA AAGEEGPPLPDASRWFTQDGEPVMDGAAAGADDDDDDIAIAHETISINCPLTLQPMKD PYTNRNCKHTFEKSALLEYLPMRGESQCPQAGCSQSFSRARFDQDFFHDQAMVRRIKR ARQAQAQQEMEMDDEEDADGDDEVRVRSQQVAPGRALKREQRGD FPSE_05672 MASKTVPAMNSWEVNALNYEFPQEGSLNVDGSVNSAGTWRRVQD PVIYPGLYAPSGIDIMSILFRVMGRPNPQVVLGPVDCSVALVVCDMARADAPVIYVSE SFTDLTGYSSREAVGRNCRFLQAPPGQERRPDRKGADKVASHRMRQALMAGMEIQTSV TNYKKYGQPFNNLLTIIPVPADNTGTCYCIGFLCEMD FPSE_05673 MTRLSDNETLLIEPQEDGHYDETIEERCFSAALICLEGHYTQSP PMGPHTAAKVSSMINKTLEKTHTFRKAREAVSRNVAIWIWLTRIFAAAIPSLTTRSVG PLSSLNDPEKGVTPQESTALIVMNHVTIREDLETLIKLMHIARNLLVNAEPEVPQDIC AAVHFDQMVYQTIILCVNVTSKGYDGEILDEAQRIKLGGVTELYKKLLVTSLQQAHNW TAKHDRNKMSFWFDVLFDDDGALSCRDENPSDTLGFQPEEAKRQVQHWLDRNSKLCDT ARKLLKDHAQNHADKPPGNLAPIRPLAWNWLPDGSVDVPGDVTNTDEKINPVWKPDET DKFEQDRAYGRVSREIDTWWLNARDPNYDEWNVPMPSVEFAQTRLEHCRNNLVHQYAH SCGTDRSPPPGVVEEEQLSDHEHCHHCEHDDHEHTHECNHDHEHDHDHDHEDDHECEC ECDHDHDHDHEHDHHHHHHHHHHHHHHDHEYAHDYVEDIMDDEDVDDDESYGEGPLTG LLTEVPNILDPKQIEALHMIVKSCILDNAGSGLTRAGENLQKTRCRMFLALDCGKSLL REMLVFIAVWEKDEQSLIFQVTTQIVEALHHSALIPYAWNSLRIAKDIISPAQTVLLR LVNHMFRARVNNSASQENKDNARDIKLVHFFLSFFRTRIVPECAALMHLQAQIREENF DPSEFPVDSWDMERAKDGLAQYLDFLTTVAEMMDTRAKLIEWEAVYDLITILSGLEAG VPKKPLIELPKRSPRSENSGNPMVERPYSSEDDGIPPSPPPPPLQEPAHKFPWSGIKG QIFTIIATLLQPPHGQSSPGNPDVQMQMVKYNGIVPLLNCCAYDDHNPFAKERVTICL KWLLDGCEAANTFFRELVNLSPPPNLRPPPGGTTVSTIRVDGIEGDVKVQVRSSTAGP SEQETSDIVDGAGRIDLNQPSGRGSIEDDFMA FPSE_05674 MADLPTTFDKPVHIAVIGGTGLGQLEGFEPVAALNPITPWGAPA SPIQILSHKGGYVAFLARHGVHHQFAPHEVPNRANIAALRHIGVRSIIAFSAVGSLQE EIKPMDFVLPDQAIDRTKGVRPFTFFEGGVVGHVGFADPFDAGLANVVKACAAHMEGD GVVLHDKGTVVVMEGPQFSTRAESHMYRSWGGSVINMSTLPEAKLAREAELAYQVIAM ATDYDCWHSFEDVNVELVLKYMKANNENAKRLVAGVLDRLAELENSDLVLAKHLAGSS QGAVKFMTKPAGRNPEAMKKVEYLFPGFWEE FPSE_04667 MPTIQSQNLDRLLIQHLESERERERMLLYNSLTRLGPISAPFHR GTDHYCIFDNPSHMKAVGKSARVRSPTLSLERDLSEWGLQVEYICSLSWWYTGNHYRY QMLRRSERKDCNGPFDVNWGRVCSPLDLSLIQEYKMAGRYTLSSGILAVYKK FPSE_04666 MSPKNDAPSHGTVVDIGGSNMYDDVAERLEQTLMSSYSSASKPT LPDELLYDDVGLPIWNQIIFTPEFYQTHDEIALFDKHGADVAARCQAGVTIVDLGAGD TRKVGHLLAAFDKANVSTKYLALDISRSSLDHNVKYLVGQHPSKQSSVTCAGIWGTFG DGMNYVKKIQSPRLFLSLGSVLCNDPWPEALSHLKFWADALRPNDLLLIGMDGHILPG NRDKIWDAYHSCDDLYRQFFLNGFKHANRLAGEEWFREEDWEFLAQLEEEPTTRHRFF FRAKQDVKLEKMGRVIQKGEEFDWFDSHKYGEDNVRLMCYKAGLSVIDVWQAPDSEFR QYLVRRKDSKDQRDDADSAVSGVS FPSE_04665 MDSSPEPPRPSKRMKYEQHDDDTIQMAMPGTDIKVPPESINGSL VADVPYFDNPWPSTTTLSSPSQVFFNFSEDIDTSWTPNFTAPQPATLNLHADTTLQPE LQRPQIQDLPRLLPAVPNATPDKDVECDDLKKGEAHFVSPFASKERLTVSALPRFARP HNGQVNGYVKFNWPTKQDSSQPPDPTPLQPDNDVEDITPHISRSRPDNQNSGIVYLDM SAPTTSRTQSRRASSVTIELVLGGGTPSQAMMVNPSPSPQEVFTRAPRKVPKMFGHEA AMADMDYIDRRLFDFYIKNWCPGRSVLENTNLWLKDLAPMGRNKGILHAIQSLAGVYI YDYLPDERIRKRINERYTMANEYFAELLNAPESRTRGEGREVITMAVLLSMQDVILTE RRLKKPNKPRWLEGFKQGEFFLQETDPGRRFWNDQSDTVQYDPLRISQSIIVGRAVIL AQPMMALPEPKTMNPEVESTRFKWLLYGTENDMFEIHGGCGFSKKLLHTMSQVTYCAA RLQQEPESAIVPITARYLMRTLTDMRQWSREGKSWKQCLQRAQTITWVRIIPEDVIVS SKEDMTDVTAEAWRIAAIIYHQCRLLRLPRNHPDVLSNMEDLAKCIRIMPTSGSHFTA QAPLLPVFFLGLLATNPAHKEVSRGWFQQVTNTPVRSSVPPLYDALKRIWGWIDNDVN LQLDPTPVPESLGQRYPWWEHLVKRVLEEEDETLCPT FPSE_04664 MPAPSSTLVFESGIHAIPGPGNSMRPNSLDALVRHQLEAASRDL ASEAEFTAAGTAPGHHQNIINDVGEYLAQTARMWYCLTNKLVQGPHVERAAEEAHEQS FATTYMADLQPMATMDINITKLGKIRDLAEKFSEEVQEVWRRKPDSGSTYQTAPAFPA VKSEPASWIHQPPRGSLDAGVGVGIGVGVRGGQRSILTSQNTDDTLSPGGNASASEDS GGDEDEQFSKIDMDALKQRGKGAYYCPLEYRCDKGGVDKDGKLVIFDRNSSFA FPSE_04663 MAIPSVLIIGAGNFGASTALSLLRKGNVKVIIVDPAAYPNPRAA SHDINKIIRDDYPDKLYMRLLKRAMPLWRDDELYKSFYHEVGMLRADASSFGEESIAS YREMGIPNKSELLPVAEVRRRWNGAFETADFKGVDKVLWNPNVGFGEADKALGAVVQA AVDLGAEFIMGEVTTLDFGSEDQCVGVTLKDGTKLRADKTLLAAGARTASLLAQSAPE KPLLHAGERLLATGAVSFFAKLHGAQKDKFSTIPVLKNCLPQVKGEGMSILPDGTIKF NCDLCFTNYQVFPPTGEAMSVVPDQSAYNTWTGPRFLKFFEDRARRTFNGLYGKEVEN IKIEAYRMCWDASTPTHDFLITPHPQSDNLYIATGGSFHGWKFLPVIGDYVMAMMQGT LDCDLADRWAWNKKGGDGHSANPTYQIVGDLQDWTGGWAN FPSE_04661 MPHQESAPVAKTNSADFNKLSSSLPFGQQVSLSSISGPTYVTAQ LLVQQIAYKLSDKIFSYSPETFDLDIALKQWASQNEKNIHGYSTEVLPLQTRVGAGAL ALGYIFSPDFDVTKRHIPQSLVAPSGSLQQLRGTLDQLSLLYGVSSPFVAHVAALDYS DSKGLISNYDVALRLAEDLGLGLVASTSTYEAQHMSVFATLLATLLPTLHIYDGVRVA RETLRVVDALSENGIADLYSKLSAEAGKLNTRLDTAGKAVELLKLFNDELGTVYQPFE YHGHESPDVVLVAFGSVESQVSKEVLAKLSADGAKVGVINVRIYRPFIEEAFLNAIPA SARTIAVLGQVKDELAVEDEATQSALYSDVLTAVAFSGKFDNEPEVLDIKYTPAQSFT PQGLVGTLHKIFNNDGEAKKLPSLVQAQQFTFWDLDSSPALNSPSVIGNLLSQESTSN VYVNEIFDNLTQGGVVRSDLRSSKKALEAPYDIDNADTIVVGDENILKEIDVLKGLAD GGKVIVKLSNFKDDEVEKRLPVAFRKGLQEKSAQLFVLDSTYSPAFEKDHLFSKLLIE LAFLKVALPDYTPEKISKHILAEGHPPTLEEAIDAVGLCLRQFEVPATWAEVDADFAD PNLPATIQSNSFVVHNKEEVEETSELRDWQAAAKSLAFKEAYGTKNVLRPELAVKTST ITVKENRRLTPQDYDRNIFHIEFDLGDSGLTYKIGEALGIHAENDEEEVSQFIEFYGL NPAELVQVPAREDPTLLETRTVFQALVQNVDILGKPPKRFYEALAEFATDETEKQKLE ALASPTGAEDLKRRTEVDTATYVDILEEFKSARPSFQELIKIVSPAKRREYSIASAQA VTPNSVSLMIVVVDWVDPRGRTRYGHATRYLSRLPVGAKVTASVKPSVMKLPTKDTAP LIMAGLGTGLAPFRAFVQYRAMQKAQGKEIGSILLYLGSRHQREEYLYGEEWEAYLAA GVVTLIGSAFSRDQPQKIYIQDRMRQTLKEIAKAYVQDEGSFYLCGPTWPVPDVTKVL EEAIAHEAKAAGKKIDPRKEIEKLKEEGRYVLEVY FPSE_04660 MVQIKAFAVIATTLFGCVLAAPTAPEGVDSVIPDSYIVTLKSEI KPPTIKAHMKWVGDVHKRSLEKRGLEKREADNGVEKTFETEGGFRGYAGTFDPETIKE IKKSSAVAHVEPDRRVQLTWNPSKRQEQPVQPSTEAGQDEQPTDDEEDDDDQSLEKRG EVNQTPSTWGLGTISHRKKGYNNYYYHKSSGSDSYAYLVDSGVRTTHKEFQGRAKNGW TAFRRDFTDRLGHGTHVAGILAGKTYGVAKKAKIISVKVFQGDSADLSVIMTGIEWAV NDIIKKKRQEFSVINLSLGVNGVSGALNDIIKNAAKAGVIIVVAAGNQGKSASLTSPS SAPQAITVGAIDNNWKIPSWSNYGSSVDVLAPGVDIVSASWLSDNGTYVEDGTSMACP HVAGLVLYAQSVYGIVGVKSTTNFIKKYATNNKIVGSRRGSPNRIANNNNFAQTK FPSE_04659 MHLILTGATGLVGSSVLDAMLKSKEITKISILSRRPVPLAEKDS RVNTIIHKDFTKYEPKVLEQLQGANGVVWALGISQLKVTKEEYVTITRDFPVAAAEAL STLPPTNEPFRFIYVSGHGATTTPGTFTSLFARVKGEAETKLSELRTAKFHVETVRPC HVDAANHDAIKPHIPSPGIVYNALDLVFGPIIRTFLHSMHSPTERLGPFLTEMAMGKY DTQLDAGGNGISNLNGSRILENVAFQRLYRP FPSE_04658 MATMEAKGMPEEHPVQVPTNSSSDLPRGSIAEQEVIKRPWWQGF KEPGHALQIISAAVLAIAIGIVVATQVDEVPETAITLLAIIGNLWLRALKAVVLPLIV CSMLLAVTRLRQMSNGGSLLAKWTVGYYVLTTLLSITVSCIMQGLVWSKQYTEVARTD LPDDDSLPDTKERPIAIVVRDMFNSFIPANIVAALANDELLAVIIVAIIVGYLIESPH SPIIRVAEEIERMILKVINFLIAAAPIGVFFLILPNLMRLDIGEIGSNLGILIGATLS TMVLHILVVVPIIFFIFTRMNAYSYWIKISPAWITAWGSASSAATLSVTLRCAKERGV PAIVYKFACPLGCLINMDGTAIYLPAAVVFLASTQGITLDPTSYVIIALLSTLASIGV SPIPSASLVLLLMIARSVNVEITGMYAVIVAIDWLLDRFRTAINVSGDLFAVMIIYKQ TGMEDPEEVIEETARETDAHEKDATNRV FPSE_04657 MTNTTNMSSLSTVVTTSFLLGNLHCPTCVTLIRSLLHDTFGDCV LWVSPNLVTSVVTIEHKDSSFASVGSMQTVLQDAGFDVCGVNTTANSANDLRRIPQIH GGSSRDAEHSDGWLDSFFQFWRPQVSQLALEKARETHLENCEACKLERHTQQSFPSDD KRQLVRTSTSVYDTPARRDSTPHPLQNVVTDTAPAPSSRVTLSIGGMTCAVCVNTITQ EIEKYPWVTKIAVNLVSNSAAIEYTDGDRAQEIADAIEDLGYEAAIDQVVNLQEAKPS VDEREVEVRVDGIFCSRCPPRIVTTLKSLAPDRLQVLQEPTVQNPILRIRYAPNAPTF TIRQILRAIEAADESLKASIYHPPTLEERSRAIRAKHQRALLYREIITLIFAVPTFIL GIVYMSLLPDSYHGKMYLMKPWVSGLSRLDIALFILATPVYFFAADVFHIRAIKEVRT MWRSGSRMPVMQRFYRFGSMNMLVSLGTSIAYISSVAQMISTAASSRNHHGPGAEMYF DSVVFLTLFLLAGRLIEAYSKSKTGDAVEMLGKLRPTTALLLEKEPSGNQITSTVPVD QLDSGDLIRVPHGASPAADGIAVSGETNFDESSLTGESRPIKKTEGDTVFAGTINKGA AIIMRVTGTSGKSMLDQIVQVVREGQTKRAPIEQIADLLTTYFVPVVTLVAVLTWIIW MVVGFAGGVPDHDATSSSGSVVFALQFAIAVFVVACPCGLALAAPTAIFVGGGIAAKH GILAKGGGEAFEKASNIDCVVFDKTGTLTEGGEPQVTDSVIFPDTPSANDDERRALLS ALKAVEESSSHPIAKAIVSFCGDAPTGDITNLEELVGRGMKASFRGVDGQTDIVIGNE LLMRDFSVTVSSHVCSLLDTWKSEAKSVAVVATKPSTAEAWTLAAALSISDPIRRETA PVIKALVSRGIQVWMLSGDNITTARAVAQRVGIPSFNVLAEVLPSDKAAKISSLQASL HASGSTSKRATVAMVGDGINDSPALTTADVGIAIGTGSDVAISSAAFVLATSQLTAVV TLLDLSRAVFRRIRVNFAWALVYNMLAVPVAAGCFYPVVTSGGEHVKLDPVWAALAMA LSSISVVLSSLSLRYRIPIVGFRHRSIEVDEE FPSE_04656 MASTTSLRYLTMEELNKNALEQFVYQPVGRDMIAYLAQAAHNVI ACDSTLMPPAPADARHNIPTPPQSPEPRTVRSEDGALPTLEEFITQLVVSSNVQVPTL MSTLVYLGRLKSKLQPMARGLRCTAHRIFLAALILSAKYLNDSSPKNKHWANYSHITT ECYNFGFSRTEVNLMEKQLLFLLEWDLRITEQDLYRDLDDFLEPLRHKIAERHARKIR HREEKRRQKELYAASARYPSPASSRGHSRSRGSTPEHARNLSGPVTPPGLSYSSSSSS YASSVSSGRAYSQATTPLEPSDPEPYYYESQQGSLYDSPVQIVPDVDYPKAHMASGRM LPYEITADEYQQYQHYQDASSKKQQQQQQQRSRRGMWGRLLGGGVAVR FPSE_04655 MENYQKLEKIGEGTYGVVYKARDLANGGRIVALKKIRLEAEDEG VPSTAIREISLLKEMRDPNIVRLFNIVHSDGHKLYLVFEFLDLDLKKYMESLPISDGG RGKALPEGSSPHLQHLGLGDTVVRKFMYQLCDGVKYCHSHRVLHRDLKPQNLLIDKDG NLKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSVGCIFAEMC TRKPLFPGDSEIDEIFKIFRTLGTPSEDNWPGVTSYPDFKASFPKWQRDYSKSLCSTL DDHGLELLEMMLVYDPAGRISAKGAFNHPYFEPHLAQKQASAQTNGYYH FPSE_04654 MATQNDLQELIRLLTARKVSMMTAMGQVKALQSKNLRSIAQIAD AHLATVEEALGGDAKAAKSLQTACKNHEKKSGTKRAAEDGVPTTAEVKKPKLEAHRRD LDYSSMSGDDLEATLELPLEENEEIIRNTTVVTNRAPLLLAFAVELLRFTMPEQPPSS RLSLAQAVVSANSRTKAISIGIEKAPPSGQEKAPEGQPKISIMNRPVPVLKRGGYTWS GSSQVTSSNASSATLQSSQPNPKGWTASRKLSSRGSVFIAHAISITSPSARGAVVKSL MAEKPELETATHNAWAIRTSFGNSPLKQEASFDDGESGCGNFLLQQLRDLDISNTLVV LTRWYGGVMLGPDRWRLMKECLNDALSSQKRTSSLNGEPVWALDPEDKSPSTSTVGMP IHRPEGARNYILRAFAPVEDSGKKKTVTAANEEKHENLGRVLGALRLLFASWAGTLSR DELDKRAWTWYINLRPDVDSGPAGWGAKGTLNLGKILDLRRKEGT FPSE_04653 MIASARGLTTRAIHTQCRFASSAPRTLRPNIKTPTSRPPPKISK PYKPKPRPEHLPKTSSPSPSSSSSTSSTSPPQQAETLLDLWRATWLPLTGAALLAGAL GFYIFGTAAASFKATPCSGACEHATPTGRPPALDGDNAEQFDKELTLPEWWMGITKLR KRLAEHADGHVLELAMGTGRNLEYFNWEPLNKRVEGKLSKVGSKMPRGVLSFTGLDIS VDMLDVARKRLVKTVPPMETSAPIVRASTMADHTGGQLSYLNDQLRLIHSDAHHPIPG PATPATTKYDTVIQTFGLCSVSDPVAVVNNLAKVVKPGSGRIILLEHGKGWYGIVNGL LDQNAGKHFEKYGCWWNRDIEGLVEEATSKTPGLEIVKVERPNILQMGTLVWIELRVN DKTS FPSE_04652 MPYNTRRKSLSLPSLGIHVPMTQAARAAAAASKNASRSSLSSLS SAASSALSPPSSGSEAPEAHPSKRQKRSHTNDNALEQTPPPSPTLEDTVKSKIDFEAI RDDIVEAVIIQLQSTGNRPHLVKELATVLAQRLTSVQHSANPCAIISSRLASYAKRSC WTAQKPCPLAKELENIHPRRTYYFLTTCPRQPIPEPSSAVPSLSALDTPSVSLTDDSG SDDVEARRRELSPSPEVDLSDHGFEEGDDEVMMPATPIGSLPSHHRFVPNRRNSRHNS PPLEKDEREFTQTADFLQKRKFAEDTPAAETADRTTHTSEYGYRDDFWFGDHRIFTSS ALLTSPAVKSSSMSNMTSGPRKEDDGETWLKFNKLMEWDQGAESIEIDELDGLLDDC FPSE_04651 MSCLKRYVSSTANDTIGIPAPFPLSWLASVSIRHGSGFHRGALI GPPLASVPPRSLLVPYFLATRLPPRTGQMDVTPLKDACHEVDIHWCIFSPAPCRASCN KRLSLTWVPSTFAQTLLKLCSVKPPPRLGSVHGPLPRPSSDEFPFTAAIGNADLLLSQ GLGI FPSE_04650 MELSFVTLDVFTKTRYRGNPLAVVTIPADSNSPKPTQEQKQTIA REFNLSETVFIHEISPDADSDVTHRVIDIFTTDTEIPFAGHPTIGAAVTLIPKGVDMV VTKAGPIALTQTRPGYIQAAIPHNVRCHSKTLADLSAPAPGQISPDPAIRDCELRAPL FSIVNGMTFALIELPDLDHLGRARISGVSLPVNDLLDDDWRNGLLCKYYYVVNDRREE DGVTVYSIRSRMMEGALEDPATGSAASALSSHLSLQQTSIGDHDFRYEIDQGIEMGRE SNIVVDVGVKGSKIATVKLSGTATPVMRGYVSV FPSE_04649 MVPPPRAGSFSPNPAQTLQTGASHSPHPSQAAILSAGASPSASS PTGTNSLTKIVVAQVYLLLSTIKEDKDRAKWELQVDQLKKLIDEHGMEVFSKYFTRLV AGNAPQIFPGLNRPVSNPGNYHILVGEMRKISHDVDQASKIAESIESGTEDIFRDFDL STFMEHFKLDALEKTLLALAFKLGSRADLKTKADAILSTNFPSFLDIISRPTLDAHAD LSPPFIAMIVDRFLQYNPPSFNASARRDLETSVTKRWLGQTPEQTPPSEILAALDLSR VLADRPSNGLVKYIQKTGIELTRDEETCVSYLQNRPSGLQLGPEQISAAMTFTAISQT SQQDPSILVGALRRVLPKTFDWMEVIVLFDQPSARVSSQQFLRLYQAFLPIAQDSKSG FDIQRLWGGVWRNPEAQLSFVSAYASLVPEQLDATTIPGLQRSITIDDYANSPPNVQE RAAVAVKHPLVSVAALSSIFNVALSSVMASTSIEAKRLFQEVVVPNLDIFLVSAFEVP RQHWAPMAMETLGSLFENFLYKRSPEYDFVLDSLWRKDKDWVIQRLIDAHAIKPVDLP LVFEHAVKHNWLDSLVYLPNGFGIDLAALAHAEGYLDLSKWARNNAERSAEIARTLVQ FLMIKANLESQYQRGGADGQPAIKNSTTLQVRTVSALLRILEDFLPNPPVPELIVVQR HCIIAYPRLINYGEGYDDLIDANGRDGNALSPIANGRMEEHYKKMYSEEVQVRNIVEV LSQYKHSRDPLDQDVFACMIHGLFDEYSHYGDYPLEALATTAVLFGGIISHKLVSNLP LQIGLGMILEAVRDHSQEDPMYKFGLQALMQLFVRFREWPSFCRQLCQIPGLHNTEAF RKAEEVVREHEEELSRGRNGAGTPHGLGFQGETFPNGNVEELASADRQAPAFASLNVD PPPPDVEFEDPDEGAQDKVQFVLNNITEGTIREMCQELRETMERRHQQWFASHLVEER AKMQPNYHHVYLELVRLLEDRALWSEVLRETYVSVCRMLNSEATMQNSTERTHLKNLG GWLGLLTLARDRPIKHKNIAFKQLLIEAHDTKRLIVVIPFVCKVLTQGATSAVFRPPN PWLMDIIHLLIELYHNAELKLNLKFEIEVLCKGLNLDHKSIEPSGEILNRPVVVEEPA DVLPTEQLDAFENLSLNGIGSTVGAGLSPQTLAPTIPDLGPLINIPPTNEMVVSTTRL HEIVRTALSRALQDIIQPVVDRSVTIAAISTQQMIHKDFAIEPDENRVRTSAISMVKA TAGSLALVTSKEPLRANFTNYMRNLSNDLPQGLPEGTIIMCVNSNLDLACNIIEKQAE ERAVPEIEEMIESELEARRRHRIQRPTDPYFDSSLSRWAMAIPHPYKLSPNINGLNHE QMAIYEDFARQPRSATLPTPSHGQSASDATRSMANEVLQEPFTTVPNIPTPAETPSIQ QHLGAQMQPYAPVHSGGNTMSNGRSPGFQMNVQSLAERVNKLLQELVRVANESPEEHF QGLPRPHSLLDVVDALVQHIIKTSQNSEEFAIYAAEQITGLIFSQVDDNLTLESLVHV LETLRKISGPALNSRIRTLFSQQAGSTFLNLPLLAALVRTDLLEWRSIDLAMTKALQA RKEGSLDFLEHMLDLTLLDARPIALYADFASTLEAAWVWVLEDPDSSAGQRIKSKLIN SGLTRPTPVDNQALFKHDQIEYVFDEWIRLCHNHNASDKIIAAFVQQLQNNQVLQTRD DFFVFIRVALDISADRFEYIVRTGSLGDAYVMVDALSKLIGTFIGMGFEPTSTRPAFI DSVLSLIELILTNHHVKRGEELNQRVFFRLLSMLFHEIQTISEALSEDERREVLVKMA GRLNKIGPAFAPGFIYGWLSLVQHRAFMPIILQLPDGAGWTPFAILVCQLLDTLGDQL KVFEVSNIAKDIYRATFKLLIILHHDFPEFVAANHIRLCASIPPHCTQLINAVLAATP HQIPRINDSNAKDQADETHVHPGLLHEAASVLQDRGLLNAIDQALQSGPTEDIVANIT HAMAQDTRKTTTYGHVPVDANMAVIDAVNIYTGQHAAEKAAQAGTAVSVTGDEHEVTL LSMILHELSAEARYYLLVSVVNQLRFPNAHTTFFRQALLHFFGKDLDDPEENEIRQEI TRVLLERLVSFWPQPWGLLYTVVELMKNEKYMFFDLPFIKATPDVAEKFSRVLTQA FPSE_04648 MPGSTIDTPAVALSFANNFWGKEDAGVTPLLSRMTAAKTTCDEL RAFYGARASIEEEYSRKLLNLSRKALGSQESGTLKTSLDTVRGEVEQMAKQHQLIGAE MKSELEEPLAAFTGGMKERRKIIQNTVEKLLKVKMQQTQQVNKTRDKYEQECLKIKGY LAQGHMVMGQEERRNKAKLEKTQIALAASSTEYENAVKALEDTTARWNREWKAAADKF QDLEEERLDFTKSSMWTFANIASTVCVSDDSSCEKIRLSLEVMDVEKDIITFITEKGT GQEIPDAPKYINFCRGDVTDNQSETSEDDNYSVAQFPRMINPAFRSSSPQPSTFESHH DPNSALAKELAHKDNAPAKREPNAPVQKSATLPPDDILQIGPQKTQPQQIQAAPQQRQ QHQSQMQHSSQLQHPSQLQHHSQLQRPSQMQRPSQLQHPSQLQHSSQPHHHSQLQHPS QLQHPSQLQYPSQSQRSSQPQRPSQHPAQLQHQPQQQYSPQRQHLSQQAPQSADHRMQ QQMRPLEMRPTDMRNANMRQHEPRPSLEMRYSGEVRPSMQSRPSVDTRQVPDARTSLE LRRPIEDPSRRQQPTTYTAYDANQHGAVSAVPHDPYPMEGMTMLCRTGPSPISDHSSQ VTSARPSSRDSHSDYSNPNSFSSVEPPSGKTSPVKQDPIRQDPAPAPAPAPVQTRAPP PVTAPAPAPVQSRAPAPVAASAPAPVQARAPAPVQTRASVPTPTPAPALTPAPKPAPT PVAAAPAPTRAAVTPATSPVKLVAKKKSGGFLKNHSPFRRKSQKELQQPNRNTWHASN TPSLSSPVRRPQPQTQEPTNNVVTQERTQSPEPIDANASLALGVGQNVFPVSTPDTKK TPGAATAQEPAEEMDPIALALAELKGVTLGKNSSMRVSADHYSGIATPASGSEAASRQ ASATRPAPTASNPDIAAAKRGTPPPSYQTPTSRLGVPPPAVTSRAMKEATKKATSQTR SMFENGGSTPGTFNSTSSRTNTRATEAKRAEPSLSQSVPPTASRPATRTATHGRGESG SYSSASMSRPGTRGTEMGRGEPAGYGTSPARSGTRATDMSRPDTRGFNASPLSRPGTR GSDMSRGGSGIYGTSPGSRPGTRGTDMPRATSPAPAPARSVSPQPPINDNMSYRSVSP NPYAASQRSPSVMSSPQKHGSAQGYYPQSPASRGPSPSPYGQQSRPGSGYAGSDMAIQ LAPVSDPYGSVRSGGSRPASRANSYYDDGSTRQRSQSVADPSRLYTPDGRAILHYARA LYMYQAAIPEELGFAKGDYLAVLRHQDDGWWEAEVHGGDGSVGLVPSNYLAAC FPSE_04647 METLQETARVVTSKPVQRAVVNTVLLVSTAATLFCTAAIASVLF FQNFLPHEVVTLPVHLQYGSGINPYGIASLQTPPMKTQQEYDVSLLLSMPRSVPNVER GNFMISLHMLGAKADVWLHAQAEQHASSHEGFGQANVLFSSRRPALFPYVDPLVSVAS RVLFLIYHLFTPGSSINSVIIPLAERVSFSKDSLLPKSAYIEVEAGQTIQVYNAYLQL TAQLRGLRWMMVHYRISTFLALTVVFWAFEVIFMGVAWGIWSVASGPPGKDDAKIRRL KGLRDDHEDELTDHEETFPTYGKQQPLKYEPDIKPEQDPEQPLSEIPRPGADADDEDD GSFDDKDEEDVQHRDSGIGTSYSEEGTLSIRRRASRNRL FPSE_04646 MPCFKGIAVSIHANSAPLPEHGMQKQSRLSRISTYIPVPQPQLA ESNKPEPAKFAISITLLTPGLPIPYSTPKPSDENPYPKPQFVGGLNTGERGKSTGVVN PYIPMTNSENETIAAYIYFDGRAKEEVATLLRPGEETWVNSRWVQVPDAEGGGLAERE FLFREVGLERWLNGLDLQGHDAAEKLEKRRQKFEKRRRRQGALEGNASADIEEGSSSR RSTLRYGADDKSPIEAVLDDSDSWSDDDDEPPEATGQIKVAMFRVLASGEIKKGEYSP QFDAHDGDEDDMGSKEGNGIDADVEHTTSFAKPKTLDPKTISTQTVTGIDGPDKPYAV FTFFYRGERQLQKLGVIQSSKNPQTTPSSVKRRSGQLDFSNLGPLKAGGTVGFSAFRD QASEASRRRKARKKSNGNIADDSDDDDDDDDANLGKMEEAYDKDVDTKLAPEDAKFQG ELADGVDRIHLKRAHSADPDSIAASPASQNNNTVNSSISLAPTAGGSPANNSLSNAAS LDTPSKSTFQSPLKKYRPSVDYGADGIIFGSGSSLKTELDTAPSTGSGPGTPSNTEVQ KPKIDDEEEL FPSE_04645 MAGNFEEVAKQFVEYYYNTFDSDRKGLNALYRPNSMLTFESASV LGAEAIAEKLVSLPFQQVKHKVATLDAQPSNDQGGVIILITGALLIDEEQNPMNFSQT FQLQRDQAGNYYVYNDLFKLVFG FPSE_04644 MPPKAGKKVAPAPFPQGKAGKKAAKNPLLEKRPRNYGIGQDIQP KRNVSRMVKWPEYVRLQRQKKILQMRLKVPPALAQFQHVLDRNTAAQAFKLLNKYRPE TKAEKKERLLQEATAVKEGKKKEDVSKKPYTVKYGLNHVVGLIENKKASLVLIPNDVE PIELVVFLPSLCKKMGIPYAIVKGKARLGTVVHKKTAAVLAITEVRSEDKTELSKLVS AVKDGYLEKHDQARRQWGGGIMGAKAQMKIIKKQKALEAATKI FPSE_04643 MAPTPCFRCKNNRAVVKRPKNHHKLCRDCFIQVFEDEVHHTITS SKLFFRGERVAIGASGGKDSTVLASVMKTLNERHDYGLDLVLLSVDEGIKGYRDDSLE TVKRNAVQYDMPLEIVGYDELYGWTMDQVVETIGKKGNCTYCGVFRRQALDRGAKKLA IQHVITGHNADDVAETVLMNLLRGDMPRLSRSTSIVTGNSSSEVMRSKPLKYAYEKEI VLYAHHKKLDYFSTECIYSPEAFRGTARGLIKNLEKVRPSAILDIVRSGEDMARLTPD KGQGACGGCDEGEGIGGCGSANGRTSGNEMAQVEASLKKQQHKSAALETEITANGTSK DDTVPLPVRTKKPKQKETPPAPKQSLGKCVKCGYMSSQAMCQACTLLEGLNKNRAEIA I FPSE_04642 MPVPFEALLPYAIMIGMFGISGTGLAVIKGIQNEGKRPRYSVDQ WDRQMMDRDRRLTGTLRGQTDKAEAPLGFELNNPWKLETRFS FPSE_04641 MEEDRQQGVMASDEDAEYETAGEAGGHTTIIATGSREDERVSSV DRDPSDNGDIELSDRDASGEDVDASGEEDNEYTAPPQLSSHNSRQTDQEEQDEAGEEA EAGDAEEEHDDADADAEGDEDVDAEGEEYEDDEGVGAVKFQPGTRNHDDDEDSESDKS DFPSANEEESDEEAAWDDAAEAEEDHDDEETAAPSHCVFCNQSEDDDPSEEFETYLAC TRCGNNAHQQCARDVAAMSTENTPDHWKCPDCFGRESDAEGEDEEMEDHDVEDDAGLP SQQSEIPELSGEAHFDQRMEDEDASSHHESQHEDDDGLDEGLREQPRTLRKRKSSSLE VDGNTISLRKRRRNQSNDAASEGTARNGSAEPGRHNPSRTLRLKVTRPPPVTIKKHTR TSLVLKLQVKPGNLKEVLGRKKRETRRQPGTITRPPPQRPVPTPRATAIAAISVLPTP FTSDNYSQPLYFDCDLDEMQGKPYGGILSEGEADTSKTLPAEEDENRFKDALQKADEE WRARLLAMQEESNVPIRKAKKTADNASHIECIEFGGWEIDTWYAAPYPAEYCTTRVLY ICEFCLKYMASDYVAWRHKLKCPAKHPPGDEIYRHGSVSVFEVDGRKNPVYCQNLCLL AKLFLGSKTLYYDVEPFLFYVLCEYNETGYHFVGYFSKEKRASSQNNVSCILTLPIHQ RKGYGNLLIDFSYLLTKVEEKTGSPEKPLSDMGLVSYRNYWRLVMCRYFLTAMKEEKY ATEGLSIKRISDNTGMTPDDVISALEGLRALVRDPQTKTYAFRVDLDYCRQYVGKWEA KGYVQLKPEALVWTPYVMGRSNAVNFELGPPINTIAPREDDEAKVDEGLGAAGTGGQT LINGQKNEEDAAGLNIDTGAETEANVNGEAQDDEVETDNAEPAPVQSIEDVAPGQEKD KDVEMEDAESDGPPAWLKPYQDIPYTRFEVFPAIPGGRRERARPSVNRPTVPRTTSSA PRQKRSSGSHRTSSSRPKSASKKKTGGTGRGPGRWPKGTKKSDYGNATSGPGLPPGWQ DKQVKLRAITEGNDPDQEVAVEEPAEDSVVVSMRANGTKVNNRNAATRKASVADANGE QAGEGEDVDAEGEDI FPSE_04640 MFHNYGYRIEKASSRRSGYGPRSAFDNKPVRNRPAKKKVNYFAH IHFTPSDPNRGPEEREAAWLQAEKLDAPALMSASIKEAADDWDCKGCSLKVRMLADKF NLKLHDELSATPTSQFTPSLGTSDDHIDFNSPPLSPGEIYEGPKSFVSRNIEGHRRQT LERDAGDGQPFYGIHQAHFDLSRGESVKVHMRQSDRVYKRHDLTPGTIISAPFHSQYR YNTVSTDDHNTAVSAFGAIHSKYRKMIIIESWDEHVSCLPIYTYNGCGLASRLDIVSE YMDIRDADERYPLEGDTGEEPLLAVRDDEWVGKNTFIAGRSVVKLTERTAHMLFQKCS IEGRVKTAHFRRLYKELNKLTQAKALEFCPWGTD FPSE_04639 MKSDIETPEALWFMPVINYSAIALVQIGVVCVGSMIHDDVKLSS PKLQQCFHSHSAAMCCFAFIMALAELYASIVVASVNGPFIIIPYLFIAQLFVTLLYFY LPFEYYDMEVEEEQRIEDEEKMLSMMDDDDSVIDRYELDELSVTSTPSNVDIKATGYG TMEFSQLSGPGNLYPV FPSE_04638 MSNTTIMFNNSTTLPPPPFPRFPDFLSVTEFSEFKRSIFNFVVL GITVATGVAIVGLIWAVSRKLDNPRTFYGVPEGEVSKPVKSYRRTLTCNILVLGGLQL SFMFCSSAIRSLKRKEGNITPGAPQAFMCYIVLFITIITQALNLWRVYKRYEPPMDEA IELGNYAPADAQEHRVEPADAQECRVEQEVNPAPPYAAYINRPL FPSE_04637 MSKPKNWPDGFPYLKAPLHDRSLTPTHIQTLKSKPSPLSDIPII PSASTTTPCNLVKIQPISNPSHPANGQCGLFAAQNLAPGSFILAYLGRVHSGTASSTE SDYDLWLDREMDAAVDAASQGNEGRYVNDFRGVGYRANAEFRTVWCERWGEFCVGVWV LGGGKKKKGVGIKKGEEILVSYGKGFWGERQTEEYEYEYQEEQGEDSKAEENK FPSE_04636 MSKVMRSVKNVTKGYSSAQVKVREATSNDPWGPTGTQMSEIAQM TYNTSTEFYEIMDMIDKRLNDKGKNWRHVLKALKVLDYCLHEGSELVVTWARQSIYII KTLREFQYVDEEGRDVGQNGKYLRVAAKELTSLILDEERLRAERSDRRSWKSRVTGLE EFAPQHAEPVQQANRRQQPRRQMNEEEDAEYRLALEASKYQEEEDRKKRESRPDDDDD LAKAIKLSEEEEERRRRELESSNAASLFDDDPTPSQQTSQPQYTGFNQGYQQGNPVDF FANPIEQNQPQPTGYMNNAYTGYQQPQPTGFQPNYNTGFGGQQTGMGFDPFGQQQQQQ PQQQGFQPQPTGFNPYLQQQQQQQQQQPQQQSFSSPASPGPTLQPGSNNPWATNNNQQ QSLQPTPTGSNNPFAQLGRPQSARPNPMSSLGALPEQKSLNSFGNQSQPQPQLQQQNS FPMQSQNSFPQSQPSFNAPQKEMSEHETRLNTLLASGDGMDTFGNTGNLRIPAQHTAP GTFINSAGSGAARINAEATGNNPFLRQQFTGMPTVNYGAQMPAATGPAGMNGQTNNPF AHQQAPQQQQHNNDLIQF FPSE_04635 MNSHTTPRTAFQGRSLGGSGIPPQSRQPVAPQVSANEDYSTIPE EDREHIDEVFDLMDMKKKGWLNSYEFRHSLAALGFDMSKPDYFRELQSYGSVPPEWQD PHTCPVNRLYVYLDQFRLCAAKLLASRDPRQEATKVFNMFDYDGDGVISFEDMRRLAS DIKEERTMTDEEIQSMIEHLDHEGKGGVNLEEFIQMMEEAG FPSE_04634 MSFGGGFGGFGQTNNNNAQPSGFGGFGATNNNTTTPGFGATNTG GFGQPNTTSSGLFGGGNNNTTAGSFGSTTGGFGATNTAGGFGAKPAFGSTTGTTGGGL FGSAATNTTATTGTGFGGFGNTANNTATTSAFGGNTGGGMFGGNTANKTGFGAAAPTG GSLFGGGGTTTANTSTGFGSGFGTANNNTIGGAAGDPPGTAITPFQAHTEKEPNSTAT NSYQNVLFQDPYQKWSAEELRLTDYVQGRRHGNATGGGAFGVSSGFGGGFGANTNTTT TSGFGANTTASTGGGLFGSNTANNTTTPSTGFGSTGFGAAANNNTATGGGLFGNTANK PAGGLFGGNTAQTSTTGGGLFGGGGGGNTGTTGGFGATNTTGTGFGQTNTNTAGGGLF GNTQNKPAGTGFSFGNTNTNATTSGFGAAAGTTNSAFGANNATQSTGGGLFGGQNNTQ AAGTGGGLFGQNNNQAQQTTGSGLFGGQNNQAQQQSGGLFGNKPAAPSGGLFGGGAAA QTNTGGGLFGNTQQQTNTGGFGAAPNNTASGGLFGNKPAAPSGGLFGGGATAQTNTGG TGMFGGLGSNNQAQQNTGTGLFGSANQQQKPGMFGSSTNNTGGGGLFGGQNNQSAGNS LFGGSTNPQQQQNGASILGNSQSANAPQGLTANLNDVSAFGSPGLFAGLGGNEVQNPG PLATPLSGGSKPRRSSILPMYKLAPASASRYATPQKRGFGFSYSAYGTPSGSPASSIS STPGNLGHSLLGSSRSGNLSKSLSSNNLRRSFNTEDSILAPGAFSNGAQPRWYGSTGS KKLIINRDIRSDLFSTPQKDKAIDNGTGSRKLSKRVSFDTTNVDMDDSTPVRGALPAA SDVDSPANDETPRQSRATNASPGSKTPEMEEVKGNELAIVHEEDVTVTPEASSVSSPD NTPGQYWISPPMEDLEKLNRMQRQKVDQFTVGRDNVGYVSFKVPVDISNIDLADICGT LIVLEPRSATVYPISAKKPPVGKGLNVPAKIALEQSWPRGGRDKRVASDPKRFNKHVE RLKRIENTTFESYDKDTGIWVFSVEHFTTYGLDDDDSDDDDMAEGEAVPTQEMAFDAS INSVDFLPADNTFDFRSHRGLPGGFDEQPQVLETQMSGQQSFLGVSSADSAPNDVRLS LEEEYADDMGDEYDVSEDEDMARSSLEQHLAAELDDNSSEGVPEAVKATPGGILRARM RAVKDSAGPMQLEVADGDDWMEMLRKTVSPVKRDRQLLREMNESPSRKTGVLIDLDKS GTDTMRKSSIWRKSTAKQDRLDNLAASTIGMDKGRGFATSIDLMNSLFEKPKPASQNM QASMSGKGFPKWPYQRQDKTMTLDGYEKAFHDAGRPTWGPDEMLVVPRPLVSDGGRRS LTQHADILSFQRSTVQTETQEVRLATFATEHSKRYLGNQDKLTDIRIVDDVPYAVLNA THLEDVFHHQDMNDPASMQEKHVWELASILFDPLGESTQPEHLLRRTKLSHFWSHLVD SASSTTIGLARSSEEKAVACLAGHRIVDACKHLLDGKNFRLATLLPLIGTSDAAKKDM REQLKAWHESKMLSEFSESIRTIYELLSGNACVCEGMKGVPVEDRLDSFVISKKFGLD WKQSFGLRLWYSIGQDDDLAAAVTGFKNDVDQEREYLPLPWYIDQGVKPLWDDVDAEN RQDLLWGLLQLYASDASDLEAVLRPENSQLSPLDMRLTWQLGLALSSSGKVSFGQDSA EKADAATVAFASQLTSAGEWLEAVFVLLHLSKPLARKMAIQEHLARHAGLIGDENSTA FGILTEKFLIPSLWIWEALALYMRSVKKDAYLEVQCLLRAASFVEAHRVLVKEVAPLA IVERDYANLSALISQFEGRQELISDWSLGGEIYSFFLALIQHRAKHEIPPQHILEKLL AGLHAMNEEAGDAGVLRYAAVSDMADETAKEIIKASKKKQDTELRSRILNLPLTQDRL LAYSVDLSMERYREVMSH FPSE_04633 MSDGDSEIDFFDLTDEDNRPESDDETSLPLELTLMFDEHGQGVY HTIEAPQAGDPIEMDTDGTLEENDDDDDDNWEDINDNDEVDQPVEGSPVQSEGEAAEN PNEYDPDDDGFNDDDESEQDRARFDDMNPDAALVEALEADSTSIPNWDGSCFQTCRPP WNRLANKYKEWRQRFLTKRADLQNCRRVNKIKVTRLNRQIRAMKAAIEEFYTVHHANI RKVRRLVRENRALREFLSDEDLARFPEPEPLGLRDIVASLPTRVLNDLPDDIREYLVL GPRHVLPAPSLRKTEKEWPDVAQEFLETGPNAVYKDWGDVYKLSCREENMSWAFGESR PPKTHPYLKLVPPTSSQEQSAIAGLSDCCTPPPPPRDYDSSSSDQEQGFQPFRFQDLP PKIQLKILRWVLVFEGEAVHPISRLDPYYEPGSMHRNCNRQTSLLHRFHVGRQPVSLT FGGIHPQRLLAPLLVCKKWNTMGSHGRFARNIGCKLQIVQHIELLWMGSQRLTYELDE KGKYTSRRTHDLAYLPAASRLKTIAVHIPESSKSYMRRKHEPPKIIKYLEEITRHQPN YRPFRELRSLQGLDYVYCLRGLREVTFWDYDKWLNEEVKMPVRDWTFVRDINDCVRRE KSHNKEHFSQIRYLAPVMEGCRPSVALAARLEAFVNPPPRPAGLLTLSPDGELLYPQP QASVNHPIVLYDSDDEDHLDTEDNGDTDDTGEAEASSDEGSGDEGSGDESDADDSPDD DDGDDADGIVANGNLETIDISDDEADDEDEDHGEAGDVALETTNATEADRVAMPPPAA PVRANSRGQETSPPLFMQSATPESPRPMFVQKLETPSSPGASSHTEGTPARAKREESS LFVGSPLHGCQDSPIDMTQDADMPSPEGQNKRSFASYSTVDENDECLYMGSSPKRAKT EEDDGVDGGSGSGNAANASSGPFIINDDD FPSE_04632 MSADQPLPFIYQFAAGAIAGVSEILVMYPLDVVKTRVQLQTGSG ATAEYNGMLDCFRKIIKQEGFSRLYRGISAPILMEAPKRATKFAANDEWGKVYRKMFG MDKMNQPLSVLTGATAGATESFVVVPFELVKIRLQDKASAGKYNGMVDCVVKTVKNEG PLTLYQGLESTLWRHILWNAGYFGCIFQVRQMLPKAENKKAQITNDLISGAIGGTFGT VLNTPLDVVKSRIQNTPKVAGQVPKYNWAFPAVGTVLKEEGFSALYKGFLPKVLRLGP GGGILLVVFTTVMDTFRSWHYPVTSALKN FPSE_04631 MSHSLWKYYWENDVERFRRLLAPASYNAQSVSKSPAVGGSGSFF GGSPGIPGTSPRPAAKQRRTSGHPQTPGKSRDNNTHLGRTEVNSRDHAGLTVLLRAAS STDPNAYEFVRALVEHPAIDLYVQDPESGWNALHRSLYAGNISIARLLLEKERVDLTS HNLNAVNKVGLLIKTKDHEGNSPFDVYNSTIAARSLKSMEDGNSSDSDAESIDSGGDD FHHMVKASHGLRKSIDGDELFVFGSNKNRSLGVGDEDDRQYPDRVYLSRPDLLVHRFY HSHLEEQDVEAPSSLNLDEIPTLVRNRPIVIQDVCMSKLHSAILTTDPVSNLYICGVG RGGRLGLGDENTQFKFVPVQGPFLDKKIHQVALGQNHTMAVADNGELWTWGLNSASQL GYALPAPLKADEEPMSLTPRQVFGSLKKEIVLGVAASANHSVAHTGASLFTWGRNVGQ LALMDADSRSLDIQHTPRKVAASLLAAPIEMVSAIDKATICLLSNHTVWVFSHYGYNL VKFPFPDVFSNNITMTRYESGGRREINFITAGGETIAAVTARGDLFTLHLNDKGDLSQ SAASTTNPVKIKNALTQPQCIWDSRKDGVASVGVGEDGSVIICTESGAVWNRVKRTKG KLTGFAGSNGIKRKDVKFQRVPYITNCVAVRSSIFGAFAAIRKDSKVMAQEIEIGAKS LRDDIGSLLCLNDFEASQHQKPRKVWEAAITRERRDPVTYEILRSADIETDLRRWLDM SSFQYDDMNMAICSSSLPDMKIPVHSWVLAGRSSVLRHGLTELRDRGSTFSTDTFRIE LVGEKPLLTLFDVDIYTVLNVVVHAYQDKFVPVWKYTREAPPLAFRFRHVRTELMKVA TYLALPRLEAAVRLQTGVEDSLDDDFKQAISDPSFFDDADIIIELDGEDIMAHSQLLC QRCPFFEGMFNGRSQGQWLAERRDGMDAAERIRVDLNHVDPETFHYVMSFIYADVGQE LFDNVAMSNVDELSELVLDVMGVANELMLDRLSQICQALIGKFVTTRNISNLLNEISQ CSITEFKDTGLEYICLQLESMLENHLLDDLDEDLLIELDNVVRDNQLARFPFVRSGRA ELLLHESNPELAADIDEERQARIKEMAYKTTQRDEEKKLSSSFKTRIGSLDEASPLQT PDTIRRKSRGGRNEPFSPSLRPKQSQADMIFDMEDEYGSLSAKPRSPTNEAAKLRSPP DTDDIPQLPKTWRESKGKEHTGSAHSPAPLSFSLSPDSQPSELGSLKTPGGRPFKPGV PWSSSRLATSKLDLKDIMSEATSTSALTAGLQAQKIQDAAANKPQTRVSQKERKRQLQ ALAAAEAAAKDGSTSHVPWETVPEGGRPAPWKAASSGPKTSLKEAMYDESNMPKGVSA NAKPLVASETKSKSATRRTASPDTRFPGQGRTNSSPAIVAGPSTELPRKPLVPHSKSY ITPAPKAEATLGFSMSDIIGQQQREQEAVKEAVAKRSLQEIQEEQAFQEWWDQESRRT QEEEARRKQKDAREKDNKASKRGRRGRGGKAKSTGEVVANPGQSSASGAKGGVAAGPN TNSRGSSGGRGGGGVGGSRGRGGKGRGNKSQAA FPSE_04630 MHQQPRGPARVSSPGATAQPNLPSRSNSAREAALGSRPRAGSNL AGRDVPNSPSLESPPIPAPPADSVRKLDQIIQNFYAKAAVLVLDSRIKSRPVRGANGA RKPNKWFQIETDEIDDFRDELKIWKNCGSLDNRPPPMVIEVYLDASRLKDSQSLVIVD ENGKRWDVMEQLNSYGSSTDSSGASRRNNEVVIERWQVELKHSGMTSVDFGPILPTVY KKAIVFFRSLFITTRLLPAWKFASQGAAKNSHPALIPRCRIRLSQPDRPRYDQLRLPI DGRPDPVTEYVFGDLEVPVGRLSTLVTYRSDCNFRVDDSEALLSSRFMGVDENFFRPS LPQQHATSRAPAAEAGSLRDHRSKPNLSDIQQAYGSLSTFHGNVPIGTSPISALRSVR QPGSDTSSPPESIPAQHDVGGPSSLPVRQGTARPQLPALEGLGRRPSVSFQPFKAGSL SGSPVPRQLDAEPASPQSLTRPGIPSLRQAGNRTSLTAGMPASLRGGPPTSSGETAVA GSPRPASTSRYSSSFTHRRGRLSFGGASKAGDDEQGSSGRQSLASSVAQPGSGLLAEV AGTSSESLRDDNEQLEDFIKALDSKKTLQSFGPSKTGESATNKTVAQLSRFHMMRDSN NALTESMTSSVQMQRSSSSSSRQLTSVPGMTAPASVSASSSPGKPLSPHTPHTPAIPS RLSENSIIDYTGQGRITSRQGRTSDNTQPGTIRENTITQDGTTAIDIPLSPRLTTYQR RASSVAIQNRSMADDDDTDSAFAHRSISLGADDREPPTLSILLGRQMQLEEESTQKPS DRLEPAAGTGSAETPDMLRQGLSEENPPEGLIPAATSSSPFGRRRYMGMASHRQTPPQ SSRGSFNGSLNRQVRGDDDSVNEEPLVFDLSEMDPQGRRSIEEARSGASGGPNIGPDK GGYESRNASRRGW FPSE_04629 MFRASAAGPYDDVVIKATDENLTSEDWGAIIEVCDKVSNDQNGA KEAVQSIIRRLAHRNANVQLYTLELAHALAQNCGKNMHRELSSRAFTDALLRLTNDRN THTQVKSKIIEHMKSWTDMFNNDPELGIMGDAYNRATRSNPNLQPPSAPQKQGLTDVD RQKEEEELQMALTLSLQEEERKKTAATSPGVQAGPSNQTESPAPPAPAGTTAATVSRV RALFDFAPSEPGELEFKKGDVIAVLESVYKDWWRGSLRGKTGIFPLNYVEKLTDPTAD ELQREAQMEAEVFAEIKNVEKLLTLLSTSNTAPREEDNEEISKLYHQTLAIRPKLIKL IEKYSQKKDDFTQLNEKFIKARRDYEALLESSMAHPPQHNYQQYAMRPAPGQGYPQAG GYPPQGAPPQDPSRYYTPGPGEQPPYQASSPPPNFQNQPPGQPAPFYVAGAEVPAGSQ PYPPRNESPSNGKQPAPINTSTPGPNFNPYNQAQSNNPYGQAPVGGRPGSTYGAQELA TSVYDSPIATNNPQPLAPSATFSSSVYSPEEPNENASAPPAGPYGGHQQQPQQYQSYN PSQAPPMPTGSAPPPPQSVMTPPPLQPGNAAGYDARHGLPSQGGGGGQNQYKPYVPPG DGPSAPAPDSYYQSGGVY FPSE_04628 MKTTIFVTLLSAAASIVSAGIVVTPVFFDQIVEKLSGDCPFGVV TPQGCARQRG FPSE_04627 NAGGQGGDQNGGQGGQGGQGGQGGRRFGRGGRGRFGGFNAASAG DGAANGTTTDA FPSE_10512 MQLKGVMVALLSMSAIADASVVQRRHPLARALQRRQFGGGGNNG GNNGGNNGGNNGGNNGGNNGGNNGGNNGGGGGNTLQGNAVQTGSQQDGNQPGSDEQAA SATDDNNFINFCAGQTLTNGEQNRDGSCNGIPMGKIPAANKMVSSVFTSPQNGDNIQA NQNFDISVQFINFAPGSFTNATNTYYSAPQDLDGGGNIIGHTHVTVQDTGDDLNPTQP LDPSVFAFFKGINDAGNGQGLLTASVEGGLPDGNYRICSMSAASNHQPVLMPVAQRGA QDDCIRITVGGNGGGGGGNNGGNNGGNNGGNNGGQGGGQGGQGGQGGDQNNGQGGQGG DQNNGQGGQGGDQNGGQGGDQNAGDQGGDQNAGGQGGDQNAGGQGGDQNAGGQGGDQN AGGQGGDQNAGGQGGDQNAGQGGDQNAGDQNAG FPSE_10513 MKLSSGALALGLANLPATLAWGSLGHMTTAYLASHFVANTTEVH FKYILYNDEEDYLAKIASWADSIRYTNWGRFSKNFHFIDAHDRPPHNCDVDYERDCKD DGCVITALHNYTQQSVEPELPFWRRNQAAKFVVHFVGDLHQPLHNEDVEKGGNGLSVI FDGKHFNLHHVWDSSIAEKLLGGLHGDPSKLANKWANQLAVEITDGKYAEAKESWLKD LDFEKPIDTALAWSRETNALVCTHVLPEGPDAIVGQELGGEYYEKAAPVLEEQVAKAG YRMAAWLDKIVEAYKDAEQKQISEEL FPSE_10514 MPLLASTSIAAAAHALPVTLALSGIFGSISLTSWICLLLPQLFA NYKAKSADGLSMAFLIVWLLGDVTNLIGAMFTRLAPTAVALAGYFCLADIVLIGQAVY YNALNARRTSRPEEARPVDPSEESPLINRSRRRSSSFGLPGSQRRHATHTESSMEPLR KIVTGEDETPDSSPWVHNTLSLLAVYVIGFAGWFVSYKVGAWESGDPGTPDAPEDAQT PIELAGLVLGYISAALYLCARIPQIIKNHREKSCEGLALLFFMLSMSGNLTYGISLVA YSQDKKYLLNALPWLLGSLGTIAEDLIIFAQFRIYSNVDRESAVEP FPSE_10515 MSRSLMIGIGHTLVSHRPDSANILPYFTTLGHLPRGRPGRSFSS CRACPKGYKPRKMAPRLNARSRLGAQANSPTDNDENSSQQQDTGDCLSEIVLTLDEMP IPPPPPASNTLMDSDDWRLGEPVPSDVYQSWFKVESTFIEHEPANLAADKLAKFINGH ESTANRLKDISRVARRYSMSYLEHRKNFSTTANKRPQRQDNVKDLWSMVSILDREHYG LQQRLLRTGATIVWKNDSRPIRSTDEDEGVPLLSEIDTLQSQVATIFAILRHFPNRFR SYMKTPDRPEEYVWGFSHEDWFRANACLQRHLLRRQKHKNITVKDTQGDIKDWPGFIQ PAAGPNNDEEDDDDNKPPDANVIRSLEAEHTLLGDDWLGDMPLDSDKYAKKSDIIDAF GEEVAGQFEFDSTTERVQDSLGMLAEYITTGISATLAEAIPSCDPFEEGEKQRTKLKL PPPKYPSAKALGYLRDILLKRAYVAYDKTLPRSEMASLCPGNEDVDPEYQATSNQLEL QRGAQDLTLAEEDLDTSDRQFHGVQNLLGEFLPQHDLEKVCQEVGITDWRNLELNSEH APGKRLKPNQLIDAYDLHLKLESTMHAALLTSECGIGKTNTMLTCLHISAKRLIERWE TNPWPLQEHERVFKPTVYMCPSRVLDQTFTEFSDWWGGYFRVHVCYGTASSCTNLARK NVTLGNLEQTQQFFDQLAQDHKDPMTARTIILCAYHTAVRRFATVNGEAVGRSKLGSG PGLQIDDGENDLDDEDLEVQDTLDGDEAVVLRKLALKNVQLYRLILDEGHAVKNMEYD AIMIASATILSNHVRDFYGYIELIWDKDLPFSWDDCTSEKAATWYDTATWEKLTQGHD AEGIDAGRIFRRTEDVIVPPNLTTRQIQREEEYRRHIKETGDPLFLMNPQLFYSFANS SRHSPIFAQSAIRTIMQMLCVRRSMLSQMTLPDGSVTWPGKGIPTLLCNEVELNLPEQ VKPKLHHAIRSLHANLTTQGPRGKKFKSGHGAKVSGPAVLWNGNVLRRMVLASTNVHN LKMTSPLVRTTKLLKDIGIQKIIGHQIKDAPLHRHNKKSQALIPMQEKQREGLQRHQR PEAAAGTAEMNRVATNGPTKVLQWAFYLTRDSNKELFPESAINRVRWLCWDSPKYCWT LAKVLELHLKGERVLVYVNNPLTSAMILAMLEALGVRTLSIQSKHSQGERDSAVKKFN SATMPISALVTSLQLSSFGVNFHLACHHGIIVERPHNLGTQIQAIGRLWRTNQEKEVH WWVLHQRDSYDAFVDARNLEKYATTLAAEGNIDDSITDEYRVICAYEILRCYLGQESN RYPRLRVAWFEMDSEKVRLEGFFYTAIARFLFRNPDRQDRITPETIGDIAARWELGSE ITIAHVEPGHPDCPPTIDPDNPGRITLSSAREQEKALRENIAMYGSENPNNDVFHPKE G FPSE_05758 MASQFPTKKCGVLGATGSVGQRFILLLAQHPYLTLHAIGASSRS AGKKYKDAVRWKQASPMGEIADMVVRECKAEEFQDCDVVFSGLDSDVAGDIEMAFIKA DIPVFSNAKNYRRDPLVPLVVPTVNLPHLDLIPHQRSVHKLNKGFLVCNSNCAVIGLV GPFAALQAAFGPISTVSIVTLQAVSGAGYPGVSSMDVIDNIVPFISGEEDKLETEARK ILGRLDDNGTAFIDQEGLRVSATCNRVPVMDGHTACVSLSFERKPSPSAEEVRKALRD YKCEAQALGCPSAPEPAIKVFGDDEPDRPQPRLDRDLGRGYTVSVGRVREDEAGIFDI KFTALSHNTVIGAAGSSILNAEAAILKGYI FPSE_05759 MRPPRVFVLILFVAVSLLVFCRAISSTLRSAYADYPAGKKFKTK TTNNNFRPSGSHDDHDNDNNDSSDKSPKSAWNSMYYNTPFSLFPPNAAISLTDDNSTS FAARPAAFGPKLAIRGLSGQLWVGSGFAEDALIHGTGELGCSDVPGWSERAETIALHK ALRAAVPSIAGIKSSTTARAKRGRVVIPNAGNGHTTSSHVSATHDHSEIDGKVALIMR GGCGFLDKVMWAQRRGALAVIVGDNQKGGPLIQMFAHGPEVDNVTIPSVFTARTTAQL LSALTQPGSFIEDTLDNQGNPVLRVQRGPKSKKHRKDAVKSTITASTHDRRSADTTQH KKRSAKPASRKRKTTVRYSRKTVSRKNRATRESPKKIRTPLLSEDNYNDLKKETTFRK YKPILPPKASHSLHDTDEDDFEPDEEEILVEFLTEDEENALNFNIDRSGESDEDSDEV DDDAHDGLWVTITPTSNASPFFDTLLVLVISPLVTLTVVYALLILRARIRRRRWRAPK SVVERLPVRTFHTVAPSPPLTPRTPSPSAPTPTTPLLQESPSRARPRSRGSSGAPADE TRSTPSEAIPTPSNATKNNAKNEREKGSGGFSAEWKKYMGRQVECVVCLEEYVDGVSQ VMSLPCGHEFHVECITPWLTTRRRTCPICKGDVVRSLAHGSSSEPHYEPYRDDASDEE EDDDDDDEGTVAEGSGARDDDRESDLEQGIHSSDPRSSRWSRHDGWLSIFSGGLTRAR SPSPEDRSR FPSE_05760 MSKNNELLTDDYVADLLSQEASDCSLKYSTMGMEAYSTNKKPAN MMKPNTRFLRHIIKDTDHHNKALLAKEAAESKARLKDLERSEEIKRRKTNPNVNDIRR RQMGDIHAILGGKRRPRNEDEAGPSSRRDSKRSDKDQKPEREQDQDSNDLFSNRRSDR GHGRLSREDRRSKTDDDSRRSDKSRREYKRRDRSRSRDGESEEEGRRRHSHKRRDRSR TPTRRRRSRSPRESKSRHRHRSPLDTKEDRKRNEEQEEDSDVLEDLIGPAPPPKYRGR GTIGGFSGIDRRFSETYDPKTDVQMDEDDGGGNWDDAVEAFRDRQKLRQNQEQRLKAA GFADEQIQRASGTEENLEENVQWSKAGEKRAWDVGKAPRNSYSVDQCCASTSKIIGQS YQRQKVSVL FPSE_05761 MGQPDAQKVLDALTKLQSTQEISIIKLSEPIANSNPQDARQRTS DASNSAFDALTPSSLTADLAHYKELFAKLRFSYVQQVTKEKFIRAIVGDPPMIVSMEE NLELEKENMVVKKQLKELKTEVAEMVTNLEQRGIELSKKYESVQLETAELREMPAKIE ELEARIEILREEQEMPDGTSPGMNLPLAKTQDLVNQRKLEQQELARELESLQAKVPRK RKEADRLLIELQPLENKRQNSATAAREARRRKEAALGGAADDLEERARWWRASEGVLT QVLDIKD FPSE_05762 MGSGSLPSPPAEDHKSTLSRKSTSSSTKSVKPVHRTSKRASSSA ATIHHHDHVTHTTGMDGRHKRCDGEFPCKRCKDDGLVCTAGVRKKMEYKQLPRGYAEV LENTQFALIATVHKLYSMVRNNQSWDLGEPELNDRGQPVIHNIAQKLGCIRPNSDIDL PVHSVFPEDEAGMAELARQLEDQQKEHEPHKEAIKDTDSSVCNRTERASSSELDHSDV DIEYDYRKAAFGNTNAMTLSPQSFTGSPDFDFAPPPPEIDASTLFPSQSPSMNNFPAW PMIKPQLTDLTMQFLQQQQQSGMMANMDMLNQGLVESEFGTIKPHVLSCPNPEVMLGM GDPMIYSGYDGEPMRL FPSE_05763 MAQRYISNNLPAQSLGSDPKELLTYALELVVRHTPPRKVYHEHH LGGLFTGYTGLAYLFLQLSELHPDLQISGQKLISWAQRYLEGDRGKLVLEKGNCGISS EKLSFQAVRVCVTKEEDHLIEFLSNMPILLGPYTIPQEDAFPSEIPYGRAGALYLLRM VRHWMPRSETLIESPLRRLTERIMSTDDDGRGNWEWHGKRYFGAAHGDIGIITQVVLS NPSLAPQLTRRLEKLLELQLPDGNWPSSVRSLKEGKGASLIQWCHGAPGFLYSLQSLR PYFPELQSRIDSAIEKGESITWRHGLLTKEPSLCHGIFGNALVLPQGAKRQHFLALAT ADAVEKVRRHNPDLFEPASYGHKAAVLMNYLPSAAWTWAVCEQKHPRLIMYNDV FPSE_05764 MSDTAEASGADHQQQSSQRHRVVDTVNEKAQEVFKEDYAQAREH VTNAAKSRSYLYPIKGVFYFLSHRSLWKPFISKLGPLVLLSTGVIGGMFFFTYLPQLA ILFFVNGPIAPFSTVLLVLNESTTIINLVSRNYLLQDSLLDVFDGTLLARGDTKIVSE GREVKSGGDPMQKLGKIIKSPFEKFSPKAIIRYIMYLPLNAIPVVGTVIFILIQGRTR GKGVHGRYFQLKRWSEPKREDWLTKNVGPYTAFGLVATLLEMIPVASMFFTFSNTVGA ALWAADIEDKNTSMTDGTAPSLRETAEKAE FPSE_05765 MPRNHILIGTLPVIWRCFLVQRALTTNIIITLPQFAFQSMTLRA TALAAVLAP FPSE_05766 MRPPKISLVAVLSVFLLLSCLLGSAAGSVSQQRPDRYQVVRGNR LIQARQPDGEPTDGYGPPPPYYYTGEPAVPTEMTSYSTSEKTTATDIDTGSSEASVTS GLHVSSGNFTVSLGISSATLSTVFDTNTAVPSAASSVKAGSTTSSEALTAVGTTASES SHVTATSGNEASTIWNNSTSASMAGTTSLETELSSTSALTVTVSSLLTQTETTSDDIS LTDIASSLEVSGTTNATAKTSSTAEAESLSEFFSSTSLGESSQVTALTMTTTRFFNAT VTVTDVAESTGLTLSSTTYLTSINGSLTAATSSGFVTDISSQTSTVLTTVTISEENPT SASAASGSATTSATQDGLTGISGSETTQKISSVASRFLNGTVSVTTTTTYVTSTLDTG FSTQSGGSSVVTSDGLSGVGTGESSQTVVSTSLTGVTNEGSTTITFASSDTESSTSFV FTSTLNGSLGVSESDATTVPIQTSSDTLVASSALPVNATSNAVDNSLTTATASETSVI TIIPGGVSPPGNATGVASGSASTDYSTSTASLFNSSMTRMEISSSQETSSLFDWPTST LVEPHHSLPTVGFPPISFTSFSFSIITTPMTPPTVATGSASPPFDNVTVIRSTWTSTI ATSLEPTGLTSSAKLTAAHSSGYNFSKTVESDMSSVGSLSTPASNLTGISTSIITWRP TNVPFPTNTSIEWKPTVSSTILTDSAKITNSQDPQTSLSAAGSGLSTTAPYINSTLTA GSATYTPPFGNLTSSRLTFTTYRSWNATNSAQGPANSTVQTVMSSTQIKSSMSTATYS LNGTVTVTPPFPTTNHVTLTTISGSVYTKTYTDILVTIITPTDPKFWSTSIISSSLNS TPPFPFPSNATLTGTGIPLPPIGTWTHPTVISTPGAFSTPYKESTSTSEQKYHTSNVT RHWSVPGSSGSASGTSAPLFPSSNSTATATITFSSIVSWTPDPEPWTTWSTWEFTRKT GAPTFGLPRVTDRNRSSETSESTSYTQTTTLFITTTLSEPTTSRFVTGNLSSKASDST SYTRTTTLVLTTTLADPSTTLSKFQNSTDETSPSISYTSTTTLFRTTTLGEPSTSRLS NAAVTPTTSTWMNTTLVSVHSSETPTSWSEVSGTFLTTGSAKGIATSADNSALTPFPV TNSTLSRVTSLAIDTLTTTYTSDRQAGETTPCKSSSTTSSTCTESLDYPPYGLSSAGS TTDDCSTAHSMASYDTAHWSNMTETFVAKDTSTHGSLTTFKTVTTVRAEESHSVWDDY PPPTDRVTPSEVVPADPNFPWGNGSPIHRHQNMTDVGIGLDGGGVSKRSDWRRRWENV KDKINSLWHGQALESED FPSE_05767 MLFKPLAFAATAAAILIVPETSDKDDGIFRALPIDAGTFELPAT AYEQAVDVPCLQCRGKDTHLELDFGIKDRRLVLNGFELYPRADPWNGDLSAAVIKGNG KSDMRRLGYGLAIRPEGIDEDQHMEIVNVEVRIIEVGDRFVDGVPPVTVKLIKSLGGD ILIGNIEVKGIGEKAPEDCDMWCRTKDMVHDTWKGVKGKFKGCHGKKPHHGHEHGHKP HHGSGKAENKPTDNFKHGPLMHKKPEQSMGEVLKHVAAYIVIPVLMGVAAGVGVAFFV MCLFSMVHRLACLFRGESRESAKHLGAYHQVPFVELPVEDEKSILSETLPQYEPRN FPSE_05768 MSQTPRRFAQIIKLKPEHLAEYKEVHARVWPDVLKQIGESNIRD YSIFYDDQSHVLFASFKYIGSDYSGDMERMAQNPRVREWWKMTDSWQESLIPGAVSSE AGEPSWWKPVEEVFYQP FPSE_05769 MSQPYDGRGTDPYSGSTRRARERTQGGYSRHQPTYSDPMEDDPP GELRAPPPRRPMGANTMGQSRIPKSKHAIDRSGNSGLTISRPQQVPQWPLSGPPMAAM NQPEAEPYRPPPGKPTQAPRRPPRPSQVPSLLDQSRLQEPTPVFLTPEPEEYDSAVPP SPSSRNTISSLGSVPDFPEPSQVQVANRRSTYLGPPPSARRGVSSFYSHASFVSPIPE ESLNSRSHDSYASSAAMPDSWRGGSPVGSPSYYDDGATDRSQDEDFHDESKLVRSASI GKRGKPSLVNTKSVIMEPSYRPAPSPVQPFDSGTGYIDASSSSSNTLPLARTSAPGQN PYDSLSPDAILGAFAAASATNLSESQAPAPSPSPQPYNRLSAIRRPPKLDIDAVRKAE ERGSMTSLPDLIRRATRLATMIDHGKRPGSRFDNLNDFFDDKGQMRGGDKENSGLSDM LAAFPPPAQPTGRQSRGSWFRTTSWPLAPGPGQGNGPSRNGQRGGTPSDEGQGKPRRR CCGLPVWAFVMLMILLLCIIVAAVLVPLEFFVFKNLGNHDKPQSALAQCQQSLKCQNG GTNIMSQGTCSCICTNGFTGSDCSVAGSDGCTMTDFGGDLSNVTLGKNIPRLIEDSAK NFSIPLSGTAIMAKLNSQELSCIAQNSLVTFDGAASRKRGLMLDQDKREAHAASLVPR APESATAIVVSSETANPTKLIVDEGSPEGVPTTTGSATKSSSTAVPTQTQTPTNKFQI TNEVLDFARVAVLFVLQQQDLDSAQDAQSSIDKFFAKARKDDGVLVKEAENVSIGDKK SVDLLRYKITLGSSTVGGNVKRHALPVKTTPAYVSVRHRAVHTWRQGRTEVIKKT FPSE_05770 MTLSRSSRQAMLLGRQFGRQSSRSQISSQRRTVANLTPPHHAGA ISRIQTSVDPSSEEFKENEKQMSEVMSRMQELARKIQKGGSDKARQKHIDRKKMLPRD RVTALIDPGTTFMELSPMAGYDLYPEAEVPAGGIITGVGVVEGVTCVIVANDSTVKGG TYYPITVKKHLRAQAVARENKLPCIYLVDSGGANLPHQADVFPDQNHFGRIFYNQARM SSEGIPQIAVVMGPCTAGGAYVPAMSDESIIVQEQGHIFLAGPPLVKAATGEVVSHED LGGGKMHSSVSGVTDYLAVDDAHAVVLARRCISNLNWPKKSPETQAPKPTYLEPLHNP EELLGIATTNLRKPLPIREVIARVVDGSEFSEFKRDFGTTLVTGFAEIYGHKVGIVAN DGILFAKSAVKGAHFIELCSQRGIPLVFLQNISGFMVGSESEREGIAKHGAKLVTAVA CADVPKFTVVVGGSYGAGNYGMCGRAYSPRFLWMWPNAKVGVMGSEQLAAVMETVGKT VDAGLKERIEKESDATFSSARLWDDGIVPPQHTRRYLGLGLQAAMGGRNEVKAGDTKF GVFRM FPSE_05771 MASVRTLRSLARPVVARQWRPAVTLPAIQSRLHSSKHPKGFEAP SNEELDELRERVQEFTRREITEEVAAKTDKTNAFPAEMWQKLGEAGFLGITADEDVGG LAMGYQAHIIVMEELSRASGSIGLSYAAHSQLCVNQLQLNGSPEQKQKYLPGLIAGTS VGALAMSESGAGSDVVSMRTTAKAVDGGYVLNGSKMWITNGPDADFIVVYAKTEPEKN SKGITAFIVDTKTEGFSCARKLDKMGMRGSNTGELMFDGVFVPTENILGKVNGGVRVL MEGLDLERLVLSAGPLGIMQAALDVTLPFTHQRKQFGQPIAHNQLLQGKLADMYTKLQ ASRCYTYATAKAVDENGLIRTQDCAGAILYAAERATECTLDCIQLLGGMGYVEEMPAS RLLRDAKLYEIGAGTSEIRRMVIGRAFNKEYAQA FPSE_10162 MSFALSLRLCARRVAASPAIRPRFTFTAARKLHNVPPRQDKPGK YAQTDPQIEVEYPEDHELPSSEPVSGAGGQYVKPTLPTFTLDGHVGIVTGGARGLGLV MGQGMVFSGSNLALVDMNKEEAEKQTSLIIEEFKKENPRARRIPKVTAHYADVSDPES VEACVAEVVKEHGKVDNLVTSAGFTENFEAVNYPIDRLRKLWAVNVDGTYLFATSVAR HLMQRKAPGSIVMIGSMSGSIVNVPQPQAPYNAAKAGVRHLAASLAVEWAQANIRVNC ISPGYMLTALTQKILDDNPDLKAKWTSLIPQGKMGQPQDLMGPVAFLLSDASSYVTGA DIRVDGGYTVT FPSE_10163 MDPSMPMILPKGIVTNSENIYKEVADFPIVPPDKVWKYWHVYTT TYKKLQDPTACRLENFWWHVWGSDRRFLKGSALAKLYEEISNGPTFVPLKGPPNRWEG PDMHPLIKQILEAAEAQATPPLPQPQGFRSSRPTDSALRTLSSSASKPPPTHPILKKA RGPSASGPRPTARFVSPQESADEDEIPSSGSTAATASEAPVYVTASPMKKTTTPPQKL TPSSPLANKKTSPSKKSTPTAEPLIRETGFGTSGGSRSAALQQPAPHNTRTTVERDQP TSGQSNGVVEERPVMSEKARGKRPAAPVRPSSHRKEVGKDVIAEETQQTSPSKDRPRS IHREKGVLPQARSLISLTTHGSRRGSRTSSVSTFNVGSLESSQSQDLPAMARSQSHGG YDQHKLQNGIRAPGLFTEATSSTSNVAVAGTILDQSGFGSASDLNNLDHRRPEHHTIP AVPPRPSILDARFTPTPPTTSASVPLGRTKSQLTLLLEREKARGSDRPRSKN FPSE_10164 MAISSQPLTRETANPNAATAAASAFLRRETTPSLSSAAAAAALK ARPTTPTNVSQVQSKRVSRRSASVSSSGSRDRRSRDLHRSPSANSMTERTFRSPSPSP ARNPAPQAQDVPPVPKIPQNEQVKSDTKNPTRKRATSLQTQSFQTASQKMKNDQGTWF GAAAAGDMSNMRRATVVDKRASVDLRPSSPSSINFSYPRSMTDSPSQSNNAMVYDPNS RRMVPQAQLLIQEQNVRDASEKPTRKHKHENSKAGSHLSKGTLGRLKGTAVEPVSPPP KPSKSAQSEPVVQAAVTQPEQAKEVSQAKPEPEPAPEPDLESKPDIEREIKHAARPNV PEEQMMDESDVESEGEASRQAARTVASPPQATKPESSIRKRPSIVHEDREQEEEYEPN KPTISRERSMDGVDAIPVKSTTPPQTQTSVLVDQQNETVRRTRVHSESPARSPARTTH FAPKTDQLIVRHEPPPRSLSPRKSALKYSSPRDASPSEDGSDASVAWSGMAREDSDAL RKKSVRVSFDDGNTAIMGEAAPAPDTDSPRLASPQSKKPWHSIIGRNKKDSITLDEDE TMTPRPALPSFGSVREKKVREPEERPLVRPTDRSASPTIATTSHPTEADDQPTTQNLA QSSDHAIGSVLSQEQASRNEANTSRYREPLPPVVTSVDGQGYISNSDLESDIESDIGN LETQTSTGHGVETQTTVNDSTGGVESDSAKSSPSDNIPTISIIHPSPRIPDENQSDSP SDFFDVPGEFPADTDNKKRISDDSKEPAQISTRRSFDSTSAVSSSSQMHDIQEVSEES DGSSIYSDAYEDLSDMEGDGFLSLDAVVDSPIEPTVPQRVLEKAMAKSQETDKSQEST PVKSTSQVLQTPSDWQTAKAYWRSLSPEGRRQLEKEAMEDSDEEPIPEHAVRRPKHKT KAHTKAKDAEEPIQEPLEEPEVSEKLRDTKRVYQIQPGTSWPRDDVEKLRVQPSTSDN TTSNGGSKLRKSMRGAAAPVVENSKPAQSGSMRKSMRSGTAPSSPQNSNGSHLRKSLR QEPDASVTPNTGMRKSLRANGSTANGHTTSPTSKNTRPTSYQPATTFETVKAQRRTHS EDRGPTMRGAAKPALTRRGSDSSESSFRRARPGGGEGLSFRRTMRGSVREPEPVATPA QETPRGSSRFSLRSLSPAGFRRNSNTSSPPPVAMSGRMRQSLRSGSSEAEPSHKRLSG FGRPAKAKKSKGSSRFEDSSDEDESHPAFRSRFVDSSDEDIPSPVPKQKRVPKTLRDS SSNGAAAAAIKVPASRAGIQDSPDLPDSDDDLAPPQQGITSNGAASRSAPVLNRNGSG RGNLGAQNDTAEKMGARPGHKRRGSFMSSILRRKKDSSDKVSRNTSESASRRDTHLER DPERLAVIRSNSEGAAHSSRLQKRGANWPLKDHEHTHEEEDFDEGSQNTYVVDEEKRP STAGGIGSSPSSPTNKTGFLKRRSTSHSHMRPHAMSADGSEVGTPKKKKFGALRRMFK LDE FPSE_10165 MSPDTASSSFPSRPIRPLPRRRLREKLSPEVADTIKYPPSTHET APLFYYPPYTLKDEGSLPRIGSTSPTQQSRRTETGRNYTPRQNGLGLRDGDDEEMAMR STMVTRSPPKILTRAARRHSKPDQPRTNAQPPHSARSSADGYDLFENANNKKKRKIPS AGDAILNSTQLLNNEMGSIAISTDAGHSPTSELHSDRAYPHSGTSSFMTNNQGISGPG RGRLGRSRNGRSPLRALPDGNSSWTGRAPKSTQPQWAPGEKEGSGIISNAIANAEKLR PQSQDNVSLLQQHSTVTKSTPASTQFTFTCESQVPGTIQWPGHANKHSMSTQGGPGSL PPGSVAHHDGSSVAASRGSGSSRRDSKRRLDRSLDRAARHRRQVAAETRRTKPDNATH DWMCGFCEYESIFGEPPKALIRAYEIKDRKRRQEEADRKRLLEKAKAKSRKGKKSGKA SSRGEHAVTQPPNQEQGSNIDTNHHHSTQPEKGENYPDSPGERTGPDIKANGLSP FPSE_10166 MAPPSPRRSSRARITNSQSQQSSVSSNTSGRVERNTRSVAKPSS NKSTPSGSLSSEPFEDIDDTLLGRRRKRNQEDDTDKNSKSDNFDMVNGSDDLQEEEDE AVRCICDAEEYPGRPPVEGADLDFFNAIEFTEDVTGFFVQCDICKVWQHGACVGIFSA ESSPDEYFCEQCRKELHKIYTASNGQKWSKYVPHNRPSRATSRATSIAKEGNRSPKTG TSKNSRPTSASQSSKRRSTMNSRDRAYEDEQLLRAIEASKEDVPQDGSEILTRRAKRG RSDSEESVAVNARPRDDKLASLRNPISVKRQRTSSRSPSPPIELAEVQTHVESDDEIN TRNGTKRVRSNKNQRAKTEKEDKERQRQEAADKRKGRAERRRGEDSDPAEETPPAAAK PPATKSIETPVIMETPAPVEPVPDTPPASHQPASGAQKRGGRTAHKKGKGRNQYTRDR DGEGESPARSMSRDIQKTSDEPTPAHPKPTSEHRHGKSKPAIHHKLNMVDMKRRVGAI MDFISRTQVDLAAETLPVTNENTSNGEVSLQKTLGSETAEKESTRESSEGTEFKDLNP MEMMDVLTRDMVKWQNQYT FPSE_10167 MAQFIPRQTFALPNSIPKTYYLGHHATAQSDMIKRLNTIHLILE CRDLRLPLTTNNPILEHSLAGRERIVVFTKCDLTINKPEYVNALRKLYGDRFVLWNKE SPDTTKALLRKVKEVARAIDPIAGMRAMIVGMPNVGKSSLLNTLRRSGLPQKLAKAAK TGGQPGITRKIGTSVRILETEGKDSRRGVGEGVFVLDTPGVFVPYVDNAETMIKIALV QGIKIGLVPAEVLVDYLLYRLNKLNPAFYKQYSKPTNSVQELLTSVAVKTGKLKAGGE PNLHGAASVFLKDWRTGKLGKFMLEDLTDEAIEEHQQRMLNPPLSMNQAKKQQKEARA QERAGA FPSE_10168 MARPETEPVQDSIDIERRPTFGSSNTVTPNTNTQDASGVTADHS DPPSQESRSSKYFGKEGSLRPFRLLKEDVINLKGRYLSDWQVFNQQVVASAVYIFFTN LLPGITFASDLYTLTGKSWGTIEVVFSTGLCGLIFSLFSGQPLTILGVTGPFSVLAEN VYELCDKHFHVEFLPVMAWTLIHAGWMHYLLAIFNAHDWTMQYVTHFSADIFSLLNSV IYFHKAAMELKRTHARVSLAAFLYAILGAIGTCLLAILLSTANSWKPMFHRYVRLGLT EYAAAISIIFWIGIPYIGELASLDHIRLEVQTSFRPTSPDRATFFVRFWEAPIEWVFL SMIPGAIVTVLFYFDHEISSIICTVERYGTKKPGGYAWDVALLGTTTIICGILGIPPA NGLLPQAPLHSESLMHYVLESPSAEEGEQPESPRHVARTYEQRYSHFIQAALILVFVS PPLQKLLGLTQTSVLAGLFLFMGYQSLSVNPILERIVNLLTAPSDLPELPAGVSWLRI HMYTITQIIMTGVVFGVTLTVAAPAFPLIIIALVPIRLSVMNRIWSRETLRRVDGWAC REGKPEDDTIPVQTIGHEEKSDV FPSE_10169 MEHIHGKYAFVTGGGSGINLCFVRLLLKNGFSVLIVDRRLRVEA QQLMEQYPFEGDNSKAELLFQETDVTSWPQLTAAWKTALEKFPKVDIVVAGAGLFEPP WYSFWDAPKTESNKKTVSQDDADADPGHYRVIDVNLVSPIRLSQLAIGYWTKAKYKGC LIHVGSIAGYAAAITTPLYFASKHGLHGFVRSLGGLRDRLGIRVACVAPGATATPMWS EDPTKEVMLEQDTILISPEEVAQGMWRLVIDPELGDGTILEVTKGATRVVPLYNAPAP TGEGVMVPGYADSVEEIYERLRDEGLDV FPSE_10170 MSSLFGKSSGGSRFQLPALNLNFGSITDGTDIPPPPDSPVQKVP TPPQTPPPVKEAKNEVPKESAQDTYSSVTEDVGNSTPQPSVTASSNGNLAGTKRNADD DAPLSPAASSRQGSIRRLLSRTMLNNSYAEGQKSSNASVQSPPPGHARPASQGGASYL DERKNKRASGWFRRMRSGDPLPDKRASTMYMEEPPRAPTPQKQPGPPPPMIPELTAIE KDNGSLGSDLFKNIK FPSE_10171 MASSQCLRLRPLVSGLTRPSAKLSAQHMTRLPMTAARYKSGPYG YTQAKALVFSKPGEPSDVLRLHTHSISPSIPSNSVLVRALAAPINPADINTVQGTYGS KPPFTSLIGTSEPSAIPGNEGVFEVVSTGSPSSSLQKGDWVIPAIGQFGTWRTHAVDE AEKFLKIDKEGLTPTQVATVSVNPSTAYRILRHYGPNAGLQAGLGMRPLEVGSGQWFI QNGANSGVGRAAIQFGKLWGLRSINVVRDRDTPEATETLRKELLDLGADVVVTESQFL SREWRDQLAEITRKGREEIGLGLNCVGGKSATALARSLGNGATLVSYGGMAKQPVQLP LGLLIFKDIRFTGFWLSRLNEQDPTGRKHAINDILQIIRSGQFRDVPIEEVKWDWDTE EETLRNAVQQGLQGFRKGKGVFTFGET FPSE_10172 MAEYGRMDPFSFAGSKDVHHPVSIRIMNLEGEEPPFKFSTLLER PDLRHIGSNISPNSDLYVTVQVWSGSKPLTVPVQTAYKPFRSERKWNEWIQLPITYDS LPANSCLAITVWDLSPVGGDEAIGHAIPFGGTTLPMFDDDNQAQKGRQKCLVHRHKHA DGTDNTKTPALVSTKKKTSRKEQLPPLDKDAEELDRMESLFKKHEMGEIPRVDWLDQM VFRSFEKRGLQAAKSSMKMLQRQQAINGEHDAEGKDGEGKDGEGKDGRPGQSTFLLNV ELPRFDFPVVFADHEYGPPPISALQNLSVSQVSIAHRQPQVQYGPGINALGEHSTALG GRLIKVYDPEAGYRDNPAEAKHRRLFRSSHRHGILDKDLKPNAKVRDELNLIMSYSPT HVLTPEETDLIWKFRYHLTRDKRALTKFVKSVNWGDQSESRQAIQVLGRWTAIDIDDA LELLGPSFDNSAVRSYAVERLRKADDEELLLYLLQLVQALKYEHISTETEEESCQDSS LARFLIQRAAANFLLGNYFHWYLMVECDDPSPEQGVDNRNIYRKVAYDFMVELVKQPD GQETRKTLLRQAELVAILSRIAQEVKTSNESVAKKVDKVKHFLADPKNELLTFDPPLP MPLDPSILVTGIIPDQTVVFKSSLNPFKCTFKTSTGSSYPIIFKLGDDLRQDQLVIQI ITLMDQLLQKENLDLKLSPYKILATSTSAGASQFVQSASLSSIVNKFKNNPALAYLKY HNPDDRQPLGVRQETLDTYVRSCAGYCVITYILGVGDRHLENLLLAPDGHFFHADFGF ILGRDPKPFAPLMKLSKEMVDCMGGVQSEHYKQFKQYCFLSYTALRKSSNLILNLFSL MVDANIPDIRLEPDKAVLKVRERFHLELSEEEALRYFDRVIEDTLTAYAPVVIDKLHE WAQAFRA FPSE_10173 MALESRNDTMLRIMPNGKYTLEAIMVIICATISLYNGIELLALI FTSSRRRSDLYFWSLTAASVGILPYAVGWLILYLDVVGDVPDMVINDIGWMLVTTGQA LVLYSRLELLVKNKNILCFTKWMIIINAAIWHSAITVLIFVIGRQQRLDHNAAYVKVA KVQLTVFCVQNIVLSGIYLWKTTRIIRTKDPQHRTSRVLWHLVFINVLLILIDVALLV VGFTNNLLWQQGVKAVGYSVKLKLEFAILGKLSDYLYKGGELVTDSPMFGFVEMEPEP QPKSKPGRPIPALETAHFESSRKPSSASAAESSRHREFVSDETVIDKGKGVIRRTLDK GKSKCTDDCCNNGVRASS FPSE_10174 MSSKLIPSDPDHVMVIRNVTPNIATFSVPFSRFGKVKIGGRGTL VKLTSGGLAVFSPVALTKATQAKVIEMGGDVRYIVALDYEHHIFISEWAKEYPTAKII GPEGLPEKRAKQADDPKIGNEQFAVVFNKETKRETRIDPEFDTDFDYEYVDGHANLEI VFCYKPERVLIQADLLFNLPPTEQYSKVPEAELPGDGAVGKLFACVQNPRGDTKWLQR FNWYLLAKNRNSFNDSMAVIAQWDFTTMIPCHGDVLEGDGKEVFMKVFDWHIQGGK FPSE_10175 MTAAVEKHFSVFLDNAKLRSGQTVQSWEQEKTSLVLDFDTFYKH ECSSLPSDLPEQALTVLKRLHARAKEGIEAMFNTCKASQRKDADDFGTGLTKLASTVK KTSDKEILRLEDEAHKSRLAVESFKRQIKSRLNDSREPESVEVVEDRREKRAATPISG GMGFDIAHRRATGRGPRTPSSQTRAQRILAQRQAPTLPVVLPVSRNVPARSPTRVPAR SVVQGASYRAPSPATVSPAMRTTSTARKSQAPKGMQSEELGDIHPIMEETMRLGSAIS QEYEDNLHMLDEEQSEWENMDEIDEVLWQSSEDSPQDDSFEDLSARNSRLSREKSRLN KEIASLHEENEKFQEIIEELKGSNEMLTRENEDLRMYTGNQGQPAGNANQIGIDEDLD FPTSLPRVPTIPHTPTTAGIPGSPLSTPRAHNISTDTSKMNSPLTPLPLARAATNDSI RSDWGKPIGTHFPGSLHRSDTSDMASPDDVGPYTGTMSDVMALLRSAVVRCNKDIQTC HKEKKTSPGHETPTNFLFESWEDSARRNRHDFRQTISYFQTISGKSSSKSRSHASSSS LGGSPRSVGSSFTATNTFKSFGGDFAEGVGWDSPAFVPPKSGNAPGLTNPEGKLHEPK TAQESPPMEGSVDKKGSTQGGSSSTSGGSSKKSQSNPKGQPQNSQKSSGSRTGTGGTK SSIAHGEKRGDDDDDDNDDIVRSLTHPMLEKYRHTGMHPLFVAIILYLAVRWSSFKFW VDQRVRRPMREYITRKLPSRFSSLSTAIAMIMLLITGFFYLYFKTYLVYLVVQKERQM WRDGNNVAMRLELKRAGKPSGVSLWGLSEEQGLVRQSVGDFVEFICWSLGFTALKNSV SVWALNMWAVVSDSASFAWEVCCWALATTNLVLGNGVNTAMHRAKLVFVGGN FPSE_10176 MPARRQDSDQESQSRSPSPPPRAAQSHRSLTVRERESSRSVSGS KRKRADTQNGGTSNHRRRTAEPSHESNDDNSDDDDVYDPDQPPEERREIQQGFRDLLR EVTENTEEYLQADSRGLYDTILRANELSKKVRQTTEATIDSRLLVSTTDLSYRKTLRL TQGSLSQGVDVDEFVSKCITYMRHGGGIIDDNAPELSSTQRQRRRTTRGDENGDDDIG DDGDMMNWPHLGRFSALPCIRRPALPGFLLGPLSVEKKARKIAKRSAPFRPNSLIETR PEVLNVEDLAHKENDLTAICGKILHQLQNIQVHLQQTVSDSIDDDMDDEERTRIMHQY GLRSTGGIDLMRFVVNPKSFGQTIENMFYVSFLIRDGRVEIEFDEFGLPALAPVNKDE VEDDDEPTRHGAAKHQAILSMDMETWQDIIDTFGLREPMITHRKDITNSGPGARGWYS FPSE_10177 MDFTRRRIADISSYTPTTTLKSRAEAAHGDAETTPNYHSNLDGV NQPMNMIFKDALWWTLGIMALIVLWIRIIEILWARLRHVSAMNVPGHKQQYWKISQWT WMPSLKKNIIYAPLWNKRHNREFRLSSAISMGTLPSRLHFTILFIYLGSNFAYMFVLN WANENMFAFCAELRGRSGTLALVNMVPLIIFAGRNNPLIAWLRISFDTYNLLHRWMGR IVVLETIIHTVAWLIAAVDDIGWDGAGRQITGILFYGSGAVGTVAMTILALLSVSPVR HAFYETFLNVHIILAFVAFVATWAHCASSTLPGGLPQLPWIMAIMAIWFCDRLSRMFR LLSVNWSTKGWTEAVCEAMPGNVTRVTLHLPRYKDIEPGTHAYLRIWGVSAWESHPFS ICWTNHVRDSSLPIAEKESSNTVDKSTMTTSVSFLIAAQTGFTKKLYDRASHYPSGLR AKAAMEGPYAGHHSLDSYGHAVLFAGSTGITHQVSYIRHLLEGHNQGTTATRRITLVW IIREYESLEWVRPYMDTILRIPNRKDVLRIQIFVTRPQNPRDIVSASATVRMFPGRPN VPLILEREVQEQMGAMCVTVCGPGALADDVRGAARAVQGSSVVDFVEESFTW FPSE_10178 MLPINAGNFQEGYHELGIEHCCTAIEVDTMGSSESKPISSHVWK ASAPNGISQDLVDSLQSSNETDASRSKIIELQIQARVAEELKNLQKKESEALKIAHEK LSNADLKDDNSTSQYTVSKEIEAMRQKLEARKQVRDLPEGVEGARNEVIRCLREHDRR PLDCWQEVENFKAEVKKLEKSWVEKVVS FPSE_10179 MQELASCPPAFVERKKKILDQLAIPDTEYTDASPKGSVDEGIRD LIDEINQQSGFVTTSSCAGRVSVFLEGRRVAEAEGEDERVAGVGGKGAGGAWLFVSHD PIPDKDDGVTDWSSQFGLENSTAAQNAAPTVKERRLVHFKFEAMILHVLTASPEHAQI LLRCGLQAGFRESGALNIVPSGKDATTPMVAIRTMGLAFESLIGQQVDGHRQRIVSPE YLQTLVDIANERFDENKKRIERFQNAFRDAVSAPAPRRNPEGQEWEDAAARRERKRAE GLRKKAELKAKQEANTNDGNELSDREGEEQAGLLF FPSE_10180 MPAFISESSSQVSLPDMKLECESGYVSGQNSEYSSPSSSTTLPR VTLTKPHVDHLNNMLEDMHPMDILRFCKVMFPNLYQSTAFGLTGLATMDMLSKIQKEH PNTPTVDLIFLDTLYHFKETHDLVDRVKARYPNVPVHIYKPDGVNNAEEFEDMYGKEM WNTASEMYDWIVKVEPLQRAYDELKVAAVLNGRRRSQGAARGSIPIIEIDDERGVVKI NPLATWSFKQVDAYIKENNVPYNALLDQGYKSVGDWHSTVPVKEGEDERAGRWKGQDK TECGIHNKQSRYSEFVAMMERKQQEEKLAAALEKVALPTV FPSE_10181 MADQPSPAKQNVARPQLSSMRSSSYLSDHQEYRPPRPESNRQDQ LFHGIDTVIEDTSNSPVPQTRPILPFNGIPSEENPPTVVDSGLSHSYSHPNCAPRAGE KSDRLIATLFYKPRGEEQGSRSPVKTTFADSNESLPANLAPTTDPNEYPLEPPTQESE QLDHIYGSYISPLCITSFLHLMSSFPLPHDAEEPHSSHRCLDNQEQPRIVELTLSPTP SPDYLSLDDLRKHEMIYRFEQEWNVDVVLQRDSVWRRHPRLVVFDMDSTLITQEVIDL LADHVKDPPDLAARVAEITHRAMMGELEFEASFRERVALLKGLSSTLFEDLRPVLDVT KGVPELIRALKRLGIKTAVLSGGFQPLTGWLAGQLGIDYAHANHAVIEDGKFTGEVEG VIVDKECKRDLLVEIASKEGIDMTQVIAVGDGANDLLMLDKAGLGVAWNAKPRVQMEA NARLNGESLLDLLFLLGFTAEEIDALAV FPSE_10182 MASRIVSRRFLSTTARRFQEHQKSELKKESQRNPEILILGGVMV AALGGAGYYFGRSPTGSTSESPVAIATHPWESGSSGKYQYHPGGDLSQAPKDAPSALN VVVVPNVTLPSELHDKYNKWGKDGYP FPSE_10183 MASKPDDDGFLAPDVSRSDAQRPLSIVSSRMTDIASEDGDRSDV QNNRLSIPQSTDMGSRPDTARTGASSSRGPWQSQSLRNKTYLAGVQAKRGSVESSTAG STSQPPSLSSRSHVPSLQSHAFFRPMSSQKLQAQRGGSHRPSTMSQMSAASPSSPTSP TSPTSPRSEEHGEASSSQMRQSIISNPIAQLQRQMSNEENMRPPPSRGTEMTEQETLD RITANTSPSHGHYPAGSLTDSVRPLQGMSSDAGHFQHSIIVDKSYKDLSNLPSPIKTP RSFRSSFLMPGRSNDGQLSQNRSTEGAEKLSSAASSPQFRPVDSHNEQQHPRVPYKPS QKSDLGRVHQYFDGNTVFCLGGRWQNTRGRPINIATGIFVVVPCALFFGFEAPWLWNN VSPAIPIVFAYLAYICFSSFIHASVTDPGILPRNLHQFPPVDDDDDPLQLSPPTTDWA LIKSAESTTAAMEVPVKHCRTCNIWRPPRAHHCRLCDNCIETHDHHCVWLNNCVGKRN YRYFFTFVTSATILAAYLIATSLTQILLYRNRQGISFGQAVDHFRVPFALVFLGFITF LYPAALMGYHIFLMARGETTREYMNSHKFAKKERFRAFSQASVFKNFIVVLCRPRQPT YYQFKAHYHEGDQRLGVRRDKRPRSSSQGLEMHDVNPGSSGFQGPVSLRNDTPH FPSE_10184 MPHPVTPPSGDAPPPPSQETINDLLNTIFTAKTSASSIDACYGL CEILLSSVGVAGLNDYGVITEIKKAAADKKSGLRRESGQNLLGAVLERFLPRQPISEV VLLLQDSNLVGVALDALSDKGAVVRDAAQYGLDATFGILSPEALITGLLPALVEYLSK KTGKWQGTVGAYKLLQKMADKAKVSLGGTKEEAIEKDLLRESLGAKLAGLIPIVEGGM HDLKSEVEKQAVATMNSLTTLLSNDDVAPRIPLLVDTMQHPSSQTLQKAIHALSQTTF VAIVTSPVLALLTPFLERSLNSPTTAQEVLRQTVVIVENLTKLVHDPIEARTFLPKLI PGVKSVCDRASLPEVREIAERALATMEKAMGDDKDVVARTSGEDVAKVLDEQIKANGG LASQQELFKQARPYISDMVAIDVNYRYVNRISGRIAPYIKTFLKDAEAPQKVADAVQK YYVEEDERKYGVPEKEDDGEVEIVNADFSLAYGGMLLLSHTNLRLLKGHRYGLCGRNG AGKSTLMRSIANGKLEGFPPQDVLRTCYVEHNQGEDADISILEFVAKDPEIATQGLER ISEVLAEFGFTAGPEGRQSERVGSLSGGWKMKLALARAMLQRADVLLLDEPTNHLDVA NIAWLENYLKSHPDITSLIVSHDSGFLDNVTTDIYHYEPNKKLACYKGNLANFVQIKP EAKSYYTLSASTVQFKFPPPGILTGVKSQTRAIIRMSNVSYAYPNAPKPSLSDVSCQL TLSSRVAIIGPNGAGKSTLIKLLTGETIPSTGKVEKHPNLRIGYIKQHALEHVEMHLE KTPNQYLQWRYQHGDDREVHMKQTRALSEADRAQMDKWVDLKDGKSARQVESLVGRQK YKKTFQYEVKWRGLLPKNNTMISRETLSELGFDKLVQEFDDHEASREGLGYRELQPKV ISKHFEDLGLDPEIANHNEIGSLSGGQKVKVVIAGAMWNNPHLLVLDEPTNFLDRDSL GGLAVAIRDFKGGVILISHNEEFVGALCSEQWHVNDGRVALKGNAAISLDRFEDSRPS SGVNSTAASSVVSSAVNSGIEDNSDMKFRARKKKKMTKKEVKEREVRRRLRHIEWLNS PKGTPHPPDTDDEDN FPSE_10185 MGIGSYFKAEIPPQIPVGPPPRRPSHRPSVSIHAPIEEKVPPAA VVELNPTAGPKFTAGPPSIAPSTSSNGLLDDIKHEVMVNYLYQQQCSQLWVGDSSGEI EGVLLRKSRGQYMACPPQLGQSPFAIACTALNVQCAMTVNSRVIKTFLQWSPDAVDVP LMNGLRVQILPTVNDLPRARKHQFAAFIASDGLLVVWDDDALNLMARAKIIESELMEL VWNSGQAMDEDEKDSSTEVDYEVDEESGEIKPETRPIHLQNAILVSLTLLIVMASLGA AWRQLAVEIAIDGDYKRLGLVALFPIQVFFSLFFAQVIVGCLAQIFGPIRQLTINSKF YSARPPRRLQGATLPHITIQCPVYKEGLNAVILPTVKSIKQAMSTYELQGGSANMFIN DDGLQLVSPEERDARIEFYADNSIGWVARPKHGEDGFVRKGKFKKASNMNFGLMISCK VEERLQLIKRPADWSQADEALAYEQALKDVLEENGRAWADGNIRVGDYILLIDSDTRV PTDCLLDSVSEMEQSPEVGIMQFSSGVMQVVHTYFENGITFFTDLIYTAIRFTVSNGD VAPFVGHNAILRWSAIQQVAYQDEDGYDKFWSESHVSEDFDMSLRLQCSGYIIRLAAW AGDGFKEGVSLTVYDELARWEKYAFGCNELLFNPIRTWLWRGPFTPLFRRFCFSNIRF TSKITVISYIGTYYAIGAAWIMTTANYFLMGWFNGYLDKYYLDSWQVWFSIILVFNGL GNLALAVMRYRSGERSLGYAIYENFKWTLMLALFLGGLSLHVSQALLAHMFEIDMSWG STSKEAEFSNFFIEVPKVLKKFRVSMTLSLLAIIGLVIMATADFVPHDWRINDFVAIL PMATVAGSHLLLPLALNPALMTFSW FPSE_10186 MSNPYRKSSYGGLDGMGPTDMPRRSMDSNGNHADATGTGYRQSI DHGRGSGSAGNAGMFSIPEYASPSSECDSGTQVDDRNRYFHSRRVRPGEVEKPWLEKT NPKEKWVSIFPLLAIFLGLVGSGFLVWDGVRSVVKNKYCPVLDEDFSQGLNPNIWTKE VEVGGYGNGQFEETTGGDENVYVDNGNLIVKATLQDSKLLQKNNVINLLKDGTCTSKV WSNCVAATNLTGGNNTIIPPVRSGRINTKKGANIKYGRIEVTAKLPEGDWLWPAIWML PRTSKYGPWPRSGEIDIIESRGNNWTYKQGGNDIVSSALHWGPNPANDGWWKTNNKRK ALHTTYSAGFNMFGLEWSEKYLFTYVNTRLLQVTYTNFKKPMYQRGGFPDVDQNGTRL DDPWAAANDVNAPFDQEFYLIINLAVGGTNGWFQDGKSGKPWYDASPTAKNDFWDARD QWYPTWKQPQVEVSRVVMMQQCNGNENVDL FPSE_10187 MLILRVLTGIVSVVALVGAAETSQPMDLGSVLGRHPKLSTYYKL IKKFPDILMQLPSYDGVTIVAPSNDAFEQIPYSALSKIWDPNDAKTATPILQYHILQG TISAMKLKAGPAYVKPTLLMDPKWSNVTAGQNILINKQPDDVVFSTRYGNRATVINYD IEFQGGLIQIVDSLLIPPSGMLQVLMASKVESFLGGLFKAGLMPDLADRKDITVFAPR DQAMENVGNTLNSLSPKELARVMGYHIVPGKVLVSTDLENATTLKTLVGEETYIRQVG NEKFINSAKIITTDILISNGILHVISNVNNPKDADAVPNPTLWAQKPVFKSAGVDDVF HSAIPCTSDCPVTNTPAPTPVDQAENNAATTPWFNTRSSTGVAAARATGQIARAALGI VGVGAGMAFL FPSE_10188 MTRYSISRDHLSKKSPTVETCLFNRHFPRFTSIPSTSTRDKNIL RYGHLPPTPKWHRDRHPVTATGRARALLLRALRVPDPPSAATNTIRARLLALRRPSVE TAATGAGAGAAAIVEVEARVVVAAEVIVVERLSKNINESHLQEIFGQFGRIKDLDLPM NRTFGTNRGTAYILYDYEEDAEAAISHMHEAQVDGSAVNVSIVLPRRKLSPPPPTARR GANIDPRVPFSGGRGGPPGAGMGGNNGMSSGRGRRRMSPGSRYGPRSDVYRPGSHSPS RSSAGPTSRSGAGRYRSRSNNSYSSRSRSRSPRRKGAGRHDDRETTRRRGSIDGHGDR SRSRSRGNNPR FPSE_10189 MPEQEAPYDPYIPSGQAGAQQQQGAGGNARTQALQAQIDDTVGV MRDNINKVSQRGERLDALQDKTDNLAVSAQGFRRGANRVRKQMWWKDMKMRMCLIIGI IILLVIIIVPSVVATR FPSE_10190 MAFKLDPNSTTSVKSISRSIAKDMVAMYHGHEPGGTPGLLPEPY YWWYAGAFMGTLVDYWAYTGDDQYVNLTKQALLFQVGEHDDYMPINQTRTEGNDDQGF WALTVMSAAEYNFPHPEPDEPQWLGLAQAVFNTQAARWDTEHCNGGLKWQIFEWNKGY DYKNSISQACFFALGARLALFTGNNSYADWADKTWDWMIGTEFIDPKTWYVYDGAHIY NNCTKLVPYQFSYNAGGMILGAAAMYNHTENQVWKDRLDNLLEGVKVFFTGPQKNIMT EVACESVKLCNLDQKSFKAYLSRWLAVTTQWAPYTHDLIMPLLRASAVAATNVCTGGE NGQMCGLYWNKNKFEGEVTVGQQMAALEVTLACMIQDRPAPLTKNTGGTSKPNPGGGS ADIGRTVPDLEYDPLPAGDKAGAAILTVLVIAGLLALMMWIFLDETSDNGPIDQARNF GSSTAAAFVKRKQKGIDEKNDAVFQNNDSSQESNFRRATDDMIMNGGAHNSEGYAHRR VSSMPLGWPQHAARGSATYDADRIYPVSVSDLQARGQ FPSE_10191 MYTPVVFVSGATGCQGGAVARYLRSKGVPVHALARDPTSAKAKE LESIGVELTPGDYDSKVALEEAMRGCTSFFLVLMPDFTDLTAERRWATSIFLAARAAG VKHAIYSSGFSADNPDSLKFLEKGGFVDTVMRNKNAIEAQTRNAGFDYWTILRPGFFM ANFVEPFVRMYPELVEKGVWTTALTPATIIPLTDTVTIGRFGGEAFLDPKRFDKKEIT YADDWLDAETILQKLSVAVAKELRAEYLSEEEIEEQKPANPFISGQLCIRDMAKLATK EGTKEWDIPLSTFDEFLQREKMAVQETYHKSG FPSE_10192 MSLTARERRNPPTRRKSCAACTKAKRRCDFAMPACLRCSQRRIH CQYPSRAMREQFSSSSEASVAVSPDYLTTDDSSSQMAESLPSNTPIIEDFNAVISGID ACSGDLGVLEFPLDDNTLKFVEQPWTLTAPSTQDFSHVPQRILNRLQWATDEIKRAPE KMVLENQTPWSHPLLYKDGMPRSLQGNKPKHLCTLSAPTDSSIDAHSSCALYMAKNRV NSPIIFRSIESRVNDLLTAPAPITPLECLAHTHALILYQILRLYDGDIGARASAERII PAIEASAVSLFSYAQFDIDATVIALPTYPISPTKTFWQDWILQESLRRTLLFSFFFVQ AYRIMSGCKIMQCDGRLGLCHAWTLSAHLWNATTPLSFAEAWRDKNHYVVTNAIFSEV LAEAQADDIDVFGKIMISSLMGRDEAEGWFASRGGKL FPSE_10193 MSMSNLNTTPSRADRDNASFLSPIAYDDDASSLHSRSDQDTDTD DDELISRARNSRELRAHDRIVLMEEEDIDRLVIDSRQKKERERRGSGLAVPNIGKMFG RRGSSSGNRSVNSSTENLVVEKRKTRRQRRQKKREKLVEHAEHGEDGELMYEMEEGGM KEGSSTGESSERDDSDELDRHHLNTIAEAKTQRRRSWRRWVFIHSLIAIGFAILLLLA WKLSKNRKSGNIKVNQTLVSNGTALFAPTSLIISLDGFRADFLQRGLTPALNSFVSTG VSPKWMHPSFPSVTFPNHYTLATGLYPESHGIVSNTFWDPELQSEFYYTHPDQSLDPK WWGGEPFWVTAERQGIRSAIHMWPGSEAHVLNTEPSLMDKFNGKETLDNKVSRIMEFL DMPGFEDKTLDASDVRPQLIAAYVPHVDRDGHKFGPNTTEIRKTIQEVDGMIGKIFHG LEERNLTNIVNVIVVSDHGMASTDISRLIQLEDLIDTGKIEHIDGWPLYGLRPKNPDD LQGLYDELKEKSKSNPNFQVYLRDVDMPERYHFSKHHRIAPLWIVPETGWAIVTKEEF DVDDGKKKNLVYHPRGLHGYDHEHPLMRAIFIARGPAFPHPANSQIDVFQNIEVYNML CDSVGLTPAPNNGTLRLPLKPVGVHKPEDTPEEPEDPPSASKESARPTVPEKPSQPAR PTVHQKPTQPQRPTVPVAPTQSAKTTVSLKKPAKPSKSPAPSKGSDDKDEDSDSDSDS DDDNDDKDKDEGVWDWFTHKVEKVWHKITGDD FPSE_10194 MADQSASMSVEEIQEELTVQKVVLDSLADADYDGVEEMRQDAHE EINRLKKLLRDLRQKKNSNITGEALQSTAAPENSSLSSSPGGQQRDTASVMNSSAGYG SFTLPSRKRGADSARLSTHENQAKARRLSPPRYENLALHVLTNNGPDPRFLDFVDLTG DDDDDDVIAEQVKAEQRQKQEQTDRQFAEFLFGEDSSDQMAIQPPQNDAFTRLMSSQP PLGPPIADEEIPFRMPGYYDTLWDDPAGAGPAFMMPQGPSDLQFPTMPTYPNPRAEEE NDLIFAGSVAHPPRPTGRAEQLFPGMGMGYPDLTRRDIHQPPHLADMSGFSYRPPASF APGSFATPSSLDEVIGRTNRFDLANGVDGGGNPLPPRLRKWMDENDYDPNVDSQGPEL DAKELENLLSNISSDMDLPKAGLGEAPAGLKRPLYPHQDIALAWMKKMESGTNKGGIL ADDMGLGKTISTLALLLARPATTRPKTNLIVAPVALIRQWEEEIATKTKSSHRLSVYV HHGKRTPIDELLTYDVVLTTYGSLSHELKRYEKFRNENPEEDQIDWNHGSPSLSFPLL HPKAKFYRVILDEAQCIKNDKTQGAKACTQLKSTHRWCLTGTPMMNGVLELYSLVKFL KVRPYCKWDDFRQSFGRLFGRKGDTKSTAMRKLQVFLKAIMLRRKKNSLLDGKPILRL PEKTEDVIYATFSPEELDFYKQLEKNAQVLVNKYIREKSVSKNYSNILVLLLRLRQAC CHPHLNLDVDDAPSAVSDEKRKTVEDLNEAIVERIKGIESFECPICYDAVQCPSFFVP CGHDSCGECLVRIVDGATANNILEGSESSRVRCPVCRGHFDPTKCFSYDVFRQVHMPE TIEQGSVKDEDVGEIDTSEDSESGSDYESDDEIDKKGNVKDFIVNDDLSDDEAPTGKK RKAKAKGKGKEKALDVKPSMLKTLRKEASRNHDAYTKYVRYLHKTWEPSSKVTECLNL VKKIEETGEKTIIFSQWTLLLDLLQVAMKRENMAKPERYDGSMSATQRNIAAHNFRDG KDVRVMLVSLKAGNAGLNLTAASRVIIMDPFWNPYIEMQAVDRAYRIGQQKPVKVYRI LTIETVEDRIVDLQDKKKEMVEAALDEKQGAYIGRLSENDIKNLFGIPT FPSE_10195 MTLGGESQPLSLRPPPVADRKPQNIAEFITRVNAQPGGFRALSE AKLREEIAQENATNEDSQQKDVDMDEADEEDDEEDQDATRDPQEVRLEMFKNLDIAGN TALLTLDFLSLLISKQNPTQAGVTLSQGLREMVGIGTIGADKLDNSPITSAKAQEQEN IALGFTLMQTNKVRDAAEAASSVLQKQVTAEGKYWEDIIAVQKSGWSITRVPSERHTL GVRFGFSEAAPEYRNSLAPMRRGVDGTVQLDCGKLGGVSERVVVTYERDGQMTGRSAL PAETAVDAPLEEHVLEARNTIFSQELWHELTREARTLAAYDVKPDGSRLTCDIDPEAK SRIILELVPLGTYASSNDSLPDNNIAEAISLSLHILLGYAHRQNELLRTRPMPPHIPR SRGQQIHALLRPIIARLMHSKNVKIVTQHVGDLVKSLQRAGIPSSFILSTPKMSTSDS DPSNQGPNQLASSQAMIKNMLNHIEFNIKLIILPNITCTVRGRTFQVPVTATHYTVLL PPNSPLSSVCAPYKEGYPDPNALADYLGTATTRLLVEHYLSNLSSPWTRSIQGNAIRN QSNEEFELSFAVTDTSDLRAKSTSMVDGKLIRQEWTWSDDGEKENVQAIVDQEIRRIT S FPSE_10196 MVVRRSTERSNDWLKPVDDDEDEGFLALAEDGDAESKGILDEGN RSTLNLPTAELPVVRRLVLASIDDPYTMEHFRDPKMNALVVRPLVERLYNPDDISVVY CLLANRVSFLRDQSAEVHQSVNQARAILCELLASRVLRRFHEDNPGPQGLLLLSHILV EGFDPFQGAPSHMERPANRPQWPGASQDSPGSERKLTALELAIVSESKFFISSAACQR AVDAVHNGQIIYTPLSFMDFLPDYYKRRPISLYDPRTAPLLNHHRLIVPRNRNLIELV HFVILLGLYVMTMVSRHSEVNMWEFAFCIYTFGWLLEKMAAIIEHGWAVHAQNLWAFL DITFGSIFGAYFILRIYDFLLDQLHSGYGLPILCIAAPVMLTRVAFNLLPNNLVFISV HAMMKDFTMLTFLATWCFTGFLLALQWLVSASNDGDEEFSWYVVGKWMLWIWFGLDGE GIEESVRFHIIIGPALMIAFAFLGNTLFLTILIAMLTNTFSKIVADETAEIQFRRAVL TFEGVKSDSIFAYPPPFNILALMTLLPLKLVTSARIFHIVNVALIRTLNMPTLLLISF VERRRLWMQSRRASGKRSKWQFTSLSPHNDVQAVFKSVPPTEISDIIDQLDPLGEVPI LEDDLMPSMTADNPQSKLRRVRMLQREGHRRTGGNETWSSASSVKEE FPSE_10197 MATRLFILFKRIILLKYIKVKENYSINIINYLALIILLSLKASI YLKKIKITSTIAFKKIVKILYLIGGIFFIIYKA FPSE_06422 MSDWTSGIMPLHQVHKAPFTVEAPGYEKIPGETIPRRHPKAKDG LINYPAPDARTVFQIAERSARLYPNHHAVGARKLIEMHNEKKKIKKNVDGEVQEVEKE WSYFELTGFEYLTYKQYLERVLQIGSGLRKVGLTSEDKLHVFGTTSIGWISTSHAAAS QSISIVTAYDTLGPSGVEHSLVETNCAAMYVDSQLLKTASEPIKKSSVKVVIVNDGTI FSKGGELEKFKADHPDLKVITLEELRKLGEENPVEPNPAKPEDLYCIMYTSGSTGLPK GACITHEALVAGITSLYTCVEECVSDKECILAYLPLAHIFEMALENLVMYIGGTLGYG NPRTLSDASMKNCPGDMREFKPTVMVGVPQIWETVRKGITAKLEAASPLLKNVFWGAY NWKAFASKNKLPFAGLFDSLVFGKVRELTGGRMRFTMNGASGISDGTKNFISLVVAPM LAGYGLTETCANGSLGCPLEFSPDAIGPTPCACDVKLVALPDLGYSTEAKVPQGEIWI RGLPVMTKYWNNPEETEKALTPDGWFKTGDIGEFNEQGHLRVFDRVKNLVKMQGGEYI ALEKLESVYRGVQSVGNVMVHADPEHSRPIAVIMPNEKVMQDKAKELGIDEHNLHTLH TNPKMVSFVLKDLQTAAKRAGLSGMETVTGVLITDEEWTSDNGLLTATQKLNRRKITE HFKKDIAATLERS FPSE_06423 MASLGHSRASSVATAGSHSLGSPGAFNHGSIPTSHRGSDSPSKA SLPHIDDVIAPPQDLDPNMSARKLLETAETALRQAEMSRDFRRPAIALKEYIRASIIA IQTITKHPDYHDLKANHADFRRAHMNLLNKISDQDPIYAKVKQDIIADNKRSGVQPRI VRSNLSQGGSRPQTPLGLTADGTMSPSKPRFNGAVTNGSPARSKPTIQPKPQSLHGNA IRTGVQKETRPVNQDLAARFANLRGPQASPGQDPRIKTHHIPLPKPMGPREMPPPRPP KVGIDTSVPTLPKMPDAIYSPARGSISGEVTRPPSSTPRSLYRTASTASGPGTPNASS QSQPDYFAPTQSYSNNSIPPAPPSNLTSPIKIPDGHTISPEELYQAMKAKGRILIIDI RMRDDFNDGHILSSSTICIEPSILLRDNPSADDISESLILSPNQEQSLFEQRNLYDLV VFYDQHSKDVPQQPRNQDDMAIISLHHALVHFNYMKELKNTPKMLEGGLDAWIDLMGQ ASLGSTTSSVSRSGQLDRNRNRQSGIERVRSKYIVKPLKPDEVKVWQETLKHDDDMQT ASSPNFTRTTEDFLRRFPPVSLEQESMVSPEEKPQNRAVYGLSHKVDLYTDLPSPPTR PAPALPRRSYSGLTEGRDENELYGNNNTVVPARPSRVPTMKSLEHQSTGDSNRFYTGL NNPHNWCYANSTLQSLLASPDFGKMLANSEWTAKYKAPRKQDEKIDHPQLMIRIISNL FHWMNSGKFQIMKAQTLMDYSRHLCEQSRSIEQFGGSQQQDAQEFMSFILTHLHDETN TRRDRQGNIPQPDTSRQTLLKAAMQFWNNHLEYNQSIVDRFWRGLELSTVECLECSTR TYMYTTFDLITVTVGMGRGMTLEQAFDEYASSSPIEDFACARCCRPTHAQQSLSFARF PTLLCVAFRRFNYQPATRDTRKSTAPITWDFNDTDFTRYFLPRGARESSSGTDPMDPS FTGPFRYEAYAVIIHTGSQINNGHYLAYVRDYTSHDPYAWYCCNDTRVTKVRIGSGDR DDVQEEVFKSGRDKVPYLVFFRRKGMR FPSE_06424 MMSWWSSSANTALDEQIEKATSSSLEDIALNLEISDVIRSKTVA PKEAMRSLKKRIGNKNPNTQLSALNLTDTCVKNGGSHFLAEVASREFMDNLVSLLQAV GGAAVNADVKSKILELIQSWAGATEGRYELSYIGEVYRTLQRDGYQFPPKTSVASSMI DSSAPPEWTDSDVCMRCRTPFTFTNRKHHCRNCGNCFDQQCSAKVVALPHLGIMAPVR VDDGCYAKLTNKGHKLPNPSLDRSPTYPHKTRSTSAMQPRNARVDDGFDEDLKKALAM SLEEVKTHSHGFTGPVQASHVTDGNASVAKQAEEEDDDLKAAIAASLADMEEQKKRHA TALKEQTSSSVNASSPANFVLPKNDYELTPVEAENINLFATLVDRLQTQPPGTILREP QIQELYDSIGTLRPKLARTYGETMSKHDTLLDLHAKLSTVVRYYDRMLEDRLSKAYSQ QSLGGYNLPPTRQLAGPYPSISSQMPSNPGAAENFYTGQQQASYQASPQHQHYQQPPH ATPQPQYPPYGSAPEPQPGQYPPQHVQGSDSWQNRAPSAPQDQYQQQQPQQISHDRIQ HTQNPQPPQAPVPSDPNASYYFTPQQPQQAPLGPSAPGVAPDTAQSPYPSLQSPSQYP GGSVPVPSQPTPGQTPSQPAQAPQQPVRSPQLQHSQPPQSQQPQMGQQAPSPQQQAYW QPSMPQQHQQPPAAPQNWAYGGYTQDSFPSVPQQEPAKQPAKEEALIEF FPSE_06425 MAPTIHLVRHAQGVHNLPNGDDIPDPDLTPLGEEQCASLREKFP YHDKITKLFASPMRRTIYTCFLAFGTTELNPIIPLPVLQEVSALPCDTGSSVATVQAE FAGIADYSQVEEKWTDKGPESEYYPTIEKLEVRGRKARNVLRDLVSGDEHIVVVSHGG FLHLLTDDWYGVPEGQPNSWSNCEFRSYQFVDPTGKDEDAAMFETAESWRRRWGDAKP PTAEESRRVKPIAQKGMMPIWGIIMPN FPSE_06426 MHTNDNPQLSMPSPLVQSSKRHLSQDQDPCADNFKRQRPNIDKS TQELTCSDVTESPGSSFKLDAVLHSTNTDNDNVESSQSPLDPSPREPKDPYDDLFDDL ELDQYLESLTEPVSIEYSGHSLSLDDTDEFDLKQSDEDALDELLKQDT FPSE_06427 MQFSTTNAPHCLVQDSFDDLNPKDFFRQEFKSKRPRTADILLEE LPQGLVQDAEAADLAGDFFSAKPELESDHEEQLPDGIQETEEERQKHWFVGSIDQGTT STRFLIFNGHGEPVASHQMEFENLYPASGWHEHDPMTLLESAEICIEKATEKFCAQGH SIEEIRSIGITNQRETTVLWDNTTGEPLYNAVVWPDTRTSALVRDLKNKPGAEKLQEA CGLPLSTYPSSVKLLWVLQNVESVREAYEDGRLSFGTVDSWLIYKLNGGQAREGGPIF VTDATNASRTMFMNLKTLDYDENLLKFFDVDRTKVSLPKIVPSSHPTAYGTLYKGPLK GIPIAGCLGDQSSALVGQCGFKPGQAKNTYGTGCFLLYNVGSEPVISKTGLLATVAYD FGKGRKPVYALEGSIAVAGSGIKFLENNLGLIKNSSEVDTVARTVPDNGGVTFVTAFS GLFAPYWIDDAKGTLFGVTQHTSKGHIVRATLEATCHQTAAILDAMAADSGHALDILA VDGGLSNSDLCMQTQADISGVPVDRPAMRETTALGAAIAAGLATGVWNELEELHEVNR KDRKVFYPEADPKTVRRSRRRWERAVEMSRGWLQEDEDDE FPSE_06428 MSSMNSRFKSLGFGKRKSTASIQTPEGLTPSPTPPPGQIPQPPQ QQLRPASIAPSSSTTSLPMNHPGPGNRPPSYTANFPGQAPLGRTSPLTQQPNRTPPTQ MVGGPPPINTGAPMGYPPGMAPMGQGPPPMGGPPGFGQQPPPPQGYPPPGPPGGPMNQ QFQRPGPAAEVEGASKSKAQLIVGIDFGTTFSGVAFAFATNNEAKEDIITEWPGAGSY TKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPGVQKVEWFKLQLMLSGNTYIDP INLPPLPPGKSEIDVAADYLFKLRQAMRSALQKALGEVFNREERNIRYYLTVPAIWND AGKAATRAAAIQAGFLRDENDNRLTLVSEPEAAALFCSKTGLLDLKVHDAVLIVDCGG GTVDLIAYEVEEENPFTVAECTAGSGDSCGSTALNRNFSNILRTKIRKMKLPDGSKTA GRVYAKCIMDFENRIKADFRNNGQKWAVDVGIEAEFPEAGIEEGYMTFTNEEILQCFE PVVNRILELVRNQIIAIQAQNRTLQNILVVGGFGASEYLFQQIKLHVPPQFQSKVVRP MDSVAAIVKGAVTAGITERIVTHRVARRHYLMATLQPFKEGYHPEAYRVPSLDGKDRC KFTRQIFVQKGQKVKNGEPVKVSFFRQVAPGATLMYEDVLYACDDDVCPEYTKDPRIK EVVTLTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYLTLDGSEFSAELVCQGEVMGRC RSRFR FPSE_06429 MGSSSRRRGASSISICRALVPTLAIGLFLQTAKADGLATAAKGS DKGLLNFPGRIRAATRREPDDSEHSDRPIPLTITNKCDSTIWPGIATQAGKGPGTGGF ELTQGKSKDLWVSSDWQGRVWGRTNCTVKDDSCSCKTGDCSGMLDCEASGATPATLAE FTLAGGMNGKQTFYDISLVDGYNLPMGLNYIPAKNTTFIPPNLTNCACIASPSWIYAS SETGIYYTNSTYPVPLETRVSNENARNWCPWKYLAFPPTKPGDGVYPYPDDDIQRPEF SPCNSACAAYGTDKDCCVGEYHDPNVCKPSAYSKRAKIICPDAYSFAFDDQQSTFIIP TGGGFEVIMCPKGRSTNILRQLGKEMNELAESGSLSELTQKKLTDVTYIVSEKSLGNH VLPTGLLMVSTGLATALWLLV FPSE_06430 MAGDEEHDGASVQEILIEACRRNNVELLDECLEEKTDAEISKLL NETKTVMGNHLYHEAASRGNYEIIDHLLDQPEFECDPVNTREGDTPLHTVIRWINSEP PAQRPFGNAIVEMMLEAGSNTRVKNKARLTPYQLVDPTNTELRELIQKHEYANQNAGD FINVNNSTNQGALLDVPDESDDDAEFSGSDDEERAEWERRRKAKQRK FPSE_06431 MLLFCPHCANILTVSLTSTRTNRLECRTCPFEHHITEPVFSRRL YERVEREDVFGGPGAWDNAQKGPAQCPADGCDGEEAAFFQVQIRSADEPMTSFFKCMS CGHRWREN FPSE_06432 MAEETPQDVSMESPPPAPTQTDQEPKYGGYSRFEIELEFVQSLA NPAYLNHLASQKLLSQPAFVAYLAYLRYWSKPPYLKYLTYPGPTLRHLELLQQETFRQ QIISPDVVRALMEEGMKASVDWHREN FPSE_06433 MPCTPNSTQVKTRILIISDTHGSKPKPKSDNSPSTEDELNQEDV TKVITGWRDPLPEADVVIHCGDLTKRTTIPEFEQTFSVLRSIKAPLKLAIAGNHDTAL DEDYWVNKYGGSKQTIDKVRRIVREAETDGVKYLPEGVHEFTLANGALLKVYASPWTP AYGGWAFQYKNGHDFNIPPGMDVAVTHGPPRGILDFAGRAGTHAGCPQLLAAVARAKP KIHCFGHIHEAWGMHHVTWYGDEIDLARSQYRGLKGLRPNRVTQGKETARMTREKLIE MSKQRAVQLDLTQGINRLVAGKQTLFVNAAIMSIRYRPVQLPWIIDVDLKKARH FPSE_06434 MKATLFLAAAGLAVAQDLSGQPECALKCLKEFIPKAGCELNDTA CQCEASFQTKLAPIITPCLTEACQVDDLLKAQKAAADACKAYAATAGSGSTTAAPTES LGSVTVSIDTSITGSASVPAIFSSIPDEKPIPPVTPRPGNGTMTKTMTEGGGSGGGAV TPTGTSGGGGGSGTTGGASSVPTDAGAGTAGPAAMGLLAIIAAAIAL FPSE_06435 MPSPTDDETPFPSLDRSTLHGFGRSHLSPDDAFVSPPRGPRGRS RRRKRPWKKLMWVKQSYPDNYTDQATFLENLQRNPRLKPYDFWPLVADSTVILQHVCS VIIFVVCFVGINQDRVSPVAVTSWSSFATFVGWILWERWLSEIEEPDDPSLGVAANVM GRAGRTGSLRRPTRAGSIRRPTPLRIESAPSTAAPSATPSAASSTTNLHAPITMHRAK STSNTSLFGGGITHTPRASQEHLPELPPPLLPEENRYRQRMETIKSAILIYCTLLGLS PILKSLTRSTSPDSIWAMSFWLLAINIFFFDYSGGVGAKFPASLSTNAALMASTVLAS RLPSTKQVFSLTLFSIQVFGLFPVFRRYVRHRSWRFHILSAILLVLGASFGVGLILGD AGGTDGWPWKSGLVGMLVGVVIAILGTGGCSWWLIGLQKYKNEIRGPWDAARPIIMNR PRWDDDS FPSE_06436 MVNMSSSSAYDSETVPFSGPSTSIASFTSLPPELRLKIWSRANE PRIVLYRDLAQGIGSCPLPTVTQLNAEARDETRLGYEPIGRGSFLDFSKDILVCDHLI SDQATDQYLDELAPRVRRMAFWDCFPDDGRVQMPHHYSVYLSICYNQRGDFGKIEFDR FWFPNLDELWIVKVGDIDPRWQIPVNKQATYESRLKELAKQFRYWVEDEIIEMAPLDL SDPDSHAVLYEGRCGKEDCHELNQGRTKMVSKVVFLDGKYKAPDDGQKWVRIFPLQAK EGIGAEDQTHANGLRWVAIERILTFNLYREAWSEGGREIRRHRPLS FPSE_06437 MPSFDQLADAPKKGKVIKSAYDSESFESDGTIHVDGLVGSATIS PSGRDVALASPDGLAIIDLDSPYSPPRRLRTHGLPWLVVDVQWSPFAARDYWIVSAAN HRALVWNLNRREDSSSGAIEHSLQGHSRAITDVNWSAHHPDLLSTCSVDGYIHAWDLR RPRQPVLTFCDWTAGASQVKYNRQASHILASAHDRWLHIWDERRSSEPLKSIKAHKSK IYGLDWNRSDPKSIVSCSIDKRINFWDYTAEEPLQHTIETGFPVWRARHTPFGHGLLA IPQNEPGDLYLYDTRFNLESKLTTQPIEVWPGHGDHKAKEFLWRCRGGITDDGKDNRE FQLVSWGTDNELKLQCIDPKTFAAIGHKRGIPADPAISLTRKGATYKTFRTVDETADR DRKSATMSDHRPGTNYHKQSALTLGMRSGTTQSHRATVAWRGPSMKAKVPGRALDRNQ SQIGWMKGIAMTKRKASTSVKRHLSKDSGIFGHGYPDDDWAEPDTIQEELLRISHQLP KVKWDNINMDNMTLNASLNGPWGNDGDTIFIKVRIDIPIGYPKTKAPKFFVERSSFMP AETHKKIDREIHQLADQFLQRKQNCLEVAFTYLLGEVDLESSTTFFKNVRDLDDELDG LADESSSESDEDIPAGGSASMSQELPPHNELDTALAPPNRTIVPPPPRTCGARFSHNG RLVCFFPSKEEKARALFTTTMDAYRERPKGEPFFAGFGRIAHDLSPRQRFMAEDTSAS SGDSDSSASGSSSSSSSSSDSESTSMHKISLWYHPSRQFRKTWSEDRSIRSSGGGTGV GTGTGTGTSRRRPGRPKNLISIHDFRALHPSKEEFAREYAIFGDGAEVCEHNAKVAEK YNARDLVDVWRYLALLLNKGVPLEVLSHNQRRDSILVIAHDVVSRCNANSSPHDSLWD RHEGALSGRVKWGKHPLARDFIMDLFAYFEKIADIQMLAMLSCIFSESSAADSVAYFE SHLPQPDTPLPMKAPAFSLDYFPTDASLWNIGSGRSYTNSAITTPMTVHTPVHYAGSQ ASDDGIWAGDAASNSYSCGETPPNRFKGYLGDVEAPPSVSTSPNTRFLNRANSGLASA FAANLPRSFAGIGSSSPPNHGRKKPSPAETYLSSLAPGNVTWSASTTMDSNGGRTSLD DDEFRRDDFLPLVPTKISVTMEDQTVFDDDGWLNIPLLESSQADAHARYRYAYAEMLQ MWNQPLARLEIMKFNSLKEDMTGGHGDDNYSATGHDGANGKIHGNHVNSSPIAMGKKD QLQALLASGRGLDVTGMCRIHEIQLEPLRYTSSDPKVGGAVGTCDRCHQTQSQLTCVY CLEPVDALFPPCLACGCASHEACLAEWHAAGETQCPAGDECNCAEEAADGQVESWAAL QGAMLKGQRHIMMLPGPAMEDSDEDAKAPRDWERVEPMIPSRMQGFSAASISLGNRLR KSAGEWSRASSLRRGDRRNIM FPSE_06438 MALPKRIIKETERLMAEPVPGISAIPHEDNLRYFDVQIHGPAQS PYEGGVFNLELFLPDDYPMTPPKIRFLTKIFHPNVDKLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLAADVAKSWKEDEQAAIATAKEWTAQYAKP FPSE_06439 MSFPDTELTSYVFKTAPPLDPAWLEHENEVKKRGPPQTFNTVEE RQPVYAQDCRVLYEQMTAPGARDYGLSQGFLTKELTVSSSVDGHPIPILQLELEENQG HEPDVIVVFFHGGGLKVGEADSEELSCRHMVKSGVAKLRLYSVGYRLLPQNPANICLS DCLDAFRVLQEPAAKMIVAGSSSGGQMASAVAQAVPRGSIHGLLLRCPVTADRASGED FVPEKLRPYHTSVSPTFITSLNGYLNRDVPRDGLEKLPLEATAAELKEHPRTWIQITS NDTLYSDGLCYGMLLREAGAEVKMQVEVGWPHTFWLKAPHLDFALECEKHMAKGLEWL INATK FPSE_06440 MATRSAALKLDWTKVTSSLGLRGQTVASLQAFKKRNEDVRRKVQ QLQEQPTTVDFSQYRSVLKNQAIIDEIEKRFNAFKPVTYDVSRQLKAIDAFEAEAVKN AEATKQAVDLELKDLAATLKNIEEARPFEDLTVDEVAAAEKSIDEKTDQLVSKGRWMV PGYKEKFGDLAMV FPSE_06441 MSNPRVEELPDEEPKKTTVQEHEDDSSDDSEVEEVGEGQLPAGS TVIHNRNEKKARKALEKLHLTRIPGITRVTLRRPKNILFVINTPEVYKSPNSNTYIVF GEAKIEDVNAAAQQAAAAQLASQNAEDHSGHNHGEPSKAVEADEKKEDKEDDEEEEEE EEEEVDASGLEDKDIELVMTQANVSRNKAVKALKENDNDIVNSIMALSI FPSE_06442 MGDAVIEGSNWRLVEVGRVVVINGDHPFAGHLATIVEIIDHKRI LVDGPSANASLATPRQAVPLNKVLLSSLVVEGLNRGSRTGVVRKLWEKSEIDSKWEQT NWAKKRDQMERRKALTDFERFQVLRLKKQRRFEERKALAKVKASA FPSE_06443 MSGYSNVGNRGVYEAGDQRNVSEAERKAEESDPYNEGKENSHNN LDSKDERSIANRLAAEEKKSESGDDTETAQLKKDPTLPARAHGNEPSKGAKVDAQLQA EEEEELKRKGKA FPSE_06444 MRSPSPSKKSWDSLPGEIRLLVIQSLVQDGSTLACLAAVSRAWQ ADIERHNFTRIKLTPSRLMDFRSMIRRNQALTRYIWFCLELDGYDCTQCAPKTGELTR EERVAALTVSDTEHCPITTAFQDLFSILSEWDQDSELMLDISIYSPSDAQHWFPYLTF VPDNPSDRLEGSGLELTTITQGYHDPFHGWVTGFQHSAPPREAIYKVFHSIIEEGPFD SDQSEFRWWDQLPSVSAVTSILLRQQNRRRWRPCTLAHMLARFPRLQEVYYEPWREWS SLKRLTDRLFENFNQQYPVFMQRFLDGEDLAQCDSIRNPSPAVARSIALTSLPLEHLT ASFIIEASHFFAIDPSWEWPNLTSLVLTSRLLTPEEDSTKIDDLLQAAAVAALRMPRL ETMEIWNGQKGLAALFQYRAIRGSQQTRILWRGTWKYHITPSVLQAWEAVGHLHDSWG LDVVQEQVEEADIQSHGDALHHLLLSGQVIRSVSLQQIRREQKSLEGVTIVS FPSE_06445 MFRFRKTLDIVTVFHKASSPASVRVTNLLKQVSANASSGATLDQ ASDHSVQTAPIREEFELNITEDAPTEDQVKTILEYVGTSGIHKVINGANTEKDALKKF KESKENFVRPVVVDWNNGKAIAGENESEILKLLKQQQ FPSE_06446 MAKNKRHPASGGVLPTAGPASLPTKALDPSRVYGSAKPPNLREA FNDFYPNGLPNVYRMLETPGVNGIQQTYIEDYLPVGFYTNPRIDAAATFSTRCGEQAF RHIDQLMPQRRIHLWNKDEIQSVCNSLRRLYWKFMKPMQKPSCWDDLWIYFDASDIYN YGAMNLWNVVNHLYDENQIIAADVTKETAVEVGLWVDSWMFDDSNCKKLEDWDGTKSV ISLLTDDDWKSVGRIQTDVVALVESALTHRHSLRLDPGKLRLGSDVKPNHLMKSCADN NLENWLAGQRIFEPSGLPKAPTVAPHQTSPTSKEAPAPVCVIDGRHYYQPPSEKRVPS AVEALHQSAAAAGPVAHSNSDAVLTEQTEVTNSETLETLRVPPQIRSKSTEPTHTVSS PPPGDEKNGQAASSSSTKQKKKLSKKQRDSGEPNVQTPTKAGRQGRGNPRATPGACKS TVTASLPTSTVMEFSTLTTPEKQTSSGTQQTGPSPSLDDPNAELKRNQRPNQSFARSG SHEHKGIQSLGDTNTLNHSQRLDLNLHINHAPGVYSEGAMQSNYMNNPLGITFHPSGT FNHIAQGGYPTLQDNASNVSNYRHVSMQVSTQPPYHHPKGFLPNRGRNPSNSSRLDRS DSNDNRWYQNENLNNMNSGFNRGGYQRGGRKGTGRRGGHNQRNATAPVTQPYTGSDSA QKTRDGGSWKNKWRREGSDPIQVTCQNVQDGHEIREYVPCSCQMCEARNRSVHVAAKS HHEIPSLDMQSRIKSGLSERFGLVDEVYPLPSKEPGRFIVRFANPSSVGEALTMGGGN MPEHALSITFSPAMRSKWTLSEQAPTRVTPSQVIDQNPPSMPFQQYSFGLAVPGNAPG VAVAHQIPPGMLHPSVANPANAHWSKSGGQQAFSGFPQPHVLDRPSVAPQPMTNPPHF LPAVCGYSQNEAFVPGLAHLETVGQTLDEPLQTKPPAEEALEDIHCNDRYDSYSPRSD GNGTAGTKARVSLPNTPSKASASTKETHAMQLMAKEKNDQASGEDVPRLSHIATSESV TSATIHIRVPSAFTENEIKERRQAWAKISMPLNLHRPRNFTPTKPNSGSIKDGDKREA YAAESELSTPTQNVTFTPDTGSVYEQSPGKPASPTCVQKDSSIPSSTGPTGQETRTEE NVDQSQEPATTSSQIGKTGVQSEPDQIQALNSIEPDTLLQVSDTNRRPDNAQAAQSKG KGKGSKPKSKKKKPKHKMMSQGNESNSHTQQIRTSPPPVAPEATQALRDDSESAPRDP SSTIVADNQLFEALSPTKRHHDDPEQRSESGFSKRSKKHGNTQETTLDESDSPDEDAR GRKGYRVGRGGSLRMGKTRRPRAIMTVSVLAEQPMDAQMSPPSSDFAFQCHSASASTG PPSLRGPDNSAISRLNPEAQEFVSPSRVTPIDKQIASGLSKDEALDKLVSNDATDRKR NAIQEPLKSGLGVPPIDDFMLPAADISTPKHRRALSEAVQKEKLANNKEGACQGQVKT PGKGPKRAKGKERAVTLGAKKEKVEGTENGTQDTPRTPKQPGTKTRKPGQINDDWPSL PASRDRAPSKPQTPPIWGAKAKTVVENGDSTQDSPVTKG FPSE_06447 MSAKYAFTKSLREVRFLFCQTSEQSAAFRSFITRSYPTMKRNNP NIPILIREAAGTQPKIFARYERGVEKSQILEGLSDKEIEDTVTSLVQPAQ FPSE_06448 MASSANTLFRAARPLFRQATIAQPARQAFRQQTFRQNFYQGSGR RWQSTDGAQQQQQQGWFKRMYESEVGFKTVHFWAPVMKWALVLAGISDFARPAEKLSF TQNLALTCTGIIWTRWCLIIKPKNYLLAAVNFFLGLVGVVQVSRILSYESEKKKGLHG VVEDIKADAKETIQEVKP FPSE_06449 MSFRGDDQRRYGHVPPVRYPVAGRDQEYQQQQQQQQQRQSPYED LGRRASFNGGDDAAYLQQPTSRSPGFGSGEDELFIDNNNNNNNAARPGYGSTNSALSG YQHQYQDVPPTPTYSYNPQSFAQSSGFSRSTSTNALPYRNQSQTQPPSRYASNASSYT PQTYDPSAYASTNVPQRQGSYQGYNNYGQNYGSQTSPGFSQSSGGYPQSAASPALSSG FDQPLRSPSTGGYDQSYSQYPGQLSNGGSSFSNASQPPYPTTAQMPVGPYYSPNEHNS LYNRASRSNSQASPMGSPSNAGTTSPGLQRHPTNAPLPIRPADDELAWTAGHERITSD NLMQELELELGSSGQGYRPLPEPENGQYDDDFHGQQLQRFDSGATTIPNTASRTTSAR TGQTAYKLEDDDDDDAYGEAGLMAMRQAELDEQRFSTVFGYTDMPVMADPSPEPAHTN ALSTNLSTNTLTTHPEEQTHSSDSDLAGVDLGMLGGGFGGTLNYGGDVGSPPNSSGQD VGRPLPTPGYFNRAYDQGDSVPAFKTAEMDYGGTGGLQPPTQHRLSFDEEDERVSLRS RQSGTDSPSKDELQDLFYHPGLSSRPLPAIPGPGSDSSSMLSVQTNNRQQYQHAYTRS SDSRFGAPDNPEAYYTAGQAYNPQPERSISLAGHSHTPQVQAPARSRTDAAEERRKLA RHGHQHVPSNQSFPEYETPTGSIAAFDGITLPSGRKKKFVPSKLNAADFRRCPEPWAL SGLEAWIREMGEGEQDLRSKTIEEALINLFTGKIPMMNVADAEVLSTHVVTSMLERGV LIPEEEWVKFGNGRISGVLWQLTGSGCYAPKVHDEEIGGRCYSHHCTRTLKKVDLEEL SQDSKPADEWHVFYGLSKADWELKPKKEVDRQNILHEIVTGEENYIKQLDIFRIYYRD QLRMMQPPILKPEKRDKFLFTVFGKLDLVQEINKDHLLSQLKYRQQEQGPWIIGFSDL FREWIRKAKSVYIEYASAYPNAVYQVRREADRNILFKRFLDDMQKQKVSSKHDWTHYL IAPIQRLQRYTLLLESVEGKMVTDSEEKTNLQKAISEIRQVTLESDAKVAEQNKRVQM MELNRMLVLRPGFHSVLNLDHLGRELIFQGDLQRMGSKGVRWVDTHALLFDHYMILAK TVTPKEGKDKKYDVSKEPIPMPMLFPESVNDEPVQKQKGITAPLGRTTAAAASTTQLN KVGSNTGRPGLEHTATNSSLGSSLTPTGSNDAEGRILYPFKVKHLGHEVYTLYASSAK DRADWCNMIIETKTRHAKALFSQNAEPFRLRVLADAAFHYDNTSIYARYPSVPVKGTP LDRAIHELESVLGPAQGVAPVCRAQVNCATSFTAFGKSVIAIGTDYGVYISEPSNPRG WQRTVQANRVTQIAVLEEFAVCVLIADRNLVSYPLDVIAPVSDFSAPLNDNPRRAPQR LAKDVTYFATAKMKDRMLLFYKRKEGLHTSFKVLEPIFQKSTEKKSRLFGGRKTTGGS AETFRDFDEFFFPTECYSLSLFQTYIAVATAKGVEMLTLDKKQPMSIPDLKAPAIANI AGRIRDQKPLGMFRLNENEFIVAYEDCAVYVDKHGDVSRTLIMEYTGKQKKARGAIMY GQYLLLFNEDYVEVRNAENGRLRQIIAGRDVRVLDLGVRGPTGTSTLNPQSHSNGYVH TSSAGSEGSKGTVKIAMSHPELPGRQIVLEMLLNDGHMEK FPSE_06450 MSDDTHRKIWQPLHPAIRDRLDPQYVAYHEAHLQYIEPDEIKDW DGSTRTKKVSLPPGGTKPIPVGSIDDYHVGRFKARVYTPTGQSDERGWPVLVWFHGGG WAVGGLNNGTDLCCWACEKARCLVVSVDYGLAPEHPFPAAVEDSIDAVRWVASPPAEL QKIDTSRISISGTSSGANLAIVAALSASNPEAALPTARPSLSNTIVHPPVSLLLFIPV VDSTATVDGVWKPNAETAPWLTPSRMEWYRKLYFTQDEHRSRWDASPNLAPDTLLKKL PKTWMAIAEMDILATEASTFSQQLNELGVDVETLVVEGGTHSILSLHGVIDRGYKMIE DAVKHLQETFGTG FPSE_06451 MSEYVPDLNNQTWIDQGGLFYFCFKNPKSQYCEDVPGYYQYRVD LAPNAAFLAIFTASLIGFIITWAITRRGTAFNIALILGLICEVIGYAGRILSWQNRWS ENGFLVQICCLTIGPAFMAAGVYLCLRRIVSAFGPENSRLPPEYYTRIFIPCDVISLV LQALGGAMASIASHQHKSADTGSNIMIAGLAFQVITIVSFIACSVDFVLRTMRRQRAL GDAALDQRPEIVMVRNSPWFKACLGALSLAIFCILWRSAFRVAELSRGWEGPIMGDQY MFVGFEGILIVVAVVVLNMFHPAICMRELLELDDGGLKGLWGFRGRKSKVVTSQDSTD YDRKTPANETMAL FPSE_06452 MAIPFIGRLRPYEYFALVGSFILVGLEAVIRVLTLALPPFLVTL FYRASRRLFNKFSAPSRRRAENKPISTSVRDAADFVELCRIWGYEAEEHIAQTKDGYL LGLHRLQWRKGEEGQKVNYGPTSLKKKVIYMHHGLLMNSEVWVSLTDEQRCLPFELVE KGYDVWFGNNRGNKYSKKSIHHSPTSNAFWDFSIDEFAFYDIPDSISYILDTTQQESL SYIGFSQGTAQAFASLAIHPKLNNQVNVFIALAPAMAPAGLSSGIVDALVTASPSVLF LLFGRRSILSSATMWETILYPPIFSKLIDMGLSFLFNWQTLNISASQKLAAYPHLYSF TSTKSVVHWFQIIRNKCFQMYDDDVHQPISVTSTRKYSKVAKYPTRNIKTPIVLVYGG SDSLVDIKVMLKELPPQTVATEIPHYEHLDFLWARDVDTQVFQHVFDALDSFTDAEHT KEEYDRYYVSRQESLLGSGYAYARSQAHPGSESESSTLTPSLEGTSSIQLAPAPQPHR ARETASGIPSPKNTTRHRVNYSIDKIGDPPVTPTFQTEPAEPPAGQEQSEEGVQTEPT EPPTGQEQLDEGVRTPIAARVKAGVTRSGSVGSNISLDGMREGRGITIGASRAIGGVV TKSGASGTNGEGSPGRDSGSENKKNKNK FPSE_06453 MSNYGYDPRGAPQRPPQRGYGDDNYGQRDAAFSNIFGAAPPPGR SQTMTSSSSMPQSMMDPGRTQTMSSMSSGMQRQPPPRAPPGHYGDHPNAGRTRTMDSN SMMGNVYYPSQRSASGGQQMPPHYMQQQQQARRPYPGPGPGGPAPPRMDPRGPPPQQA PGPRTPAQRFYQGGPAPGPAPAMNNDPYRSQSLASTPRQPMYHPPPSAYQQTPANHLR QAPYAQQNSSSRTTAQGRVVPERHEDRTMSLTGNYQPQSMDAHQTMSGRVIPNRRAPA ELPNPNGYPNSMPHAPGAQTRTSSMVSSNGGGDHGRTMSMASTIAPTITPSESDASTL AQRPTRSKSIESERPPTATKIRPPLVYPALLSRVGECFRKKIIVGDRTKNELTYKNAF SGSEAVDVLSYIIRTTDRNLALLLGRALDAQKYFHDVTYEHRLRDSQSEMYQFRETLM DEPEDKPAVNGVFVLLSECYSPTCTRDQLCYSIACPRRLEQVSRLNLKINPGLRKEDA VNAVDDEVDQTDEQKLWINSVPKEVAEKVGDKEKKRQEVISEICYTERDFVKDLEYLR DFWILPLRSKASPVPVQRREKVVKTIFSNIIDHPSIHTVSSRFASGLTTRQQKEPIVH NIGDIFLEYVPQFEPFILYGSKQLEGKFEFENERSVNPYFGKFVDEIERRKESRKLEL NGYLTKPTTRLARYPLLLENVLKYTEDDNPDKEDIPKVLVMIRDILGRVNAESGKAEN RFNLRRLHEQLRFRPNEKVDLRLTEEGREMVFKCQFKKTATDPPEITAFLFDHAVLLV RIKQTGKAEEIKAYRRPIPLELLAIKEMDEVISKDGVKRASSSLIPSMRNNTNDPARK EGWPITFRHLGKAGYELTLFASNQATRQKWLEFIHTAQERLRSRADFFNTTVISSHFF AGTNRVNCVTPFDGGRKLLYGTDSGVYLSDRKVKDQVPRRVLETASVTQIDILEEYQL LLVLSNKTLQSYPVSALNPDEPALSRRPKKIQSHCSFFKTGICLGRHLVCCVKSSALS TTIKVFEPNDAMTKAKKQKGIGKFMSGGHDELKPFKEFYIPTESSSVHFLKSKLCVAC ARGFEVVSLETLETQSLLDQADTSLDFVARKEGVKPIHIERLNGEFLLNYSEFSFFVN RNGWRARPEWRIDWEGTPQSFALSYPWILAFEANFIELRNMENGAVHIVPRKNIRMLH SSTHEILFAHEDDKGDDIVEAIDFWKSNRKSELLGS FPSE_06454 MAESELAPKFAPFIGMAGIAAAMIFGCIGAAYGTAKSGIGIAGV GTFRPDLIMKCLIPVVMSGIIAVYSLVISVLIAEDLDPSKNYSLFSGFMHLGCGIAVG MTGLAAGYCIGIVGDTGVRAYMEQSRIFVGMVLILIFGEVLGLYGLIVALILNTKSKG FPSE_06455 MTGAIATPRYSNDDFETASVRSAAPSYVSEAPSYHSTNPFPEAL PSYTPPVRNHAVVRSSMIPSSSGTSTPTARQQTIGLPPVPAAPLRSQPNLNNFRIATW SSVSSNPAARHYQNVANRRLTAQRDPVDSIRRVMSDIAEQEPERYVTRPLEDPYLVGE VAASRARRERVARESGDDILIREDRQWDWFLARMKDSDERQRSWNSFRREQDVGSRKK LFRRMGGRRLL FPSE_06456 MRDYSFTSEEPGVLVPETQINTDEEDVVRHREDQPLLHAPDEET WKPPRGFTWIQLAIMSNVFLYGLDSTITAATYAVISSEFDAANTASWLTTSYLITSTA FQPLYGRVSDIFGRRLCFFISTITFALGCLGCGVASNVVFLNIMRALTGFGGGGLMTM ATIVNSDMIPFRKRGMYQALQNGIYGFGAISGACFGGSIADHIGWRWCFLLQAPVSVA ALIIGALVVSDQTTYSVSESNLDTVWKRVDFTGSLLMVLAVSVQLLGLSLGGNELPWS SPWVIGCLVGSSALFVLFFLVEAKTSAIPVIPLRLLQGRLPISTQFTNVCAGMAAYGY LFMLPLFFQVVLLDSATTAGARLAIPSLATPIGGVIAGVVMSRWGKLLTLVRIGAFLM VLGNGLVTSLRFQDSKWKYFIYVFPANLGQGIIYPGILFTSLASFSHSDHAVTASTVY LVRSMGTVWGVSTTSAIVQTTLSARLPEALSGVPDKWSIIEQIRHSVDYIHELPLDLQ LKVRLVYYDGIRYAFGVSTAVAVVGFCAACIASGTGLRTTHK FPSE_06457 MFYSHEILSNTRYGVATIWLIATVGNSSQKRLTRKAIQGVDVPK ACETIIDPGAPLALRLQGNLLYGVSRVYSQQCHYVLSDAEKTQSNMMTFFRAMNTNET DPNAGKSKRHQITLQDDPSFDPLSAVPKLDLLASVDDLVFLSTQLSTQNNVSQMTPLT QGTSSSGRGNSLLNFGIPQSSQSGRSYRLPTDLDLDLDLPNPFHAQDPFEEFNPFGED IANIPDLDLNFDIDGNLVDFGNPEPELPPLPGTTAYEEQLHIQAANAASLDTNKGHDA GDNVIIMGEDPLPDAEPFPKRPTTTKLTSNAEPTTTSTTETLEVKAPLRRGRPRRKHQ MIDKKDYVPSATIRGWYWAYSDNMKAVLKLRPVTTTAQARRNAKTFLFDNGLAGVAKF PLGKTHPLAGKFSGMALLAQLQGRDPEPEPELQSRGRRRTSAEAFPDEQDEQRNIRQR TGNQNDGDEFGRGHINDTSAIMLGEDLAPEIGLDAAKGLEDRHSSSMAPWSRPPSIAP GSSLRGQGSVQKRHPAPSPLHGRGSVVRSIERFSDLPDLAHNSDDVAMLHSQDSSMGN EGFMGDFDFTDGKDTQNSGVIDSSALDFLGYATARAQERGYTRPRDQADRRWIDFNAL TEELDDPTSTKKFVSEAFMHVLVLATKSFIAVEQEGIADNQPFGTIHIGLTAPGKDVD DTADELA FPSE_06458 MESLSDTLWDVVISGTGLQQSLLALALSRSGKNILHVDPNPFYG GSEAALSLQEADEWAEKNRSADASQLFSAAEAKRDDALGSARAYSLAFAPQLIHSRSK LVTQLVDSKAFRQIEFLAVGSFYIYQPSSDPASIPSLSRIPSTREDVFSNKSIPARSK RSLMKFLKFVLDFNSEPQIETWTPHADEELAQFLVSEFKLDAALQSYVITLTLTHDGK ILVKDGLTAINRHLTSMGVFGTGFAAVYPKWGGLSEVAQVGCRAAAVGGATYMLGSGI KSLERSSSAEAEAPLELSFTNDIDVKAKLVIQGADTIDPNSPRISRLTVVTKADLSSL FELLTEGAPVPAVAVVAFPTGSVSEGENPSEFPVYAMVHSSDTGECPSGQYVIYLSAI CTPSAKSILDKALSSLLSAVPNGQDVIPLLYKLYYEQGDGTNALSIDGNIATFSSPSQ SLAFDDSILDSVHEAWKFVNAGSEDGLEYMKFEDREAASNDEFYE FPSE_06459 MASTTGLTRSTFAKLSPHPYLLANLKPSSNDVRPARSNGRASDE VRAPTVNASSLSHANGSAVVRVGDTTVICGVRAETILTQNIPSYRAANTETELKEYDL LVPNIELATGCAPQFLPGGPPSTLAQTLSTRIYSLLHSSKIIHPEDLRIWHTAPSEDF EAAEDRMEEDDAETVNENRSVVAYWVLYIDIFFISFDGNPFDAAWAATMAALRDTKLP GARFDLDREMIICSRKDSRPLSITSIPIACTAVVFTGKETDRPTDGEFWMLVDPDRLE ESLCDESVTIVVDCKDDDVNILSISKHGGIALTPQFLTSEQFLGWATKRWEQFNAAIT QS FPSE_06460 MAPNSNIRHILEEAASDFSGLVKRIHIPLTPTSPPGLVQAHTID PWEKSGKYGRGWSYFALALMGTVLVMRVWHFWQDKIRQAIYKQEVEEHYQNLYNAEAE AMSGMRSAQGQHFFPEGNEVLGEKHFRPKAHFSSVNIINDTLALFRWIFYRPIPDFVW RRRRVTFSSLAVLATVFIALVFVVLYCFLQQPLYWQSIQFGSPPLAIRAGMISIALTP WIIATSMKTNILTTIIGIGPERLNVFHRWAGYLCLFLSLVHAIPFYIQPVWDDGGMKV FQRLFPGGSGIIYGTGIACLVPLIWLCVASLPFIRRTAYEVFVVLHIPVGMLYVGLLF WHTKNFLMSWAYLYTTIGIWAFCYFLRLFKLNWLKPWRMSFMVGDEAAITLMAENAVK VTIPTQMKWQPGQYVYLRMPGISIFENHPFTISSLCSDDFPSEYGEQYRDCTLVFRPY GGFTRKVLDTAIEKGPFHTYRAFLDGPYGGMRRDLAAFDTCILIAGGSGITALMSQLL NLIKRMRDGKAITKKVVVVWALKRLEAMDWFREELRICREAAPPESVTCKFFVTSAVR ARPGMEGSPFNQNDPNGPHGPRALSHMFHDKLDGFVAGIASKRNSALIHSEAQGDPER ERELRAEDEDRITALPQQKYLQPHSFPPPPPGPPPTNREESLRRLEGHGYPEDKKPPI EDADEPTANDGEFHFRPIKSDNPPQFNYAPRSPQRLPESFPTEEEAFPVRAPELAHLR TANPEAGRPRPTSTFGPPSGFDFGFPETPTEFQKSLMRFAFPVPHQIDGGWSVEYGRP DLGYMLKEWATGGADGRGILGRRTAVFVCGPPAMRVGVANTVARLQAEIWGDDELEEI FLHTENYAL FPSE_06461 MAACPTKDLEEMAKAWSKAMEFSMVRFQRVHDLAEDQLDDAINA GHLVLENVCLFIYAGVKHGQFRPPLSFWQVLHSEYGIVVYPSAFQEDINIPGLGIDVT FTEAYQGHIMMHVGTRTKYPPRCPFEFIQEPPPVYQRETPKMET FPSE_06462 MAGSQNTQDVWEQTEQDCYVALTHNHLNAQAIMDRVRSPSAGAI VLFAGKLSRTTRDNFAGKPVKELQYTAYHPRALKSMMVIAKDVREKHGLRGVAMIHRL GAVPIGEESILIAVSSPHRQAAWRAGEEALEECKNKVEVWKREEFEGEEGVWRANRDG AIGQRET FPSE_06463 MNLSLVANFPTGWRDHSRSPPNQAFHDDSRERDSRYRDDEDYDN EYSKRGGASRDARYNASDEHDYRGMYDDGYVDESDQGRRRHEEDKHQGTRGRYRDNDD PRSQSPSGSYQNNLRSNSPTREAGKPSDTIILEGLPFSISSNELRDSILSNTVVAEYP SIDVRISASKGNRRAFVQFQEVDHAVAFMREHYPKLLVEMTHSTDDVPEGKFDAYIHY ARSRDSRDATDVRGIPGADWTCPTCDFSNFSTRIKCKICGGPQAVPSPAWQLNLTGMA DASDVPAQILVVYPLASFVTEDMLASDMKRLELVKPEKDKDTSNGGPKLKSTAPTGDT TGYGARPGSLHRVFLMRDAGTGESFKYGFAEFWTVEDATAAMTKFQKSRSFTVAACPV TVASTHMGVFLPEEREVVPAIEHMSFNPLFNPSLRVRYRDYHLYPSQQIVTEQPPEGD RVSQTREEEENDKKSKKRKADGNLAASSTKKSAPVMAGRMAMWQQKSNELREEKENRP ARPARPGKAEFSDVNRTPLRINLSGSGADMAKPQSAIKISISGVAKLDAPETAALEKQ ASPDETGTPGSSTQPAATSEEPPVSYVDRDRLMCLICMRKYKSVNEVNIHERSRNHKT ATENEDQVKAALPRLAVRDKRLQKQIQENPDAAAAASQYRDRAKERRAVYNQPTKPTT ATPGKPKSAPKVEDAAPAPKPAQSKGAGMLAKMGWSTGAGLGANGDGRTEVIETNAYQ EGVGLGAEGGNLGDAAQLAERKTKDTYADYVNTVQDKARERYNKLG FPSE_06464 MRTFTILASLTIGASAGTVSAPFVKHGFHYGASIDKRDTLNLEA LNNITGGGYYAEFQIGTPPQNISFLLDTGSSDTWVNSNNTDLCHSQAAQKKNGYCMTT FKPENSRTFKVVDRDGFDIRYLDTRRIEGDYFNDTVTIDGKTVKQQQLGLAIKSVRPT GIMGLGFSANVATNKSYPAIVDNMVSQGLIDTATYSLWLNDLDSDQGTILFGGIDTKR FYGKLATLPLLPESTKSLNVTSFSVSLQGLNVKTPKNSNGIKMDSLKKNTVAILDSGS TVCLLPDPQVNEIYKAFDVLSVQDVPIPFVDCGYGKDKGKGISFDFEFDGKTISIPMS EMIVNAFPDNQDLFKDPRLDIYFKDWDGVCMFGISPASTYGIGTSKFAILGDTFLRSA YVVYDLANEQVGIAEAYHSNNESSVVELKANSKLPDVSGVPEPQNAAGHVSPASLVTL IVATGIALTLL FPSE_06465 MCKEDYAVYAPCAHWVYGGKTKCLPAESLVGGVMGRLGKGCNPR TGLQIVIDWCPECKDGFAKVIQGLKQVVPAGYHNLWDYRLLSRYWAIKSQSRCSKAVD ADPIGYQAFASDQEIKYIPFDQFNAAGEREDGFWELQALENEVRRLQPDFAWIKGDPQ GARLKLSDQLSLCGSAIEVTELKAWNHGKVEQKCKGQKTKERIGT FPSE_06466 MTVIEGNVRPTLLRPPEHDEDKSLIENVLDVTELKVLGTDIFTN THPQWRPPGARGIYGGAVIAQCLAAAQKTVPETFLVHSLHCYFLLAGSSETPILYHVE RVRDGRSYATRTVQARQKGAAIFTTTISFVRQVSPDKKQREVSHAATLPENVTAPADD WDGEPEWARTGPFQSHRIEVLGASDPKVQPQDRKSRQWMRSRQKISAAGGHQAHLNAL AYMSDSYFIGTVSRLHGLWRFPFTPEEVPSLDEKTQERVKEMCEFEGMGSNVEDWKKR DHVGMMVSLDHSIYFHEPTAVKADEWMFTETESPWAGDGRGVVTQKIFGKDGGLLATC FQEGVVRLKKDGGEKAAKL FPSE_06467 MSETGDSTHKRSKSAAALSLLRRNNTRDEESGSEDGRLRQAPSS SNPTLTPINPMAHQQSPRGHAAKQSVSSAGLSPTASNPPQSKPQPVLTSPTADKSTAS LEQSVRKFRFVEALRSGDTSSISRAIRETAENAPRPSISSVSGSSSGTLDDTTILHLA IQCAEFPVIEYVLSDGQGSIDVNARDKDGNTPLHLAAIQGRTTVVKLLLEQKDINDAI ANSQGKLPLDVARNPEIFQLLQLSRSLFAEAKVKQVQELIARGNYAALAGVLEEHRVK TVLDINSPEFASEPVTVQTGGTLLHEAARKKNTNLIQVLLLHGADPFRRDRKGKLPQS VTTDDATKGILKKSPAAVAAQRGIQEKAVLGQAASQGTAGSATGDPLAGREAREMKGY LKKWTNYRKGYQLRWFVLEDGVLSYYKHQDDAGSACRGAINMRIAKLHMSPDEKTKFE IHGKSSVKYTLKANHEVEAKRWFWALNNSIQWSKDQAKEEEKRAARGAELLRQAKADP STLSLQESHSEGTSVTDLRRNSSQIPSRSLSKISSVDQRPSHTSPGTSGSIEEDEFVD VETDAGTSRVHRNGAPTNNDMDDDDDYGDDMSLHEEPTATKDALNITAQSAKLQLETM SHVHQALLNELNQNPSTPLSDNSVSQALGTYDGAIRSLSTLVADLLRISKDRDAYWQY RLDREANMRRMWEESMAQVAREQEVLEARVGEAEKKRKATKRALREVIESGIPVGAEL PVQDAHVEKELHDSREKEYEEGQFEDAPTKPSALASASAQPKSPTMKTVRRKPTIHVD LSESESEQEDEFFDAVDAGQVEVSELPADEIQPKSQDIVVSGGMDISSSFKGYENGIR TRLKMDADDRPKISLWGILKSMIGKDMTKMTLPVSFNEPTSLLYRAGEDMEYADLLDL AADRADSIERLIYVAAFAASEYASTIGRVAKPFNPLLGETFEYVRPDKGYRFFIEQVS HHPPVGAAHAEAPKWSYWGESAVRSKFYGKSFDINPLGTWFLKLRPTAGGNEELYTWK KVTSSVIGIITGNPTVDNYGVMEIKNWTTGEVAHVEFKPRGWKASSAYQVSGKVTDAS GKVRVSLGGRWNSKLYARLTPGYEAAVDEPKESGGDMAHSGLSDPNRAYLIWKANERP TGIPFNLTPFVLTFNHIDDQLRPWLPPTDSRLRPDQRAMEDGEYDFAAEEKNRLENAQ RSRRRLREERGEEFVPAWFQKARCEITGEEYWQFNGKYWQQREKAGPQGDPQAAWEGL EPIYEDHVDENQGSVQY FPSE_06468 MDRSPVPVTSAPVIQAFGGLNGYWGQLGSEPLKAYCDSHPEYIT LSFVNQAPEHNPSNLPGTNFAGHCAGGTYGNSNLLSECYTIQEGIPYCKDRGVKVLLS IGGVYNEEGSNYKMTTDDKGRDFADFLYKSFGPHNEHSNPIRPFDSVDDDGNTVHAAV DGFDFDIEHDLPNGPYIAMINRLRELDEGLTITGAPQCPTSDEYFYMKDMIKSAKFDA LFVQFYNNPWCDAVSQGHEGESFNYDKWVEIIEESDCSKDAKLYVGLPASEEAAPGGG YLEPEDLKDLVCELTTKPHFGGVSLWDLTRGVGNIIDGKSYNEHVMDALKYGCDPVPV PTTTTSAMTTTTEEASTSTEITTTEATTVETTTTSDVSTTSGASSTEDATSTGIATAS DVSSTEEATATSDVSSSASTDATTSTYATSTDTAASSEAVASTDTTATTNSDASISTD VATSMDAATSTDATASSDASASTDATESTETATSTGVNTFSVVTDSTVSGASATATES LADTTMDSTTDSASASDVLSATSDLTATSDTSAVTGEAASSTIDAPIESDTATGTTAT TNVSGATESTASAMETEAATIATAYSTYRGWNTTSTGAAAYTQTYGNPATFAHSGSLT TKGSPAYTNYPANTDAVSMTTSTICTTRVHTVTKCPPEVVDCPYGSVTTETIPLYTTV CPVTGKAKPTGYATQYASPPQYETRTVYTTSVHTINKCAPGVVDCPYGSVTTETIPVY TTICPVTEAYNPAPTDVPVNHEIKTLYTSQFHTVAQCPPENPNCQVGSVTTEIASWTT AIVPAKETQLMKAYKPAAEIPKPLTIESHLNGTVYTSVVVPPATLKTATKPGVFEQAK PTYKQPAQEQHSGVAAPTGGHNGKAPVETYAPAPITPATAGASSMVVGLTALAGIALL QVVALW FPSE_06469 MINAAKNMSKSLRQAKITPHLSGNRGHSDHGWLNTYHSFSFSDW YDPRFTHFGSLRVLNEDRVKANSGFPTHPHRDFEIFSYILGGELTHRDSMLTKGKEGG QSDKFYRMKRGDVQFTTGGTGIAHSEFNEHKSDTVHFLQIWAIPWKRGLVPRYHTRHF SDESKRQGFVNILSPLKGGEEATAQQEKDAEPVIPDTIPIHADFVMGAGIIEPANKFE WTVGGGATEQTKRKVYVHVPMTKGGKAKIRLDGREDAEIVEGDGAFIEGVNAGDKLAV ESIGEVEAEVIVLDTA FPSE_06470 MNFQNLNFDFVEGSRQGPPKRQTPGQQSIDDDDQSQPILHPYYD LRQRVGRNSTNPSASPPGALFFAPSPPSLPPSKVTASSAEIYGPERLFGSELPGGFAA PTPPTQTHYTAPPRPRSARSARAAPPTSVALASPPAAVRPTSVRFDEAQLAMFAAENS ARMASIKDELTIAAGKVTPGVDDTPYIQYALEALTRNHGGPSTARFPSGSSNDYEDDY AQPRRYANDEMGHHQLRRPEPAYVEDRLPEPIETRAPQEENPTEMFHAAPAARHEVRN PPKPPTPSSQSEQDQFAQREKARPRSIPVDRAHPPLTFKPAIIRPISLSIFAVLCLLM IAALIFCAVYSQKEFGFTPYPGSIYSGQYFLFRIMPQIFAAIVLLYAQSVVAASMRTL PFAAMADAEPRARYLALFRRLYPLTFLLPSLTGPWQFQFFSVCTWLANFTIPLMSTAF SCIYQDGNWVWAAVQGIAWTLVAIYILLLIATILLMLYWSKRWTGLMWDIRSLGHLLP LLSQSNTTKSYEGVDVVQRSSLFQAQLRDRHLDRLGYWQMDGPQGGMWYGIGSVGEDF QGDRSTYKIIPKKASYNISPASSRDDLEAEVRYAHYRYLHIALRTPVILGSVVFMTLF ILAILVVSFLPQTRLDQGYFPQVPARPNGGSFSAANFLYAFVPSVLGMIMFLLFQSVD HALRVHQPWAELSRSSGSIASKSILVDYAACLPFQCTWNALRNGHWRVAVMSFMATVF IFIPILAGGLFMALTNFRGQVKMFPNMPVFGVLLAFLFLYLGCLTLMLPRRQALRIPH PVNCLAELIALCTAEDTVHDEAFLAVRDTADLKSRLGLDRPNSREQSRWYFGVAAGRD ERRLSVRPMQKFTEKMRSTRSL FPSE_06471 MERSSKLADLKLVSKYYPITNYSYPQQPERPSHYVRSRFSDDRA SLGSEGSSPSLIDDRTDSEVSLDDDYQYHAHGAELWDSFWLPSKSTKLELQPRKQYPA PIPITQQQQQRQKHLELKQQQQRWQKQAEEYRAKAWPLSGNSRNQNRKPATTYSPFPK PLPLPPRTAPQAPSWQCSRDVKQKPQRPPRPHEEVYVFRSLQNSPLVAHFAVTQDSPH FITPKDSRPTTAQETRLPTPPERDSYFETASRNHAQRLCSTSSQPPASVMPSKKSLPY MRPLPPRPPPEAEEAPEAEPHSVFEYDDDSDTGSGSRSFWFHRRSASDQRKSGQRTAP SSPRKRQNDMLGRMLGRRSRG FPSE_07867 MRLRAFKSRRLYKISDTKQLVTSTKFRPPSILKFYASRARALCL QSQVSPSLVARFFKDFSGLGRAAEVTRIRSSSASYDRHDLNAPTTPAQHQQRQHSRVP SSSSRPLFNQTQDPIERLSTPRSLRRTPKFDAPPSPAPEPRKSHRRAASLGFEPRTAL APVDDLPESHPTVLGLVPVRSTQTQAPRPRRRLSQDLTEDPDEVSSERPPSPPRRALS VPAHYPEPHPVLRISKHTHSAILFALEEALRNPNPFTPDLEEESASMADLMASGGIPP SSNGKPAAPPFRPGSAPGQSGSPSGIRGPRMIMQERAAREARQRAEAEAQQRERAEQE ARILEQARRAEEQRRSASGIAGGQGIDTEARQSMNPQRQAQTAPDNTSTRPRANTTGQ TPQGRASRSGTTNQPPPQTFTGPAPGTQPNFTAQATEGGNQAGTGGSKPRNSFPHAFE RWETLSAHWEGLTSYWIRKLEQNKDEMNRDPLNQQLARQVTDLSAAGANLFHAVVELQ RLRASSERKFQRWFFETRGELERAQEVNAMLEAALDQERQGRANAIRDAVENERGTSK AQKQLAEMRKELSISKEEARRAWEELGRREQEERDRTLSLQSGQPTIVGGVQVVPMTQ GGPRHHSSRAQGAYQTEYGSGYPPETTSSPQHQPPATGSGNQYRQQGLQAPMSESGYS EGEYVIDANGNFLLDAQGNKIPFAAPPSTYSGSDGEAEEYATPATTVPPSGKHDSPST STGEGSGGNGGQWTTGTQSESQDYTGQGYGTPGWETVPRHHHPTRLSDVMEEEDERSR ASTSRV FPSE_07868 MGLLGGISSGGTIALGIIVGLLSTSVQSLGLTLQRKSHILEDEK GPHDVRRPPYRRRRWQIGMGMFIVANLLGSSIQISTLPLPVLSTLQAAGLVFNSICAS LILSEPFTKWSFSGTLLVTTGAVLIAIFGAIPSPAHDLKELLALMARRPYIIWMILQA LFVLTLAISIDLINSMSSLSHDARFRLARGITYGVISGDLSAHALLFAKSSVELVIKT VAGRNQFVHWESWAIVMALVTLALCQLYYLHRGLKLVSTSVLYPLVFCVYNIIAILDG LIYFNQTSLISPLRACLIALGTVILLSGVLALSWRLSDEQHTPGVGQSSLAPGLGLVE DTEEEESLLGSDNAVAEEDSIPHTYQTFPPTAETPLVPSHKRTPRWAERAEIWGELED RDEPTTPGSRRRSSTLPRHTESSPLLPTKRSLPSGPGGEESGPSTESTPRRLTRRRRK STGFPGLVARKHRRNRSSTETGTLNNILSLSWFRNTSRQPSQASTSDGFPWGTTSQPG GSEARGDAAV FPSE_07869 MSQHALSDQQVNNELSKMTAFIKQEAMEKAREIEIKANEEFEIE KSKLVRQETDAIDSQYEKKFKQATMSQQITRSTVSNKTRLKVLGSRQEMLDNIFEEAQ KKLAEGAKDKAKYQKALKGLLLEGFFALNEPELQVRARKKDYDVVKKAIEEASKDFKK ELGKDITAKIQEDDPLPEGIAGGVFIISGSGKIDIDNTFEARLKLLEESAAPAVREAL FGKNPNRKFND FPSE_07870 MSQNSKNGNIMSFFKPKTKSPTPKAIKSSTPDPPSNPTLPSFPL EQKAATPVKKTLSPTPKRVIQASDDEDDFGASSDDSLEDISTMIGRGRPAKATQSPAR NLFATPKAKRTAVEFRTSPLAIISKHKYDLKALAKDARQDNAITASSMRAKAFSDLDD QADVQMTTDEASLDAMEGIVKNNTGQDAQKVMRAVKRSETGQSELRYCFFSQDYTLPP PNPAPKKFNKGHWRLLTKGDQKTREQHLTSGVPLTLLHLQGGLPEELFDWILNDICTQ KSSLVRQEYCNLLSNCTDLIEPCITPQRLEELLYRFGASSELGQRDSELDVSKPGVEP YEGRDWSPLSSFLSFLAAIPPYMSEDSVVYASQALLRMSMDRFLIYDINLLTTYEITI SILLEAIPQPRWDTFCFETCTLLHTLIKAQCIRTNALACLPLSKARAHELRRRLAIVF LFDDPALGGCHPDDTVTIRGIIKRLDRNDFFVGPKTDFAELQANILLLNIAVDDGSFA PSDDPEHEKQFNKDIDELAVRLREIWRKINDSGMKLARTEAKSVIEWVEQRLAHSVRT RRKAKKSIFDLPGQKQDPFLPEQQNYMKNFLRKASETTSVQAPKQLTSDTEGLKETRN PETIVVKFK FPSE_07871 MTTTTTADGHLAAPDDSQHLSATQRSRWATQRKSVNSSNNKRNS ILDRMGHKKTGSNEKNPPSDGSDPAGDDGQSPEANPDNEDEDEEHENRTLYFNQPLPT ELLDENGAPSQTYTRNKIRTAKYTPISFVPKNLWFQFHNVANIFFLFLVILVIFPIFG GVNPGLNAVPLIFIIAVTAIKDAIEDYRRTVLDIELNNAPVHRLRNWNNVNVLEGDVS TWRQFKKANSKFFGSIWRATQSIWSKKAKEERAKRKAVSTEEDGPRASVETQRTRQSM RQSIASPFTGRESFMSAREDIQMTPVPSPSPQATPHARFEMPDEQDAKRATALMQMKS DVINYHHPASGARFQKDTWKSLNVGDFVRIYNDEELPADVIILSTSDPDGACYVETKN LDGETNLKVRQAVRCGRSLKHARDCERAEFVVESEGPQPNLYKYNGAIKWKQSVPGYL DDEPEDMTEPITIDNLLLRGCNLRNTEWIVGVVIYTGHDTKIMMNAGITPSKRARIAR EMNFNVVCNFGILLIMCLLAAIINGVAWAKTDASLHFFDFGSIGGKPAMSGFITFWAA IILFQNLVPISLYITLEIVRTLQAVFIYNDVEMYYEPIDQPCIPKSWNISDDVGQIEY IFSDKTGTLTQNVMEFKKATINGQPYGEAYTEAQAGMQKRLGIDVEKEGERVRAEIAD AKVRALAGLRNIHDNPFLHDESLTFIAPDFVSDLAGESGPDQKEANEFFMLALALCHT VMAEKVDGDIPQMIFKAQSPDEEALVATARDMGFTVLGSSGEGINLNVMGEDRHYQIL NTIEFNSSRKRMSSIVRMPDGRIILFCKGADSIIYSRLKRGEQKELRKTTAEHLEMFA REGLRTLCIAWKEVTEHDYRVWKKEHDAAASALEEREEKLETVAELIEQDLYLVGGTA IEDRLQDGVPDTIALLGNAGIKLWVLTGDKVETAINIGFSCNLLNNDMELIHLKVDED ESGEITDEAFFEMAEKLLDDNLQIFGITGSDHDLALAKKNHEPPAPTHGLVIDGFTLR WVLNDRLKQKFLLLCKQCKSVLCCRVSPAQKAAVVAMVKNGLDVMTLSIGDGANDVAM IQEADVGVGIAGVEGRQAAMSSDYAIAQFRFLSRLVLVHGRWSYRRLAESISNFFYKN MVWTFSIFWYEIYCDMDMTYLFDYTYILMFNLFFTSIPVAIMGVLDQDVSDKVSLAVP QLYRRGIERLEWTQLKFWLYMIDGIYQSIMVFFIPYLLFMPGTFLTANGLGLEDRLRF GTYVAHPAVITINMYILINTYRWDWLMVLIVVISDVFIFFWTGVYTSFTSSQYFYGTA AQVYGEATFWACFFLVPVICLFPRFAIKALQKVYWPYDVDIIREQERMGKFAYLDKTD ETNDPPTADTKSDKSKSSKSSRRSKKMPKHVAYGSVDEDLRPIYPPSTATRATTYNQH SQNGSDSTNYTAHRISLDVPMQGRPSIDRARPSYDRMRASMDRVRPSFEASNDFTSAA RLSRIESSQSQTGRFHPRLRGLSLTKSANI FPSE_07872 MEDQEPLLLAVWRRCSEMAAEHNISQSTLSAAGTVIAIIAATLI LRITNAIAAASVSAATSRPRKYTVPSPKVPEPHTTVDITSVKVSGSSAVQCYAPATGQ FLGNVNPSTPAAIDRAVSAAATAQKTWAETTFDQRRAVLSSLLQHVLDNAEEIVKIAC LDSGKTMVDAQLGEILVTAEKLKWTLSHGEQALRPSRRPTNFLMMYKRNTVHYEPLGI VAALVSWNYPFHNFIGPVISALFSGNGILVKVSEQTAWSSQYFTNIARGALIAHGHDP QLVQTIVCWPQAAGHLTSHPGISHITFIGSQSVAHHVAASAAKRLTPVVAELGGKDPF IVLDSASGDLKRIAEVILRGTFQAAGQNCIGIERVIAPSAIHDKLVEMLAPRVNALRL GPDADVGAMISDASFDRLEELIAEAVSQGARLLAGGKRYDHPEYPSGHYFQPTFLADV TPEMRIAQNECFAPVLTLLRAKSSSPEDILSIANAPNFGLGASVHGSERDPNVQPIVK GLRAGMVAVNDFAVYYAVQLPFGGVGGSGYGRFAGEEGLRGLCNAKAVCEDRFGWLGI RTSIPPPVQYPIKSQPDSWKFTHGVVELGYGAPIRKLKGLGKILQNM FPSE_07873 MSDPWGTGDMSEALPATKEADAFPTTEQSTQVQNGDAQAEPPKG YDASRGRWTEPQAFDYTSLAAGGDTQTWGCSARAYAWQDEYGEVGPKCPDLELELFGE PDTRHERKGLDFSRIESIEVQQEGPTKIDPIKSFEKGGIHPAMLENIELCGYDVPTPI QKFTIPSILTGHDVIGIAQTGSGKTAAYLIPILSKLMGKAKKLAATRPNPITFRPGMD EVAAEPLVLIVVPTRELALQIFNEARKLCYRTMLRPGVVYGGVPISEQISLLSMGCDV LVGTPGRLVDFIQRPRNLTLRRLRYIVIDEADELLNDDWAEELNPILSGGEQDEGNVK FSLFSATFPKAARDLAKNYLAASHVRFRVGRAGSTTANIRQIVLQAEGHEKRELLVGL LEEMHGIRTIIFVNSRQSADNLDDFLFNMKLPVTSMHSDRTQQEREAAMRAFRSGNAP ILIATGVTARGIDVQNVMHVINYDLPSIEYGGIEEYTHRIGRTGRIGHRGVATSLFSE RDEPLGSVLTRTLLETDQEIPEFLQQYVPEGDARANLKFEADSDFDPNDYAGAGDAGG DAWGAGDGGDTGGDAWGGGGDTGDTGGGDAWSGGGAGDAWGAAPAPVAVDAW FPSE_07874 MGSSNKKKREKQKDFQKPKFKVGKDKAKASNFTDTSFKSRAIVM GHQSLSAVAPDVVQQFKHNLSLASSSKSDKQRREALAYLTSQLSSEPPVNPVGTHAVL VKLLPLISDSSTPVRSQLLKLFRELPSDEVRHSVEQAIMFVRAGMTHLSADISNDSLG VMEWLLDVAENDLVVCPGGWVKTLSSFCAMMGWALKIPKAGWSSGTKSGLSAKGAATY ARQIATLSRFLEAGLRPEAEIPEDESEIWDNLYRIPQDSNAFEYLNLYGTRRDEEGEM YPSRDARQRVFERRFLEAVLKGADQAKKEGGATGRAAAGLDQVLQNGMGEYESSTAMD TQDLLSLW FPSE_07875 MTDTTLVNGHDALEEKLGRLSKKPGVKASIVLDRATGAILKTSG QVDALQTSKSRTTSTATTFSNETPALEENETQGVEQFAAIVWNYVNSSGNLVQELDGE DEVKLLRLRTRKQELVIVPDPKYLLVVVHDTPPA FPSE_07876 MPLTKTRPSPRASAVSRFSDDTNRSGETDDRFRLGMNHDHENAP RRKRQHGRQWSRASRRNTSVIPNRSGAQIQNENDENNGDETVDESGAVDTVPSASTGG RFKRPDFVLQGLITRAKNRVRDRNGRGEKGDEDVPSLQQEQSDTPILSPLSNESFSPH SPPPRAAPPDRGFRRKSPPVASTGIFQGTKTKTRTATKTPLTPTSSSSQSPSHLPQAL ISPRSPPDQNKPLPPPPPTPGSLSDKENATSPPPKPVLKLNRIMSTLTESEIEKLFSG APQYFARSEGHCSGAPNPSVAFPFDEALEIRDLTDHVQIEDRAWSGLTAWPHLTRDLN QDAAARAKAVDSRKAHFFIRCRERPNTLSMQGLEKGTMGFSAALELAVGDALEEEQFG FDSLGKKAHAIVEARERMLSPLGYLRRLAETELMDRLRRNSELYRVNDLRKKTSVQTY QDLFHSFMRPCNSVVDKRDHYSLANQINALIKCLSTANVWFDFTHVEWRIRLGQILWG GEDNDELEDTSSIHDTNNASERAEEKYWLFMQILVATELLIRLDAITEGEEYGVEAFR PIDVVHFERAATPMVKWSLHLARSWLDNIEIDKVQEQPSTSSKTAEPATPPPATGWLG SLFSKFTFRQHHEDKTTASHSYTIKGRNPQQQVDGLTHFAKKLLWPGIDTYEGLIANN ARRSIIGLVPTPTPAVTLDKPAKKSSETNGSKRSLYFGSYDQKDKSRPQRRKLAAALH PSGWLSKSYVYGLMLPGDGLCHFLMATLLENDSRAMERLGSFANLSGGFVYSGKSFWS TSCIVGRVIAAGQGSAECMGWVSSDILPLGIDEGWVNIEVEDVAEDMAHLGKKARLWA KKRIERESSILGDADEYSCFPADFIIPHENSYSTPPPMISVTFRSLELMSSDPNKAFP ARTTKDPELLSLPATVNFAVAIDGFTAENYSLSLAYDISFVTAHPCSPSHRVRFIKSP SSPTIQQIDVSGSDTFGQGSRPANRTGHPLHKWYNYAVIHISELLKRQHTPLSELLAA PPTHRRTPSRMGSDRVLVIDCITNLSEVPQSPTIERLTSKYNIVQRRGSFPAAEQMHF ESRKRQFGSDMEILVRALCAQKGWNAIISRRKRGCLACAIREAGALQWKVIIRVE FPSE_07877 MSPPKDPRRTDLIVPYQEPKSSGESTDISSTMSTTLPMAAMFTR NKLVGWASVVFSIQSWLGESEDSKKNSTTPGYFSVGMSIMALVVTYLPIFLPPVGGKQ ASGTEAPAPVPLA FPSE_07878 MAPYHAFPKAMHAQEVTLAVDVEHSETDLDLHQDGVQIDLVGYI SGLSEGRIPLSNNYRKLSTKFAMLLLVFNIAALSSNPQWKLRGMASKRSLTQVIVSVR RLLHNQTQIPPIVLYIKVPPPRRL FPSE_07879 MDADKCMQVKGIVRETPESIKICWKDTWELLEDLEDGPLKEEAK RLLEDNSCRVLEIFESDGGGLNYAEILDDDESSEPMVPEVAPTVPKSILDVGLGRKLD DMRVKIRWEDTCEPLDLIKVSRTARRRLNP FPSE_07880 MEPFTPEASDDGCLSDGEDIPRRFESAARTLACRLRTPIDIDTI LNSILRVANAPEAHELDEHLSQALRRFSKLQQSQIRSFAHKISQIHEESHQHDDDDDV EAVTLHSHVGSPLVAESGSSARCGRVGEELERLATQVNNNSTGGYDEPITNTTLIERP RVGIATESPKPSPSGSRSSTATDETSPEETVSEEPDKVVSPRSTGRVRHFLLDQSVAD FIHESYEQVQRLSLPGNSHPNQRGERMVLRIPVGGRGRSRRQRPVHGSIRFALTACGF AQWHQSQVRWLERAACMDTQLAINAVYERVLGQKPEQPGASQDQWRRHRQKLSTYCTR VKKWLRLLGAFGYGILFKDAWGLVKSKDTELDTLIMECTHQTHKMDVLELLKEQMVFL LNSGRTKSDEFRNQLMGKGYPDPGTTLTTFTRGNGEVDAFSKEVRGSGMSDSLLIPQS EFRFDIISLQRLGSTTWLNDDIVLACLHLSTKLPCVASNKEFPEFQYIEEEVMRQNDT HSCGLSVIKNARDRMMGRPVSGESHCYDPIELRMEALRILQSAWRDSALVVLLMEDTH RKRKPKHNGIGGTYKRQKTRTM FPSE_07881 MPMTDRDDEIRELQRLLEAAHEREKAAQEREKEAREREESLRLE QRSTSYHEYLRLCHEELFMTLTIQDKARSSSGGTTNVTGKYYPLTLRPWDGFLNGQRR CHSIIEETVPELLLPSRNDVRAVARASNKRPVASEEDLRTFEHLAIEDPVENVFTVFG PRSQTRPGGQELDCKAITFENHSLSLTEPDDTDSNDGDLDEIFVKPMHGQPGSKKRMA LHRRVPRKRGPDRWAIRTTLAGEKYAAFPGEYKAAHKIPAESFQKAMCKEDLFTRVIL KISSGRVSTDGETRLQEQMEETVAKALAQTFHYMIHLGSSCGYLTAGKALIFLHIKDD PTVLYHHISQPDVDARGLDGTVDPFYTAVAQLAAFCLQTCQEPGKSNLWKEKAILRLS KWPQPYTEMRGGTTEDESPQSTRSASSYAGSVTAAQKLTVQLRQGTRASCNPQQQPDA DNDTSDEESAGGANRRGYGLQTSLEAKTPGSNKRKDITSGSEEGQSNEEWELEARPYC TQDCLLGLKRNQYLDEKCPNVALHRIVTGSMAHPIDAARLLDLLREDLAPIVRRLRSI KPLDGYGKYGRTGALFKISLRRYGYTFVGKGTFAAAVGSLEHEEKVYGRMEPLQGHHV PVCLGSIALDTSFPLPAANIVHMLLMAWAGDAVTVKDNGLSEGLRFRQLLLTYGVIHN DIRQENLLWNSERGHVILIDFDLATILPDIHQTQLKRRSKRRRHLRDPVAIEYSAGSN WYKWLIT FPSE_07882 MVNERAPPKAPLRETASRELSPDDDITTLITGATSTPTFGHPTK MMSSRKQRADPFIRQKTRPEHHIDNRSSNGTRITGPKGPTYQDRRAPPLPMFSSDAPP ASSHAPPRTYGEETFYTDPAKASADLKALLEGGMEEGEEEGGQPDGEKKPEATKDAKD AKDGTVDGLKVKLLPHQVEGVEWMRGRELGPVKRGRVPKGGILADDMGLGKTLQTISL ILTNQKPAKNASGWKKHFETIEKTTLVVAPLALIRQWEHEINDRVEKSHGLKVCVHHG PNRTKRFKDLAAYDVVITTYQILVSEHGNSSDAENGLKAGCFGLHWWRVVLDEAHTVK NRNAKATKACYALNSEYRWCLSGTPMQNNLDELQSLIKFLRIRPYDNLKEWKEHIDLP LKNGRGHIAIRRLHSLLRCFMKRRTKEILKEDGALNPGGKPSALGEGSSTGFKVTERK VVTVAAELSPAERKFYDRLAARADQSLEDQMLQGKVNYANALTLLLRLRQACNHPKLV EGKLEKDKDAMSIGSTQKNQEADIDSMADMFAGMGIVSKDCNICGRGLSSEDNKSGKD ICSECHADLAYFNDHERPEKPARGNKLKKTKSKGKKEKKKEGAKKNVDREIIDLGDDE DDEPQKTTSRRSRNRNAVVDSDDEDTMPPQKNNRKPRNRNAILDSDEEEEAEGSWLVS ENEQGSLHLGKAGGEEDENAEGGGDSIGPEDSEDEESKLEDKSNLSSFIEDDEASDSD DSLTSLTDLTKRMAAQTLDDRKSNAANTKAATTAVSESSDSDSSSGSESDSDSDSSGL SSDEDDSVFYPSRDPNSPQVLASSKIRELIKILQNEVKEHKFIVFSQFTSMLNLVEPF FRKERFRFVRYDGSMKNDEREESLRSLRSDPETRILLCSLKCGSLGLNLTAATRVVIL EPFWNPFVEEQAIDRVHRLTQTVDVIIYKLTVSNTVEERILELQDKKRELAEQAIEGG MRKEALKLGLNEIINLFKPGSSADNPSLITDTVGDESFDGNGRRAVSMQRRPERPKKE ESSVYGRRW FPSE_07883 MNDPVFKTSSLYSNPTFTKGPGSNIQASGTDFFKMLPNNVNKTS LHPTGVTPHQEHTELEQELHDKAHIDYDRVAIIPNPSVAALYEDALVYETGTAITSSG ALTAYSGAKTGRSPLDKRIVEEASSKDNIWWGPVNKPMTPEVWKINRERAVDYLNTRS RIYVIDGFAGWDEKYRIKVRVICARAYHALFMRNMLIRPTREELNDFHPDYTIYNAGK FPANRYTEGMTSGTSVAINFEQKEMVILGTEYAGEMKKGVFTVLFYEMPIKHNVLTLH SSANEGKNGDVTLFFGLSGTGKTTLSADPNRALIGDDEHCWSDNGVFNIEGGCYAKTI GLSAEKEPDIYGAIRYGSVLENVVFDPLTREVDYDDATLTENTRCAYPIEYISNAKIP CLSPNSPSNIILLTCDARGVLPPISKLDSAQTMFHFISGYTSKMAGTEDGVTEPQATF SSCFAQPFLALHPMKYAKMLADKIETHKANAWLLNTGWVGAGFAQGGKRCPLKYTRAI LDAIHSGDLANVEYENYGVFNLQVPKTCPNVPSELLNPSKAWTAGEDSFNTEVVKLGK LFRENFAKYESEATEDVVKAGPVV FPSE_07884 MEALGRKTVRNGGSDDVGTLRARFLTRGTQVQGLSPGSWSKTQA NIQLQIVTWKSLVNFYRWGPYRDRHSFTQGLSSCESLGFKKEIVGFQRSWGKNDDLMV RDEAGMREQCYNCILASMSVMKKLPTLTRPGQRLRCFEVFVNGSSVNSKASSLRIVST VSLLSLSGDRLWREALATLTSMCIASGFPASTVLALVVQDEVLHDGHPSSGCRATQRE RKYHYPYQDRVKQPCDKIQRGLQDYTHTESPNSKEFNVRTGLTVLAVALVIPRTAPMV IKYEEKPGTRPLPGPKWVLGSIQLGSWTDDYDDTFVRD FPSE_07885 MATPNSWEDDPSAQDENLAQQAQQQLNMNPAPAQGGFQPSINSF QPGAAAFTPGAATFQPGQQAYGGYAPQYQQQQYYQQGYYPQYGGAQQQQGYGQYNQGY GGYNQAQGQGYGGGGYPQYGQQQQQQSQQPAAPTIAQRPAADAAAAPSQPAAAAPKPK VISISGDTSAPKPKAKVLSISGSGTATPVKQEETPKAEPTDKPEAGQKATAAKAIEKT GEKTGKAASTKTSGKTSPSPASGRNSPTAGEKKAAAREIDAVEKEQAADVDQETLKEI YGKEHVNIIFMGHVDAGKSTLGGSILYATGMVDERTMDKYKREAKDLGRESWYLSWVM DLNKEERTQGKTIEVGRGFFETEKRRYSILDAPGHKMYVPNMIGGASQADVGILVISA RKGEYETGFERGGQTREHAMLAKTQGVNKLIVAVNKMDDPTVEWSQERYTECTSKLAQ FLKGTGYNLKNDVYFLPIAAQQMKGIKTRIPKEDAPWWEGPSLLEYLDSMKALERKVN APFMLPVNGKYRDLGTMVEGKIEAGVVKKGMNMIMMPNKQSVEAAAVYGEQEDEVNLA QCGDQVRIRLKGIEEDDILPGFVLCSPKRLVHTVTEFEAQIRILELKSILTAGFNCVL HVHSAIEEVTFASLLHKLQKGTNRKSKNPPTHCKKGDSIIARMQVIGGAGAVCVEKFE DYPQMGRFTLRDQGQTIAIGKITKLISDEA FPSE_07886 MDEFLATVGVQAMRYAIRSGIALTSSYAIGQCSRLLKSVEDKAV HAELKSLQNQLDNKIKPIASQIISPAIDLIEFKSGRGNVFLESAAPLAKSLHKDIVSL GRRIEAVTTVNSSSNRVQTKPLRVSRDDLLAIASGIKALLKRIDREIPLMQLAISASG ESLSTSLPANISPSRLLQASTLLTVGDTQFAQDPTRPVQIGPAFTLSLYMLFIGHAST LMPHTSSGVTDTTVSTNNHSSGSSSIGTSYGLGEGERKPLWQEVLHKARVRICRTRRD TYFDSQRGYCTVSLEDYALPLPEATGGGEYAYHLEIIEDLDDGRVHGDEHNSQSYGDI PHAGIRESIPVHQLSKIFYTDTGRILNIGSATDSEQRPVLLLKRDVQVGPSRPEHDDI NGFIEELDRESNDTALDLTSKNGDQADIDKQLLGEFVRPAAEDPNIAIPPSKDYFEWK FPAHLDPEWMALEVYEEQDDDEDPDEDDDDDSLDSARLDHPRVISKSSTPHRGRRSLD SKVVDQIKRLSLSSPEKMSSLQAAMSSPTETEDFVARSPFGAITTSLSLMEMLIRLAS LQEFQQTSHLSIPDHILTFFLEETSTTGLVGEIQWRARSEAKRRMGFDPYTDAPTR FPSE_07887 MAPLVPRFHLFEIDDQTWFPAFLRARVQDGLTRAWLVNSPLQAQ SPAGLSAQILIKELNTSLSNFTFIDFCAGGGGPTPSIAKTVNAHLRSHGSDPARFILT DLHPNVDAWEQVAQKNPLLTYERNSVDAAAAPKHLVRREDGTKPFRLFNLAFHHFDDD LASAILRDSVETSEGLAIFELQDRSLASVLMITLLGIGAFLSAPLFALKWRSPATFIF SCLIPILPFVLAFDGYISALRTRTPEEFESLLRSCGADTSKWEVKSGSDRFLWPCGYV NWIICKPRKA FPSE_07888 MPKVFSVNSKWVWHISKTAPSRRYMSGNVSFEGNKLPQLAHLPK EHATVEIFREKSFGSKKPAVLGNDHGSPTPLLPASDKWFSKDLRNASTTLSEYFYQFR DAMVPYEIYASTPAQKESLALFRDYLEREQTQDKVIIRSWDSCFAGPTDGQDFFQLHA PLHLFIKVLKFNDVVRKKGLQGISLYIAQCSIAELPQALQQDIPTPEIVVHAGKGDIY GSSIWLGITPTYTPLHRDPNPNLFCQLSGTKVIRLMAPRAGDWLFRQIQAELQRSGNS RIRTDEMMQGEERDKLHQAVWEAGPDSPVPPGTYEVVLNPGDAMFIPTHYWHSVKSGG ESGDLNASVNWWFR FPSE_07889 MSTSSGVPESWISSFCSLLGHEYFAEVSEEFIEDDFNLTGLQTQ VAMYKEALEMILDVEPEDDEDEEEEEEEEDENESDPERLTRASSERRHHRMASDLSVI ESSAEMLYGLIHQRFICSRAGIQQMSEKYELGHFGCCPRTNCDQARTLPVGLSDIPGE DTVKLFCPSCLDVYVPPNSRFQTVDGAFFGRTFGSLFLLTFPEYDLTKRGIEVLSSSG PRVNEGDGLMNGMYAKNIGPGLGRERIYEPRIYGFRVSERARSGPRMQWLRERPTDIN ELDEARLYAEQNPDSEDDDESGGQVGMNGRVMVRRRPPGNARLRQRQTQNGSPMAMST NGAESEL FPSE_07890 MDVPHDKEGQDISQPEQKDNEEKQHNLSSTTLPSESYPPPPQSS TASSSTFRPSGPDVLPGLQTNTYNNNNNATPTRTTRAASSAAPSEQNSVSNSETPFSP WSVSSDKQLGYHSAASDVSGDRVFPIRSVVSVDPSSSKITNNDYFHALPQRDGRSIPV KVPSTSRADIGPDLRRSDTVPANYHSRAHSERIDALMRRKNTMSGPMSRIQLDANRHG SSTAPLELDISMSDNEADTDADTETEESGVMGHASANVSAGGLEPDASSAGYSSADVS HVTARFTHVVTDDGHAVITGRDGVLQRCEDEPIHAPGAVQTFGVLVALREENDGCFVA RYVSENSVRMLGYTPKQLFQLKNFLDILTEEQQDNLLDHIDFIRDEDADPAINGPEVF SLSIRPPKCKSTKLWCAIHINPAHPDLIICEFELDDDVEYPLRPADEMTPDTPHDTLQ SNPTLEEIEDSTEVLSKPLRILRSARKRRGEQGAMQVFDIMSQVQEQLSSAPNLEAFL KVLVGIVKELTGFHRVMIYQFDSSFNGKVVTELVDTSMTRDLYKGLHFPASDIPRQAR DLYKLNKVRLLYDRDQDTSRIVCRTKEDLDIPLDMSHSYLRAMSPIHIKYLKNMAVRS SMSISINAFNELWGLISCHSYGNHGMRVSFPIRKMCRLVGDTASRNIERLSYASRLQA RKLINTAPTDKNPSGYIIASSEDLLKLFDADFGLLSIKGETKLMGPVEQSQEALAMLE YLRMRQLTSVVASQDVSEDFPDLRYPPGFQLVAGLLYVPLSVGGSDFIVFFRKGQIKE VKWAGNPYEKFVREGTAGYLEPRKSFKTWHETVVGKCREWNEEQVETAAVLCLVYGKF IEVWRQKEKALQNSKLTRLLLANSAHEVRTPLNAIINYLEIALEGSLDQETRDNLARS HSASKSLIYVINDLLDLTKTEEGQNLVKDEVFDLASCIREATGPFLNDAKRKGIHYTV VQHPGLPQFVHGDERRIRQALSNVTANAVAHTHKGHVKVEVFVSEIKDQQAVVDFVIE DSGIGMSAGQLDTLFRDLEQVSSEDAPTSSSLDDMPREMRTLGLGLAVVARIVRNMDG QLRLKSEVGQGSRFVVQLPFLLSDQCSGSHLKDAPDGRGNQSFNSTSTASTAPDALRA APEGEITLVDRASTMASAGDVSLKGSGASQRSMGSHTSHGSRNSHQSDADRLIDAIQT PLSLNEREGTEFPIQGGRGSRPISMRPESRGAVSLGGRSASPSNQQPQSPVSTKPRSE PGSTEVTDSKTPIRAVKVPDDYTDMPQKPQPSEQSGILFEMKTIDRPVAKAGTESVTS AGTQMTEQQHLQVLVAEDDPINMKILRKRLERVGHGVHHTVNGEDCAAAFRERSREFD VVLMDMQMPIVDGLTSTKMIRSMEASADYHGHSALANTNHRIPVFAVSASLVEREKQK YIDAGFDGWILKPIDFKRLNTLLAGISDEEVRNSCLYESGQWERGGWFHPRSLVGGSE ASDEITPRAEHAAKDKDIGETAIISEDAKETGGADEAKPDND FPSE_06020 MKLSAYVCAAVLATAGQAAKDKPVYKDAEASVDDRVSDLLKRMT IQDKTAQLIQGDMTNYLNLTTEAVNKTGLEWNFKYRANSIWTGLYANMTTIKKAAKVG QDYLMKETELGIPAFIQSEGLHGVLILNGTIFNSPIGMGCSFNPELIKKMADIIATES RAVGINQLFSPQVDLARELRFGRVEECFSEDPYLAGEMGYSYVKGLQAGGVSAMVKHY AAFATPEQGINTAPVHGGERELRSLYLPPFKRAIIDGGATSIMSSYNSYDSVPVVSDS HLLTDILRDEWDYKYYVISDAGGTARLAQAFHVCPLEDDECITLEALPAGNDAEMGGG YWSFEIIPELVKAGKLDEKIVDTAVSRVLRSKFEMGLFEKPFTGVADDKIWDYVNTKA HKKVARQLDAESIVLLENHENVLPLKKDANVAVIGPMAHGYVNYGDYVIHTAMTRGVT PYDGIKAASKGKVTFTQGCERWSSDESKFEDAVAAAEAADVAVVVVGTWTRDQNELWG GLNATTGEHIDASNLNLIGAMPKLVKAIIDTGKPTVVVYSSGKPITEPWISKEAAALV QQFYQSQEGGHALADILYGNVNPSGKLSVSFPYDVGTTPIYYDYLNSARASPNPGKIY ENGTLEFGSNYILENPEALYTFGYGLSYSKFDFSKISVSKKNVTSSDTVTVSVNVSNK SKRDGSEVVQLYVKDMLSSVDVPRYQLKGFKKVAVKAGKTETVKIDLKVEEWGLWNRK MKYVVEPGDFTVFVGNSSENFMGNVTVTVA FPSE_06021 MHLRDGMWLPAENFRTEYAEDVYEITPSQDQQALSLLCPTKHIR TRGDGLNQPTLTIDIKAEADGIISIESTHWAGAQRIGPNFDLFPGGQPKVEGKIQKSD KGTTLRSGSLSATIHPDQHNFDIKFHSSDGKKHLTNLGNRSTGFAYSPAPTSQIQTGD MRDFKHYMFMQTTLSVGESVHGLGERFGAWNKVGQNVILWNADGGTSSDQAYKNVSFW MSNRGYGVFIDYSGKVDLEIGSERCCRVQTTIEGQRLKMYIIYGDGPKDVLKKYTVLT GKANKVPSWSFGLWLTTSFTTNYDEATVNSFLEGMKARGSPVDVFHYDCFWMRAFRWT DFIFDSERFPDPKGQISRLKENGLCKKVCVWINPYIGQAGVAFKHAAEKGYLLKRKNG DIWQWDLWQAGMGLLDVTNPEACAWYVECLNGLFDKGVDALKTDFGERIPTLDVQWHD PTVDPHKMHNYYAFAYNKLVYEALQKRYGDSEAVLYARAACAGTQRFPLVWGGDCEST PEALAESVRGGLSMGLSGFTFWSCDIGGFEGKPPPWIYKRWVAMGLLCSHSRLHGSNS YRVPWTVDDDDTTEEGCSKTLSKWTALKTRLMPYIFSQAIESIEGGIPMSLRSVALEF PDDPTSWFLDRQFMVGSQLLAAPIYEESGEVEFYLPKGKWTSYFTNEVKSGPGWFREK HAFGTLPLYVRENTVLVLGSRKEVGAAYDFASNVEVGLYQTSPGSKAVVVNGEGATVV ELVVSDDGKLQGTDKLNGDCKVTEKGRDLKGDSPVSIESL FPSE_06022 MGKAYNIGLACFAAIGSFLFGYDSGVMTDVIASKHFQNYFDTTS TSSIIGAINSTFSGGAVFGALFGGVIMDRFGRRKTIGIGAFICTIGAILQAAAYHLAM MLVGRIIAGFAVGLLSMSVPVYQSECASPKNRGLIVGLAQQMIGVGFIVSTWVGYGSH HMPDTSSFQWRFPLAFQALPSVLLCLGMLWLPETPRHLIATDQLDDGMRTLRKLHFNG SNEEWIKSEFNEIKLTIDAEKLATAPGWLIMFKVPQWRKRLMLGTLVQVFTQFTGINV IGYYQTIMYESLGITGKTNLLVAGIYNCTGPLANLVFITLISDRIGRKRPLIYGIIAI SIALVLESVVNSQNVDGTHHGLSIAGVAFLFCVTVIFSLSFGPVSWTYMAEIMPYQIR SKGCAFATGIGNWLVSTFWNQVSPLALKELGWKFYFLFVAFNIVVTLPTLIFAFRETK GLSLEEIDLLFGDRALGNLPADIEKDGGAVAVTDSHDERPDREL FPSE_06023 MASQQILFAETIAGMKKAFKRKSYESDSDSEIESYSNRGNKLKK KARFARQGQLVPNNGPSSYKEYVEYAGVYRPILYRNPPLVDEEGYEIDSDDEDEDRVQ EAEASAAELNPYANIQIENILAPLTASTALPTHPTLSKPFTSKTLTRLVDQSCDIVRK ENRSLWKVRHLLTSLCGDYTWAPCEMMVRPADVELYTDNHMARHLLALSQAVSALPAI ANGDVGPHQNGVTAGDVAPDTTLGGELMGKDAAEDADITMTDAGTTADPDGSHLEDAG EAEKMDVEKSADTKSNIPSGEQAPVEQTDSAKASKVEPINGVNESSNEQKQGGIGADG ADTSAKQTVGDIHQQTELVERIAPDASLVSEAEDDFIHPLFLAPAGARPDRDIGLPDQ EAEDIRRLLALYVQKQEEVCRGANKLFLGLLKAEQLRKDVLHWSKAEAHSGPNRDMSD GEDWYDKEEWGLTEDLKKGQDEEEEDVQTTGKKTRNRRQ FPSE_06024 MGIMALGPQDFLDYVNYDKQSLYISAASIAFNPLFWNIVARQEY HNKLLTKLFGGNSQVACYALAFTIFSLGMVRDLVFKNAISEQPTHPALVNDYMRAAAA TLFAVGNVLVVSSTWRLGITGTFLGDYFGFLMDEMVTGFPFNVTGSPMYTGSTCSFLA TSLWYGKPAGVLLTIWVDIVYKLALRYEDPFTSEIYAKRERERAAGKKSN FPSE_06025 MASKSPILPVEGKRNILVTSALPYVNNIPHLGNIIGSVLSADVF ARYCKARGHNTIYICGSDEYGTATETKALEEGLSPADLCAKYHALHKGVYDWFRIDFD IFGRTPTQQQTQIVQQIFKELWKNGYIEERETTQPFCTHANHGKFLADRFVEGECSIC HDLGARGDQCDACGGLLDPFEPEREPSASEDDHVEAKATGFLINPRCKVDGTTPEKRK TKHLFLRLDAVKDLLVPWFHKSSKEGDWSTNCISITQSWIDKGLLPRGITRDLKWGVP IPQDLEGLSNEEYAEKVFYVWFDACIGYVSITKNLVDGDNLDGTNWEKWWKNPENVKL YQFMGKDNVPFHTIIFPASQLGTGENWTKVHKMSTTEYLNYEGGKFSKSRGVGVFGNT AKETGVDPDVWRYYLLSRRPETADSEFKWQEFIDCNNNDLLKNLGNLNQRILKFTQLK LDGVVPDYTKYTDERLEEHKKEVNEALKTFIAQFDAIKLRAGLASVMHISALGNKLLQ DSKLNNQLLAEEPERCAAVIGLGINHLQLLASIVYPYMPSTSDAILEQIGSPGLVSIP ETWTGDIVKPGQKIGEPKLLFTQIPASKLDEWREAFGGEEIRKQKALEAEKAAAKKAA KDKKKQKKLALRQAEKAGEASTEQKSVEEQKPAEEKKPVEATAVDLLPDDKPSET FPSE_06026 MSVFATKKPFSAVTVTVENLTSEAYEEEDFSGIPELVEVITLQA TGPSEAARALRKKLKYGNVHRQIRALVLLDGLIQNAGERFQRTFVDEPLLERLRVCGT SDLSDVAVRNKCRELFRSWSQYAGKPGLDSLSRLHRELPKRKQAVTQERSKVLKETEE NPFVDDEQEAAAKAARDARNAPGPSSSTPASGSGFGHAPSKSSSGGSSFFGGSKDKKK DKDKDKAKGKRKPFNLEAEKDQMKSVIADSSIAATNLMNSLQSVNREVERISENQAAV ERFEACKLLRRKVLRYVHHVEEEQWLGGLLHANDELVHALMSFEQFDRSIDADSDSDD ELAEQAHLYRMATIKGKEAMAKAAAQSPTTSQPPDLSELNISNSPAHAAPPRPPPMSK PQSKPPTQPPRPAAVSPPPPPSQDDEDDDDPFGDSHALDTPSHEREQPKW FPSE_06027 MSGMRSPAPTEVMDITNMLNKKGQMQQLTSGLLDHHQYQHSFVK HEPGMERSASPHGSEHSQYSNPHNIARAYPSPSTMQAPMHIPNPMPSAMGLSGYPEMP NMGGMPHMHMQHMPQQQQHHQPPPPPQQPIKAYPCSTCGKGFARRSDLARHERIHSGV RPHVCDWPGCGKQFIQRSALTVHQRVHTGEKPHHCETCAKPFSDSSSLARHRRTHSGK RPYKCPYADCQKTFTRRTTLTRHQNHHSGTIEEAAAATAAALAASKTKGMSQARSESD HMSNHGSPMTTPSPSQRTMSMSPSVDLSGTNSIPRHPGDFQYLQQTGSLPMHMRVGSP TSTSSGGYNMMRPTSHPTSYGPPPTLEPNLDNSQGTPSSNGGSPHMANVGWQSPSHMA SPSQNNASYVYPDPADAYPTNNAMNQMYYGAATHMRRPQSAEPGLVHMA FPSE_06028 MIVDIVIHGDILCPWCFLQKKSLEEAMKRYQALHPEVEFDVIWK PFLLYPTLRKCDKRALYEKIMSPEKLRLFTSRLQTAGARHCVAFSVTGTTGPSQLALR LVTLALQTRGPIVQSAVLDALFRGHFEHGEDITNESWLLHIGRTEGNLDDDALRAALM GPETGKVLEEEVRSAAVGGVEAVPCVTVQNRFRVGGYQEANIFEGLFDKIWQENR FPSE_06029 MSSYLEKQTNAFRGTLSSAATKISNPSTNKAASLAVASSLAPAS PSPSAASDPNTPTTKRKRDAAPDVPYSQPQLTGYGAEVKTQMTFAVEYLKKKGDTKTI TEIIDHLSLRGYDEEHKRQLAEGLRGHPRVDWKPDANLSEQTWKTGTYAHRPIIPGVK NATTLLGHLQAKTDASGVSVKDLKDGWPDCEDTISALEREYKILVVRTKKDNLPRYVW PNDASLHYKVQPEFQSMWQRVQLPPIDEMHRKLTSVGQKPTSEDPRKTKEGQGNKPKV QKRRKGNRIGKATNVHMAHLMQDYSGMRR FPSE_06030 MSATKEFTMQDVAEHNTSKDIYMVVHDKVYDCSKFLDEHPGGEE VMLDVAGQDATEAFEDVGHSDEAREVLEGLLVGELKRLPGDEGPKRRIANSNQGSGQD PTGSSLISYALVVAVGFAAYMGYNYLQKQNEAQGSA FPSE_06031 MSDIETVSSFVEGAPPGELADVIADIKSLTLETNPDIVNNLTPA FEKYNEEQFVTMKLPGSSQPVIISAYNSLGDGRYFDVESSSSFTFDHTTQKASAVQSH MLEGAQADLVKSTLKSIGPYVDEHFANAAHGVYPIESDSKIAIVIVGNKYSPNNFWNG RWRSLYILDPSSGALEGSLKVDVHYYEDGNVRLLTNKPVSSTISSVNGAGIVREISTT EKRYQEELNKGFVSLSEGAFKGLRRQLPVTRQKIEWDRVTSYRLGQDIGNGGSRR FPSE_06032 MKHRVIHQFKRAHIASCPSTARLSFALPSGRRCYSTHPPNAKLN LPVDYGTTPLLAHSSQTALSHKELPEHIRNGPTKKMNLFQAINDAMGIALTEDESVVV FGEDVAFGGVFRCTMNLAETHGAERVFNTPLTEQGIMGFGIGLAAEGMRPIAEIQFAD YVYPAFDQLVNEAAKFRYRDGTCGRSVGGLTVRMPCGGVGHGGLYHSQSPESLFTHIP GLKVIMPRSPAQAKGLLLAAIRSNDPCVFLEPKILYRAAVEQVPTGSYELPLSKAEVL KEGKDVTIVSYGQPLYLCHNAIKQAEQDLGISVELIDLRTLYPWDKKTVLESVRKTGR AMVVHEAMVNAGIGAEVAAVIQEDHDTFLRLEAPVARVAGWSIHQPLLYERFNLPDVA RIYDNIKRLLDY FPSE_06033 MPTRESSRPPRSHRPKDRDRERDRDKDRDRDRRRDGQRKRKSRS RQPASGSEDTRDRSSRTLSSHALADLERENARQKKRSERASRDYRDESRRPERRRDEH RRKDRDPDKTRTHGKNKKRVVSGAVMEEGQSKAHLRGGGGSVDTIQQEKDFYFSKPPK SKKKKLWIAIGVGAVILLIIIVVAVVVSQKKKDGGDGGGSSNSDNSDDTTDTSDLDGK DRSKIPEKWQNTYLDPWTWKSTTDFNVTFTDEMVGDLPIMGLYDDWDDSAQANDNVPP LNKPWGSYGKKPARGVCIGGWLYLEPFISPSLFNYDSKQGIIDEWTLSEKLGSEAGKT LEKHYASFITEQTFKDIQAAGLDHVRIGFNYWAVEVYDGDPYVYRTSWRYLLRAIEWC RKYGLRVNLDLHGIPGSQNGWNHSGRWGSIGWLNGKDGSKNAERALEIHERLSKFFAQ PRYKNIITHYGLANEPRMTSLKTSDVIKWTEDAYKIVRKNGVKALVVFGDGFMGLENW QGLMTGYDDMVLDVHQYVIFNENQIDYTHKEKVEYACDGWTEQAEISMDRSTGYGPTI FAEWSQADTDCAKFLTGVGWGTRWEGTYDTGNKDSSILEPRCPTKDSKCSCRGANADP SDWSDEYKKFLKMFAEAQMHSFEKGWGWWYWTWKTEDNHQWSYESGLKAGVLPDKPWS RDFNCDKDVPDFEKMGLPEYL FPSE_06034 MSSQSSAQYFPKIYSLTSVDHARSVYDEWAKTYNEEITGKGQDY VGPAIAAAHVPQALGTPTISPDVKILDAGCGTGLVGIFLARLGAKKLDGVDLSPGMLD EARTTGAYRNLDVTDLSRPLSAKNDSYDVVTCVGTLTQSHVGPEAISEFVRVVKPGGY IVATVIREIWQTGGYESHVKALAEQNKVKVLSAEVQDYRRGAGAQAYYLVLQVSA FPSE_06035 MPHEMEENSAEVSDVMSENEDETETFIKNDDEKMADQNNTEVKK KYDPKDPLRPRRKKARRACFACQRAHLTCGDERPCQRCIKRGLADACQDGVRKKAKYL HDAPPEALRPVLGPNYNPNTNPTPPRPNAQRQDSNASQSDSLSANGSNFFSQASTTTL PVYSTGAQTPVGIEGLPFNPQASPTAFQPPIANPHPPMNNILPAGNMDFNALFDPSNP ALYNFDLEGLNFGSQYAGWEFGILNKMALGAETPPRENSMSQTPTTEANYAALFGNAN GNANGFDHPMLGADFSGMDQNNQSLYAQGNLQHGLPHAYAIAAGPTSLASPSTDATAS PHSVAGMEGSPNHNFAGIPTVPGAQRHRPKTAKAAPKSYLGKRQRDSAAIYESVKEPY PYTTGFHNMVAVLRNRLPANKLLRIAKALGEIRPSFISCTKDLTRQDLIFMEKCFQRT LVEYDDFLQHCCAPTIVCRRSGEVAAVNKEFIALTGWTKEVLLGKEPNLNINTYSGRS TNGSNTPDNNTQGDMPTPRPQKATLDNSNGRPQPVFLGELLDDDSVVEFYQDFAELAF EDSRGKVQRSCRLNKYRSSQSLDIKSEHGVPKDIQPSILSSRVTRIDSEHGISRIERD GKVECTYCWTIKRDVFDIPMMIIMNFLPRYLPDQGPQQLAV FPSE_06036 MTARPSVVGPDAGPEAPYPLHMEGKVISGFGRGSKELGIPTANL PVDEAMTPWISDMASGVYFGYASLALPSEHPDASSSASSNTAPQSGTEDALLSAEAPT NPPFHIFPMVMSIGYNPFYKNEVRSAEVHVLHKFTADFYDVPMRLLILGFIRDEKDYK SLEALIEDINFDCEVAKNSLAREAWAPEKGRVISGKDVGGRLDVQWLVRDA FPSE_06037 MSSSAAIIGSTGLVGSNILSILLESDIYNPVHTITRRAPKATSP LLNAIVDSDTTKWADALTGLTPKPSIVYSALGTTRAAAGGIQNQWKIDHDLNIELAKA SKAAGVSTFVFISSGGTRSFLGASSPYGKMKNGVEDAIKEMDFEQAVILKPGMIMGQR ETSRLAEGIAQSAINGLGCLSSGFRDTIGQDADIIAKAAIRAAQLAKEGKAPSKYWIL NASDIIKLGRTEWPSNQTTATETKAETAP FPSE_06038 MATQYEVEHNVKFAEGRRSGRRVDMASFFSLLDQIAEPSGAQTP HHNPHATPTPVDTAALFRLLQEQLHQLQMTAPTDDNRDFLQSLIVNLEGDIIDPPTRL AGVSQEFLDSVDRVNRKTLKEDDDCAICKIPYLEDEYCLVVELPCPGGHQFDLECVGP WLRSKGTCPICRHDLVKKKEVPVVQDDDEEEDGDMMYA FPSE_10698 MSLFRTIMRTSATTIRPLHTTARRQMAYKHDQDRESLHPTANEN SKSGTDDQMVSEHADVAFDPNTTRPEEAAEKTRKKTKSKGPEGEDALHASGANQEISK PLGDEKTTRTKGAGEEISKGGSSGRGSAPKKGDLPKSK FPSE_10697 MGNGQGKPVDLNGEVNLNHFRLLRVVGRGAFGKVRIVERKDTNL SFALKYIRKDEVVRSESVRNIIRERRMLEYVNHPFICNLRYSFQDIEYMYLVVDLMTG GDLRFHISRKTFTEEAVRFWIAELGCALRYVHAQNIIHRDIKPDNVLLDADGHVHLTD FNVASDVIPGRTLTSKSGTLAYLAPEVYAGKGYDVRADWWSLGVLFYECIYNKRPFEG GSEGSLSQQIQAASPKYPVTQPPVSLSCLYAIGSALDPNRESRMGSTWESFIYNEFFK CLDFELLELKRIEPIFVPSSEKTNFDATYDLEELLLEEAPLEARARRQKPRERLKDDA TEKEIREDELYRMIESDFKPFDYTVAAYKRITEGAGSTEEGSPATDNAPQAITTDEAT PVPAVNGGYQSSPLNPSTSNESRTGRPVRPAPPPPLQNNFQTRHVPIVAGQRMTSPTG GVQVTLDGSGSWSELARQDATLPTDANNIGDGKSEGSGGMFGFLKGKKGRTNSPKPKE RGVLGKEGARVVIG FPSE_10696 MQHIISTVTNFVDLFQFWVGPVSSEQPLRSWEAIAQGTVRRDLA DRPLLRTYDRMSGSLPDESGDMYARAPDMPLRTSQARRSTLHTHIRNNEWRPTPYISF TSSPEAIENFANFRRTRPYRKNQTIVVIDPVVRLEAHRPVINYGEEKRYYNIQVPYNL SQKELDSHYICLWEVRADEVVGHWSWDELSSKPNWYDDVVLPALKSFREKRRQYQVEE NLSSALSALGLNEPSTESSSDHGSSDEEVFESPDGSDPIRDGIRANL FPSE_10695 MRMAATDMTKPWTYYSFRLLVALTTQYTEARSMPTMETGLSRED VKTMVHHILENSKSLIKDEDDSSNDDNSNKNTNSRTEKGAIVGGTIAAGIILGVTVFI GVLVYKQYRTRRQRANGQTNDTASSSRKSSSPGTTSGSSADRSWRHTFNHRVLAPDSV SIYSQRSYGYAPSATQTEMVPTRSIPPPTIPQKAAQMLGVEGIHAFGSTTPLTTSPLP SPRLPNSPLAKHFIAWNPLAQNPPQQHPLPQCSPTQGPLLPTPEPVARNNSKSSSDSR TRARTSSNCTMSTLGRELLHDVMKPLPPIKFSPPPDTNSLPQPPPSISKTTKSPPVSM NSTKGQKRAPSRRYFVPLFKTNGQLLSTTSPDVTLTIDSGIQEEGGANAKAEAEGEAE TVLRDSSRAGLFSLGENKI FPSE_10694 MVLEFVSPRVSAAHMVPGTERQVFMRLKDSEDLVRAYPRIPAMS LKDCLLDKGICPCSLVNPTIRGLGLGLCYGCRAQRNDESTIAVTLLRQQNAHRIQSSK FIFVDSGLSVVAGSAVMDTLLNDERLGE FPSE_10693 MNPTITEHDFRFPRRPSAWPATTAYHAPTQRSGQSSHIPNSRDV SASFKEHKTDMATTYSLARQGLGVTPSFPFLINGLADSDRSIDKMQQDDPLATQVWKF FAKTKQQLPSQHRMENLTWRMMALSMRKHKEEQQRQNEAEARRKSNMEASNRLGRPLM QSSPSGIAQLRKSSEHNMPQPDPMNLDDFIFSDNSASPGNFASQGDKMADDRVSNPMA SAIPIKSRKELSHQTFVPQSVPVQPLHQATQGHEFNYVNRHLRKTSIDDRRTRKRPAD FSPQVPAVNTAAQNDLDLDSELHDYSLDQPNQTSIPQQSNGIAVPFGLDTFMENDTSM VNNNGNFQQNFSFSPSTSPMIPHGPFSGMYHNSSVPTTSVNNNDFYSPPASAYPSNVS TPHPVPEQDGFYFGSQDARAQRPQGFQQSINSMLSQQFMYGNANGNNGNTMFSAPGTG SESMSAYSTAPSSFGHIDPTQVFQNDQPVQSPTMQTQQDSMFSFGADSDDEDNNAFAD RNVPMQKDISSSLDDSGSMGWDASLPGQFSTQAARFPGGPTRKQVTIGGATTDFVDNN GDWEANGLERSQSQSFRGGNLRRQHPKLPRNASTPVHFSGQQNGFDQLAQSLQSSPAG DANGTLSGFSSVAPSRPSSPPMSKQGSSTNLQAAAGNGSDGNAPTTCTNCFTQTTPLW RRNPEGQPLCNACGLFLKLHGVVRPLSLKTDVIKKRNRGSGTNVPVGGSSTRSKKTAS ALNSRKNSTLSMSTAATNNTNPNSSNPTPKATTPPAASRPASSKDVESPISGTTSGTN TAGSTPNSHLVGPGASSGAMGGKGVVPIAAAPPKTSPGPGASSMSMQRPTTASSKRQR RHSKSIGGDVPVSMDIDSPDSTSSIDAPRPFGSSAGLSSLPGGMSASSFNLNQRPSTL GSATGMISMSGGGQTSSLIGSSAGPQEWEWLTMSL FPSE_10692 MASFMSSFFPGGKATNASGENSTSRPATPSTKLDNFLNPVSTPQ GSPSKKTNPPGAHDLPSAFESALNLNTPTIEPPLRLGRPQSVVTPLAPGKTKTQPLDE SSPNVDESVVHKSRPGSPNKKQGQENTPPTSRLAALESPHQHSHAAVTRQQLYEQRDR PTTPATKRFNTHRGLTAEEREILQKPNVKRLVNVTQLYFLDYYFDLLTYVGARQNRLQ AFKNEYPPPPETDEQTHNQMWTKYAGRERANLRKRRVRLRHGDFQILTQVGQGGYGQV FLAQKKDTREVCALKVMSKKLLFKLDEVRHVLTERDILTTAQSEWLVRLLYSFQDERS IYLAMEYVPGGDFRTLLNNTGVLSNRHARFYIAEMFCSVDALHQLGYIHRDLKPENFL VDSTGHIKLTDFGLAAGVLAPSKIESMRVKLEKASESSVPFGKPMDQRTVAERRESYR TMRENDVNYAKSIVGSPDYMAPEVLRGEEYDFTVDYWSLGCMLFEALTGFPPFAGATP DETWRNLKHWKEVLKRPVWEDPNYFLSNRTWNFICTCINSRSRRFSNITDIFAHQYFA EVEWDVLRHTRAPFVPELDSETDAGYFDDFTNEADMAKYKEVHDKQQALETMAEREDQ MSKSLFVGFTFRHRKPATEDGASPRKRIPTDESFGTMF FPSE_10691 MKFYHAVAGFAATAHALNSKFNIFGDNDHADVNAPHRIPTVSES VVMARRILALTKIATLSTVFPSGHPNGDLAIDENRPTGLEGVPIGMMDYVADCEDEGN PTILEIKIATTFRNVRAGSNLTLSMNWVPPYPPTKRISFLSRLSAYIPFLPSYDYNSR AEESSSTPDTVPYSAANLPRFALFGYLEPIETTPVSAVKLAACFTRKHQDAKYWLPGN VIHESSWARLVVTKIYWVGGFGDRARIGWLPVDEWKSVTRDEWESIKLPGEERGWSEW SVSSAGEL FPSE_10690 MTSRTPMGAHPRPPQRSVSSSSLPVQRPPPQRTLSHQQQFVPAS PVRKDSSFIDLTADAGDVTPNRYNTTPRRGGSRLRLELSHNASTGPLPTSESPQSLTP SRIPADPFQTMVGSPVPINSANSTSMPMPTRRPVTSQLRSAPPITTTTAPALAKKDTR PKPYTVEVPSAAPRYFAANRPETTPRNLVDPFSKGLNSGYADFFPWNGAHYEDEWSSE AITKGTWDKVNLNVPETSSAKLAIFPALKQKTGLNALSTIFMGVLTQRRHRGQINAPS TFKPPPRVTLTDTKREVWLKDLANPVISLRRLSRTIPHGIRGRTLLDQCLNKNVPAER AVWLAKCVGANEIRGLKRKGVNGAFVMGGELKWARDWTVFVEQFVDAVVTGFSEKDWK NRVTYAIRLATNLYSEQLLDRDHYLDWIVSGIENSLQSRIPMWLLIAQIYWKDLLRFR KYGRRLVFALLSHLHVIYNDPDQDLLVQLSNRLSTLLSSLVLSNPESFINPGAWPRYK NTLQAFLRTDHEPTQKSLHIINSRNSRLVVSSTASPPAGRSQLVKLLDAALKESGDDH LAATCWATSENKPLIMKTVVEWATSFHRPGLAKVYAAARLIRQWSQFRVNPTTPILET LDSISIEDKTRKNLIYHLVTELIRTGHFSVSQYMQWTISRGGYHSGAEIDADSGPCSS RLLVELPLHALSEKKRAERGNLLRRAGNYSIDEEEQDIANAIKFVRHTVGLPLPPGDP LLERKPVSLKRLLPRISSSSGALRSSIGAHLRDVLTSQFNHNSQPSLPLTMYNSIRAI METAEDYSMLSDILGACSKTADYDVLASVADTVHSNLQIFLALGSANDLFNVLIERLK SMNEEQGIVPRPLLASLSSLAQRMAGHEVVASHLRQELLLSDRSNAVDACSPVSDIVA APTQHAENELAEDVEKQLSSGTRLDPPTMNKLFRRIIPALERGWEKMDDTRRVFATLL TRIRVFDAHHFDKLMTDWTSHVRSLPNRSALVSLFPLLVSTGCLTMPILMSTASPPSA SFQNLSSNPSGNKFGPATYLQELLQLTIMPLPSGTGLSAEENYRFHTEQKCAKFEQSK GLLNLVRNALLEYSGLRNHANSPEFPLDNPACQESLLETLRTLVLVDSSAVSNALGIK SLPAEAVGLVRKVTTKLLIPGDSGDTQISFDHILQIANELTLPFCQLKLNLDLSLPQP NANEGDQGSSRFEIFAKAMDRAIEAGNIMWTSLLPCLSDDITQHLKSQAQSVFLEFIP SSKAPECADTGSRQSLRMAENLLEVVEAIISGQPPPMMAQLSLGMVEKLTDLWEIIAA GPHERPNCYTAVLQHWLPAMLRFVTLHSLSSEPPSAPLPTASATRPPIPPVHDTRARI ILVLCGLLLELDTISPAIAGSLPQQVFDIAILLVDALPEDLRANCAKAILLTSGGLPS QGTSSDPRLYYLFSSPPPSLSDNLMLSHREKAANPQSAVARGMGAQYGIGPAVQERLT PFVLRRWEVLSEPTPNVGENDTSLSLGLFEAIKLQ FPSE_10689 MNDYNPSANDAFSPQQERGRSGSMMSLGYIPTNTQGPSSDNGGA TVDDSMNKSQNPDGDSTSPTQPAGSSGANSGLKQVSDVLSSEIGIVTLLNRLKQSIAS AKEFALFLKKRSSLEEDHANGIRKLTRTTQEVMRRPDHRQGTFGSAYEAMASTHERMA ENGIEFSKELHQMHDELMELAGVAERSRKSWKQNGLSAENKVAEMEQTMRKSKAKYDS LADDYERVKTGESRQSGKMFGLKGHKSAQQHEGELLKKVQAADSTYHGHVQALQAEKA QLVSTTRPEAIRSLQDSIKEIDAGISLQMQKFASFNERLLLSNGLSVSPIQGPGAQSI SFQRSLRQAASSIDNDKDLNDYVTAQHRSVPANTGEVEYKRNPVLNPPNTSGHVPGGP VQQPVIVQSPVSQTPPQGGFSGPQPLGPGSRTSTLGLGPITGITGDSSFPSDDPRPFS QPHNRSFSQGNMPLQQGGPGPGPGPGPNQQAPGQRYGNGGSISSTGPPQLGALPFQPS GSPSQQTGPNQPPHERTGSSANAGHGPPGAGRSPPPYGASSHPPPAPGPSGPSGPSRP VFGLPLSRLYERDSLAVPMVVHQCIQAVDMYGLNVEGIYRQSGSMAHIQRLKTMFDTE SSSPALDFRNPENFYHDVNSVTGLLKQFFRDLPDPLLTLEYHDSFIAAAKHEDDTLRR DSLHAIINSLPDPNYATLRALTLHLWRVMDNSHVNRMNCHNLAVIFGPTLMGTDPSTA IADAGWQIKAIDTILQNTLQIFDED FPSE_10688 MIATVLRQSPIIAVDMANSTTTTTTPSTTTSASPGANNGAETNT NATAPGLNDLDYFINVLQLRDGRTENQIEDDLVSKANALGISSAPVADKRNTSSVESA STAYNARTFSMLSGGSTSTALTTHSSLFGPPTPDPAPSSGRQSNDLSFGQYDRYLSVI DPHHNHPKTTRQSLLPADSPPSIFSGKTKRSLFSVKSGFKLRWKKRSPQPIQVVLTCV SCRADFKSSKSLHSVSCGHTYCDNCLRSLIHAAMSDESSMPPRCCAQPLPGSVIRDLL SRDAQQEFLKAIVQYSTPWQARVFCSNPSCGEFIPPRQKLDPKYPSNVTCRKCNTRVC LMCKHNAHPTGKDCPEDWELDQVIKTGDKAGWKRCYKCQNLVPQERESSHMTCKCKAQ FCYTCGGVWEFTSGCPNSCNQEEEMERRRMKEQVQLAEYEEEKAMQETAAAAASAERL EAERQTRNNGDFSDMAKTQERELARFLEFFEKGREHMRSRFVEQRKAMLQQHVGDEEK MKEEHAKSISQLEDRQVAAEMDLRNTLEASARSVNIRLKHMEAYCDGLGRNSGSSSPD SASTQPQRVVTERDLRELGQQYNIRDGMERSHQAKINVMRDRQAKRMEELIDRQETEY ENFLNQNRDELDKLAAQAAHEEEVLANTFSSRRAKLVRRWELAIEILRKELEAQDGVK YAPIPTPTWPEDKVQVATSSK FPSE_10687 MAASGLIDPTKTGNYPVILGDALLGKTSNEIFTGIQYNHKPTLS SDQAPNSARIKPSVPTKTTSYDLTYTDNDEKYAFTGTRNTSSGQYVLYFDPSREAFIL DLVDSTFNMNITRLPGNSDPDSLRRQYPHIDSSASKASAKTEKNNTDAEKPTTKARAK PSTQKKEVKRKPEKKQAPKNVALSLPVPMPAQQKKPAEPKRRTPAPEEEEEEDDDDDG GLLVEDPGADTNVTRRTDFSPAFPSFRRFDEFMDQRESEGDDADGEEDDEPDFDKLPS PVNSRSFYETGPDPMDVDEEEEAEEEDPEVDLAKELEDAFENFENSQQESPDGDESEI SEED FPSE_10686 MASEQYPTAVSGGCLCGSCSTCQCSQCRKNGGSLIAWLHKVPIS SVAFTSQTTLSRYHATPDAARGFCTSCGSWLFWRNEKSNSMSMSVGTFDQEELKQWGQ KLAYSEVHLWSEDAIEGISDHLPGEKWKYHDKGEGIERIG FPSE_10685 MAPGSSTGAQSGPDSKKRKADTSAANGRGDNFAQRRQMVYAARS IPAQPAEAVIKDGNLDVQAFVAAREFEIRALEQSKATSKAVSSSRAFQKPPRGLRRRT ASHNPKRVPRRLRKRAVKEMKDDNTPVVEARRRKPRTTRARIRAETARKLGILATRKR KASLAKAKKDGDVDMEKSLAVVGRAPRPKIRRNELNEPPKPKAKFRKRQLNKTWLPTH SWHAKRARMTDPLDPLWRFAIPLTPNEKIHRPTHRAQGDRGAVIWETSYMSTIGLFGN RAGVERVLKRVGVIQDSCWNNKGTKWRLGSRSWTGVLSRAINGKQPNHDDRQIIGPCT ILWNPESLMVEPNQEPKKEQRQVFFRIHPSAFLELFNELLSLTKQETPRLYIEDLRFE IGSIDLSGPGSTEALLAVLHPYSRKDEVKTTHAEMFKGLAGLTNAATLPADTVLSFSV QDPRFHYPPKRLEVPDDNDTQLRLLEKIAAWPAEEGLKPYAIFDRDARHRASLLPSQK QVDKRKSKKVPGTLLKPIQTDPPIPITLLASRAGTGTQTQGTWTLLAPWKCIQHIWYC LVHYPLSSGGNPRFAGLDEIRHVAFERGQPWFPGDFPGTKAGAGWELEQRRKRKAAWE RRPKSKRTVWESLDLGGGRKGEVGDGFASDFEMLFGPVGASECEESHGQDAMDVDNDE STESTQALKLQKQQRLYQLCQIPKDSFTQATTSPTTFTVPRNALLGVRISVVSRGTIT PCARIYRLPSRPAPAPQSTETEVPATIPPDSLSLYSLPHDLRSQWLSQAPSFTGGKDR PSRTSRPHDLESLKRQLAAELIAKPPFCPSPAANKSDMNGHPLVPNAEDLIGFVTTGS FSLSEGHGMAIGSIAVEKVLDDIRKNAREGRYCIVRNAGESVGWLARWEAV FPSE_11572 MGFLKPEVGKARKPKFDLHLKIYDLNNVPLVSGQSFVKWHVSHS MTAEHRGRTQKCPIANHRVDYSFVTAVPGIRISIDRNNHLAECPMEFEVIQEFGIAEK VTLGVVRLNLSEYVEESEAFVKDVASPGRMRSGSFGVNSHGNGTSRPRRDSDVVEDGI VRRYLMQESKVNSTLKISILMVQVDGERSYVAPPLKTAPVFGGIGGIMGEAIEDEAGP IAAAVPNLSKPRDAAELQDLYRSVLTASWCRQPEEHSAEEVIDDIFSGGNGWKTKPHN ASPVTDGDDDDDDIGHRGTVRTRDVRRITHNLLHPHIHGHNHRSPSRNRPNTGPNGSS HRRTPSNSSDKSFSTVTVTPSNRRKGVRIHERHLDHARSMASMTSTMTLDSDPMREAS YKGAHEVKEDDMRNDLVAWRLPGDQVM FPSE_11571 MSDNAGAKGPEPGDRVAIGITFGNSNSSIAYTVDDKAEVIANED GDRQIPTVLSYVDGDEYYGGQAKAFLVRNPENTIANFRDFLGQEFKSIDPTHSHASAH PQDVSGSVAFTVKDKVEEDASSISVSEITTRYLQRLVGSASDYLGKKVTSAVVTVPTN FSEKQREALIKSANEAGLEILQLISDPVAAVLAYDARPEAKVEDKIVVVADLGGTRSD VAVIASRGGLYTILATAHDYEFAGVHLDNALIEHFAKEFQKKHNIDPRNNARSLAKLR LESEATKRALSLGANAQFSVESLADGFDFSVTINRIRYEMVARKVFEGFNRLIESVIK KAGLDVLDIDEVILTGGTSHTPRIANNLRTIFPETTEIQAPATSASAINPSELLARGA ALQASLIQEYEASDIEQSTHPAVTTVKHISNAIGVITVGADGEDVFTPIVAPETAAPA RRTVHIPAPKEGGDVLIKIAEGNTHIKVTKPEPKAKGEAKDEDDSDFSDSDEEDEETR EKIWKIGSTLAEAAVKDVKAGGKVEVTINVLADLGVTVTTREVGGKGGVRGNI FPSE_11570 MPLLLNVFDDKAALKPVALHFKLVRLSQQQKSHIMASDTVFVLP GDSIDPSLIPSHPKKPLRLGPGLRHVPPNGIIPTLAGQLVTDRQKNAIRVENARGRYT PRVGELVIGTIQRSAADFFFVTLSDYTAPAQLPQLSFEGATKKTRPQLAAGALVYARV ALANRHMDPEIECVSASTGKSEGLGPLAGGMLYNVSLGMARRLMMPKSVQEGRVVVLE ELGNAGLQFETATGRNGKFWVDSENVKTVIAVGRAVQETDEKNLDVEDQKKLIPENEH V FPSE_11569 MDILRHLSVRKSHVTGHLETRGVVSKRQLSDGGIAGVVVGVIVG IALVALCSYPFIIRRIRRRRQVHSHGPGDANDPETGETPTAGSSPTVDHQRRLSSQDS FKPSGENTRGGVDGSVKDLDWAPHDALIQPNGQPQALNQPNIGHRLNTNLPPQDFNNA QPGFDNSIPRSAPFSVDGTYEEYMPQSIGDAHDGTLNGTSADYYSPAVPSEAFGMFPT EEPRPEPQRSWSRGSSLKHNLKQLFSRKSTRDQSFSSPTSLSLTEGPETTRAAPHTTQ DVPLQRITTAGDPTESPTDITAPAADSLPVPHTAQALGSPIALPSTLPKDAVSSSPEY SPTTFNFNASQSPPSHPAPGTVNPMDMMPASTESELWHRTNYELYVAQSSPQQLHPSM EPGRNDGPVDSPSPLTLAPNTPQPRPQLLPVIQSPTPTQSETTLKKEEPDESQDVSMS DIPSNHHLSPLPDSSVRHPSYPSDASTPQPGPASTNPSSLNTPATQLDTPSPHSGAFS DHRPSVSPGNGASNLSPKNGVYACDEPGCHQVFDQAHKLKHHQRYHTKDHKCPYPNCG KGFGTKTHLQRHINDRHERKKKFHCAVPGCDYSRQGGKGFPRKDNWKRHMTKIHNMDQ SHLPEPVEVDQDMGGT FPSE_11568 MPAAMTPGQLVLDKPLPPLYVLSESTYVHRHEQGTLIEQKNELD ILVRFNEQRTIFKAWPIAPIPGLATSFHTPWLVLVVVPQPSEDLVFPSLDDNFHIDFE SRIELPDGTYSLVNLPATRIGNPYGDVDYLVSKGVAKCAAFKVDVCRSWKNEEGEQVS LDLMSSMQTASSLDDFESISLDESKHQTITITWDTFSNTFEAELSALRRLTQECQLES RQVGQKSKAAFEMILDLKGSDKTFLDLYNLFPHLKNPKASEHKIRYTILQKFRSFNSD HLAAFRGLRRIPNGLYFVNGCPGAGKTEWNMVVSALLQSKRRPGAKRRSPILFLVDLN KTVDDAADRYYGLCKEAGLKLRIVRMHGWPYEIRNSSKLNTSTSGEHDGHGTDFTKKF LATMSINKSVCVGRNPNKAPTLDEAAWEYYEKHRNDGFSALKRVLMRMDTGEVLDNDN WKTLRTQVTKLYTAVLRQTDFIATTPVAAYGGFSRHFKPEIIFVDEAPHARELTTLIP IAYFEPIAWIMTGDVNQTRPFVKSGDVRDTNKQGLEFNPFAEQMRVSLMARAAKVGAI NSSLLINKRAFGNLQKLPSALFYHGRMISGYSAKEQFPGTVSYLRTYLEKLGGGSKLK ENRAVIALTKSKEETHCRSFWNPVNHQWVVGQVQALLQDPDFRCVTDVEQPGRVMIQT PYSVAMRQYTHAVKQWPDEWQNRVEVLTVDRAQGNQADMVFLDMVRTTRPGFMDEPQR MNVAITRARQAEVILMHPEMTFRLRAGLRVPTDYTSKVWNDAVQDGRMFVL FPSE_11567 MVVTHIDIEQREEMEEVIFLTERPTGFPERRRRLSSCTLDGVDT VEVLDAVEVVDYEEIIDLTADLTGLPLSRQGELHLEKIQILNATVQKDSFIRVRKFLF GKYHVKFVLVKTVIRSLSTNAIKIRGIPFIKASEAYSKPHDMMPNEVCMLIYQDEAKH QEFVDINLSDKLEVCFVGQGSRKRQVEEAFIRIHSSEADTQSKVSDEVLRKRWRGKTN KGGSWIPSNVGNPIDLESDAEDNKNRLDGQRYTMFDSCSGAGGVSRGALMAGFKIQYA IDKAPEVWETYETNFPDTELFRMPLDEFIAEPNAGHKRVDILHFSPPCQFFSPAHTHA SVHDDDNIAALFGCNELLQKLRPRVVTVEQTFGLTHDRHGDYFNGLLHDFTQWNYSFR WKVVKLCTWGAAQDRKRLIIIAAAPGERLPPLPKATHGDEPGLLPYNTIGKALRGIQL EDDLHDPDRVHHFNPPRAPYDPERLAGTITTRGGDLYYPDGSRKLTLREFASLQGFPR WHLFLGNITSIKRQIGNAFPPVTVRVLYKHIEQWLLKEDGMIPCDNRNIIAIEEDSED ESPRSPDMMEVDIDERSNHDYCVAEAMVIDLT FPSE_11566 MAARTLRKRGVESQKETSAKKQKTNAETQVKDEFPEELPHNLGP VRATHKNARKIRTPPRESLESIKAIKVEEVSSEAPPSTKALNPPSPQETKPVTRRTLR PRQTAAKSSYFESDEESSTKPQAKRSSKSSSIKQEVKDEDEDANVTMGAPVRKTAKKT KENPYGLTPGITPFPEWEAPSEEDCDEAYRRLAKIHGEANAPEKIPAPSLEVSGCGEV PSVLDALIRTRLSANTSNRNSSAAFRGLVSTFGTIDKGIGKGSVDWNKVRTAPLPTIV ESIKTGGLSQVKGKDIKTILELVYEENTKRREAFLEEKSGGKATGLTGAEGKTQGQKD LEILKTDQEILSLDHIHGMVPDEAMQTLTKFPGIGVKTASCVILFCLQQPSFAVDTHV HRISGWLKWMPPKATRDQTFSHLEVRIPDHLKYGLHKLFVQHGRSCIRCRANTSEGSE EWNKSECPLDELMERTGKRQYGGKAGSKEAKVEDSD FPSE_11565 MDLHEELNQWYEKIQTFDDECHLLCPRINDEDNENYRIQHDPES AISQEEKTKRIEQGRMRLEVTYWNCLIFGFDEASQGKWGKQLGDRLNLCLKHCADCVY NWHMNRRPLLQQFQDKWSEEVANEIKSMLQSKDVMRIDQSLQWARAAIEKIIGTCSVF KKSQLGEHLSEVHISVYEALCCMPYMEDPERRTTFQFVFQRLQGKSGLKLGTKEPLPG MTYFIFDLRNQDRRTWATNNYQNLDSNAMTLDQFDWAVSGGLSRAIDDISRRDPLAPE SWPDIEQFWQSFNEIMRTLSADVIPQRLRDLELKPGSLSIYDLLFRHIQDCPAESVLV TNIIVLTNFFKKAPRAFWDIIGDARPTVIPDLVLKSRVYKNLLRQSLDNCWTGFDSGA SRAPFPTSWVNPWLESIQRDQRYDACDGFMHTLLETLAKDQTIGEPGRAACARAGFDA LQLTMSTFVDQETKIGAGTTHLYACCAFNLPMKYKDLILRNLRAPLQEREGWQTFQVA NAARLAMQTAMKLDMKIFAEEYSACLDGNQLQSAVIRDSKVFWQGVVEMFDVSSERID LAKDIILSLEPLVGVEQVRALKGNDQLTDSSKSFNKSLGETVDILGNLLGRVSELDAT DLNTLFNDRLAFQGTIALSTHGESALAEAASELLKSWTGELSQSGAFEQMSQLHPDQT LSSIVWALERVLKPPYPWGPIRPILNMSRDILRGLTDPISGVLRVKTLEPMSAAKVLR WWNEQWRFVSTACRNIDGWSRYIQNAIMTEFCREIMELAEALVAEDGLITSAISKALS KSEEDTMTSILGAPKQYFSGMEIMIRLKDKWLVDVTVRVICKILTRLRENKLEIAPSS RKLITDACLPTNIPGKYVRSTNMTDQQRAELLQALGQSDDEVQIIQLGFAGKGTPSSK SKDTVKKQSKIDAWSKSGTSSSGTITTPSSRSNRDDVHELSRSIDSPILKQLDAQKAR EQARAKSKTNAMLKSKPKVPDQKSISALKESRQKEKLEKQKRDAAAIAKAKALRGETV PGEGSGLLGLGLSGKDHGKSEIMVNSSDEESDEDEEEDEDADNELAALSTGGLKTMSE AEKRLSKAMQDMVRRPVKKVRQQRSVKEMRARLIPPMDRLHNTILSWDIFHGGNDPPK GPVASEVATKYSDPKTYQDTFFPLLASEAWRSFVTAKDEITSQAFGMKIASRASVDSY LEATFTMPVVQNRERGVSEGDILLVSESENPLGDQTARHCLARVHRITYKKELVEITY RVASRNNSMTQVLTPNVSVFGVKITNMTTIEREYAALESLQYYDLMDEILNAKPSPIL RYDEAKVNNCMQNYSLNHGQAMAVLGAHDNDGFTLIQGPPGTGKTKTIVAMVGTLLSE QLSQASNQGFPVGVPLRPTGLQAPNNQKRSKKLLVCAPSNAAVDELVLRLKAGVKTIS GKTKSINVLRLGRSDAINAAVRDVTLDELVKARLEGDQTKDKAKANRDKLHEDAGKIK EQLAVLRPLMEASKDHEDRTTYTKHSREFDALKRRQMDIGKQIDADKSSGNSVAREME VRRRQVQQEILNNAQVLCATLSGSGHEMFRNLDVEFETVIIDEAAQCVELSALIPLKY GCYRCVLVGDPKQLPPTVLSQSAAKFGYDQSLFVRMQQNHPDWVHLLDMQYRMHPEIS MFPSREFYEGQLADGQNMHELRQQPWHQSALLGPYRFFDVQGVQARGHKGQSLVNTRE LDVAMQMYDRFSNEYGECDLTGKIGIITPYKAQLFELRNRFRSRYGEGITNIIEFNTT DAFQGRECEIIIFSCVRASSTGGIGFMTDIRRMNVGLTRAKSSLWILGDSRALVQGEF WKKLIEDAQSRDRYTKGDILSMFRKPLEKAKPGAYLPPPPPKIQDREVVIRDASYTMS SVPSSRSNSPTSIAAQKPTPVPQIPGIGASDETGIIPRFAGPPVIHTSSSKSAGELKK RPHEGQETNQPVSKRIASDSKVRGGGLMGKFGQKPPRPPKTPVDPSALSVMGLVPPER PPPSMPTSPAIPTGPKIPTGPSNQGHRQSLDGNNQTQQTRRPPGPPPPSRKKAKPSLF VPKKR FPSE_11564 MAQVTGLDPEASVTLGNGASCPRTVPPSFSSPSASAFASASGSK RLNRRSYQNLPRSSSQFQAQARTRPRAKSASNLSARPSQDQDQDQDVRFNDDTFIGQK EDDGEPHDGIAELIDFLRNHSPPSGNFMSIPDDISPEDRGRWYRLRKLGKRSKSFSKS PQTIRLPDSAVSGTTIGGHRHIAISIPLDASPFGRAPRSQYPVYQQRNTRPLSSQYGP TRAVVNDKGVVTVLRTVKEDRETSPSVSPANSQLLLSSVPQRSPKTTTEGQFHSGTIN SSSQTRSSEHLNVMATPPPRIVSSEQVRQANEQSRGFQNHDQGNMSTANPAQHERAPS RNSNSPGGRFVHLGDLSIDTMMSQPVTTEITSESTSSARPVSRDGSYQHSLSKSIMTT SDNDPIVAEARPVEAKSVAARESRILPPTKQSDEPSVTVITKNPLRASRDYSSQKGGE DSPASSSKSSQNRRDKVRDKKKRDLEAASLKRRSMLVNTPEPRQESDYDTIKEVPEDD TDEPPPVPLKSPQRQSICPIMVVANVKPSPPPSTSTSTFPEKRSSADSETIKPLPKSK SGGVSFLIADEGTASRPISPSPASYMNGSPTPPQSARSSPTHDQGSQDRTSLSRRREW NAARDNERKRKEAASSSSPQPRARRATTGRVESDDNATGAEVLRRYEAYREYRIREME RRVRRLERNGDVWLRALVPVLDNLNRTLANSNDKKPSKAQGWVSDDDDEKSQLQKPSP MRPSSRGRMMTRAGTSEREFLEQLVRTREELEAGSISDDMSGFDTIEPLMRELAGRSR LSFEARSLGMDDEGLLQSLSH FPSE_11563 MSKPWIFVSPSTRGIGYALTRHLLQKTSLPILATARLRHDPDDV KTSLLEGLPEKDGLVKRLSIVHADVTDDKSLSDAASRAAELFPSDKHHLRLACAIPGI LKPEKNPSQIDADASLEQFRVNTVGPLLLIKHFDQFLPRRSTKLEQSPEGDEVKMPPH SVWLSMAARVGSISDNRAGGWFSYRASKAGVISLGKSYDIFLRGRSGDKAISITYHPG TVKTDLSKDFWSSTKEDKLFSPEFAVDRLVSVATGMTLDGRGKCWDWDHKEIIP FPSE_11562 MLVSLTVGKVDAGVTVLLTPDKRLIEFPSILLPPNISSGSIVDI NVSQNATKEAAADRAFRALQDSIYNSFGASEPITPVLSCRNATQTSVVLEWDPIQLAT ADLISLSLYRNGQKAGNIPRPLQMHSTKISGLAVDTEYTFHLVLRTSAGTYVSERVPV RTHKMTDLRGITITTGILPSSTRENLTKAVERIGAKLVDGVRIDTTHFVTTEGRGQQW EKAVESNIPVVRPEWVEACEKGGRILGVTKFYLDAMKPGPPVEESTPPPPPEKGEKSL PVPPAQNGESASRSEEKLDDKTQEKEKEENEKKEAEEPQENGEKKSSSDSSSEDDEDV EVEQKAMKSPQEEQKVRFEDKEEQKVALRPANNGEPGKPEHEDKQEKSEDESEDETTA KTAPTPDGASFQEVEL FPSE_11561 MSIARTSSVCRHLQSMPSPICRFYSSTTKDAPNTRNLKYRQAQP AANRPMHPQKREQAKKERPYKIYIPQSQFYTHISPSGQLHTSPEIWSFLQETGIEYDN SKAERLDLGSDSKQVQALFGCQIQYGPYYILNPYDLHHIRPGGTAMLPMVMSKYRQKL EEEPLWVFTTSHGDHPIVKSLMVKRLSGAVWRAAKDLGFSMDGPKGTVMIKVYDPVRV SQAPAHILGEAVAKAVQRRWQQHLREIAKKTEPRTSSRIKR FPSE_11560 MPKNKGKGGKNRRRGTKENDDQRRELTFKEDGQEYAQVIKMLGN GRLEALCFDGSKRLANIRGKMRKKVWINQGDIILLSLRDFQDNKGDVILKYTADEART LKSYGELPENAKINETDSFGQGEDGEAYFEFGDADSDEDSDDGATGKKEVDIDDI FPSE_11559 MPSILNDDDKDTVKRFIPKQSNKIQAVGVARLYVAYPNRSKWTY TGIQGAVALVNDLVGNTYWIKMVDISPTNRGVIWDQEIFDTWNYNQDRTFFHTFELEE CLAGLSFVDEKEAKQFKKKMDEREKNASRATKATPFGGGAQPSHKHGLLGGLFSHRHS THHPTGPTPPESPRMPANSIQHHIINSTPNLNGHRQSEFSLLDAFDPLWREHFGADLQ DKGLTDDFIKENQEFIVDFLREEQQKASQPHSTPPPPPAPASPANGNDGRSSRAPPPP PPPPAAGRSQDGPPAPPAPRKGGPPPPPAPRRSGKAETAPERAPSPPRPKFGVPPPLA EAGKFAHSEPPRAVPAAPNPGPPPPPRPAKTPMDSTKNESHRFGVPPPFPGSRVPPPT PSRGSVPPPPPPRSEPPAALPPPLPPKVPTNSAPPLPPPSTRPMPPPPAASSHPPAPP PLPPTTNGAPPPPPPPPPPPGGPAAPPPPPPPMPPTGGAPPPPPPPPPGGPGAPPPPP PPMPPGGGAPAPPVTGDPSRSAVLAGIQQAGGIHSLKKIDRSQIRDRSGAQVGGSDVG GNSATASAVSASGGGGGMADALAAALQKRKEKVSKSDDESDNDDW FPSE_11558 MTGTEQQKDGEKQFSIQPIKDEAKDKVSAFNAHPGPAMPKDMPQ EEGTKEERKTKMEALNKK FPSE_11557 MSANNAPQPVKLSLPLEYQQSLFQELRADDELVVIARGLGLMRL VNNLLHSYDAAGSNLIVVVGADDRENGWIGEALAEHAAISMSPKARGLTVVNTDSQSV GAREKIYTKGGIFSITSRILVVDLLTSLLNPESITGLVVLHADRVIATSLEAFILRVY RQKNKIGFLKAFSDNPDPFTTGFSPLATMMRNLFLRKASLWPRFHVTVAQSLEGKKKA EVIELEVPMTDSMRDIQTAIMECVEVSIHELKKGNSGLEMDDWNLDSALLKNFDVIVR RQLDPNWHRVSWKTKQIVNDLTVLRGMLNSILSYDAVSFLQHLDTIHAAHSPPPGSTR QNQSPWLFLDAAQTIFDTARRRVYSASARDAAREDNIDSLRPVLEELPKWALLAEVLE EIDRDLYFEPPIRDDSNGTILVMCSNTDTCRQLRDFLQTMHVKPKTEKRVNEDEEDED KPSAAFMMRRRLRNYLKWKRQFAQVSATLFSENQKALNGATDTRPGFGGLRGGKAPAN KRRRMRGGGNAGTSMGRAENGSIMQYIEKPGEVADLMAEVQITVEEAQQKEEVVSDPL DNMEEYFKMYDMQDLVVVHAYGGDQDEHVLEETKPRYIIMYEPDAAFIRRVEVYRSSH NDRNVRVYFMYYGGSVEEQKYLSSVRREKDAFTKVIKERASMSLVMTVDPTEDPEEAF LRTVNTRIAGGGRLAATAEPPRVVVDVREFRSSLPSLLHGRSMVIVPCMLTVGDYILS PNICVERKSISDLISSFKDGRLYSQAETMFQYYKNVMLLIEFDQNKSFTLEPFADLSG SLNSVAPTNMSSDLQSKLVLLTIAFPKLRIIWSSSPYQTAEIFETLKTQEEEPDPIAA VRAGLDKDTRAEEQAFNQEPQDMLAIVPGVTPQNIKNLVLKTESIREIANMSVQELAP LVGAASGRQIHGFFTRNVMEEDD FPSE_11556 MGGVMSCIRSCLQTIGDAIMAVIGGIGRILQAIIGAVVRFCGII VSFLTCGYCGSKGGRTKRRTRSHMRSTRV FPSE_11555 MQSTLYLPVPSRSLLRFLRCQSKRAFASANHGRDTITTSAIRCR ARSLNTVAIRKQSLALTTACERRVTTVEPSLFDKDPVTRKLPDRNQPLTSQNHFSTSR NAQGFWSGRKSSSSYMPTWNSFLKFAGKKNDKALKPDDLPNHDEFGDNSSIFNNRRTL AAKAASEPRLRCTEVDEHGNVILVDGEFKKTELIAKFGLLPRDLRKIDSSNLPHILIR PSAILLNLLHLKVLIKHDRVLLFDIYGSKTSYPQSAFMYDLQGKLQQKTAPGNASLPY EFRALEAVLTSVTSELEADFEAVREPVMHILSELEDDIDRHKLRMLLILSKRVSTFEQ KAKLVRDAIEDLLEADDDLADMYLTEKTHDLYRGEDDHTEVEMLLESYHKLTDEIVQE AGNLVSGIRNTEEIVRAILDANRNALMLLDLKFSVGTLGLAMGTFLAGLYGMNLENFI EETNWGFAGVTGISVVFSLIVCWYGLTKLRRVQRIKMMDAERPAIARGQSYFPDDRSA LGLLDNRNREMLRRINMQKAVSQQKKKWL FPSE_11554 MTLTPVRIRGKRKRPSASNTSLRGISTSTSAATETRSLKRMKRS LSNVLASRATRWKPVLQALPAEIIESIFLYSANVDLPRASPVIGAKLSGRVTLIRFLM WAFHDTWDQCFGNLSTDPAKDKSDVGGDRQLQSTILNLPWISADLIVQSQQTWADTYA RDRHYGHYLPRLDADGDPFMYSHDHQFEGGVGHFNSKECFEADYQEVLSWKPFEAVGE WGGCDIHPKVRIPTVLITGPWDEKRLRLLFWLRRAGKVYGFEENESSWEIQLDCLRNA FIDASEPSVLITNLIDLTSLCRGLPRDVAREERRRIDQRLKWGADGVVAKEILREVYS TIGMYHDGFGAPGSPK FPSE_11553 MTCDAINSSASIHTSRYTPYNTSLASSASSSLSSVWSDTTSQTS DDTSISAHSSDSDSCDSYFSRKAAVAENAPNFRRCAQRTQTDALPAELRQNPRRTSNA RAPCPPALVRQSERKVNFVDSLVDSSTQIVEAIWPLSSVACRNVTSDNPVLPLRNFIQ ETLRRSRTSYSTLQVALYYLILIKPHVPKHNFTMEQPVDRHADRALQCGRRMFLAALI LASKYLQDRNYSARAWSKISGLRTEEINQNEIAFLLAVNWKMHIADEVFQRWTDIVLK YTPPPSGPSSPGGVSQAVSQQVLDWKRIILGLNPELTNLALLIPAAPAMPRSSDLCAL SPRSILNLPQEAQPATGYQSAEATPTPKSYNAPMAMEPAPVGFTSGRLAPALGKLPTP RLTPQSNGLCTPAASAVSHMLSRSSMGMAMAQASNMTTAQYLDRLPTYITSSPQNFCP VRRSSLANSVSTASSPESMISDTSRSSRSSSISSTSTLASATLGPSRLSVPSRFRAQK LCNERLSQKPTVSSVPEDYDVHCYTSSPENCTGPVSKLGDLSLDTPLARRQQELEDMA RDSDAARALQELQNYNASAPRSGTKRSRTASMDNCLQENVREMLGGRYSTQRPTWPDT LVRTRGITSESNLQVPVRPSLPGTGKRVCCSAEAAQGYEFSSVHPALGIRGPGMWEGI LN FPSE_11685 MSHEEDLIDYSDEEIGGNETAATASNGKKGELAAGNNVDKKGSY VGIHSTGFRDFLLKAELLRAIADCGFEHPSEVQQTCIPQALLGGDIICQAKSGLGKTA VFVLATLQQVEPVNGEVSVVVMCHTRELAYQIRDEYNRFSKYMPDIKTGVFYGGTPIK TDVETLKNKETCPHIIVGTPGRLKALVRDKALRLGSVRIFVLDECDKMLDQPDMRTDV QDVFRATPQQKQVMMFSATLSEEVKPICRKFMQNPTEHYVDEDTKLTLHGLQQYYIKL EEKEKNRKLNELLDDLQFNQVIIFVRSTVRATELDKLLRECNFPSIAVHSGVSQEERI RRYKEFKEFKKRICVATDVFGRGIDIERINLAINYDLSNDASSYLHRVGRAGRFGTKG LAISFVSTDQDQEVLKEIEKRFEVALPEFPKEGVDASTYMAS FPSE_11686 MAKAKENKSVQNRIIYSRASYLYQAASYLAQQQSLVRQDVLSKS STSGQEHSASASTKNEQKALQNLSRQAVTDLRAVTQKAQIRQSPAMKQAICKFCDTLQ IEGDTCTSTVENASKGGRKPWADVLSIKCRTCGNVKRYPVSAPRQKRKALRNQERQEG TEDSSNETRGCNSSMEITPNDTPYQTPSQTPGQTPGP FPSE_11687 MATRNVTGAHVRQSMIASGGAVKARQLAQLNSQLAQLSSNLSDT ENLLRMTSVQAEAMRGLGSWHGGLLQETGSWQQARYWERRV FPSE_11688 MKTTISLTALASLAVAFRGHNGIGVPPGVNLTTNEWQARFEDAN ATGTYYFNAYDVSDSFPPNKTAGGWSATIRVANIADDPDPESIPYPGTDISIKAPDGM KLPESNSTGWQACATFWPPGLLTSGATGDAQHDDGDCSSFLSEECIESIKDSANIYIN NRQKCGTVPSVPSECKKWYGGSGTTGSGSIGNFSSQFNGSTLFSTRPQLIGSSNVAKT EEEAYEEAVRGVWTVIINWGRRSPLYYDLGDVLQPTVLCLRARNITEGSEDPSAGTRT AAYAPMALFISILATIVLTY FPSE_11689 MANHELMSVCSVGGNPVSAFLSWRLQATNACDVTLVWKSGYDHV AQYGISFKSPTFGNERFKPRHVVRNPEDAASARDGPFDYVVLCVKALPDVYDLASVID SVVTPQHTCILVNTTHTLGVEAALEERFPTNVVLSLVSGAELTQLGQSEFEHKGPTDI WIGPATTPNNIPKTIQEDMAQALAMTLSSGQVECKVSPNIRQQQYERVIGPIAFHPIS VIFETPNHALLLEKVGVKDMVSDVIDELLRLANASGCKFEPDFKQKTMDEMTKTSGES IMWQDYVARRPMEVETYLGSPIKLARDSNITLPRIETLYSILHNLNLVNRNRPKPGDP NANIMPPASPSAQTVPRMPSQNSHRPMMNGMPNGNGMPPRQPRPRNSSNFSQGGMRRG PPPMNGGPPNGYGRGPPSINGGGSRQPSRRGSLEETNLEEFSHLVLYDDIPEGSEPSV TGDPSDINIREREMALRQREMALREQEMRMRRGPPPSGPPGGPGPRRGPHPMRNSKQV FDDDDDDDDDYFDPTANAAAPMIDPDNFDMMSVTSRKNRKAPGPTPGQFRRNPDDMPP PTRGGRFRPNFGRNRSSQVGGHSSMTTENILEDPLMSCSSDRYGSVDRGAMNAGSRAN SLTASRLDDMQYGPGPGGPPGMNGAFPRRASQSPGQPYPPSMRGGSRRGSPPGGYGPP PGMNGRPSPPDAMRQPVPRHPPGQGNSVAPQQVEQHIGVSALHQTKPRNVRSLTGSAS ASAGSGEFDSEQSANSSQGSLPPRPPIGVR FPSE_11690 MSTTPGVTFRKDGTKTFIPLENNPEVFTQLIHNLGVSKKLGFYD VYSVDEPELLSMIPRPVHALIFITPAPMWARVRESDPGSKELTYDGSGPDEPVMWYRQ TIGHACGLIALLHSVSNGTAKDFISPDSLLDKIVKETRDLKPLARADFLYNSVELEKA HMDAAVTGDSAAPTSQEPVGYHFISFVKGSDGHLYDLEGGWGEPVDCGILDEGNDLLS DQALEATVKRYTKVADGNLEFSIIALSTVPEED FPSE_11691 MAPSLFRKRHEAEDIIPIPGPLETVSASGRDYLENLQQFEKSHK FDPNLPIDDLTDVDAAIATGNAEKGIEIEHALMEDNSPYPEVRSVVRNYDVDVPANTI RAWVIGMVLCTIGSGVNMLFSLRNPSVTVTTYVVQLVAYPLGLGWDLIMPDREWNLFG LKFNLKPGKFNYKEHVVIVAMSNAAYGGGVLYATDVLLAQQIFYGQEFGWAFQILFGI TTLCTGYGLAGLARRFLVWPAAMIWPTDLVNCALFYTLHDHSGSDPTKTNGWRMGRYR WFLIVGCGGFVWYWFPGWIFKGLSYFTWVCWIAPHNVTVNKLFGGMTGYGLMPTSFDW TVYSGYLQSPLIPPFHAIANVLVGIIVFFICISMGIHFTGTWYADYFPVQSSESYDNT GAIYNVSRILDSNFHFNETAYKEYSPLFLPTQFALSYGLSFAAVTAVVVHVVLYHGHE IWRQFKLARNQEDDVHMRLMKKYRDAEDWWYAALFVVMVAISIGVIAGWPTGFPVWAY FICLLIPVLWLIPIGVVQAITNIQLGLNVLTEFIIGYMVPGRPMAMMMFKNYGYICMG QALYFAQDLKLGHYMKVPPRVMFSSQLVASIWSAIVQICVMNWALGHIPDVCAIDQPN NYTCPGGRVFYTASVIWGAIGPSRIFSHGAIYSSLQWFWLVGAVTPIITWLLARKWPR SIWRYVSTPLIYGGAGMLPPASVYIYLCWGIVGAFFNRFVKRRYTGWWLQYNYVTSAA LDCGLIMSTMVIFFTLYLTSASPPNWWGNYGAMETMDWKTHAISKSVPEGTIIGPSTW N FPSE_11692 MSRRSLHIQKHECSSCGYPSAKIRKYNWSEKAKRRKTVGTGRTR YLKDVSRRFKNGFQTGTPKGARGLTAEKA FPSE_11693 MASVSRIPHILEPYLALPSEGSLNLVTSVLGASSNWLLLRHVYS YLRGSTDGDESAKDIGVVLVSFMRDGAFWREGSTKLGLDLDAHSRTGRFTFVDGLTGI YGDNKPQTPGARKERALRSTDLADIKKEIEGAIGDLKASRKVLIIDQLDALLAITDES TTSLTLQNLVLGLRSLVHSTLLTLSADTPLVAAQATTLEREHASLVLSIAHVADAVLA LRMLDTGTARDVSGVVRITGPGIEGMGGAAEYLYHVVADGGVKVFERGT FPSE_11694 MHTFSVRAGLRPLYSKTSSLRAVSIQRASISSSTPRQAKNQIYA PIRNNDSFSTYLSLSTSSRTPLLTFWSASWCSTCKAVSPLLHDLVESGVGEEEGGVSL ATVEFDSPDIMSGDPNLAMTYMITSIPTLLSFDGGEAQTATKLSDARKLADREFLKEW IRTEARRHGGRGGGGGGSSLFGGLFSKS FPSE_11695 MSENTNVPRWRRFLGTGAGSTVAPRQNDAYGPSKWSMGVLNDKE TIEVPGSVLLLASHRNEPLGLRNVHARNSHSSIPTGFAVDERRASASSAAAAAAAASV AAESHRPASSSRPASANEGKKKTSDGAIILEPQPEDSGNDPLNWPSWKRDAALLSLGF YCMIGGGTTPLIAAGFTDVAEEYDVDVERVALTTGLYMMGMGLGSVVFSPTAILYGKR PVYLASAVMFIGTSLWSAWSPSFSSLLAARVFQGFAVAPVECLPSATIAEIFFLHERA YRIGIYTLLLLGGKNIVPLVSAVIIGSLGWRWTFWILAMIIGLGAVLLFLFVPETFWD RTPHARPKHPSKRPSFLRRLSSRHQQVQRPVEEEKVLEPEREEHSVEASPAQDGRQSP APSHHSHHQRARNLHVGFAGPDAADKETTAPEVDSDSPKALANSSDKDLASPSGADTT TGSDSFNNPFKLGPSLENEKLDASDLEGPQKVKAYTHNLRQQPPKSFTQTLKPWHGRL NGDSWLKVMIRPFVLYAYPAVLWSSAVYACSVGWLIVISESIAMIYRNSESYNFTALQ TGLVYLSPFIGGILGTGVAGKVSDVIVKIMSRRNGGLYEPEFRLVMAIPILITTCIGL MGFGWSAEEKDHWMVPTFFFGVVSFGCSLGSTTAITFCVDSYRQYAGEALVTLNFSKN ILHGLVFSLFVTHWLHGEGPKMVYIWIGVIQLVLMLFTIPMYIYGKRARMWTVRANLM EKF FPSE_11696 MASLYEGGYSGQQRQGPPRPAPRQHNGAPPQQYGTQPHYDRHQR DHGYDKYDGGPSQGYGGQYQDQGYGRGPPPHDPYGRGGRPMPGPHGGPIPRSQTADPH RGQQRPPPHRGSPGPGMSNGHPAQNGIRPGPYAANSDPTARRNHMNTPPMSPRDGQFG QQYPSQDRQRPDMGYEQQMVDQMSKMDMNQRQMMPPGGRASSDTHRGQGEYGRPPAKG GRGPPPRGYPQGPPQRQNAGYGPQGGYGDYDNGYQGSQEPPAGGFGPPARSRTMPVDD MRQTANMPPPQRMESMQYSTPAGRGIPQRPSTAGGHRAPPQRSYTAGPQGGPAAPYNG GYNDERAYQNSQRASYEEVYDAYLDPQRNSNSDYNQHSQQNRTSLPDFDAAPGPGQRD SFEQSMRPTHEQPQRVAHQTAVLNHAKSQPMLRQPQTAIFEMAGDVPDVPAVPPMPSM SNYHAAYDQEYNQLPPKGPSAPAAMKGIHTGGPVNMEGLPTHPTPVRPGLVQDTVVHM SSKPAPVRNYGASTPAPRPQPQPQAQRQPQYQQQQQQQSPPRDNGPQPPIREESPVTT QELEHLRMLIKSNANDQDSALRLAKKLVEAADVLAPKMPDPKQRSRARERYLIDCHKI LKKLANAQNPDAMFLLADCLGRGLFGESDYKEAFTQYQSAAKLGHAAAAYRTAVCCEI GHEDGGGTRKDPMKAIQWYKRAATLGDPPAMYKVGMILLKGLLGQPRNPREAVGWLKR AAERADKDNPHALHELGLLYESAQPNDNIIRDEAYAYNLFLQAADLGYKFSQYRLGCA FEYGLLGCPIDPRQSIQWYSKAATQEEHQAELALSGWYLTGSEGVLGQSDTEAYLWAR KAAIAGLAKAEYAMGYFTEVGIGVPPNLEDAKRWYWRAAAQDFPKARERLEDLKRGAG KNGARQRERISRSKMDQKQEGDCVVM FPSE_11697 MAAKQPSSRWWFWTKVVMGGAVVAVGGPAFTMWLTPTEEELRSR YNPELRKKSLENREERQQDFDDFVTRLKEYSKSDKPIWIVVKEEEERKRKNAAAAAKA SKVETDTRREEMRREAGLDAK FPSE_11698 MSDVQERLKKLGLGARTGTGKGTPRRKVKRAPARSGADDKKLQL ALKKLNTQPIQAIEEVNMFKQDGNVIHFAAPKVHAAVPSNTFAIYGNGEDKELTELVP GILNQLGPDSLASLRKLAESYQNLQKEKGEDDDEIPDLVEGENFEGEPKVE FPSE_11699 MAAQEDASAWEAPTPTTRTPDPNADTSLLGRACFSRTGIVRRKP ARGDAPPTLSKSCSDKLALKQCTSLLSALTSLFLDSANAYIHSIVLPESQHSNVACRR AFSEEGRLRPLVEKMWAGGYSFKQFSVMTTGLEFEFSKIAVKSRADKISASNLAAAWS SSGFEENIIGGVIQGRKAFNTNAASRMSRRSMWEAARDLADRLGAGCSVTALYLKSGT YRDIKQGQLTAHRRRVKEERLVFLPISINLSQHKSPFHRDRSQAVTFCIPVPTPQLLE IESRGVIVTTIHP FPSE_11700 MRTYEDTFSGARIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRIAWTVLYRRQHRKGISEEVAKKRTRRTVKAQRGIVGASLDVIKEKRNIRPEARS AARAQAIKESKEKKQAEAATKKSEKAKLAAQASKGQAVRQVSKQGAKGSAPKVQAKTR FPSE_11701 MSELKVGADFPEGVFFSYVQPTPEIAEFSTCGAPTNYNASQEFK SKKVVLISVPGAFTPTCSASHVPSYVENIDQIKAKGVDQVIVVAVNDAFVMHGWAKAN GITDDKILFMSDHNAKFSTSIGWNLGERTGRFAVVVDHGKITYASSDEPGSIEKSGAL GVLPHL FPSE_11702 MAGTSSEQPAAGSETWTVEKVLSGNKLVQSSNSHISFFHLLGGL KATKREGWKRHGIDPESVADHSYRMGMIAMFAPQGLNQVKCMKMCLVHDIAESVVGDI TPFSGVSRDEKGRREAATIEYIANRWSGPYTAEIKELWDEFEAAESPEAQFSQDIDKI ELLLQAVEYERNSENKKDLGEFMGVARKLRSEAGKAWADEILADREKFWEGTQHLRGE HAEKGGLTEEMTKAHDAYYG FPSE_11703 MGLLPLTYTRPKHVDQRSYRSNSASSSGDSLSDGEKTDGSVNSG FSGYSSGIPDSLAFDKIIDGGTCPPVTVRDFMSYLIYVEHAAENLQFYLWFKDYEKRF NTNPTADIKLAPEWTRAMQDETIIKIRKEQADKMRKEPKAAAVFKGTDFEKNPTAKDR SMSATVDPFTTPPQSSGGDMASIFTATNTMHSLNAASYMSQASDAFQTAGTQQPFTIQ PFREEVNRVIVAYIMDGAPRQLNLSSAEQKATIVALSQTTHPSAFRSVFKTVDSSLRH QAHPNFVRWSICNGNPARVFFARSLGVSLIIAGIVIGLILTLSSAGRGYRALAAISLV LGISTLVAAYKGMCVVLHGMHHRHIRPWELFVDSENMDDLAKRSFDSFGSKNSYEDEP WIVKYEKRNVVRKVFDREVWIQEPALRQIQDTIFVQAILASVLVGGIITAIFVTVPGG HFF FPSE_11704 MTPALEHEDAIAQDPQAPAHSSNHKHRRTMTSTDEIDSTIIRPG SVKINVKGAFIVDPDTATPASTSGASAGAVNSINGRMSPTHPETSDIRLPYHTAIVSH IAIDIGGSLIKVVYFSREVDSTDPGGRLNFQSFETDRIDDCVEFMRHLRDNQLVNGSQ PGELCVMATGGGAYKYYDKIRAALEVDVSQEDEMECLIIGLDFFITEIPREVFTYSET DPMHFVVPQENIYPYLLVNIGSGVSFLKVTGPRSYQRVGGTSLGGGTLWGLLSLLTGA RTFDEMLEQAAHGDNANVDMLVGDIYGTDYGKIGLKSTTIASSFGKVFRMKREAESAA EDGRSATPEDASFNSADVSRSLLYAISNNIGQIAYLQSQIHNLSNIYFGGSFIRGHRQ TINTLSYAIKFWSKGEKQAYFLRHEGYLGSVGAFLKRKPKNWGRKGSLEGMDDIAELR RSLRDGAPSSGTS FPSE_11705 MATGIAVVDNWVSVPDPRIATQQPYYSNYSAPIRYYQLYSPAGV VWETKTLSSWSEWSCDHDGPCCGCDCDCRSEKKDNDLGDRYERGTQNKRGAHGAANLQ DHRVNHEPSPSLNVGDKHNTQQNVRQPSNREAFQHGKQDLSSMEDLIYNIAKQQRHLN DAQRRIQDWITAMPGLVKTNMDPATTQKTEKRGCKNCKEERKRERRSAGKEKKHRSKQ EEEKKKRGKSRR FPSE_11706 MPRNGDGSSDNGPFEEAKHDIVHGAGKVESDHVDRADKTADLPE GKDEKGLALEGVNASGGQSQGLAKGKDVGQGN FPSE_11707 MGQYRIEVSPNNRAGCKDAHCKKNSVKCTKGTLRFGSWVEIEEH GSWAWKHWGCVSGQQLGNVRELCQQGDGSFDCDMIDGYDELGEHPELQEKVLRCVTQG FIDPEDFNGDPEKNKLGVTGIHLTPAQKRKKEAAEAAAAGGEDKPKAQGKRGRKKATD ADDEEDEPQAKKARKSEPVKVEEDDEKKPAAKKARGRKSIQAKNESENEDEAPAPTAT KKGRRASSQKVKDESDAEEKPAPAKKGRKAVAKKVKDEDEDDAPAPAKRGRKTAPAKA NEDVKPAPAKKGRKAATKKAAASEEDATEEEQEEVKPAPKTNLRRGRSAKA FPSE_11708 MTGRRIVVSIDFGTTYSGVAWAETTRPDVQHVVTEWPAGDSFKS SAKVPTELRKVAAGWQWGFQIPETAKRSKYFKLKLDDPSGLTKDGESAKDLTKVYLSC LQSHFVSVLGKRLSPSVVKSTPMDFIVTVPAIWSPKAKQMTEQAAAMAGFCGNQRIML ISEPEAAALYTLNTLSPATLQVGRKFVVCDAGGGTVDLISYQVTRTGSVEVREVTEGT GGKCGSSMLNMRFRRHLKQTHGEKYWTDERLVPALNEFETFKKTFSPKGEPLTLKVDP SLGLKRNRYTMSQEDMKTKIFEPIMKDVVCLIKEQIRMAGEGVAAVILVGGFGQSKYL KSRVKEAVSNRTEVLQPEDGWTAVVKGAAMHGLSRYQSSSTRVEVASRIARRSYGTCL MTKYDRIKHNPKEAFWSEKEQEHVVYEMCWFIQKGESYPEGRPSRIEYQCDLPVTVGH EPQTEVDIYSNNNDGKAPVHRDSTTQRIGTLSIDLKKIPEGVKRAARVRRMGNHQYYC LKGAIEAVYGSAEITYTAKLGACGMNVRLHGQLETGIDRKEVWKIIFRHGGQLVPTYF LRQKEPTLPVTCLMPAVVQWAGRAAVPEHGQMAGGYAAGPPPSTLAAQLVENISASTK SSKSDENSELKGFFAIIQRVKDDPTLLKTPEDRVEHNHMLIYVYSRAVLEGIRLDDPF LDRAQVRTEALKAIDFLRFSIKETPAVLKRKVNSQEYMFRGQEPLWVWLLPQLLRLLG HAQCLELTEAIENFLQHTMLIIAQNRNLWDMATDFLFYLRTITSHVLNRLQDPLVVPD VEESFGMLSLPSELALCRYIDKSSPLIGQLTYSVDRMPHALQQLISFCNVVAYPILST DETFHTAVSFAESVVWLIDVLGDMQAVRNRFGHAFPASSVHILQKTIQIERALSRNTG VCASVHKKAITLLVLLCGDIVASLNASSMLDPNDEEIRRTYVLALAVITAASVKDRSI GRLAMSSLVDESSMFYSAIPEGTDIWRMTQMLRQVNASSKQELLSSNTHPSKFEDTEV REILQALALTYDAPDENSQDRSKRRKVSQVDSSPMAVLMSSLGATLGLKSVDDGFPNL EQQFLDTFPSLDEARQCLTLDLLSRISCLADRRVPLSNDEKASDAKTRCSVCETNTFS SRSTPYAAMVQKSRVETLFAQSIRLPIVAESRRLRVAAMMALRKVILHCDDAEILNLE TSALGQWCLQSLNSSIRELRIAAGRVLATFSLTRPETVLSSIVLPARPAEGNGAKTSR VNQPQEPPIHANLHPSTEDGASSMKRQDLIARNRKNSIALLKSISDKDQPNLTETFIM AWGQLGTVVWEHELNLVLIKLLEYLGSSNNVVSAFAFNELLNLADARRVTPRRLFEPF WPNLAYMATKDMVQRPQMSRAIAELLQVSVNELLLLIQTHALPWLVLDKQKDVIQKIA EARQESEIWLPLVDPANLAATLALLLIQGTEDIAAFAKSRLDELSTHFESESLADLLQ VEPVLTVMELLKAAGDSDETRKAPIRKALDTMAEMLLPSSKGTRSKKGDHTARFIHSS LLGLMARLFDVINDQNLPDPERRCYIRAMEEMIRVSRGYASTARPQISACLLSTLAQD ALREASFSCWVSMLTHLGSEDVEALLETTFFVVTRYWPSMNEPTALLAQRTLQQLVDK FETLVAKYIVKLPSLRHIPELKDIESRLDQHRPAALAVEEVLEAFAERIRHENSGVTL QALTELIPYLRGNQAALHTSDASPQSDTGVVALVRSLLDCACKYNGIPGDIARLCTEA LGLIGCLDPSKTETVKEQKSIVILNNFVDTEETTDFVIFLLEEALVPAFLSTTDVKFQ GFLSFVMQELTSRCDLSAACAMATSGMSGGNDIYRKWITMPEAVREVVSPFLTSKYVV APMTHSEINYPIFRPERSYGNWLRHYVIDLLRKGQTAFADLIFEPLARVIRVRDLAIA EFILPYIVLHTLLGSRATQKDRDDVLGELLTILEHQPGEGASYLEKDDMKRFCHAVFR IVDYAMRWMQTKRTGSRLTESDKERLTQVQEALDKIPAELIAQRAVDCNDYARALFHL EQHAQKMEQRKREPGERVRLLQKLQDIYANVDEPDGLDGISAHLQVLDINQQILSHRK AGRWTAVQNWYEIKLAKEPANIDAQIDLLHCLKQAGQHEALLNHIEGMQTDASIDNKI MPYAVEAAWVTGRWESLVKFTKRFHGDIVEDFNVSVATVLDKLMAKNKPKELSSIMND IRVKISSSMNAASTSSLQACHDLLLKAHILTDLEIIIGTEAGDESARQNTMALLDRRL EIIGAYMSDKQYLLGIRRAAMELNSFTDLDISGLWLSSARLARKSNSLHQSFNAVLHA SQLGDDAATIENAKLLWREDQHRKAIQVLQGAIKSNKFMTQTGTATGTNTNKLNPQQK LLTARAQLLLAKWLDSAGQTHAGALREKYQQPPKTFATWEKGHYYLGRHYKKILEAEK PLKADDQSDNYITGEVARLVIENYVRSLNSGTKYLYQTLPRILTLWLDLGAQVDKAPE GKASLSRELHRRRVEQLTLLHSFLDKYIHRLPAYIFYTALPQIVARIAHPNPQVFERL THIIVKVVEAHPQQALWSLIGIMTTRQVSERKARGTQIVQALRNISKKVEGSSTDFKH LLRMGEKLAEQLLSACEKGDFRSNKTVHASLSRDLRFLHHKCTPCPLVVPVENSLTAT LPAVSEYVKKHKAFSRDVVTIDSFLDDVLVLSSLAKPRRLTARGSDGKNYMLLIKPKD DLRTDQRLMEFNGLINRSLKRDAESSRRQLYIRTYAVTPLNEECGIIEWVPGIKTMRD ILINLYASRKIYPDYAALKQLMDEACLSDGKIRIFTDEVLGRFPPVLQLWFTQEFPNP SAWFAARLKYTRSCAVMSMVGTILGLGDRHGENVNLEEGNGGVFHVDFNCLFDKGLTF AKPERVPFRLTHNMVAAMGIYGYEGPFRKSCELTLSILRQQEETLMTILEAFIYDPTL DLQKEKRTHRRGDVGVKLQPQSVVDSIKRKVRGLLPTESIPLGVEGQVEELIKQAVDP RNLTAMYIGWCPFL FPSE_11709 MLRYLQLLTQGTDATNSGTVRHHRNREESDTTTEQASSHANGLE DKLTSETHLRRFTETVLDSRQQEIEDREMEKDELVLRVEALENELASTQRQLEEAQDQ VFRLQPCRKDITESEAKDAYKTLVGNVQRWVENRAGHVIDELETGRLMSRAAPPEGSR LVTLLREQSRRCINVSQSDEFQIMGAIMNYLHITMFSKSFYCPLDDGDDGGTAVWIDE LEETMSQLQRAPGLFVERIEDGRKLGLKAIVKPTVLVTNAGGDISQGPTVMRWLWDGI PSSQGSSRSASRASSARTLTCLKGQLDPPYHHQAGQGISMHARHAFCYEIYKSAKSGA QC FPSE_11710 MNTHADEDTGQSTKYYFAYGSNLHLQQMKKRCPGSKFIGSAKLV DYRWQINERGYANVTEAQGHWVEGLVYEINSRDEARLDVNEGVSKDAYRKQYMSVLLR RADSALYRRPVPWIVNNGGPDQARIVAQQSTGQGRLMVHKPHLEHNILVYISPRYIVD SDPKEEYIDRINMGIADARALGVSHDYISNCVRPFIPVTSTQNPGPSGTTTNTAAANK PKVVKKAPAPAPTPSPAKKAKQPALEIKRAATPRDRDPSPNKTTSPRPPQQQQQQQQP QSPGPYNQRFPRNSAPGGLDRPMRPSQSRSHASPAPPLPQRPAYPGVTLRVPTSNRPR PVSDVGAPAAPLPPLPPRPRRVRSIPVIVVQESYSGYWYR FPSE_11711 MSITTGTKLKHYNFGVEIEAVVKPYGPVESFTNVDWYRQLAQKL RNRDIAAVHDDCSKYSKHPEYYGGKWFVTRDGSLKRERPMVCMEVVSPRLDTKQPVSQ ILGDFWEAMRVHFSPQRDISCGGHVHITPVSTHNKFSLRSLKKIAFATVLYEDFVAAM LPRVRRENQYCRPNSQSTGAGLRDTLLMFGRNKNSMMKVAAAIRAATSEMDLCYYMQG NRYVLWNFQNIFPSPKTGKCTGTVEFRGGNQFLNTTGTLAWVAFVMGFITLAIEEDLI SKFTLFTTSEDPKFQARIESWWKRIRSSAKASKLSRYLPSEYTSMHTR FPSE_11712 MRSTLRANRRLPLKSLPRFLSTTTPSSASNPSVASSSPTPKQTP ISSVLIANRGEIAIRINRTAERLGIRATTVYTDIDAGSWHASSGFQSLGLGPANAYLD GEKIISLAKQNGIQALHPGYGFLSENSKFAERCEEEGIVFVGPPATAMADMGHKARSK EIMTAANVPCVPGYHGADQGEQELLEHAKKITFPVLLKSVRGGGGKGMRIVLAEEEFL TQLRSARAEAKASFGEGGEVMLVEKYIIRPRHVEVQVFADKWGNTVALGERDCSIQRR HQKILEESPAPDLDLATRHDLWDKARKAASAVGYVGAGTVEFILDKDTNKFYFMEMNT RLQVEHPVTEMVTGLDLVEWQFRVAAGEKLPLSQEEVETQMNERGAAIEARIYAENPE KGFIPDSGKLVRAYLPVELQNEDVRLDWGFRSGNTISEAYDGMIAKLIVRGDTRERAI AKMESVLRSYEIVGVATNIEFLKRLCETDAFVEGDVETGFIDKWREQLFKPRAIKNGV VAQAALGMVNFELQNSGPHGLTLGFGEANNIGERKLSFKIQDGYSQEEGEVVEASVTQ VAHNLYNVSISRKGDETPQVFTNIACKPEPEGEVMKLESYFPLERIQSSVVPQHTDND TKVTVFQHGVKTDLVLLPPKWYEKALGLKESSASVAAPMPCKILKNEVEEGQTVQKGA PLVVIESMKMETIIRSPQDGVIKKLAHKAGDICKAGTVLVMFEEAEGKDGDA FPSE_11713 MEQIPLNSEASVGDTHKQTTSTLPPDVVQCLDNARFLHLATCTD NMPHVSLMNYTYLPSSPYSNYPVIIMTTNPASKKTSNLVTNPNVSLLVHDWVSHRPPT HGRRPSGGSPGPEHRSSLASLLLNLNTTALSSISATIGGAARLVPSGTDEEKYYREQH LENNTFDESTTESFQRENGLVEDGGRSCFVAGEEVRVVSVDIKDVRISDWKGTVRDWE IVPEGNMVNGTQ FPSE_11714 MASWQSFSVPSFRLTQLAQDAYELPLQTFANTHDTHPSIGHLCL LVFEAVLEVVCVSLPGYIVARLGHFDAEKQKFLANLNVMLFTPCLIFTKLASQLTAEK LSDLAIIPVIFVIQTFVSWVVSFAVGKLFGFNRRASNFVTAMGVFGNSNSLPISLVLS LSQTLKGLHWDKVPGDNDDEVGARGILYLLIFQQLGQLVRWSWGYHVLLAPKEKYPEY REEIAEEGQRYHDDEDSETAALIDGLDGETEDEGDRLSIDSQNYDPAGRTPIASASRV SLAVSSDDDLPKKSMPKGKQGQTDIAAPLNGNAGSIDSFPPVPSLEDDEEPVGIAGRT KSAVKSPFIRLHKATSKTLCNWFQKSPAPVKSTLRFTKRAAGKFNNFIWEFMNPPLWA MLIAILVASIPSLQRLFFEEGSFVQNSVTNAIRSSGNVAVPLILVVLGANLARNTMAK DEALDPEEERIGNKLLVASLLCRMVLPTAIMAPMLALIAKYVNVSILDDPIFVIVCFL LTGAPSALQLAQICQINNVFEKTMGRILFQSYVIWILPSTLILVMMALEVVEWAR FPSE_11715 MSASDSVPATPEDWKDLSTPGSPGSEDSSEPSTPLESPSHDSPS EPPRRVPSLRSVSDPRNMNPNSTPIGVLGIARHPVQTSFSFAGNSSASVENSAMAKAR ALHQHRLQKGNPASPAGQSPTSSISNGFPVNLRMPPNMQRPQPPHVNSAPAVSKPSLS ERRAKMGMGMKLSDMGGSSPAATTGKRGPPGRLSDITGDAPSNKESNGTGGGHGSKMD DFKKYIDTEKGWVTFDGAATITRTGVNFANGQTFSISLDEVEVITELGKGNYGTVYKV KHAKRSLPRFGQGLSRKPPAVQYTQSDPSPVRSAEDSADGQSNSGDGTTGTVMAMKEM RLELDDAKFTTILKELVILHECISPYIIDFYGAFFQEGAVYMCIEYMDGGSIDKLYAG GIPENVLRKITYSTVMGLKSLKEEHSIIHRDVKPTNILVNTRGQVKICDFGVSGNLVA SIARTNIGCQSYMAPERISGGGYAQAGNSDGSYSVQSDVWSLGLTVIECAKGAYPYPP EVSSTIFSQLSAIVEGEPPAMPEEGYSDTATDFVRSCLHKIPKQRPTYAMLLKHPWLI DFTKPQTITEEAEDGDEADKVAEAVGKIALNSTTEDAEVADWVNGVLQKERDGLKVDG PLRPALHTAPLDSVSPLSSPNDA FPSE_11716 MAKNAPLGLAGLVLMAVSLLLLWFIILSGLTSTTPFDKTYFLRA DTSDITGARDTTQWNFFYICGAGNNDCDGARAAPVIGKAWDSNPRNAPSSLVGGRAGD TTSNRQFFLWRFGWVFILITLFFETIAFFTGFIACCGRLGAGISGFTSMFALLCSSVA MSLMTATWVLARNAFKSAGRSASIGRYAFGFAWASWAALFIATVLFCLGMRGDKGSSS GGYSGRSWRRRRSVRSTNGYEGRRVKDDYS FPSE_11717 MRLKAAPSSGILSTLFRPGAGLTSGRWSSTRAVDQPSLKLLTHQ HLTGSGPDTFVSYDTAHAAQEDLRSQFLQWKGLSDEDQRGQVPRPHLVSFEPAPTLTL GRRQPPLTPAQTSRFQAPLSVTLPSRRSSVPERAFTPDVRQTSRGGLTTYHGPGQLVL WPVLDMHSPLFAHYSVMSYASHLEATTRRLLADTFGLKTYTTRDEPGVWVYTSAGQPE RKIAAMGVHHRRHVTALGIAVNIDVPVEGPEGVNPWARFVPCGLEGKLVTSVAAELKE NGDISRLDGWDMASLAARWATMFDEGLVDETKRDVDGEASTGLRRAAM FPSE_11718 MDPLVASELEKLDDGVQFRARPQHVHHTWARTFSSLPELFIQPE SLVEVEKVVNLARKCRRRLVTTGCGHSPSNITCTSSWLINLDNFNKILSVNQETGAVA MEGGIRLYALCAELEKHGLTMPNLGSINEQSISGAISTGTHGSSLRHGLMSENIISLK VTLANGTTVFCSKDTKTDLFRAALLSLGAVGIITEVTFQAVPSFTLRWQQSVNTDHKM LESWNGDLWTQSEFVRVWWFPYTRRAVVWNAEKTDEELRDPPQSGYDGSIGYYVYHNL LYLAQHVPRILPWVEWFVFGMQYGFRNGTTSSAVQPSGKALLMNCLYSQFVNEWAIPL HKGPEALRRLSSWINHLTPEDPDYVPHNIPFSADGLYVHAPVEVRASDTTLTSNVRPY LDITADDGPTLYLNATLYRPYLMDPPCHERYYEGFEWLMKDLGGRPHWAKNFETSRAE IEAFYGKNLESFRAVRSDADPQGMFVGPWHRERIMEEGEGLELEEVEIRREKNKSGGL TTFGVI FPSE_11719 MVDKIFLTTVCADILFLGSGVMELVFSLVVRSQMNDMATDGESA TRNLLYQRFPLTAGIVNAIFILVTFAATLPGLVMPARSFLKVSGYMVTVCSIFTMCVA VFLWVMTLRMKEQFFNIYIEQDPEVQSLIQNSFQCCGYNNSTSPAFVMDSTCTSPASS ALLRGCATAISSFANLHIDGIFTVLFGLVGIDAIFVLCIACLLKDRKERERYRHIDEK SGYRQI FPSE_11720 MADITDQHDQTSPTELDEQNVGNGNATESRGIKRQRPSAGDDDD DDDEKGSRERRKIEIKFISDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVSET GLVYTFTTPKLQPLVTKSEGKNLIQACLNAPEPTPGNENGVDGGDQVESPEEPPNQHL PPQGNRPGMPQNPHMPPNNYMPNMPMDPQQALAYQSYVQRNQAYGSGIPPQPGMPANS HHQS FPSE_11721 MNFPRHGDMPSMDMGGDSSGSSSHSDSHGSSTMMTMVFQTETRT PLYANAWTPNNAGAYAGTCIFLVVLAIIARVLVALKAVQEARWLDREAARRYVTVNGK IPLSEQIASSPDARRMTLSENGVEETVVVVERKRAATRPWRFSVDPVRACLDTVIVGI GYLLMLAVMTMNVGYFLSVLAGVFAGSLAVGRYTSGVEH FPSE_11722 MSADPESFTSRRPAANALPAFSLPPPNVDVPSMARQSLYPLPVG NQSDSFHNPRDTDSGGYSYHTSYSPHHGSFPDRRVSSSPSILTPSPGASEDLSPSISS VNTGSSQGSQAAGGMYSYSGLQGNWSAQNNSAYTVSSATQAPPTLGQQHFSIRSQSMY SQGPGIHQYNHPRSSQSPATGGEGLPAPPYDQVHHPFQTPISGGGGQTTSPGLSTSHH AQNTILASQNSVSTQPPAHSNVSAHTDSYTHSRPPSTPSYYTASSTPQQPGFSSYHAQ PSPTQQSPPGHGPVPRGMGSAGMAPPNAFRHYPAYQPLPNMGGPAIMSNIHQPGSQMS MIPGMGVPPYGPMLYGGHGPATPQSERPFKCDQCTQSFSRNHDLKRHKRIHLAVKPFP CTFCSKSFSRKDALKRHRLVKGCENKANEAINADSNGTDRSGEENDSPVKREQ FPSE_11723 MPGLFLLLQVVLYITDTTINATTTTTIYDKHHLHPILVPSGTPS GRRPRCARRLQGLQKKQSPAGRFPPLPQPGY FPSE_11724 MSTGPEKKSYSLPFSLEPKVVEQITLVNKAIERDWASLPPDRCS MPSPLVHGYIVTPVQVSDAHPFSTDTRTYKRS FPSE_11725 MASLDSVLQGVSVSGKVDEIHRKILTPEALGFLALLHRSFNERR KNLLERRKARQAELDRGVLPDFLPETKHIRENPTWKGAAPAPGLVDRRVEITGPTDRK MVVNALNANVYTYMADFEDSSAPTWDNMINGQVNLYDANRRQVDFSQGPKEYKLRTDR KLPTLIVRPRGWHLEEKHVTVDGEPISGSLFDFGLYFFHNAFETQRQGFGPYFYLPKM ESHLEARLWNDAFNLAQDYIGMPRGTIRGTVLIETILAAFEMDEIIYELRDHSSGLNC GRWDYIFSVIKKFRNNPNFVLPDRSAVTMTVPFMESYVKLLIQTCHKRGVHAMGGMAA QIPIKNDDAANDKAMEGVRADKLREVRAGHDGTWVAHPALASIATEIFDKHMPTPNQL FVRRDDVTIGQNDLLNMNVPGTITEEGIKKNLNIGLGYMEAWIRGVGCVPINYLMEDA ATAEVSRSQLWQWVKHGVSTADGKRVDKSYALKLLKETADQLAASGPKGNKYHLAAQY FSGQVTGEDYADFLTSLLYNEITQAGPPSPASKL FPSE_11726 MADIMIPNVRSPLSEASNRINSNHPPQEAHRYKPRHDRPPASTV NNPPRASYGHPAAYFSGRPPPTQPQSTGPKPQGNPTLKPPTDMDDDRRHSGASYDSSG SGHSKKNYKTHIGPWQLGKTLGKGSSARVRLCRHNVTHQLAAVKIVNRRMAYLVQDSS LAALSKWDNNLPEQIDGEIRVPMAIEREVAILKLIEHPHIMKIYDIWENRSEVYLILE YIDQGDLFTFINMKGRLSEEVSVYFFRQIISAISYCHSFNICHRDLKPENILISANLK IKIADFGMAALHQTDTHRLNTACGSPHYAAPELLKNRQYRGDKADLWSMGVILFAMLS ATLPFDDPDIRVMMSKTKKGQYEMPNYLSPEAEDLIRRMLQVNPDRRITLKEIWRHPL VKKYAYLDNLDDLNSQPPDIRKGFQYTPVPAQDVDSQLVRQLRSMWHTFSENDLKLKL TCDEPNDQKAFYWLLYQYREQQLEDFKPEIAHSTSDYHHMKPGTWKKRVSTCEFTQPG SNGHGRSISRFTVISNATEPGRSASHEPLQEDDNGSYTGQPKRLRSGSSARRGRGTST RTSTTGRLLSSRGSLSSMHSSRQGTPHARNARHKRGVDFTHVRKRSTSTNGNRSGSVP PYVTEQGSTYQLEMARPFTPDVPELSSTVLPKTKDRHEAQVNTNRASGQAMRIALGSR YASEIFKEELRHFSSNIAKDCDEAFKSSLIEEDSIAGSLTEPDRTQYESTPISFTIDA PDESAPDILDLTAKSFSNRPLPPLPTPLQGHDERSLVPTPIGSRPTTGDSHLEELRAD QVKVAQPVVLTRHAERRVISAPAYHQKSKMNAALPSINETGAISNDKARIVSAPPHTP TTRGVDRIRGIEYLSQVENSIRVVNSPGDESPVKMPKPLNVRKKVTEPRHGNATSFAQ RPGPDSTSQRSEDGGTSANTTLLDHSSHDSSAVVKKKKTSWFKRTSKVESNNGRESVD WQDCNSYLTSSDGKRSDSTITDAPSKKKTFNFSFWKSNKQRDSIMSIAKEPDDKEERP SVELSVVGKTNTSTKVSQSTWHESNSGPVRNIEVKQNWLARLFRVKPATDHICMTISR RRARQEVTILLREWRKYGIRDIQVDKQRNIVFARVADKNFLNLKEVSFAAEVITVIEH GKKQPLSIIRFTQERGAASSFYRVVDTMRMMFETRALVISDKNKQKMMIKTLNS FPSE_11727 MAPESSRRRRRSDTENPDNDSEYQHEIGSPKRQRLNDDSNRGSA NKEPHARQANSTSREDDMNNGFQPGAIVRVTVENFVTYEKAEFLPGPHLNMVVGPNGT GKSSLVCAICLGLGYSPKHLGRAGSVKEFVKHGKDIATIEIELQKRPKDTQNWVVKVQ IRREQNNQKWWLNGNESSHKRIHALMHKLKIQVDNLCQFLPQDRVVEFAACTPVDLLR ETLRAAAPEEMLAWQRQLQELDKDKKELEQSTHGDVETLRNLENRQQGLQADVDRLRE REEIVEQIKNLRSALVFAKYTEARTKFKDAKERKKLAERSLRRLEHDAGPSLEAVNTK QLYAQRIDEAISGRKTALKNAEDVSKKLARDTSTASENLKEFENSIEAERKGFDAKRK ELSQSKSRITSLQADLRNRPEEFNPSDFNQKIRGEEHRQRELEGEQREVTGQREDIKN KGRSINNEIRQVEENIKSLETQQGQQLNFMRKHFPDLATAWDWIQENKNEFEKEVFGP PMISCSIKDERYSDQVQSLLQADDFTCFTVQTKNDYKKLSDQLYRVQSLSVVIRSCAQ PLSAFQRPVSMDEANELGLDGFAVDFVEGPEPVLAMLCAEKRLHQSGVSLRDHNDAQY DRLVRSGKVNSWAAGNQSFIVRRRKEYGPQAMTAVTKSIPQGRFWTSQPVDGQEKREM NKRLLELNGERDVFKDQYRELQGRIQDIEDQKNNIHDNIVRLKAEKNTLQKEYQKWQS LPEKIESEERSKAAHEQSLRDARKRMVEIRYEWDEAVLRRAQLVLRHKEAIESVRTAY QALLEAEIRGVEAHSDVVGLMARNSHIMERLDAEKETLKQATEDASRAREEGNRLSER VQQMIDSEPEKRDLISELCERRTPEAIQDDIGAEEAKLECMHTPNPNVLREFEKRAEE IARLTRKMTGSTDKLNGITQEMEELRSKWEPRLDELVAQVNNAFAYNFEQISCAGEVR VHKPDDFDAWALDIMVRFRENETLQQLTAHRQSGGERAVSTIFFLMALQSLAQSPFRV VDEINQGMDPRNERMVHERMVEIACREHSSQYFLITPKLLTGLRYDPKMRVLCIASGE HMPREGQKLNFKRCLRVQKGLMTASA FPSE_11728 MAFLFKSKKHPDKSQSGSRDAGSGSQGSIQSITARAAEKGSLQH RATPTGSVNSIENEATSGSPDQGPGPALSHGHGRRGGSADQSTQQPSDPSLRNGPPLN GPNASLYPWSQRRLTYTSTHPPPFPRYGAAVNSVSSKEGDVYLMGGLINGSTVKGDLW MIEAGGNMACYPLATTAEGPGPRVGHSSLLVGNAFIVYGGDTKIDESDVLDETLYLLN TSTRQWSRALPSGPRPSGRYGHSLNILGSKIYVFGGQVEGLFMNDLSAFDLNQLQMPN NRWEILVHGETSPKMPAARTNHTMITFNDKMYLFGGTNGFQWFNDVWCYDPAVNKWSQ FDCIGYIPAPREGHAAALVDDVMYVFGGRTEEGTDLGDLAAFRISSRRWYTFQNMGPS PSPRSGHSMTTVGKSIVVLGGEPSSATASVSDLGLLYVLDTSKIRYPNDAPQTSQPPR VQGSRRPSASEGNRPYPARDGSNGPSDSRKMIVGGPSAPPNGHRSPPNSVEVEGSQPA IANAKLPRASAMPPSGPPPQGPMPARPTVDVSAVARVRGQSAERGGASGSPRTMHSGS PITREVISEVDSPASNGQRTPIQQPPRVASRQDQVSGDASKIKQAPQGRPQGPADGTP EASIKPTIAPRPASPPVPARQPSNNINRRSSGRNSQTVVLLKELDSARNRNAWYASEL ELARKAGYVPNTSYSPLLDNKATETFDDEDRPLIEALLAMRSELANVQTAVDKQAVVA AKQIAEAEKQRDAAIQEAIYSKAKLAAHLGGSSASTPQLDGPKDDSELRSGELSRKLA SALHVQKDLQSRLDSARSELDSERKARLLADDTSNAAQKRMAELESYKQQTSTEVERL KAELHLAQHEAREHSVARAEVAATVELLHIEKNDMEQKYHEAIGNSKDHSETFDSLRA AIVASEDSTALLENKLAEERSQREKIETQLNKLRFEHEARTAELVATTQRLRDAEQLS EKHATEARTTRQAVLAGLDKISARDVSGPSKADGERIAALQTQLATSNELVKKYQQEV EAAADKLRGAEERIAGLEQYQEQSSREGVTIRRQLQSALRDTQSLQAANTDLKNQLAA QQLETNAMTVQHNALKDILSERGISPTASIRTRGITNPRINSPAISPDLNRVRDLESQ LASSSAAHEETKQVFAIQVQESEAAWREKLSQLESDYQSAVHYVKGTEKMLKQLKDQL SRYKTENARLKTEIEDLEDSAQAGASSTSANWESEKAELQARIRSLETELENTAAQME KRLAGLQTELQATSQHHEAATQKLNSHQKDLEQLQSENALLEQRANDAEQKVALLLDQ VEHSVDNYRRRSRQVPSVNSEVAVGANGMGLGHSRQESSEGESVYGSANGNGNGNGNG NGNGGLEARNSAALDSLANELETLRSQWEATNKNYRLSTNFDFDASSGVKKEGDAAAG VGLSESLADWRKRLDTEETHNDGDKPRHI FPSE_11729 MALRSDINGTSTPVAESNGVASAARPRPNGHSTSNDTTGNDENG QRPLSAPGRRNGSIALETRDDIEHHQQTRPAKPLLLRSKSDYAPRPMDEPEPIEEDIP DWGARHGFEDHYQSEDIISQLANNWYMYYTDKRHETTGKPKPPQYELQDWRQRDRLKT VSAALAVCLNIGVEPPDQLKTNPGAKLEAWTDPTVPPIQKALENIGKALQAQYETLAI RARYKQYLDPSVEETKKFCISLRRNAKEERVLLHYNGHGVPKPTASGEIWVFNKNYTQ YIPVSLYDLQHWLQAPTIFVWDCSEAGNILNNYHRFVEKHEEEEDEAAERDPNYTKTN FRPYIHLAACAVKENLPTNPLLPADLFTACLTTPIEMALWFFVLQNPLKTKVSPERAK KLPGRLQERRTPLGELNWIFTAITDSIAWTTLPRDLFRKFFRQDLMVAALFRNFLLAQ RVMTVYGCHPQSYPKLPDTHQHPLWETWDLAVDMALSQLPALEKKESEGYDYEYQNSS FFTEQLTAFDVYLTRGDAMAQKSPDQLPVVLQVLLSQQHRVRALILLGRFLDLGPWSV QLALSIGIFPYVLKLLQSAAAELKPVMVFIWARLIAVDLSCQQDLIKDSGYSYFAQIL KPSEGLPVVDSDEHKAMCAFILAMLCKDYKNGQMVCNQTDIMTYCLTHLQNEENPLLR QWACLCISQLWQDLPEAKWRGIRENAYVKLAYLVRDPCCEVRAAMVHAMTTFLGIPDL TEEVARIEESIAWTILDMGNDGSPMVRKEFVVFLSHFAVRFESKFLVAAYEQLVEEKE YLIFPPQDDGQEHKMGLHYARPENRNKDGTIKPMAHGLSHNTVYMALWKLALVLSVDP HPEVQREATIVVDYVHHALLSSKVGAQTQLVMAEIQKRATRLANRHMPNTSQRNSTVG SSNSQPLPSPGLLRRTASLLFPSLVINEDKSRPTTPTLPRSPSMKLVPNQVPVPPEQN DRTSAQALYNVAHEPYSGAFKERDLTKPPTLPLKSRFLEWSIEYFREPQMKPSEADEP GSTEYNERLWRRARNETIFRETQPLKQQAGSHKWNNQLGIVNNGAQPAKMTFHQFEDH LAVADEGNTVYVWDWKKQGRLSRFSNGNPEGSKISDMKFINEDDQAMLLTGSSDGVIR VYRNYESDRAIELASSWRALTHMVPSNVNSGMVFDWQQVTGRVLVAGDVRVIRVWYAA YETCVMDIPARSGSCVTSLTSDQMTGNMFVAGFGDGAVRVFDTRNRPQESMVRKWKDE SDRQWVKSVHMQRGGQRELVSASRNGKVKIWDIRMDKPLHSFQTTRDTLRTASTHEHL PVFAVGTSAHTVKVFNLDGHELSSVEPYSSFLQQNRSSAISATAFHPHRPILGCAARG DHHINLFTCEKSESMSSS FPSE_11730 MLKHGSADILSSLGFCIPTVPLGSTWEITTANSDHYMVACERNW RVPGDKDPNLASRIPPLNPPPSSQAHQTSIKKMIGGLCHRQIIAEFELNPTP FPSE_11731 MDFTGQYNFTNPQPYHQFMPIPPLTPSHSHSAGSDDFNASPPEN YDGLPTTQNDQFQSFDYTTAQGFNANPHQPASGFPGPPTPPGQNVFASQMQGHRQQGG SMRNGSPDEQSIARGGSEEDENLTPAQSRRKAQNRAAQRAFRERKERHVKDLEAKLAG LEAAQQQSSIENERLKRDLQKISTENEILRATSHTGHGSISPEPATGPLRFKPTDFYS NVLQNHTNKSPSHRIVTSDDGERLLAAGATWDFIISHDLFKKGLVDIADVSERLKNCA RCDGQGPVFSERSITNAIEQSVASGTDDLL FPSE_11732 MVYVRQKELPALREYKYSGVDHSLLSKYVLKPFYTNFVIKCFPM SMAPNAITLTGFSFVVANFLAMLWYTPSLDQDCPPWVYYSWALGLFLYQTFDAVDGTQ ARRTRQSGPLGELFDHGVDACNTSLEVLLFAASQNMGQSWQTVAVLFASLLTFYVQTW ETYHTKTLTLGIVNGPVEGVLAIVLVFIFTGYVGGAHFWQQSMFQTIGVPSTVGVPSF IYNLTFTEWYLVQGSIMLVFNTVESSLNVIRARRARGDRSRGALLGLVPFFSIWSMVV AYLYLQPKIRQCHLVPFALFAGLVNAYSVGQMITAHLVHLRFPYWNVLGLPLAFGVID SLGPIFQQHLGVGWPSALGDSVYQVAFMFMMLGTAVGVYGSFVVDVIVTICDYLDIWC LTIKYPYVENEGPQANGTKKD FPSE_11733 MEDLSSPVSITSSTATAPSSASKVTYTTAGTPYNPSTSQPLQPP ARRGRFLKWPASLPAAGLSLLPKSVLATLPIKTTRSSSLQQYSPQAQYDGPSSPLTDT DHGCVNMSAQVPRLGSSATPSPLASLFSEIEQDTFTDADPSDESDDDREPGMDPLLNM TVKSLHNLASYPNPNQKKAQRTLLRGIKPALSLKSATRSDEPCYPRSGSPSLPKTLKY STDPLGTLQPPRREATLFGRSAEDAIWNSRDDRSPSIHPVTLESFDRAVGSNPTLAAN TIGSATRVTSSGAPLPLTAGPPGQRQYRPSTFESTFKALHTGTPTQDSSQEDDDDVLI ITRQTLRQAGVNAMSLEQNSHSLKTMPPLVSKTPLPTSTAAVDFTENSDINASARASE LISYLTQEQLHPSNVTPSWAWGSTVAPDCWASSRKQSQHQYAQGPRIRNAEDIKARSE DVNRAWYAGADFLLASSEEIAMQIQPPTGKGGFGAIGDGRPSKKGDYHYPMSINHANR MTVAEHARPLFSMAHANVQQFIGEEWQKNNAGHTPPVQATK FPSE_11734 MLRPGTPLTLLLFAAFALLLLAVISTPIIEAIPLSDFGGVTYGV FGYCQNGKGCSGIGVGYDTAKLAGDNSQAFDLPSGVRNTLSAILIVHPVAALITLVMT IMAAVSHLHGPSHSTRYLLILFIFLFIDFLVCLLAFLIDVLLFVPHLAWGSYLVLAAT ILVAMSGLVTCAMRRTLVSRKDRQRRIADNAEMSGQNYYNRTAQDKIAAEDGITRQPT LPTQPVGNSVHDNIPAFATFDQKNRSDQISEEVPLTRRATSNRSPGVPHEPVNVGEAA AFNRTPQRQGSQDQFGNQIDNGIDPYAGRRGPSPSMSNRGRGNGGYRGGRGGYGRGGY DNYGAPMRGRGGYGAPGRGGYGPRGGRGGSGPPGRGAYGPGGIRGGPGPSGHSNGPYD RRPSPGQNYASDFQPSEPSNGYSSTNPSMPSLNTYTAYRPESELPRAESPPPLPGTEP ATIGGRPVEMDASPAVHGHQGGNYGQLRDSDVDVAGMVGLQQGQIPQRNDTIMSEGSK YSNDEQYAPPRAAWNQGDGRNSPKAPPPLATTLGRNTSPVTQQPAVMGNSNYYEDVDP RFAPEASLANNNLQPPPIEPIYEDVHANNAGARSPAESEHSTFTSISQRGINPRWNPG PPPPMPYQQGPPHRRPVHQQQQQRQDMLLDTPDFQLPSSRSGRGPGMVPGSAYPPGGF FPSE_11735 MLRNAMLRPSARATMRCRPRASMAPSYRRMQSGGPFQTMRPPSP EELGAPRRAKEYKRGRQWARKFLIISAVGGIVYLGDRQIYASGFTRSLRTFGTGLLVA FDYKLNFRPQPITGGTVQDLHNRNAERLFHVLRSNGGLYLKIGQAIAMQSAVLPPEFQ KMFSRMFDDAPQDDWADIEAVIRQDFGKSVEEVFGVSFIGKEGMGLMERKARASASVA QVHWAKLPDGREVAIKIQKREIAKQISWDLWAFKTVAWIYSKWFDLPLYKMVPFITER LELETDFVNEAKNSEKMRELVNGEKPLRGRVYIPTVYPEFTTKRVLVTEWIEGIRLWD KKAMASRWLGGHGNGSPGAGSPLPKIDLEAARRELRTRPYQENLKPERQEWRGRRGRG GLGLSSREVMTTMVDLFSAQIFKWGVVHCDPHPGNIFIRRLPNGRAELVLIDHGLYVY MSQKFRNEYATFWKALMTFDNKTISRVTDAWGIKAADLFASATLLRPYEGGDGRTRNG IMKGLEGKTPAERHYAMQQRMKQGIREMLADEEKWPQELIFIGRNMRIVQGNNQYLGS PVNRVKMMGEWASRSLFEDPHLPLSQRFQNRWRHLIFKTVMAASDIAFYMFKLRQWLG LGGGMEDEMEKRMKDVASDFGIELQHDVFDG FPSE_11736 MNNEEPRRTSFGMLLRRSKSGDLGKAGRKAQALKEAELERQRHA STRIAPKLPEFANHTEQLSNSFGPELRPELRPESPANVPRSADYSSIRPSGEYSRGYG NAAHAAPPVPPLPNNGFDPYARTESMTNRGRYSYASSAISTVNSPRRVRRRKDPTPMN ILVIGTRNSGKTSFLEFLKTAFALPPKKRAKKGEDEVSQNHSIPSGRFIPHYLETEID GERIGLTIWDSEGLENNVVDLQLREMSAFLESKFEETFAEEMKVVRSPGVQDTHIHAT FLVLDPSRLDRNIASARNPAINGQQNGQPFSRVYGSLDESLELNALRTLQGKTTVIPV IAKADTITTQHMNVLKRSVWDSIKKAGLDPLEALGLDDEDGSSFSSEKIVEEDEEDDL EYNRDGAQTPESPDFPLQGQRESPTASPSSKRLSTSSMRRHRVQEEAKEKEDEIPFLP LSIISPDLYEPGVIGRQFPWGFADPYNDEHCDFQRLKEAVFSEWRAELREASREQWYE GWRTNRLKQKDGPHRHR FPSE_11737 MRNTRSNAAAAAKVPREARDSPAKPQMSIEQETVSQRYPLARRN PPFEAAQRGSSISTNPARPDDETEFIDDDDEYFQRDEPGSQPKEVEISSEHSRSSPEL DPEKLKNIKILEPLVPDLARVADSLYKFSKQKPLDNESFQGTLGVKRYAFDSMQNSFK RLGQPYKGDFVEITSFMELGSTQRQRSLVGQIARVNTVIAYDRINNIGDDQTPEIFKF LKTFNEAFPDYFLLAPEMFQEPEVILDMRTWLLVETLSLTDGQSNIEEALVEIFCDSY KIGNLANVEEQSNYPALLSGHYFREVGDSGHVDTEELCSTRILEIIEVFKKRGKRNAI SHLLEKYPLRKLLRDLRGCFDAMYNISADEESVPVGTQSPYPDHQATVESVPVGTQSP YPDEQPIEESQSDPFGSDSQSIVRAETQDAEPTLFVDKRSLLALERGIQGDGTLPPPN QQPAGPKSIPRDYHQHANADLLGSPFPPASSFRVVSNSDRSQYQGHKRPRSVTTEDDD EDDPFETDDRRVNPTRRAELKRQMPPPPRPESISRSLPRRVPVPSSARSVPPAFDQES LRDSTSQADSRPPSSSVVNYDVLKKAASQRNREARLADPGRQGPRQRVSWSDHDTQLL LDYIQEHGARWSMIESECNHYFEHPRNQQAYRDRARNLKTELLITDAVLPPNFNDVVL GSKEIIRVKAVGKNPDRREEDVDADGDPINTELPESTPF FPSE_07696 MASSLPTFPRVVFTIIEPISLVAGFVGAVIDPAWFMNEQVPQSN VINPSGNSIMVTWQLGNLYLLLGFLGIAILSTTNEISVVRAYLIALWLGDIGHVGFSY YGLGWDMSMSLMKWNAVTWGNIAMTLFLFFTRTAYFTGLFGTDHIKPFTSKKAI FPSE_07697 MLRSVTGRAAVSGLMKSALPRVSQVAAPTCSLAPQLRLQSKSSV AGLRLSRAIHSTSVRMSQTRTESDAFGEIQVPADRYWGAQTERSLENFRINQPQDRMP PPIVKAFGILKGAAATVNMKYGLDPKIGAAIQQAAKEVADGKLIDHFPLVVWQTGSGT QSNMNANEVISNRAIEILGGTMGSKKPVHPNDHVNRSASSNDTFPTVMHIAAVLDIES ELLPALRSLRDAIQKKVDEFEAKKIIKIGRTHLQDATPLTLAQEFSGYVAQLDFGIKR VESSLPDLRLLAQGGTAVGTGINTFQGFAEAIAEEVTKMTGTEFKTAPNKFEALAAHD AIVQAHGSLNTLAASLTKIAQDIRYLGSGPRCGLGELNLPENEPGSSIMPGKVNPTQC EALTMVCAQVMGNHVATTIGGMNGQFELNVYKPLVIRNLLHSSRLLTDGMRSFEKNLV AGLNANEEKIASIMKESLMLVTCLNPKIGYDMASKVAKNAHKKGLTLKQSALELNALT EEEFDTLVKPELMVGPSPYKG FPSE_07698 MATIEPRLIHLLNEPTKSQINHTDLPPLHSLSFTTTADRSLPPL EPLDTNHRGDRPGPDTQSAASAGGSIQISSDDGAFDHRKEGPGQDGRLAPSSGAFPFR TVTNDSEVAEFTNSISRILDDDPGVIDDAANKKRHRSIHVKDDFVQLPQPLKKQKATQ QVPVMPPIINGLHEPPPHAALFPPISSESFHSNDGSQMKALTEKLPEFAQMCQEIDLD LAAYKARKRTAKPRRKWSEEETSHLLLGVNRHGVGKWTNILEDADFTFDGRTAGDLKD RFRTCCPDELRKKLPSWSSREMPVKGKTDIHLEKRLIDGDAVFAKDVTLTPQQDVDTS PKRKKSRAHRKKMEDLVELGIHGPFKKSRRRERRLFTEKDDTEILEGLEIHGPSWTKI QRDPRFHLSSRQPTDLRDRVRNKYADVYQRIEKGTFQTKETGRGNNLMEPSVSMSIES SFKGAKGTTLEPQTNSSASREDLPRWPVHQRTDVNESVGAAQAFEFGEAATPFMGGEM DISRLLLDDAKLSPATSRFGMDGVPEVSPHVNAPQKRHVTDETQQSRK FPSE_07699 MARGIKKHQKRLSAPSHWLLDKLSGTYAPKPSAGPHKLRDCMPL IVFIRNRLKYALNYREVKAILMQRLVKVDGKVRTDSTFPSGFMDVITIEKTGENFRLV YDTKGRFTVHRIQNEEAEYKLGKVKRVQLGRGGIPFLVTHDARTIRYPDPLIKVNDTV KIDLATGKITDFIKFDTGAVVMVTGGRNMGRVGVITHRERHDGGFNIVHVKDAIDNSF ATRESNVFIIGQDKPWISLPKGKGVKLTIAEERDRRRAYAISH FPSE_07700 MFASRTTTRALLSRRTQRLDVRRGLRIYSHVRAPEIKKVAQSGS SKASGWSQPKVFTVALGAGALGWGLANLNGDGESIWSSTPHYASVSEMEKAIQRIEEE VAIEGLISTDPEDLHAHGYSEWSTVNPDTLPVAVAYPRTTEQVSAIARICHEHRVPII PYSGGSSLEGNFSAPYGGISVDFAYMDKIIQFNKDDMDVVVQPSIGWQDLNAKLLQMD SGLFFPVDPGPSAKIGGMIGTNCSGTNAVRYGTMKDWVINLTVVLSDGRIIKTRRRPR KSSAGYNLNGLFVGSEGTLGIVTEATLKLAAIPEQYSVAVVTFPTIRDAASAAAGVMQ ASVPVAAMEIMDEVQMRVINLGGATKPRVWKESPTLFFKFSGTKEGVKDNINRVKKIA AANKGGNFEFARDTAEQQLLWSARKESLWSMLSLRKDGDDVWSTDVAVPFSRLADIIE VSKKEMDDLGLFASILGHVGDGNFHESIMYNKNVPGERQKVEACVKNMVKRALDMDGT CTGEHAIGWGKKESLLWEVGPETLAVMSSVKKAFDPKWILNPGKIIDVPWENTVYHGA NTAVSPNRVVKDLV FPSE_07701 MDINESLQNSAYRSGKIRFEPPPKNDFWGAAVYLVPHPDPRRKS ELVEISVRLDKRVPEGFTRSPVCKDRRHPTVATLYPMALEFGIMLEENSMKILKSMTG TVGERKLKLEINLRQKKLTAFFPMEIMTRGGRGVRQHKVVIDFFAMKNIYQATTDGDS CAIVLPLEIPPRYYWRTPNIRSTFSDDVKTWYAGDSWNRATDIVEEAGLPMQSPISLN NDFKGSDFIDIGRWTTLRFVLEAKTEEAKDLNRQMVSALHDFNINTIIRDDFQAAHGT HAEMWKHLDHHASAKSHNASHALSLLHEDSFVYLPFEVRYQLEVCISQGQLNEHKITK EFLGKLASMPSHRAKEYLEFAAERVLKDGHPTTTDPMSTFDSQRDSGDNYKSISRIPQ YCGLVRRAVITPTTVRYSTPNIEVSNRVMRRFRHIQDRFLRIQFTEEMEKGKITVNKD QNDEIYKRILRTMYEGIRIGDRLYEFLAFGNSQLRSNGAYFFCPTEYVSCDDIRQWMG QFSHIKVVAKYAARLGQCFSTTRDVRGISSPNCLQIPDVERNGYCFTDGVGKISVFLA KLVGEDMAIDICADPSAFQFRMGGCKGVLAVWPEDAKGTEVHVRESQKKFESDSKGLE IIRWARYATATLNLQTILILECLGVPIRSFTTLLDQQLRQYELAMRDNDVAIEMLERF RDEQHNHSCLADLLRADFKTETFQEPFVVNVINLWQSWSIKSLKEKARIHVPKSAFVL GCVDETGTLRGHSFDTEGSKEKDVHRLPQIFLQISDPKKYNKTSIIRGPCVVGRNPSL HPGDIRVVEAVDCKALHHLTDVVVFPSTGDRPVPNMLSGGDLDGDDFFVIWDPSLMPS EWNHPPMNYSPLDPIELKRDVNVDDLRNFFVKYLKNDKLPLIAMSHRAFADELGPKSS KCLELAELHSKAVDYPKTGNPAVLRQDQQPRKWPHWMEKRYSYHSRKALGVIYDKVAH KKVEFNPVWDSSFDKRITKRFELDNETLGVARKIKAEYDTAVRRVLSQNALKTEFELF TSFPLTRPAVGSDYKFAEDLSCEFRSIKEHYQDVCIKAAGGKDAEKLEPFVAAMYTVT EEQIKNEESILQPQGLEPKSMPLISFPWIFHGVMCRIATEGAVDPRTNIGTHRTTQQS FIRDSTVPQNPSPAGDTEPIDVRVLEEGIGDGALDLIDSQQDEDSEEDDAIDRLNDLI DG FPSE_07702 MPKPKNKTTKPGKVEVSAPPPQAPPPWPAFKPPLPVVDLAPEPH PLTSKVVLIPSFFPRSLCRDYVAFLKTLPLQTTPGRPKRGEAVRVNDRFQVDSQDFAS RLWEQTGLKEALLQGDVEEKWGGEPVGLSPNIRIYRYSKGQFFDCHYDDSNNLTLPLD PPMLVKTTWTLLLYLTSTAEGCVGGETVFYPRDRRSPREEIAVPLDTGMLLLHKHGDD CLLVISPLPLSFYHARHGSQNSDHRLTIAFSFSMKGEKSLQARNGSSELIFA FPSE_07703 MPILASLVSSWLGVPAASDNKPTPQQNQEATTPSRQTSPKTGSI ITATPTPQALPSSSITPAHPQSTWERSFRQMGLLLTGAGFLAASVAVSRRSVLRMRKD SLPKFYSSNQNPIKVDMGERSFLAAQALGLATLNVMSFGVMLVGGISWAFDLASIAEL RERTQAAVRRPGSVNPEDEKAMEELMEDLMGKLGMDKPQKPSNTPEENEK FPSE_07704 MSFNANGTAQRRSNPFARTASASPATSTNPRPKSAIFTSPSSTP GIVSSFGHNRTQSNGSVSAISREFSSTQTRLRSDSKSGTPTSTTFAPSFIKEEEMIRS QDEVQGIEGENDFSGKRYVWLKDPQTAFVRGWIVEEKDGNQLLVQCDDGTQREVDAES VDKVNPAKFDKANDMAELTHLNEASVVHNLHMRYQTDLIYTYSGLFLVTVNPYATIPI YNNDYINMYRGRSREDTKPHIFAMADEAFRNLVEEGKNQSILVTGESGAGKTENTKKV IQYLAAVAQADSSIKNKAQHSSLSEQILRANPILEAFGNAQTVRNNNSSRFGKFIRIE FTRNGAICGAYIDWYLLEKSRVVHINQHERNYHIFYQLLTGASSSLKREFLLNDLTVK DFVYTRDGHDSIVGVSDPKEWASLMEAFNVMGFSDDEQKSILRTVAAVLHLGNINVVK ESRTADQARLAPDAKAQAAKVCKLLGVPLEPFMRGLLHPKVKAGREWVEKVQTPEQVR LSLDALSKGIYERGFGDLVTRINRQLDRTGMGLDDSHFIGVLDIAGFEIFEQNSFEQL CINYTNEKLQQFFNHHMFVLEQEEYAREQIEWKFIDFGRDLQPTIDLIELSNPIGVFS CLDEDCVMPKATDKSFTEKLNSLWDKKSTKYRPSRLGQGFILTHYAAEVEYSTEGWLE KNKDPLNDNITRLLAASTNEHVASLFSDCAESEEDRATGRSRVKRGLFRTVAQKHKEH LHSLMSQLHLTHPHFVRCILPNHKKKPKEFNNLLVLDQLRCNGVLEGIRIARTGFPNR LPFAEFRQRYEVLCQNMPKGYLEGQAAASLMLEKLGLDRSLYRVGLTKVFFRAGVLAE LEEQRDALITQIMSRFQSVARGFVQRRAAYKRLFRTEATRIIQRNFNVYLDLAENPWW QLIVKMKPLLGTTRTATEVKRRDAMIKELNDKMQVESENRLKLEEERRNCHAEMVRIQ QTLESERALALDKEEIFKRLQLREAELEEKLAGAIEDQERLEDELDDLLNAKNRAERD VETFRSQLEQAATLIAKLEEEKNGLSTKITELEKSITEMTQKQAERSEQEVLLQDEIK MLQSQLAVKERKAQDLENKLVKLDQDLELKLHIAQRDLQAMKLRETQLSQENDDIQQQ LNELSKTATEYEDLVRQKESELSLLRTDNKNFESERQSLEDQRKSLTAEKEKNAEKYH EAQAELVAMKSRQAQLEREAEDAKNLLEARLSEDAQADQNRQVLESQTKDLKDELYKT QMELSRERQSRDDVQLLGEHRYQELKDEFDRVNESKIIIEKEMYAQQDTLRRTLEART TAEKERDEARQEIRRLRVAKTQAEEARMQAEVAGERQASKAAQDRENSLRKDLDAAQE RLHWFDGECAKLNHQIEDLNKLILESGEFGLKNDQAKERLEQELTTVKSRLTASENDN RALLNKLQQKGLEIARSSSRASEASRGQIQSLQREKARLEEQNTKLNKQLGDSQLAIA SLEKRAEKLQLNLEDLSHEVAREVKSSRNAEKATSTFTAQLAEANRTIESERQLRTQA QTTVRTLQSSVDSRDKEINELRAQMLEVLRTVDPEVHIPPQSDGTDEKVLIQNFDLVR KVEELQQNLRVQTAARTNAENQLTEMRASRNESPTRPKLEEIQLNEAPFQGSPTQRRT TKLHAFNHSNTSTPTRRFQEPDHLQDSTRSDRTIDTLNFNNRMDLKAEVEELQNQLQL KEMQNRHLQSQIDRGTPMPENHDESPSLRRIQKLEMVNTRLHEMLDDSNKKVSALERT IRSGQLSLRDVQTRTHEEILEVLNSQEDSRRALVHSHKDAVAELTDIKGHFERLRHDR AKAEVELRDVKSDLEEMTLAREQEAQSRNQLLQEFADLQIRLDAETSKFIDVASSLEM YRSRADEYFSKLEQAEIAVLKASRAEQFARSQAREAEDTYVEIMAEREKMDATIEDLQ RQNQHLEEKVEDVSTDLAAATQAKKRLQHELEDYRNQRAIDIEDKESSMEQTRKKYQA EFATLTNELDLAREEKLFKQAEIARLREELDELRSKWDDEVLNSSTWCKEKARLESTL ADVASSRDEAVNAHNEAQGKVVTLLSQVRSLRSSVDEIAAERDHLIREKRNVEARLEE AKSGLEDLVKGESPSLRNAANMDKEILDLKSNLAQQEDIAAAAVEKMRRAESLVAEVQ KDVMVERENSIKLQQQKASLEKVLNEAQLKLVDLETKGYSSASQDVKFLHKRIQELES QLEDQETERSKSQRSVRNVDRIVKDMQGQIDRKDKQNMQLSDDVSRMRDKVEKLLKTI EELQSSESNNQLSARRAERELREEKERAIRMERELAGLKSLRNDKGSGSVMGSVRSRM GPWRVSEGDDASMIDVPGRKSSLSRVPSFTKGFL FPSE_07705 MSQYVYGHGAPHTGYQTSQSHLYSSNQPYSQPNGVAESQPSYGQ GAAEAYEYNQTTIPGLGMGFTHSAATWQHPTPQSFPETHADPSASVAHWQTSRASENT RPEFSTSPSRQPNLEVNKTMEEGELSEGELEDIYEPAEMETNTFYRRGVQQPGSIDQN NRPQLPMGNLGINHERTWNSKQSGRERSGSYSPYLSPREIQTSGLDNDASNAQTPQTS FYDHNKSILMNNDDDSDIPCQSGTKDGVAIISESKKQAQDAILRLWPLNVRYQNYIEE GVDRAVLDQLFTELGLELGSTIPRGEQSRMPTLSQVNTPQSDAISTVPSPEQATPLVV APEPKAADSAKDKSEERKDRIARLLAAKGSKSTVADTGSNKIGASAPTSKNVPTTTKH DKTKTPSEKSKLIQQRMEALMKAREANAKTPQASTPPVSSAFQPAPDTSRSNSQAPVD QMNLDEHIEAAAETTDPSARPPIPGLFLSSNASSPAPNQRKRPVAADLNENSAPAIQK RPFGQTRESRPFLIDVSDDEDDAEMEIDSPELRPSAVQRPVTPGSRALSFRDTTSLPD SASRSAGGMIDLASMNKKIEDMKRKIAEAEARKKKSKQLGSGSPLPQSETQSKEGSVD VAIPPTPPVRGASPAAEVIRNSPACNAQQPSILSDTVTQPPKVRGQRLQARPSLRARV ASERLPIIAAQRKEFQEQLEYFQSEVARIEKEIENKRVEEERLQRDAIQTESALSPIS TSQDEPESGLRLENPPGMETPFDQSSQVSVSHDVPLPAETDEMNDYDDEVGHDSPMDG SADIASAGSRGSSSPQQCESQTHQEPLVEYETAGARSPLPTHPPVVHDIDACVEPTTD TDEREEDVAMDEADTSSEEESSDEDESDGYEPTDAGVSLPDSHSPLQRQLSPLQVSDD STVLETSDTDLQGLVTATPVTKPISTGSGDTESESNRETEIQKTSEVINSSGTTFVPY ETPLQHFKAYRFHPQYSDSVAGGLRSLTYSNSIDVTQEVCPDQLTHGVCPRGSECQFQ HFEDMQLPDDQIIVQLGASGNPEVEHQDQYVAGLRELLRDFRNRKVKDFEAISQGLIE YRAKFLRDKTKILPLSGVTL FPSE_07706 MVKAVSVLRGDSKVSGTVVFEQESESAPTTITWDITGNDPNAKR GFHIHTFGDNTNGCTSAGPHFNPHNKTHGAPSDETRHVGDLGNVETDGQGNAKGSVTD SLIKLIGPHSVIGRTVVIHAGTDDLGKGDGEESLKTGNAGPRPACGVIGISN FPSE_07707 MSKSFIGNVKSVLSGDTLILTSPNNPNAERTLSLAYVTAPHLKR EGDEPFAFQSREYLRNLVVGKPVQATVLYTIPTSGREFGTAQLKDGTNLPDELVKAGW LKVREDAGRKEESDEVLDRLETLRQLENKAKAEDKGLHVGVGGIIEVQNDLGGPEFMK EWKGKTVDGVVERVLSGDRLLVRLLLSEKKHVQPMTLIAGIRTPSTERTLPSTGATQP AEEYGNEAKAFVESRLLQRQVKVEIVGASPQGQLVAAIIHPRGNIAEFLLQDGLARCN DFHSTLLGEKMAALRAAEKQAQSKKLRIHKHHVAKTEGGNQDAIVSKIIGADTILIRN KAGTTEKRINLSSVRGPRTTEPSESPFREEAKEFLRQKLIGKQVRISIDGNKPATEGF EAREVATVTEKNTNINLLLVENGWASVIRHRKDDTDRAPNYDDLLAAQEKAKDEKKGM WSGKPQKAKQYTDLSENTQKAKIMLATLQRQKKVPAIVDFCKAGSRFTILIPRENVKL TMVLGGIRAPRAPRADGEGGEPFGKEALDLANRRCNQRDCEVNIHDMDKVGGFIGELF INRENFTKVLVEEGLASVHAYSAEKSGNATELFAAEKKAKEARKNLWQDWDPSQDEEY EEEDAAEAAPDADVSLDKKPTDYRDVIITNVDANGKIKVQEIGKGTAALESLMTEFRK FHLDSKNNNPLRDAPKTGEYVSAKFSADGQWYRARVRANDRTAKKSEVVYIDYGNSEK VSWSSLRALDQPKFGSQKLKAQAIDASLSFVQLPTGADYFEDAIGYIYDITENKRLVA SFDFVDNKENVSYVTLYDTGASGELPGPNDSVNKEVVAGGYGMVPKKLKAWERSKVFE PTLKHLKEVEAQAKQDRRGMWEYGDITED FPSE_07708 MSSALDQLKATGTVRHPTIAPPCHNDRDQEQRTGIIFELAIGKY KPQDATTNPSLILAASKKEEYAKLIDVAIDYAKQKGGSVDQQVDDALDRLLVEFGKEI LKIIPGKVSTEVDARYSFDTEASVNKALHLIELYGEQGISKDRILIKIAATWEGIKAA EILQRDHGINTNLTLMFSLVQAIGAAEAGAYLISPFVGRILDWFKASTKKEYAKEEDP GVLSVKQIFNYYKKFGYNTIVMGASFRNTGEITELAGCDYLTISPNLLEDLLNSSDSV PKKLDASQASSLDIEKKSYIKDEALFRFDFNEDQMAVEKLREGISKFAADAVTLKGIL KEKLS FPSE_07709 MVMMITAPQRRLLVLLSTFFFVFSIFYCCSRLASLSVGPKIVEE PDPVPQVDLLKADNPMSYGMYNRPTYDGIDLVRNLPSEHIPTPKNKRRLVVVGDIHGM LDPFEKLLKKIKFNPETDHVIAVGDMINKGPKSSEVIARLMEIKASAVRGNHEDRVIL AWRGLSSQQGVAAYLDSESAAKHRGEGEDLKTARSLTEPQMTWLKRLPVILSAEPMAL YFVHAGLVPGVPLPQQDAWAVMNMRTLRFPREEFRRKEIEKKRKQAEKQRQKELEKAQ QDAAASLQRRYIPGAEGANSKDHAARAEPVEVDPASTATSTDENVDLERTNPDRDIWL PIDGHEGERWTKMWNKAQKKLPGHERRSVVYGHDAKMGYQEDSYTFGLDSGCVKGNAL TALIIQANEEGGWKHSTHQVTCKKGWW FPSE_07710 MSTAQSFQSGLDPESKIGWIAKQLGGTIHKRTWLPIHLAGFILY HAEEIVCFILVHLSSSLHARARLSGSKLIVFLEAGGQSPGLTLNLSSNNPFRNRAPSP ASADLLYSSKPSSPFDDPPPRPLSRNPFLDSPPVDLLSQPLRSPGAMSSHSDSKSLSA EEIFNQAKQQAPRRPVNGPPPPRRDGNAPPPQDGKHRPTRSQEEALRARRMQGGSGPR PQQSSPQRKDPPRRPRRNSESSLVDFDARPITDEEKRMIEAARRRKYEQQRRGEGKER SERSDRDRERERRERGDKEKSSRPSRRMDIIDQLDATSIYGTGVFHHDGPFDALNPHR NRQNARRAPMQAFPKDSLNNSLGGAGPLNARADHSVLMGNATEEAFRDFAAPGKPKKE PAIFDASGRDKIVHGDESVGLGTSTFLEGAPAARSAIQRHQAEQAQETGEGGLQRKKS LAQRIRHINKGPRDYNQSGREYTRITPDTYPTAASTGSDNNPFFAEFGKGEESINVRQ RDGSMSANSPPGARRPSAGAVLERRVTTDATTTLDEAPAKPTGLMGRMKSLKGRKTRP EIPSNGPGRTSARRQFRQDSLLEDDEEDTNESGWNNILPTRDQENAAPRDMERSRTYP SAPTQQAEPFRVACSVLEIQIKAGLEKQNPQDLKRPLMLSACKEPIPASVLARKEANR RDAVAILGSCKTGCAVVDENVLLGGFDRASIVGVSAEDEELGVQVRKKANLMKSLERA ILLIFFVYKLGFQTLAHSLCEGTVTNGLLITPKPASVMLTGLRDAIKAELQKRGHTAD TKTRLRECLDKVMLSCVFDLDGLWEVLQDLDGSVQVEDEGAVLPRQEKETQKQDATEA QAEEIQDSQDDDDEAFSPLRQASQPPLQDEKSLSKTTPHPEFIVMTHFSSLLTSLFAH REKSAARTALQLLGSHLRDLSRNLPSNPLILLLNSTSSSFSGPASATISTSPAKQASV DPTLRSIFNPPSATGYSSRRTKPNFGLSFTQLLDLHILCTRIPKTRTDAELADQPHPG EEAKMVWVVEVLLDEMGVWEEEEVKVPNTSEVRLAAGSSLPTSTFGDIQEALLNVLIV KLHDPSCGAIDKTAAFTAKMPSSCKELREALAQCLQESECVMVERNSAADCLREPLVN TLPLKCRQLKKGFGECKRGMVDMRKRFRGNMPVAYRTMEQAEEGQGYQLYAGRPAFAG GVKKTDGNEPIPQDWREVENEKWKAEQAAVAQQKK FPSE_07711 MALIVVVGATRGLGASVTKQYAEKGDNTVYGTTRSSEGPKDFSA DVKWLTGIDLMQPNVGEKLADLLDPSRPIDTLIITAGYFATEDFTVDKGPDWEKEQRM YTTSSIAPVFIVHQFVHKGLLRKGSKVVLVSSESGSIALRHPKEGGGNYAHHASKAAL NMVGKLLSLDLQDQGVIVSIVHPGFMRTEMTKGVGFDKFWDEGGAVTPDEAATSLVEW TDKLDTSKTGQYWAPRGPGDIGTAEVVLGKNLATPLQLPW FPSE_07712 MFSNFTNIVQKAQQLIDPTQGLNLSSSDRNPSKSSLFQSQFRLP ASQAPLYEINAELTIPPSNATHGDKDHDRGWHYAGKLHLSEHYMCFSTTPTSFVQSAS LSTSTAFTGQTHGGGPSGNGFTFPLCAIRRVERLNSQNFQFALALTTWNGLLADTPKD KRDPREQRITIHLAGTRQSCERFCDGLKKGLRAGVGNVAKLRRVAAECYSEHLLRTED KKNVLPPDAGLGMLFRYPGDPKKLRDRAKMRLWAEYLRDNGRNFTLIRQPTFHKLIRV GLPNRLRGEIWELTSGSIYLRLENPALFADTLTKFEGQESLAIDEIEKDLNRSLPEYP GFQSEDGINRLRRVLTAYSWVNADVGYCQAMNIVVAALLIYMSESQAFFLLSTLCDRL VPGYYSTTMYGTLLDQKVFESLVERTMPILWEHLVKSDVQLSVVSLPWFLSLYINSMP LVFAFRVLDVFFVEGPKVLFQVGLAILRINGEELLDATDDGAFISVLKAYFSRLDESA HPKSENPKLRAVTRFQELMVVAFKEFAGITHSSITELRLKNKDAVLSNIENFAKRTAI RNLGPESKLMSTDELGALYDRFYSILYERQQRDLMIQQEKMRRAKASRMRASEIFTTH HNEVERGRVGLGPSTSLMDYDAFREFLASMAKWAISDSPGATKYGNYEERQNKYHSAR RASDMLSPWGTGPEPAEHEFLRRLFKKWDVDDSSALTLQNVVTGIAKIKGKHDIMGTI TYFFELYDDDDDGKVDREGILRISEALLFLSRRGLEGTLSSGANSTLESDAASGHESQ ASLAPGISTNERFLGSVSAFIRRCFEYADPAAGHKDQTPITPDDETSKDDAFAIGDDE DEDDEEDLLGLESPTGSPTKAKKPTLSTESSLDNPTDPEAASRRRVSKAKSEAANAAL DPAHPLHLTLPTFRMVVLADELLEQFFESSFPASFHVIEGLPTTSSASSLTTFSSLGF GSRPPVTPPVGPPGAGRGLRGVLDNIVTDGMRVATEVRRRMDEAQKELEKNALPGQRP EEDDEDDDDLGVEVGVRKGPSASTDMERRSVRSSDRDLLDGADAEAGASAKPQEPSLL DVNPSDDHPRAGTATSSGSTGGSAVVEFEG FPSE_07713 MAATVAPSHAAGLPSSSGIPASSHSANSHSHSQDVSGASTSSAP SPLPQTRKFNLRDFRRVRTLGTGTFARVCLVRPAATAHIPLDHQLNPEVYALKILRKP EVIRLKQVDHVRHERAILADVAGHPFITTLIASFSDQDSLYMLLDYVPGGELFTYLRK LRRFEEPVARFYAAEIVLVLEYLHEQQGGIAYRDLKPENLLLDQDGHIKLVDFGFAKR LGYREDNRPAETYTLCGTPEYLAPEVIQNKGHTGAVDWWALGILIYEFLTGYPPFWHQ NPIEIYKQILEKPVVFPQDPPLSEEAKDIIRSLCTVDRSRRLGNISGGAARVKSHPFF QDTDWDEILDRRHKGPIQPPIRYPGDAQCFDVYPEDDGTREPYGREMAEKYDPYFADF FPSE_07714 MTPPTVPSVDLLYLTFNCAKSLLDIPVFSAHLQTAFHQNATDLP QVVVLSLQEVAPLAYSFIGGYFLNSYISRYEQAINIAAQHVLDNISSRESDTITITPT ARPAKPYTLVRGNNVGYTAILLFARDPSKIKDIQEAEVGFGAAEMGNKGAVGLRMLYE GDDGSSELTFVATHLAAMEWNLPRRNANWAVIMRGMAFGNPEVVVNSYKTSITPSPAS TPPTEDQPEHVRLLDDELDEQHSRFQQELHNISVFKPTSHLFVAGDLNYRISTTSPPP SAAFPSLDPESENYYPDFFRLDQLTRERNAGRTLHGLSEHEVRFPPTYKYDVLPQRPG AQEPELDVPWKFAAHRYPGWTDRVLFLEVPSWLKKGDYKDPKINVRAYDCLPVLRMSD HRPVFLRADVPLIAPGEMAPPSSVDPDMSKDPRARLPVEIDPEAWERRAAARRKEVMA GWSMYLWSTKEGACILAAILAFGAGVYWIYHLF FPSE_07715 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVREGFQYDYVFDWTVYKYQKNAQAIAQAAGQANPEDDEKARANRTNAATAGQSA AKPNAIPSTRRKMLERGSGAGVDTPDTNRAIGGSDRM FPSE_07716 MTQTPYYGGDHRPPPLFVAELDSQPGRPGDAPSHHGPDGTPIFE MASEPVLAQQPQTQTPGENHSSPSNEWLAPLKSPGMPNTKSQGETKDESSKDASAMSQ PVMANPWAYFGPESPENKFKEPQAEGRRPSAPVYKAYPGNIETCPPEVPQSTRPPANV STPDLTSGSNTFYPAPLKLAHRPAKPTSPPAFKPYAPPESQDTSDLLPKPLNRPDRTN TQSPTTPSSYQPYRPHSPQPPVHAAPAFDHRPATTSPLQSAPLASPPDVAQQQPLDPA PHHFHSTASPIQPVKSPDKEPNLPSRPSNSLPTSPKPQPEPQPQKQYGIPNAAPAKPV PTSASAHLPSPMTPSFQQASVVAPSPATPAPSTGVPFSQPQYAAHATVPSYSQASTPG ASTSSPAVSNNPGLPFAQPQYAVPVQTHDYGPCQSSVTPSTTASPGVSSPAYSSSQLS PAQPNYGVPQPMYAPTPASSTSGGINSPLPQSPAPYQQAAHAGPTAHQLHTQQSNTQS YVTPNPVQIPQSPPPPYAPQDTGPGVGASPQAPSNHTMYQPSPVGAYSPQPQYPTQPS YAPTTSPPLQGLHGLQPPALPPRPSSSQGFAPSSGFGSGPTGYNPSNYPPPPQTYFSP PPALPARPGLGKLAGGGGKIFGSSSADKWLRKTGQVLESTLAPYLQGQSGSYRPGSHG PQGQQGQPVQQAPGPNPHQAQYFHAPHLAPQFRGAPESGPPQHGPGAPGY FPSE_07717 MGLLSMQHHPQHQQQGHPPSQHLQHSRPPSVVHQQHHAQPHPPP QQQHQSAYSSTHSLPQGYQASGQAPSNQDNLNYYNHPSPYSTPGATSGYTSADTSDMM AAAQMPRPPYPPMSYHTPQSNSPASVASPSQHDQHRSIYGQPPSQHMQQSMYYQPQNQ YQTMPPQATASPYAQHAPHPQQSMTSQPAMMMSQTAPQNQMTQHAAQHAHAGMTGSPR PKIEPQVPLQLQKPQSSPMQQAHHPQNGGQLQSPGNTTPGVNPSAAPGPIPATTPLVV RQDGNGVQWIAFEYSRDRVKMEYTIRCDVESVNIDELSPEFKQENCVYPRACCPKDQY RGNRLMYETDCNRVGWALAELNIPLRGKRGLIQRAVDSWRNSNQDPRLRSRRVRRMAK MNQRKQVQASPHPGAAHMPGPSGPSGMPGGPGPMGPSPASMGKPALGSMGQPMHHHQP GAHPDGSGQGGQDEVGDGNSYEHHHHQAPTAQNPSSNDDVRPAHVFTGYNNQPYPTSA PMSHMAPHSGGAVPAKRHSRANADEPDDLFPDIPEAKKRKFILVEDNVRGSRLRVRVT LEGVDTNEIPDSFRKGASVFPRSYFPREMQSPPPSATGSRFFGDDQDDDGIEETEGRE SSRRGVNRSGKEMVKVPMGESTGEIAIPRMRKSIRGREVRLNDLGYRMAWLQSRVFAG RTVFLQRALDCYRNKTRAAIESVMQDVKTVAPHYETRAGKRRWNERMRRGEKKDDE FPSE_07718 MSLTDNGPTQVPADTIHKAHGVLLCQRSLKYLLKASSEQPLFRS SQPHKAIRSFIQCHIFSTHTIYCENQATLILSNNEDPGRAGAIEASVDLLLMTANMSA NRQVSGGYKQEPAMHPVDKAGYILFHHDRGSPAHRGQGRGGQTRQLRQRHSCQTPKNR REEKRRDVAAHSIRSLDIISMLSGIACTSHTVLREPQTAIKPR FPSE_07719 MTDRYRSYPSSGRAATFANHARTSLPSSIGYTSLYAGDMHVMPT STRQQQPAPTTTTPRGYVTTTSTTPTPNTTTRTYAVTQDPRSHRPTTRDVTRTQRSST LDSTSRPPVIVMTTQKDRANGTSSHSSNARPGSPMRDDYRSSDGQFYTQPASSIRSRS TARSYADQTGNDSYGRSSRDRSNSLVRDDPYRNNRHSGVYQNNPRQSSSNVDYGDDGY QYTNAGELVRYDLDQSAPTRTRRRESLDRGYYRPNINYNADQRTFNVDTSPDLSRNYI TTSTSSPSNSRQYDNRGGPPPSTRGFDKIRGYDSPRDFAPMPSKTPEPVSTKQDVPLV ATTETTRRPRPVSLYQEGPPRSSHHDDYYRSRDDERKMREFREQPELERSYEPERAYE TPYFHDDRVTSRGFGIRTDLVDEDERRERRQEPKKRSDEDLPRETEHERDDRRRSWID SKEPRRDRRESKKGSEDDEKERTRFRDKVATGLGIAATAVGLVPAIKDDDKREKEVNR RKGSDDERERERDRDRRRESVKGERPRNLERDRSRQRDQSRQRDQERGSRDTSRRPGD SRKSGEAVVSSSDSDEGKKSTRRRRDSNAFNPNDTSDLRQLKDQLAALNTTDKEKEKE TSIIAETTSRSSSPSKDSKTVVESRPSREHRSSPDSKPSSPPGEEVRGRELQQTSLDD KQVRVVSPPRDKKDEKPLKGILKQPKVSFPEEPNPVREGVAPHKEDKKAREAPAGAKW TKISRKVVNPEALTIGKERFEVRDDFVIVLRVLSKEEIQAYASATQVLRERRRRDEDG GDTDRDRDRDDDERKRHHRHRRHREDDSSDYEDKDRDRERRRRHRDEEEYDTKSRDSD HHHHHRSHRDREPALEA FPSE_07720 MGAQSSKPTLYEKAVLDRLHSLQLQDDEEYVEISSDSEKAPLGP LVRKAQGLSVHVLESWQASILKDPKNKLALTALSSANPRQVLTSLPTQIADQQVFNVK IPSEGDPITNQRSSGRCWLFASTNVFRVALMKRYNLERFELSQQYLFYWDKLEKSNWF LEQVIDTAGEDLEGRLVQNLLGDIISDGGQWDMVYNLVEKYGLVPQTLYPDTWNAQSS GILNNVIKTKLREFALKLRGLINSQNGVSATTLSSAKDKMMREISLIMTLLLGPPPSP EDAFTWQYNDKNGKAHEVKATPREFAKNIYSSEFRITSTTIDSMISLVHDPRHEPLNL LSVSRLGNIVGGRGVSYVNVDIDTLKKTCIKMLKAGIPIFFGSDVGKFSDSTSGIMDL DLFNYELGFNTSLLSMSKAQRLTTKESQMTHAMVLTAVHLDEETGKPVRWRVQNSWGT AAGDKGWFVMSDAWLDEFVYQAVVDPRFCSKEVRDVLKKEAIVLPPWDPMGALA FPSE_07721 MQAPLASKVANLDFEARVPVPFSIFPSTYRESESHTQTVTETKT HEEVEIKPQQPEAGREGEISSVSVTAEKVPPPRSESEFIEEEVHITREEEHYHRPGVH KYEHDEEHFTVREDSRRPQPPSQYQPSQYHQPSHHQPPPKFQSSHTHVEIDTHRHPYY STPIDLAEREYRQRYRPAQAFSTEDPSSHSHPHYKPQDNFKANNYTVEGRPAPQFYSS EKTDINNFTVDDRSSRPQYNHTEKTEINNFTVDSRSSRPQYNTCEKTDINNFTVDTRS SQPRYRDSKTTQVNTYSVDKPVSRPSYKKDVRFTEKIVEASKSDNKSKMGYYDDEGSF RNGGIHKLGDKSREIEIDVRETSGPASSPNTVSIPCHHIRLGDFLMLQGRPCQVIRIS TSSATGQYRYLGVDLFTKQLHEESSFISNPAPSVVVQSMLGPVFKQYRVLDMQEGQIV AMTETGDVKQGLPVIDQSNLYSRLHNAFESGRGSVRVLVLNDGGRELAVDMKVIHGSR LWLSPSAM FPSE_07722 MKQRFSSLDVKIIAHELQERLVTLRLSNVYDLSSKILLLKFAKP DNKKQLVIDTGFRCHLTKFARTTAAAPSIFVARLRKFLKTRRLTAVRQVGTDRVLEFE FSDGQYRMFLEFFASGNIILTDADLNILALARTVSEGEGQEPQRVGLQYSLENRQNYG GIPPLTKQRVQNALKAAVEKAAADATSSKKQKGKPGGDLRKSLAVSITELPPVLVDHW LHTNNFDTTVKPHEVLANEILLDELVKSLQEARKIVEELTSSETCTGYIFAKRRERPE GTEVDEETKTKRDNLLYDDFHPFIPYKLKNDPAIEVLEFEGYNETVDEFFSSLEGQRL ESKLTEREATAKRKLEAAKNEQNKRIEGLQEAQSLNFRKAAAIEANVERVQEAMDAVN GLLNQGMDWVDVGKLVEREKKRHNPVADIIKLPLNLAENLITLELAEEEFEPEEDDPY ETDDDDDDDSALGDDEGTSAAKGKQTNKALNVEINLGFSPWSNAREYFDQRKTAAVKE EKTQQQASRALKNAEQKITEDLKKGLKQEKALLQPIRKQMWFEKFTWFISSDGYLVIG GKDAQQNETIYKKYLRKGDIYCHADLHGASSVIIKNNPKTPDAPIPPATLSQAGSLAV CSSNAWDSKAGMPAWWVNADQVSKSAPTGEFLQAGSFMIRGKKNFLPPAQLLLGLGLA FRISEESKAKHVKHRLHDVDSAIGDEGSGAPQSAGMMGDADEPDAGHSDVPSDYEIED EKHDEESRDNPLQAFKKGEGRNDEVEVADEGVSELKISDEATNEAPDQEAAEADENEE EEEEEEDRNEENEADEGEEPAKSEKETASKPADTGTQNNTPNASKKHPPKRGQRSKAK KIAAKYKHQDDEDRTAAEALIGATVGQKKAEAEAKAKADREAELAAAKERRRAQHQRQ QKETAEHEEIRRVMMEEGVEMLDEDEASQMTVLDAIVGTPLPGDEILEIIPVCAPWNA LGRYKYKAKLQPGATKKGKAVKEVLERWKAASTKKGVVDENARDKDRMWPREVELIKA LKPEETYNVVPVGKVRVMMAGGGGGSGGNGGKKGAGGKGKRGGRGSKK FPSE_07723 MSSLRPDDRSRKDRSRSRSRDHRSKSDAASERPSYSDYRESSYI YPEDDLNDRTRPGAPQASGALPYPDEGGIYPPVPGNLEQYSYDSYQPTYRTASPPKDS PYRSSHDRVDSNLPGAFPGAFPDDSRREKDKDPYAYNAEPRAKDEQDRFSFLPQKYMR KLTGSPGDNKERDNRSRKDRLDDDLAYGQPGVPPRPKAETDDLAYGKATGLPSTASQV MTTYNPPSTSGDDYYAQQQNPYGYTHHDPASPSVDQYGSRRSKEDVRYNDVYGSNPNI VTAEPRSRDDEKHRERRRDRSSDPRGSSDRLAVDTGGRKREKSRDRSRRREKSRERSR SHRREKSGDTLTVDSHRRHRSRSRDGRHSRKDKSPQPPTARMSGLSINTGLTVGGLAV GGLAGASLANAPPSPMLESYYGTYQDCSPMPSPLLLAAKSSDDNRALEALSPLGSDNE DDSRRRRRARFHDPEDITTQLAQALKGSRKPDTEPLIEILPSLTHDQVMKLRADYKAL VKTGSDRKGVNIAKHIRARLKDEDPLLMKACYSVALGIWESEAYWANFWYQGDKTRRE LLIESLMGRTNAEIRYIKDAFTDKKYDNSLTKCMKEELKEDKFKKAVLLVLDERRMEE YDHHGRLLPIDYALVDQDVADLRRSIKSEKGGETAMINIIVLRSDSHLRAILQEYERQ YRANFARDALKKSGNLVGELLAHILNGVINRPVRDALLIHHATSASRKDDLRRELLIS RLVRYHWDPHHMRAVKQAYRERYGRGMKDVVRDATSGEWGEFCGELCIARMPDDVRKF DKISYSTR FPSE_07724 MSQPKFVHCVGSPAFKPDDAIEDIDRQKEAVDYLLKNDLYNASR VLFQLPDPDPYTYHAITSVKLAQVQSVVNLGGSNGLHAWYRDDDGSPRDPPPQTDVEA YASIFRPSTATGAVLKNLGTNAKKGSTRSEVAANLERKRYLHPGLVTKLTVPKSKKPP STNPYLDFWMWSCHSLEWCGPCPASERVATSHHVLPIFMHHFGCATPTHESLSVLKIL ADGRPIADIGSGNGYWAFMLRRYGLTVHAVDNMQSEWRVNWVNDTVISDGTKWLRKNS NGKEMVLLLVYPVVGGSIGGGTEGGFTRNLVAAFEGDTIAVVGTQNRNGYTGFKGITM DEFMTSEHKDWTMVAKVALPSFPGKDEALYVFQRGERVPVQ FPSE_07725 MDQEPRNKRQREDATDYDELSAHGQLRSGAFKSNDREISEARRT MMDHRDNYSASSPTQTSKQYDALKEQARTDRATGSPASDISEQGRRNDPGVTSPLTHP DGISMFPKMSIIEQEVMSRQALAQAEEAIVADSDEYNSADDAGYGSDNMSSASTSIGS SVRDYMFENGRRYHSFRAGAYNFPNDDVEQEREDMKHAMVRLLSGQNLHFAPLGDNPQ NILDIGTGTGIWAIEMGEQYPSANVLGIDLSPIQPTWLPPNVHFMVDDVESSWLHPQN HFDYVHSRHTVQAVKDWPRLFDSALEHMKPGGWMELQEIHHYPHNARTGEAIKPCDHP IAQYWAYINEGLAALGVDFPAAAGGKLATKMQAAGFVNVTERIFHVPLGTWPKNQVLK TVGLYWRTILNDGIQAIALGPMSRGMGWSREQIEVFLVSVRRAYSDNTALLYMPMHIV YGQKPY FPSE_07726 MGEEELTPPCSHGSPSLETSLMVQLARKIAEETEKLDNYFKSKG LPDLGFDANAPNDLLKLPEDIQKCRLEISSATKQLELLVRGPRETIRWGIWSYLDTLS LQILNSYKIYDLVPLDEPISLRDLQTRTTLDSVNLARALRHAMTNSIFQEPMPGFIAH SAASKILAQDANLQAWVGLNGEDFFPAGAHTLEALRADPKATSLTRTGFNHAWGTVDK EPMFATFGKDPPRGKRFAVAMASLTGGEGYEVGHFVDSYDFSDVNANEGTFVDVGGSH GFVCVDLARKWSKMKFVVQDLPKTVSSAPNPICEDEGVVQRISLLAHDFFTEQPVKGA DVFFFRWIFHNLASHYAVTILKNLVPALKPGARILINDHCLREPGVENNWDEKLMRSM DLLMLTLLNSQERTEREFEELFKAADERFIFKGVTRSEGCRMSIIEAVWQP FPSE_07727 MDASFPETYEEAANQDEDPGAFHEAVHEDTGLGQWERICPSAGD IFSPRTIQRQISSLKTEYRKIEPASSLLSAPSSPEPSPSSPESSSAAAFRDAPTSTDI PVDEFTSTEASSSRVKKRKAKDTHPKTSEPEKPRLEATPKKKADKSAM FPSE_07728 MDSLKQGVNYVAETVQQATSGASKEANKQVAKDSDAPIGTRASA AKDALSDKADEKTHEGKADVHKEAI FPSE_07729 MDLAYDHIAEDGYAKKTEEPKTTQPQSTLNEDLQDAYKAFSNSP WGAKIGGFFGTVAKQGESVYTQASKELAEVGEDASKGLTSLRSTILSHTRNLSLNTAT AGESSKDGEKDQTTPRASQDGSEEAKQSENTLARLRAEAAKRLKDLQRAEEAADEALI KFGGNVRNFLRDAVSIAPPQESDQSGAVLFESKDAQGKRVIHTSRFDAQLHVIHTSTE SFTKDPNSADYAAWSKEFDADKKTPDINDDLQKFPDLRATMEKLVPDQVPYVDFWKRY YFLRHGIETAEARRRDLLKAASAEDDVAWSDDDSDDDSDDSADTSTPATKTKVEPKTE PKTELKTELKTETKATPVAPATSATASPNTTQPAAKTLLKPAEPRKSNDEKSQADSEA SYDVVGAASGKTTQTPNSPKDSKDPKKKEDSSDEEDSDDDSDSDEDWE FPSE_07730 MASTEPAQLPPAAERQSEFEQAVAYALHLWPALTLSVQNNWGGP DSADKRDWFAGAVVELFPEYTDTPPKNGSGPEDPDLEEVETVLLQVMVDEFEVNVDDD SGTEVASNILRARASCTLGTYDEVKTLRARWLNRKGTKVEGVFKRVEDDDQDTDWSDE DDEDDDGGADVEMDEAPALVAAPKEKPQPQVDEDGFTMVTKKKK FPSE_07731 MNYLNTTSVSFAVTPTVVSTLFSHYFNRKPLRQRPTAHLSYDEG LHLIRSFLEYASHHTVEELQAFTAQWVPHPQWVKVEHVTISEDQLTRAAQLLQTQLGP DGIRQVGGEKWWQWRKPETTLDAEWVEMRADAYERKQEGGKSRRVMMYIHGGAYYFGS VDEHRYQMQRHARKLKARVFAPRYRLSPQFPFPCGLHDCLAAYLYLLTVQDPTTIVLA GDSAGGGMVMSLLCVLRDQGIPLPAGAILISPWVDLTHSFPSVAEEAPLDYIPQAGFH HKPSKAWPPPNEDDIAMLREEALKKKKGETKKTPGKKELEEKQQAPVVKQEPSDASSR SDWINGGVPVNPEKLLSVTIDGQSVKLKDQIQMYTTNELLAHPLVSPVMQPTLGGLPP LLIMVGGGEILRDEQIYMAHKCANPAKYAPTALTPEGKALLEQYKPTDVQLQVWDDLC HVAPTLSFTRPAKHMYRSVAQFGAWALARAQKRGIEIMDDDNISVISASGSDSDSQEK PPKTADTDKSDDFKSGQVGKAGDPLPNFKNHMIRQRVTRHGATLPLVSEAELTACCVD PVSVGVVKQGTVKKWLAMKDQWDHRYASAKAKVHKKMVSDMAVGYHNFGPGECPPPTA LAGRRRVDSKLVEGSKKQQKSWGLAMWSLWGSKHDEATVEREKKATVQPLTRAATTAE GEGARSFDDIEQQDRAPVKPGRPRSQSHRRMVTDENQTGSQAIAANMPVSHLIEQRKE QEAAKPGLLSPDYAPETGVAGKRPFLDGIALPFSLNKNAETASMMTLQSDVTPFPGSR PMSPSFYDDDSTQPSQSTQLTSQDEPVIEIAGKRPFLDGTAVPFSLKKEAETASMRTL QSNITAMPSSRPMSPAHPAEPDEKVQENGVSNEKSELDDGASSMLATPLERPGLETFV TAQEELPKVK FPSE_07732 MRPTQALSVGRYRHLRLTTKDVGKGFYKGNRTGSMGRHTKYGGY VIEWNKVRTYAVPPLKDFKLTPFVSREVKTQSGDYKGLEKGPQDPYFYVEQWKRFNGV D FPSE_07733 MDGYPTGSLDHNVPLLVAAGLNSETNELPLSAELKEQSILLRSE IPPVDGKNAEVLSQYFKDVDASAKSWSVFERNEPYRFRVRATGRSFLLPPRRARLPEG IEPLSEHPTLHSPFSPLSPVSTLYPDGLIDAQWIKKHQDLVPSVYLCFYPLTSDPNSM TLQDNHIKSDINNLKGALIRSGYKTRLAIALIANSEDAPLPLAEGIQERLENIRRGTA LDPKSVFYIPSQDSQDDLKQVVDNILSILYTSAVEYYRDLGRHARKKRSRGIAPQPTV PPTTGTSQTLSLSDWNFRYDIKSAVFAEFRQETDAALRFFEQAYEVLLGQDVLDIIPS WSPRWNEARLLADVIAIRCLRCHLWMGQTTLAVRKWHSHRERIADLVDRRGRGTNNYG WQAWEARWATVMANLIDRVGLPALAPATGATFVPQDKSVSGERVSPWELLHHTGYWHR IAARHIVARRKLAYQMPEDDRHSPDTSPASAVASKAFAYDTYMCPEPYQEYPISRKGV NHAQLVIDCLKAASAEFQARKQKRLATELSLECAREFGNMEEWDAAVEMLLPFWEDVA FRSEGWINISEDLCLSMRRIAVGAHRADLVVAADWELMGNQYVRQPQWHYDISKSLQG ITTEEKPSISLSDEKSGSFVSASFVFRNKEGKAGEMCSAQLAITSHTFLDAAPITFSS LKVEFDGSLKPILLEHEAIEESPPTTGCFIQSLSLKEDYAEEPEDELPTLLKGQSDLT LRPGQTRVFEMNIPLREPGTATAASVTISHSNDSFDLDYKLNIRPTDPVVGWYIQGSS KPRSTRPEAGTIQIQPRPPKMQIKLLEPLVQYHANEAIDLEVEILNAEDESATAKLDI HLFGKEVPAVTVGAGEHEGSAEASTEESKISGLALGAIKSTASVKLVLHIDAPSAPTT LDLHLRTSYHLDSDVATPIMQMLTVQLNVVNAFEANYDLVPRLHPGPWPSLFDSEGLG DTEEGAARGFAQQWCLLCHYASFAQEDLKVLGMELNVVSCVGGARCNVTQGPNVSHEG VVVTPKTMHEAQFDLVAQKLTMEDRHPVTVELAFVIRWQRQGRSDGPVNTTVMPVGKY LVLGTEPRVLASVYHAPKIEDDMPGLLQLDMTVENPSNHFLTFGLGMEPSEDFAFSGA KQTTLNLLPQSRRTTTYRLLPHVSGVWIRPKLTVRDKYFQKVLRIIPTEGMKIDEDGL LLWVPGEEEKKEEEDDEDEKSEEQ FPSE_07734 MATTTPATAPTNAMAQETRLSADEKTEVGSNNIIDTTADNKDPR DGSGSRSGSVNGNPAEQEPQYPGAAKLTLIISSLCLAIFLVALDQTIIAPALGAITSQ FQSVKDIGWYGSSYLLTTTALQPMYGTIYKYFNVKFAYLGAVFIFEIGSLISAVAPSS VAFIVGRAIAGIGTAGLFSGSIVILSLIMPLEKRPLAFGLIGGMWGIASVAGPLLGGA FTEHATWRWCFYINLPIGGIAMIIVFFFVHVNRNTSDSVNMTFMDRIRKLDLAGAAIF IPAIVCLLLALQWGGADYAWSNSRIIGLFCGFGAMIAIFIGIQFWKGDQGTLPPRLFK NRNTLAAMSFAMFFGAGFFPLIYYLSLYFQAIQGVSAVQAGIKILPLLLATVLCSIVS GGIITAIGTYNYVIIPCMVLYTVGCGMLTTLDVNSPLKEWFGYQVLAGLGIGAGFQIG VLIVQTVLPQEWVPVGTACVQFAQAFGGAIFIAVSQTVFQNGLIDTIKADNIGIDPTI FLNSGASEIEDTLRKMGRLDALDTVLEAYMKGLRDTFYISLACAACALISCLFFQWKS VKKGPNGEDRKPEPAVPV FPSE_07735 MAPKLLLFAGAPPSSSLNSESCTITQIDDTFAEFLGLASQKSSH TPLSNLAPWRSLPLNRKPLHTGLSQTHDASINLANQSEFFTTADVSFKEQSQSFESDG SGDGETTLSQFYDHSLALHNPIPSSQLDSFQETTFEETSFEGTSFMTTLAVERADAME SVPSHLSDLEDIPPAPKILALHPQTVTLNIVAGIISIAQPRTVTTRWGRTLSLVEILL GDETKTGFAVTFWLEETNAAAAEISALRRQDVVLMQNVGLHVFQNKVHGQSLRRGMTK VSLLWRRDGSGLYSTKDLSKRGQMHPQLRKAKKVKDWVLRFVGASAGAKTRTSRARLS WDRPPDDTQ FPSE_07736 MSSFPFTIQEHTIEASHIREYARATSHSQDEKLYLHIKQYTPKD NTAPQKGDVTIIGGHANGFPKELYEPLWEEFYHESKRRNIRIRSIWIADTAWQGQSGL INQDAIGNDPSWLDYARDILHMINTFRPPPPIMAMGHSFGANALTNVALLHPRIFTSL VLLDPVISHFASTPGASSAGPAAASMVRREVWPSRAEAAASFGRSAFYKTWDPRVLQR WIDFGIRSIPGQESVTLTTTKHQEIFTFLRPSWPAYDPQGKDIIHPEHTPDLDTSLNR RWSTYPVYRPEGPNTVARLPNVRPSVLYVFGGKSNVSPLELQDEKMAVTGTGVGGSGG EAQGRVKKVVGENNGHLVPMEDPRMCASAAADWIEAELKRWWVDERSYEEWSSKSKED KTTVSDEFQKYIGKPAPRPGKNTKAKL FPSE_07737 MADRGDRGGRGGRGRGGRGGGYQDGRGGGRGGGYQDGRGGGRGG GYQDGRGGGRGGGDGRGRGDGGFRGGRGRGEGGRGDFRGDFRGGFSGPRGGRGGSDRG RGGYRGGRGGGQFSNEPSFFATASGVPQPDAAITKLEDEVVKNQNNSVAQLTSKMSKL GVEEKENLGKFPPRPAFGNKGRSVTLWANYYQIDTNIPMLFKYTISVKEIVAESEEKT DEPTVAQPKGKGKGKGKPGKPKKSGSVEVKGRKLFLVIKETLNELTKKDKSLLLATEF KSQLISLRKLDLGLDNSIQVNLPSSANPDKTEVFEVTLHGPTVARVDEMLKYVRSNTS ASNDAPGKLPDDDDAAKALAFPKFPDVVDALNVIFGFGPRSNEDISAVGNSRFFSFKN GGICRDMSMRGRPLQAVRGTFQSVRLGTGRLLLNTNITVGIFKISGNCAKLFQNLNVF EAQKSEWRKVNNAKLMNKFLPKTRVLATMKFANDKKVQRRKAIYSLAYAPEIERACRG NDHPPRFTKGYEYPGPGNVSFYMVSDSKGTGEYITVKEFYKRKYNDTTLKDYPLLNLG TAANPNFTPAEYVEILPGQSVKAKLNSQESTAMVDFACRSPYANALSITKDARETLGL DDEKLDQFGIQVGKQLLTVHGRVLNAPGVSYYDSRTKIVQVHPREGSWNMIAKQVYKP GKSIQKWTYVNVKPGRFGQVPKSTVIEFAQVMRQMNIGISSNPVDPCTEFITQEGYAQ GRSDDFFKWAKQNRIEFILVILGTSESETYGRIKTLGDCTYGIHTCCVQAEKFGSNRN PLPYFANCALKWNLKAGGVNHKLHNEFGLIKEGKTMLVGYDVTHPTNMPSGQGDDAPS LVGLVATIDRDMGQWPAYSWEQSSKQEMLDETLTEAFKSRLALWQMHNRQQLPENIVI FRDGVSEGQFAQVLQKELPRIRIACNAKYPKNKPPRISLIVSVKRHQTRFYPTSSDSM TSKNNIENGTIVDRGVTQARYWDFFLTAHSSIKGTARPAHYTVLLDEVFRAKYGAEAA NELERYTHELCYLFGRATKAVSICPPAYYADVVCTRARYYRPEFFEISDIESVSTAGP GLSASDPKQVHADLANSMYYI FPSE_07738 MTTIEPGNHKAAMQRALGLANNSPPKPTNFRVGALVVRLSDDTI IAEGYTLELPGNTHAEETCLMKLAEQYGTTEEKLSEVFNTPHALYTTVEPCFKRLSGK LPCVERVLRQKSWITQVYVGVQEPETFVGENTGRKTLEDAGIEIHHVSGLEEEILKVA TAGHVKE FPSE_07739 MSDHEDALESEPPIINPYEVLNLEKTATSDQIKQAYRKAALKHH PDKVAQDQKETAHETFQAIAFAYAILSDPTRRKRYDETGSTSESIVDSEGFNWSDYYR EQFRESVSGDAIEKFAKKYKGSDEEKGDVLDAYEDCEGDMDTLYERVILSDVLEDDER FRDIINKAIKSKKVSSFPAYTKETKKKREGRVKKAREEATEAEDYAKELGVHDKLFGD KKGKKRGKGKGSSEDDLAALIQKRQKDRSESFLDHLTEKYGAKESKGKKGKKRPVEDE PSEEAFQAAASRLKGSKRSKR FPSE_07740 MSEPDKPQIYPRYCFHLAPTVNQWCPFRVTDVHGLDQHTGFEGE NFYFYGNLPIKWVRIVGLVVAIDEFTGRRVYTIDDSSGACIECTVKMPMLSGGTGNTT TLGDAASKKADANPPLPTDPFPTIDVGCVVDIKGGLSSFRDERQLTIEKMLVVRSTQQ EVALWEKRVRFQSEVLAKSWVLRKSEIRRCRHEAERSEEAAERRRKRMKATTGSHSAK QASRSEEQSEGRAKREPSKETRLDLRQILEQGGRGKYNALGL FPSE_07741 MRSRNILPLWLLWLTQGQVSALPQSDQDSSKSLNDEVIGDIQTS TFEYPTTYAKEDIIPVTETVKVSTSKVGTTATAQILPSLSDVEPHTEGFIVTDLYNPF FTKPAVLSTSIPSPTSLLKPVKTTTKNAEPSGLSKMAAAADIFASPIDTGAPLSMFAR KDTHPVSKDAVKQNSPIGTNKFYSNFYLEDQNTPVYTYPYSLTWAKGTGPSASWGMSI SHIDADKRAYGPVGANGAVEFYTNPVSIQPMVISAKELGKNTVLTMDSISPHFAKAYL RKDSKSAATITFPLYQGSVFTTAYFSGGTPWIQSGVYFRTMTQVTKDPKTDVRKYNFV LEDGTTWRLYAYKTKGDPLTLKVTNNGLAVSQKPFYGHIQIAKDPKVGQSEQVLDNGC GIYATGMTISGNNVGTQGTYKFTWTKAGHATGKLWIFALPHHISSFDAATTKEMRTYS MQTLTKGVAKAVGGNSWTMIEPSLPVNMGFAPWDPAKGSRGLSANAKKIIAPIAKSEV SQDMDAQSNTDSMYFSGKALAKFGSVVYVINNMLGDTALAQAGLEKLKTAFARFGSNK QKFPLVYESVWGGIVSTASYVSGSAGSDFGNTYYNDHHFHFGYHVLTAAYIGSMDSKW LAANKAYVNTLVRDYANPSSSDKYFPQWRSFDWYHGHSWAHGLTPMWDGKDQESSSED MMSVYAMKMWGTVIKDTNMVARANLQLAVMSRAMQAYYYYTTTNTVQPKNFIGNKVAG ILFENKVHHTTWFSADIEAVQGIHMIPILPFSNLARTGTFVQQEWDTYFNKGRVDKFN NLWKGIIYGNYATIQPNAAWSFFTQSKFDPIWIDGGASRTWYMAYAAALGSI FPSE_07742 MSSKHANHTAADETSPLINGGASSAHDTTSRRNGNGNGHVTLSR DSSTMTFLFDSKHTPGIHNQNIAIRSLAYSWHIAKVTLLSNYVNFLLVMVPLGIIAGK MGWNSTAVFTINFFAIIPLAAVLSFATEEFSLKLGDTLGGLLNATFGNAVELIVSIVA LQRNEIELVQASMLGSILSNLLLVMGMCFLFGGIIHRGESGNGREQVFSSATAQTTCS LMTLSSASLVIPAALYAVLDQSGSKEKAQSILTLSRGTAIILLLLYVLYLVFQLRTHS NLFDAENPQEDEEGEPEEPTIGPVAAIAVLVVTTVLVTVCADYLVDSIDDLVTTSGIS RGFIGLILIPIVGNAAEHVTAVVVAVRDKMDLAMGVAIGSSIQIALLVTPFLVIVGWI IGAEMTLHFETFQTVAFAVSVLVVTYTVQDGKSNYLEGAMLMGLYIIIALAFYATPTD VMDPGN FPSE_07743 MGGRQAHGRSLVAAPKAKAANKKSKARSQKNALDAFGIAQENFA PKQKRTPRARGLDAEIERKHGRDDEEDDEDEEDEEEPQRKKAKRPSRAADDDADYGSD SEGNEWQLGGMQEDDEDSEIESDDALGDSDEGKFDGYTFRGSKSTKHEDDDSEDDSQD DEGETLGADAIDLATALDQFEESDDEPQQKDQSESSESDDDASDESEEDDEDDGDSDD SNAEDADPEKMQGLIKQFGGQKDDGDEKPKAKAKISLSDLGLTGINDANIKKSMKLMS KEEKEKRPGVSKKLNVPLARRQQDQLDRSAAYEKTNETLDRWNDTVKQNRRAEHLVFP LPQNSMTAGLDTTEIQPLNISKPSNELESTIMSIMEQSGLTMDKPKKPKEKEYDEEGN ELTRREALARKRIDREEAAREAKRAKRIKKIKSKAYHRVHRKQREREREGEEDEDIDS EEEREAQDRRRALERVGQRHKNSKWAKLGNKTKRAVWDDEFRTGLTEMARKDEELRRR KEGRAGGSDESSESDSDSDGGEASLRRDLAALEEEDNVPQKGLMGMKFMQKAEAAKKE ADAALVNQIRRELDGEEFDGSADELEEVGRRQYGAADGKPFKPALETSTRVSKKRKSE DDSDDDIVITTNSAAYNPAVPNITSLSEPAPSTTTGKWSRGESRSKKNKSQSTSNVGD LDLISHTLVAERPSKSKSKPQTADEGSDAESDTDLHLPMAIRDQEMLDRAFAGEDVAG DFEAEKTAIAEDEDDKIVDNTLPGWGSWVGDGVSAKEKKRHQGRFLTKVDGIKKRDRK DAKLDKVIINEKRIKKNDRYLASQLPHPFESRQQYERSLRLPVGPEWQTKETFQGSTK PRVLMKQGIIAPMSKPTI FPSE_07744 MASSFAPGFRRLLFQASITASGPSKFFVCNQCLRTAPRSIPSRV LNAARSRFYADAAVSKPIGSIAEQASARASPSVSQAAKKAWPESNPKGVGLWLIGSAV SVFGIVVFGGLTRLTESGLSITEWRPVTGSLPPMSKEDWESEFEKYRASPEFKLLNPH MDLEEFKKIYFMEWFHRVWGRFIGLTFVIPTTYLVARRKVTPKMALNLAGISALIGFQ GIIGWWMVKSGLKDDLFAPGSHPRVSQYRLTTHLATAFVCYSWMLVSGLTVLRTRRWL MNPEAAMKQIGEMSQPGLRTMRRVVFALAALTFTTAMSGGLVAGLDAGLIYNEFPKMG LGIFPPKQELFDKFYSRKEDHSDLWWRNMLENPSTVQMNHRILAVTTFTSILSLFIYA RTRRVKATLPTNIKKGANGLFHMVSLQVALGISTLIYMVPISLAAAHQAGALGVLTMA IVLAHRLHIPKPTLRLLEKRLKAAAAAK FPSE_07745 MADAAAPPTEQVANLHLDEVTGEKISKTELKKRQKARQKEEEKK KKAAERGPAPAPKKAAGGAEASEKDLTPNQYFEIRSRNINKLRETKSPNPYPHKFNVT YDLRKFVEEFGHLKSGEHAKDKIIQIGARVHGKRASGAKLLFYDVRTEGVKVQIMCQA QEVREGAPSFEDQHEHLRRGDIIGIIGYPGRTAPKTKIEKGEEGELSIFATEIVLLTP CLHALPDDHYGFKDLEQRYRKRYLDLICNEKARNVFITRSKMITWIRKYFDERDFVEV ETPMMNQIAGGATAKPFTTHHNEYDMPMFMRVAPELYLKMLVVGGLNRVYEIGRQFRN EGADLTHNPEFTTIEFYEAYADVNDLMKTTEDMISGLVKYLTGGYKTTFHTQSGEKYE VNWEAPWPRYEMIPTLEEATGEKFPSGDQLHTQETNEFLKNVLKKMNLECTPPLTNAR MIDKLVGEFIEEKCVNPSFITGHPQVMSPLAKYHREIPGLCERFEAFVCKKEIANAYT ELNDPFDQRLRFEEQARQKDQGDDEAQLVDENFCTSLEYGLPPTGGWGMGIDRMVMFL TDNYTIREVLTFPFMKDDKLEQKKQLAAEVAGIEPMPEEGIAHK FPSE_07746 MPDSTPQTADSGTPKAGAPKDKNCPFCGQAFTSSSLGRHLDLYI KEKNPKPPDGVHDVDAIKKLRGGITRRQARGSLGGRRETSTPIGTPKAPLMKETSLPE NDDFQSPVISKNNQLVVDQTMKHAPPFQPTWEATGVMNDIPKRGSWEGDAAPEPPKRP GMQRTASKQAIQKAQFDVKQKVSDAMDTARAAELALRELLSSWRAAKVQLDNNSMPFD FDPLSLDFPALTLQCLQPPPTLFSSTQHPTSTSWSVQSPGQREFEALQTWFAVQFKSW KVTCAAATTAVMDEFKYPPTANSFRNPRESVRKAEKAAEQLEAQVDEHLQSAYAVWGT LPIQRQQELWILELARGVGRKHKEAEKMKEQQHRLKQENANLKSQIEQLNKLQQPKEF RLAPPVTIPMERELLALSYEQAVKGGRAVGFSLEDSQVDLSTLVSRSIERWKSVIASS RANANGMSAQRSLDQSSQASSNTAVNGTQSTPQIQTPCQPQFQAQQQQQQQQQQQLQQ PQRPQLSKRPSTTSVNGAVSEHATASTTTTDPSSAAENSDQDADAEMEDDDSFAMVNP SPIKPQQPVQQQTSLEVPRTRPVQQQQRNAQFMMPNGAGSPVNRAAINLSRSMPNMNM ALQNGGMHGGDIAMAMQGVRGDAMYLE FPSE_07747 MPPALSEEENSDLEEFTTPPHATRKKSTSAVVSEKFEDTKPEDG SEEELDEDEDDDEDLDEDVFVVEAIKKHLIDEDGSLKFQVKWEGYDAKKDLTWEPEDN LRESAQEILDKYFNKLGGREKLFEETETASKTKKRRRTTNGTPNTTVSTTKRSRRDAH PANSTPPATSKQWSPPVGSWEDEIESIELEEDESIGKIIVYLRWKNGKKTKHDTDVVY RKCPQKMLRFYESHLKIDRGENREVTEGAEN FPSE_07748 MGRIDTDLELTGLATRAAKLNLDSPESVENPNSIARYQNYPFAR FDHDEDKPDIIDKNSDEYRLRLSKLLRALDDSYEETYTKYQARKADYIAELETDEQRM WNRYILDPAYEKSCVDGAKIAGFDRIHFHDEFVKESPDYSDLQDLHSQLYYIGRLLRI GNMEKARLEGSDRFVHLRNDWAGMAGYELDDVSVDSHLTDGSQTWSHSTFRNAIYD FPSE_07749 MKSGLFALVIAAVAPLAMAAPFPPNGPPGGFFPSPPTNGSLPGA DVPGAPGAPGAPGAGAPGAPGGPGGWMPPMPPMPPSPPVGGQPGGQPGSGSPAPPPVV PTPVPGAPVVTPPAAPTPPVAPGAPIVTPPVAPAPPVAPEQPVVVPTPSPVARI FPSE_07750 MSQQAGTEDAAAPQSATNPTSQNELYPMVNWKYDMFLYTVGNIV DMFFREVVPRGAWRVPQTGPVLFVAAPHANQFVDAIILQRTLRNEAKRRASLLIAQKS VHGFIGWGSRQVGSVPVGRAQDAAKPATGTIYLPDPINDPTLIRGVGTKFGQGEGEVQ GMLFLPSVKGQSGASVDISEIISPEEIRVKRQFKGKLALSQLTGRDDIDNNGNFTNKE VNGPAPGFQGTKFKMAPHIDQTKVYDAVFSRLCNGGCVGIFPEGGSHDRTELLPLKAG VAIMALGTLAQDPDCGLKIVPVGMNYFHAHKFRSRAVVEFGAPFEIPRHLVELYCNKQ RREAIGQVLDTVYQALNSVTVSAPDYDTLMVIQAARRLYNPTGKKLPLPVVIELNRRL CMGYERYKNDERITSLTAAVKEYNSQLRYLNLKDHQVQYAKMNMVKVIFLFIYRSIKL LLLFICTLPGLILFAPVFVATRIISRQKAKTALAGSTVKIRGRDVMATWKILVAIGLA PTLYHIYSAIVTFKVWQDRLWGRVPEGVPLWVIYFAMWPFMVGITFASLRFGEVGVDI FKSLRPLLLCLTPTSNYNIHRFRIRRAELSAQVTDVINTLGPEMFDDFEKARLVPDLY KVEGGAATSPKSHRRRDSDQSSTGLEPETPPALSRRSTTQSSRALPRNDSFSNIGHVG IFSTRPPSRARSRSRSSSSGGGFGSGGFPLSGFTTLDSSGGFDEATRKIREAMKHRRH KTDQERTDGDEEDDDSEEEGYDEARKKNA FPSE_10046 MPSYFYHIKFELYPTPDPLTASSETEDRKDIWLPPPESSVFDDF PSHPRPDRQTTYRIPNTPDSPSYNSANHTSPYTTVGKNSSTSSLGIIDCGTAARPPVK EAERKIEIVSERQWLERANSFPPPNSSAAIHPQNAVRDWRFGRVILETVDLRTGHTMA GDGSRNTPSAAPSLGPMFGGAGTATKADLLPLETKNTELGWGVVHFYREGDETPSLVE TEGQENGTGDSKSNDCTTLCIPAVPAYMSPGDLMGFVGEKWRGDISHCRMVMTSRMNR YLVLLKFRDNMRAKQWRREFDGKVFNTMEPQLCHVVFVKNITFETPTRRKSSAALSPL SSSAGMSSSLRPFPPPTPNLVELPTCPVCLERMDETNGLMTIPCSHVFHCTCLQNWKG AGCPVCRFTNTSPDANSDPSNPHPQPFGSGASNLCTICGCTDDLWICLICGYVGCGRY KGGHAKDHWKETAHCFSLELETQHVWDYAGDMWVHRLIRAKGDGKVVELPSRNRSIGH LEEEDVVPRAKLESIGLEYTHLVTSQLESQRAYYEELISKTVDKASKASATAENAIVQ ASKAMEKLALLDEKYTTLSEETIPELERQLERERNKSNKSETLARNLGKSLQEEKRLN EGLMKRIEHLNNDHEAMAMKLEKVKAENADLQEMNRDLSMFISGQEKLKELENEGKIA EGELEGGSASVPEKKSRRRAKR FPSE_10045 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGQ FPSE_10044 MTYDFNNGGGDAYLDLTNFDNRNRAAYQTYSTATTHSSPSAASN IMSQDARHWAIPLDMSSNHSHGYASMSPEEHQISSPPSQSSSPHSQHSPLQQSPFQQS VNPLTDWALQQQQQQQQQQHLAAADMTQFIHDAALMSFNAFPNNFQPTNPLDYLPATT QAALQANLLESTFHGLPTMDDHTQAVWPSTGMENWQDFQNTLQMDGLPRLDSVGSHSP TGTYLEVLSLPSSSGEGWAMVDWNQPNFDQFQQAHAQGAAIFNPSQTLHLRTNSDSSD GANSMEFGSFEEIPPFPYSPFSPESDGYVDAGHHRNCFTNDGHNHAHAHAHAHNHSPQ PVAATTVATVPVKSEPSSSRHSPGSGAGSVSPSSSSTNRRNSGPRKSPIAKSTTKSVV RRISNGKKDGTVEKKVGRRKGPLLPEQRKQASEIRKLRACLRCKFLKKTCDKGEPCAG CQPSHARLWQVPCTRIDIKDIGYFMKDWKADYERHMDRGVSVYNVKGFAQKETLMWIT HGYGFALPVMVREVFVADDSCFSVDWVESTLTDQEPIDFETRTEKLDVGAEGIRLDAL SEYLDKHIDGPFEDFIDDHFEGTPFITEILKTAHRFYVKEKMPVIRKSLKLVLAYNLT MHITMVENQGSEVDLDGQIDDEDSKYYGRTVAPVMINFQIKCALADMWRELQKDILEE LSALYSGVYSGEKMKNWPTIFMIASILLAVWEEMQFDCHYRVPDPVAVNKFCTDMETT PVGVIVGLFHAISQKLPAFTDWDTRKHGHLLHNNTSVCEAMTEVRQHVIKHEAYLRTR KESKFDRYDFDSLSNKFLSKLVIRAN FPSE_10043 MATSCNNFIQQLIRAEESEFKGMIHHAPNQTLPSITSIPNQSRV YASSLGQLDLLPAELLLSVLDLLDFQSLSCLSRVSILGKDVIESLPVYSEMVQHAPET LAALAKTHLLGYHRATMLHSTLRQSKCVSCFAFGGFLFVPTCERVCFECLYENQSLRM TSPAMAKQCFGLTDDDLGRVPIMHSVPGTFGLRFQFAHKQVEHLVSVKQVKRLALEIH GSDEELAKLRPTYRPGKVSMKDAAIFRHFHEASLDSPGCDLSRLPRKAEVVEDDFGGM ASIRFPYLSDTGAENGVLCQGCVVTYSHYMQGILPPHTLSQIVPADVGPYRPLLALLT RLWSQEGFLEHVHECYGVRRILGL FPSE_10042 MTSVQTQPQPGVHMEHNIPQLFTTDPPIYDDLPTESSRLQDETI NEVLPYLNGTEHKQCNSHGLPHLNRRRHVNFLHKQLGKLPAAFTSADPSRPWFFYWCI SALVLLGEDVEPYRERLVDTVRPMQNADGGFAGGFGHTSHLATSYATVLSLALVGGED AYECIDRRAMWRWLCSLKQPDGGFQMALGGEEDVRGAYCAAVIISLLNLPLELSQDSP ARSAGHTGLFAGLANYVHRCQTHEGGVSAKPGIEAHGAYAFCALGCLSIIDSPHRAIP RHLNVPLLISWLSSRQYAPEGGFSGRTNKLVDGCYSHWVGGCWPLIEAALNGPGSGPE DAEASPGGRLLPAAQSSLFNRDGLIRYILCCCQDLSKRGGLKDKPSKYSDAYHTCYVL SGLSSAQHRWTLDAARPHEADVKGDSWSVTPYMDGEQIFDEEDRVATVHPVYVIPQHK VEGMQNYFSSKHGF FPSE_10041 MGGFYMQYLESLCRRRGWTDPMYECYRDHNGYTCLVLVNGREYQ TDLAYESDVLAQENAAMRAFMVCRNFSVNGGMLARNGIVQGLPAQETARRRKSRHTSS RDSDRHSRRSGHHSSSSSTASFD FPSE_10040 MKGLILVGGYGTRLRPLTLSVPKPLVEFANKPMIVHQIEALVAA GVTDIVLAVNYRPEVMEKFLAEYEEKFGINIEFSVETEPLDTAGPLKLAERILAKDDS PFFVLNSDVICDFPFEDLLAFHKSHGNEGTIVVTKVEEPSKYGVVVHQPGHRSLIDRF VEKPVEFVGNRINAGLYIFNTSILDRIELRPTSIEKETFPAMVKDNQLHSFDLEGFWM DVGQPKDFLSGTCLYLSSLTKKGSKELTSPSEPFVHGGNVLIDPSAKIGKNCRIGPNV TIGPNVVIGDGVRLQRCVLLKGSKVKDHAWVKSTIVGWNSTIGRWARLENVTVLGDDV TVGDEIYVNGGSVLPHKSIKANVDIPAIIM FPSE_10039 MALADESNHIVEQFDFSDDELNKNVKEFLRQMDEGLHNEGTSLQ QIPTYVTGVPNGTEKGLYLAVDLGGTNFRVCSIMLNGDTTFNLTYNKVAIPKNLMVAS TSADLFSFLAKQIEIFLKEHHADHFDSHLRRRNTASAPEGYRDEHIFRLGFTFSFPVK QLAINKGLLIRWTKGFDIPDAVGKDVCALLQNEIDNLHLPVKVAALVNDTVGTLMARS YTSTGKSRSVLGAIFGTGTNGAYMEKLDNIKKPITGDYDQSTGEMVVNTEWGSFDNQL NVLPSTPWDKALDLGSVNPGDQMFEKRVSGMFLGEIVRLAVVDLINSEHSSLFKDLNS SFNDWGTTTNIAPSSGFLKPWGMDSAIMSVAAADNTPELSTLRQELENLLSVYTPSLE DAQAFKAISNAVGRRAARLSAVAIGAIAINSGKLNDMNEEVIDIGVDGSLVEHYPFFR DMIYEALASVDGIGPKGVEKIRIGIAKDGSGVGAALIALVAAGREKPEDYLTNLRSES NRARKASDPFLESSPVSNQALLAGGVVGVLALAALWYSKRR FPSE_10037 MSLPSTKPAQTSIRSFFSSKTPNYAPPPSQTAANIPPPPPPPPA ATATPPPTNSTSVFEIPPLPTSLPQEAVIRPVTGDDVNALRRINALLLPVSYPDNFYQ RAVDPSASGRFSRVITWAHDGAEAKVVGGVVCRVEPPLDPNTPGDVPRNLYIQSLCLL SPYRSLGLINAAVDNIIATAVSDHSLNVTAVTAHVWTENEEGMKWYEGRGFKRENQPI QGYYLKLRPNSAWLVSRPIGASVRNLIPTSGLVSLSSVAASATATIANLDPAAGPPKS GASRPTMPSSARSYQNQGPEREWNDLPDDMASSRLAPPPRGASGGTGSGASSRSSSAA RKKRDRSYPAAAFGS FPSE_10036 MNARSGKAGVINAGDDASPMDKLAHAVLDDVLYNVLSDLLMKTH REEKTARATTAAIRIEKLASDASDANTLDSKPDVRIETDAAIYEDGKVLLKGNPLKTT AEILCPRCHLPRLLYPTDGKGAKKPDPSIIYCKKHPYIDKPGCDIYGQSWVGPGPGRG KKKKDMEKNNTDSPTPEQRPPNVLSFPSATCSKCKRCILVTRLNNHMGSCIGNSGRNA SRAAAQKLSNGGSQNDPTPPSSQKATPAPGSRAASPKKRDASDDEEDSENSHKKKKIK PTSSVTKKVILKTKPSFKKDKPKGLSSLSQEQKADYTNGDSVEVAPKKAVSKGISPVK KLKMNKPQLGSPKPKSNLIREATGESESSDTLSSPPQ FPSE_10035 MTTNIVLETTMGSLILELYTTHAPKTCNNFTTLVRRGYYDNTIF HRIIPNFMVQGGDPTGTGRGGSSIFGEKFEDEIEPGLKHTGAGILSMANAGPNTNGSQ FFVTLAPTPWLDGKHTIFGRVKSGMGTIKRMGLVKTGSEDRPVEEVKIVKARVVEEEE QI FPSE_10034 MAENATDKATLEGSFDALNSTMESGESWLSAFSYLQDQSFLMLE IRLVLSAIGIIYLGAHAALRRPPSAAPAKKKKLGEEEDEERFAQGLEPSDAIIFPLMA GVVLVGLYYLIQWLKDPDILNKILRWYMSTMSIASLVSIYSHGIEVVTSLVFPRYWRG RDGRLRAVSQKNRSVHVCDDAGNPTTNVSATDNPFPGHFSCLGFSDNIRQSGWELRGL LTRHWAIRLFIHGMGQEKGRIKFAHVVSLVMALATALIYSYTTSPLLANTLGYAMCYG SLLLISPTDFLTSTLILVGLFFYDIIMVFYTPYMVTVATKLDVPIKLTFQAAERKSIL GLGDIVIPGMVMALALRFDLWRHYESKIKYESTDLKLIEKDPSSGAFVTKSETKHREV KTKYVNVKGNWGDNLWTRGAFFLSGSKQVPQDLAATRFRKTYFYASVTGYLLGMCVTL AMLLVFKRGQPALLYLVPGVLGSLWLTGLVRGEIKQMWKYTEDGSLDVIDVVVDLDGD GNAVRRIGKLEDGVVDLTKDDKKEKEKEEEKTKEEKKGDGKEKHRVFMLSVDAEEETN SE FPSE_10033 MSQTQSSPTAIQWVIDTRPLWPSALKTQDLTTSVSVSFTGLNLI LIVSPQASRALYLLTTEEQAAVLRYYHVRDAKLALASALLKRYVISRFCQVPWSEAKT TRDARTKPVFISPSGDEPLIFNISHQAGLAVLLAVHNPPEGLAVGVDVVCPTERRDRD LRSLADEGWPSFVDMHADVFGPGEVAALKHMNPGTAISERDRALRYFYALWCLREAYV KMTGDALLASWLKDLEMRDFAPPEDMRKAQEAWLRGRKVNGVDLKLMPFLGEYMISTA VRHGLNGETVGLKDFQSLDMEEVLTFGEKLPSL FPSE_10032 MSTMPASHGHSEACCNIPPVVTKGYEARGTYKDIGGYKTYVTGP VDAKKAIVVIYDIFGYFEQTLQGADILAFSDAHQKYKVFIPDWFKGGPCPIEIYPPDN DDKKKQLGEFFETYPPPKVAGQVPDYVKAVKEQDSSIEKFGILGYCWGGKVVALSVKT DSNPFSIAAQIHPAMVDASDAEGLSVPTMLLASMEEPEEEVKKFEDNLKVAKHVETFK DQIHGWMAARADLNDSRVKQEYERGYKTVVEFFGKNF FPSE_10031 MQPSALHHLGLVDLIASDPRPTFVVALPEQASSAKILYRNPALQ NLHTLDQSISSIPQDASHSPFWNWVTSPPPAPASESDAPGPATTNTQHSLPYLGVYWT RSIVLGQWVVMSGNETPPSSEPPRKVRIEGKQGEETRKYPQKSPLDNARLPSRRKSES KTPMVYRDESNPGLMVPVSDSEAEPFLDVVQSVDWESTSLGPMTDWPAQLQYTFNQLV SDSRPVALYWGPEYITIYNEAFSKYCGVRHPGLLGRPATEAWPQLKTRLDEMTASPMK NYSNAEDESNFFIPQADGAFEETYAKSSMVPIVYEKKCLGIQHSLLEMTSKRLWERRM KMLLDLGEALVSTKDAKSYWSRMIEELERWNPAYDVPLAFIYSVGEGDADSTSSKYDC SKTCQLEGSLGVPQGHAITPSVLDLRDTDDGIASILRRSLDERQPVLLQIKDGTLPKD LLRGLEWRGFGDPCQAVVVLPVRPTKEEHVMGVLFLGLNPRRPYDNDYRQFILLLNQK LTSSLASAVLLEEEARRRRNITEQAAYDNAMLTQRLEYQTKQAERSMQTFTVVAEFIP VGMCFVDNQGNITFANDAWHRITGYPKGPIAQGALLESVLEEDKEKVMQAYKDVQELD TVTFEYRIARTENTTGPPGFRSSAGPSHVMDEQVSRHILASTKAERAPNGSVIRILTC LTDVTVQKDTAEEAVRRAQEAENLKRLAEFATVGMYDVSMDGRLLSANNLFWELTALE KVDLTKVDVRPWPECVVEEDLHILQESLDKLVESGRTETAEFRLRNGWVSEDGNGNLS VAPRWVLATFMPVKSSDGVIQSFTGCLSDVSVQKWQLDQEKLRKEEAIESKRQQENFI DMTSHEMRNPLSAILHCTDAIIASLARVQDISDNSAPLTPTRNGTSGEGRRTGERSVE ERKLLEDSIENAETIVTCAQHQKRIVDDILTMSKLDSKLLAVTPCTVDPIQIVNGALK MFEVEARRVDIELTSYVDKSYKDLAYDYLDIDPSRVQQVLINLLTNALKFTKSGTTRN VTLGVKGSLTPPGEDMTAVQFIPRFCDVSEEYDQPALKERSKAVYLLFEVKDTGQGLT AEEMGSLFNKFVQASAKTHTKYGGSGLGLFISRRLTELQNGAIGVLSQPGVGSTFAFY IEAYVPSEASRNEALAAAAAARLIACGSDTDSADGKQPCRDIRLQKHQNPGGNVRLDG ILVVEDNLINQQITRRGLTDRGFLVDVANHGVEALEKLKRRQGTVPGLGLNGVAGRPG RGNTHSLPIAINLVLMDIEMPIQDGLTCTRIIRELEAEGEVFCASGGRVPIIAVTANA RPEQVMEAKQAGCDDVMVKPYRIPELIEKMQVVVRRMGGLSPNSPVR FPSE_10030 MFLLRFETFDGLEAFQPCSPNIDQLNLQKALRPIETGDPVFNAA RDWSCILQSLPGLPKELRWILATVYDLACIMLRADSDEDAHWAMRPWLSHWYDVADIL FLKYNIPARAPDLD FPSE_10029 MSLQYPPVIMATIQSAALGGIANVLAQVITAYRAGTDVVIDWVP VFQFLLFNIICTPPNFYWQDFLESTFPAHPEEPSKPKDPKGAAKAQPKLSVRNTLIKF TLDQTAGAAANTLLFSTYVHALRFAIKDVPVITSLPKAVTYWTSPRTIDFSRVDWTVV WKAAKADFAPLIYAGWKLWPAVSIVNFAAIKTVEGRNLVGALAGVAWGIYMSLIAAQ FPSE_10028 MQRAAYAQSPPLHHPVPQHVSTVPQLRSPPPPPGSAQSQQGYAA NAGGNPYQQQGGNSGNVFGAYGNFMSDPTAQVAAQFGQTAFRHGQEYVEQNLGRYVNV SALKHYFNVSNSYVVNKLFLVLFPWRHKPWSRKQAVGQNGQDGWYLPPRDDINSPDMY IPVMALVTYILLSTLIAGLNHQFQPELLGKTATISLIVVIVEIFFLKLGCYLLSISSQ SQLLDLIAYSGYKFVGIIVTVVVAEILNGGKGTGGWVGWLIFFYTYLANSLFLMRSLK YVLLPETAAGVSGGPMQTDSRAKRSQRTKFLFMYSYFVQLFFMWILTRA FPSE_10027 MLDSFEILTTSGVVVWSRTYTAINPSIINNFIADTFIEEKSGAI ALSDSRSASANPPYKSDQHTLKWTLVKELGIIFVAVYRSLLHLSWVDKLVDNIKTIFV KLYGEQLTKPHTTLVECHAFDEYFDVQIEELDQTSTKVPSTTITAGAIPLEEEIIPRN TADEPPVAPGLTYRGRHLNGPHDALSADESTPALTPNGSRPGTPSNHLLSAKGGPIAK ISRRARKAKNQTSAPASSGDEAPRKKKTAVKKGRKWDADGFADEDDDVQLDYSKAQAT SDSEAEGRSTALDEIDSNTWGSTSKGKFVLKDLGDEVHEILASEAEKAAETKSQSKSG LLGTGVSAISGLFRNVVGGKTLTKEDLDKAMKGMEEHLLQKNVAREAAVRLCEGVEKE LVGVKTGSFESINARIQAAMEASLTKMLTPTSSLDLLREIDAITAPSVTSLRKPRPYV MSIVGVNGVGKSTNLSKICFFLLQNKYKVLIAAGDTFRSGAVEQLAVHVRNLKELTAR EGGRVELYQKGYGKDAATVAKDAVSHAAQEGYDVVLIDTAGRRHNDQRLMSSLEKFAK FAQPDKILMVGEALVGTDSVAQARNFNAAFGAVRTLDGFIISKCDTVGDMVGTLVSLV HATNVPVLFVGVGQHYSDLRNFSVKWAVEKLLSSN FPSE_10026 MSADWEPPNEQATVKTSSTTSTAAPPGASAQDGHGASPSRSRPA SPASPALSNTSTIDGEEEIESSPVLVTLQAPRLPERALQFLRTPPPEATQFVTASWGS PYPESDSNLRRRSVSSEGSDESPIHHLDIETPFLRPIPDLLRSQSDPQSSSLSAAAAV LANRARRPTRGLTEDWIRTHTTDDPNEESRHWFSDGSEDENSSLSGSEPGWLEEGEIR TPRALRRSRNVSREGSAHQPRARSSIETLRPGDSLSPKTGQNSSMATSEAAPATHDAA SDRSEAAPTSEVPVAETTDKRIPNGTVKGEPALPATPKKGTRKPLPKEPAPTPRLKKK VPWRGKNIMVLIPRDTGRGKPGSAPMPLRQDEIERMFASWGELGYDISGFDLEVEGYQ VEGTADSQTRDTWPDFDDVVKEREQRSFQVTLPDLDGWKKYEADLQEAKLRALGVSFG DDEPKPSPATTDLSRQPSAQYPPLPFSPPLPTSSASSNHGIPGFQPGHFTRPSTNSPG LSHGASPLSFGGMPGKFNPRQSISFPANSSPFGFQQPPQGWPGLNRHDSPSLMGMMSP HSPYGGPESPGMNIHQRHQSLQYPMMPHQQFSYLQPARNSPRLQEVREDEEEAPSKSP SMTPEPSQQQNTDTLQAEIDDAEYHLEEQLRNELEHEDYNPQPQNEQPAAFPDPFVPA QSHQAPNQFPVQERFANEQSKPLVLHHPRPHSRGHSLSQGYFRDHNENSVTADESNLT KFSSLNEIPESRKTDEAYEIETNPSNLGTPVQEIDFPGFGHQKNFSTASNPWNDSGSV SSGNKMDRRSSHASKPSLSKLNVQAPEFKFNPASSFTPGQFDFGSKSSTFQPGASSFE PAAKAFEPTASAFQPGVGSFHPGASSFQPGASAFMPGAGSFQPTASTFEPAGFQPAVF QADTDFSGANMCSSPPAKQTSHVTPAKINVNAPPFSPGESAFSFSTTGPKFNVTAPAF TPNSDSFTSPVSQGKHGSIFGSIDLSSNELQSSKKSKAVPIVRPSSQSSAKSEELEEQ FDADGRPTADETRFKRARSSAPDGDDVPLFAEQPNEQDGSPQETQQTTQDEHLPADTS MSSMLTSDQIDTKATTAAPSVAPSVASATENNWKPFEFESNQDMQSFNDARPFGEEDF THGHKKTLSANANAEAFKPGASSYGETTQTGPEDEVSDVSPEASPVPAAATSGLGASR FNTNQPPRTSSKGLGASRFADPVKPAKGLAASRFAKSPTPEEKPLPPVPVEDDIVSSV EEDEVPQLSATALPAGGRGSNEPTFEEIDAVMDQFFDNPTMGVNKTHESGQWQQSSPV RNLSAVANSSPYKLEPAGDHYTREEASLTPREYHALSGSSVQPMPSTEIEDPFLDPPL ANVGDAIDGESLPASDWEGAFTEDEHDKLENRAQFFDGRVNQVVGNLLASRLEPMEKT LFSIQQVLATRARGTPSSRRDMRSISAELQQSDADDEDEEPMFRRSMSPRRDRRLDQI RIAVMEGLQAQQRSNLEASPAPASGQAFSETLPTAILEALEELKQQSNHNANRDDVLK KMIQEAVQASAPAAAKSDEVENSKITELQNKITDLEQRLYFEQTKVESEVSERRAAED MAAELVRKLQTAETRIEVEIINRSVFDQRVADLEERLRGAEELSEESILARRAAEDKF TESRVHQETAAEEVVRLRELVEQRDHKLRSLEQANNKSSMRIALLEAAQNNATQAQHE AISKCKVMEVELKDVRQDNHHWRAEAERYDESARQKGAELNRALEDNQHMQKSLVTLT TQLEENERIRESWRGKFVSLQDDMGRAAREIAEDNARRIKKDQAMLARQEVLDARLQA EAKTRERLEIEMDRLQSNERSGMRANNECARLEGIIGELKTENSKLEQKAMRYQREFE EARESGLSEVKRTRMALQTEIDAANNQVNYIREELEEQNSKLRAELDNVRLDVDTAKA QNEMLLEEAENTKTVELGELTRKHQNEMEDLQTRYERRVHNAQEDAHKTEQHLLERLS LSASKTEHLQDRILHLEDKLEIAKQAAAAAAQAAKSAGVDVNVHVTQPRRATRDLDLP ERISPQALRESIMVLQEQLQAREQRIEELEQSLSKTDPEASTKITKRDDEITWLRELL AVRHENLQDIIAALQSDSFDRDAVKDAAIRLKANLQMEEQERERAMNGGSAINLPNIA QTIQNATPRVAQTIGPLAAAWGNWRKGNRSQSSLSSLSGVLRSPAPRQRATPSRSNST SQNKPMGGLMTPPASSVRQTPPVDNKPQPTAFASTGRRFPSQSHAPGRARGASNTSHR AEQAIATPPLIESEDEPMTPPMMRTSGYDSDAQPGDFDDNDFFED FPSE_10025 MYATRFVKLLLVALAIICVAQASWIDLNKIHLKERRVMRRASPS QKPEDVIASATLPIDVPSVSQAEPDTAAPTDAVDSKSDAPVDTASDEATQATDEPSKA SATAAESTADKPAETTPAEPSPTKDSSTTKAVEKSTAADSKPAETSAPAPTEATDDTQ STAAASATDENTAAESTAAAASSTTAAANSSKNQNDDTSSTATGSDEEASSTAAEKDT RTTAKPVTSTHIAVVTRTNDNGDLETMTTTSVSTSTPGLSDGDDDGSSSGMSTKTRNT VIGVVVGIGGAIVVGALGLVAWRIWGRKKHNEEADGLMDFDESNSHSRPNSNGPYHSI EKTEYNSVGSAGPQRSPFASTLENYHQPTPVNASSNF FPSE_10024 MGNEQSAETPRGHRKLSKPPHCSQTTAAGLPYAATAVTPHCEHY SNSYLVGSLPPTPNKASSTRRVAPGLGIAVPASDGVSPVTTPTCRESRRDSMRRRSSV QSEQSPRLPSFVNSSRASSMAQDSGYRTLARADSMPVAVPRGSTGYNTRAAEPKSLLG AKEKLPSEPITSKFKIHSDEAGQDVTDDNNNAYHSAGSSISRTNSDVSLYMPMRRRSV VQTPGVATRAHHSNPPVSGKSSFRKSLPPTPSQSRHNSIESGMARRMSMPTTIPSQTH SSGRAVTPVEADYKQLGGMKFGSLRITNGAPVTSPVPENDVKQQGASESTLATPCADY FDEQAINPTNLMNEGLNTAETRSMTTGKVTAQVPSSVAEHLSNTDKSRTGHGQMSENG NAVSFPVAEVLHLREDTNSKPDPKKMHLDLEHKMLKGLTRSDSGFIPSPSSEKTQRTA SRNDSGYSSNVSLRSLPSLRSGFTEKNTMATERLDIDASGMSSPSDSNSTRTSSLVPS QTRNRLPIHLEVPLSSVDDDASTCPTSPTRPFSPFAIGSRMRLSSLKSTRSFEPRVSS TATTPIILSKSDDNSQLSAITPDVTRGGSKISRFLNGSRRKGSFKKTEDVSVVEQTVP NNARGLKSKSRPRSRRSTLRNEPSKDTLHTILSVESQTVDIAPELEGEEATKSVEKLA AKKPSRRQSWRQSIAQMFGTRSADASPTISSLPEPTPRRPSTAMGLSSQHFGPVSGTR AVSSRSSRPAPRKTASSSGSLPSPTRKTNTMPGTQERRDKPELAHLRTNSSAPNLSAS RRMSLGPSQIETQQGSRTRASPPVSMQNRNSKSSQGKQGHSRSMTPSFPINSRPTGRR VSLPQDYGRTTPQNRYVSHGRTESRNSNRGMPISPGGNMGSQSGFPVQQPRVLSYSSN GTQETGPAISQSSHNRRVSAPAQPRPGPGMPRSRSSTTLMQMQQQMEMQMQQQQQQQQ YQQQQLRPQSSLQAWAPMDMYTLNQQLQQNYMIQNQALVYGASNMVQQYPNIYVTGPV PNQPTHGRQNSQGGGMYAQDPPFRVLHSYNSPAYRHAPIWSQ FPSE_11954 MSFRNEYEEQVRAWGFNRVVTWSDSPNTHYPPHSHASLTTHLIT QGSMTLWYPQEEDNQKQVYSVGSRIDVDAGRVHEVIIGGSGCTMVIGE FPSE_11955 MPLCGLIRRRSAKGDKPRRARTMSIFGNSNILAIGALKGMVRFT TAASPAPMHGWDSDTTHKPLPTDECSSQNSNPQAPITNIPDIHITNEMWETLDRTYDD LRGSEPRLSKARFAEFLRNVQGESESAIGELSKDDYSLGEFKYTWHTDFSWEATAPLP EKDLSKPLTNYFISSSHNTYLVGNQLASWNRSSPEAYKTVLLRGCRCIEIDVWNGDTI IPTTSNRSAKIDHKRGLSGESFPNVATTVIEKFEDYLTEKAANRSRSGSGSFGSRSSS PRSSLNRVPLETRESGDLLDVAKPPAPRPRQSFPKDEPIVTHGWTLTAPCGFREVCRA VGEAAFVDNDLPIIVSLEVHADEDQQEVMVKIMKQEWGQMLVDKPLDDIGPRIQLPKL GELRRKILVKVKKAPAKFVVPPSTMDLPAIYANDEDASGSEDERPSQPAGLSLSGSNS TFPSHSKASNAKVNICENLGKLAIYTRSQHYKCLATKEAKIPAHIFSISENRILELNQ KQHREMFVHNKGYFMRAFPAGRRFDSSNPDPSLFWRGGVQMVAMNWQYLDEGMMLNEG MFADEKGWVLKPEGYQSSNTSTETQSDAAPGRTMNLRITVLAGQHIPIQAGDAADVCR SASTLRPLIKAELHVDKPIDTERDGHTQEHKYKDKTDAGKTDHPDFGPHGSLLQFLNI PKVVQELSFIRFKVEDDSSRLGSSPLLAWACIRLDRLRPGYRFIRLMDSTARPIPGGK LLVKIEKTLI FPSE_11956 MAATEQNGIDILCDAAGSDMLLSSLFALATPVPVSDQHQRIQPS LQQEQHELQHSLPPSSPSKHQLFQQQLDPALRQTPGETLQRTPSVLPSKRKLSDASTS SPSHVCHICRRVYERADHLTRHLRSHENARPYQCTRCPKRFNRADLLTRHETTHDRDG AAKDRPFIRRSDRAAEACLNCAASKAKCEDQKPCSRCRSKSLACQMPMRRGNQYRTSE SQTGMSPSDSSMMASNGGNDSQVFTAGDAAYALSQSAIANQEHAIDTTLEYNGTSFIS APSYEDRSEESLYFAVSQKLFPDFGFSWDVDFGSLKVLRSDTNEQSPESGNGVKRFRQ SRKEATSEDIPLRRSAWVLDPKTNNQAGSLALRSDVVSSHSRDSLFAMVLANNPTPHR VPSFPSAELLNYMIETHIAVEDPKSEGFIHKSSLDPATTSLDLISAVVSNGATSVSSP AIWHFGLALHELTSGMIQKLGSSTVAARDMMLLQASMLHVETGQWSGFNRQTVVAESS APQLFTLLRRTGKTSFTSDTNICTPNTGDPPEILESKWRNFVTIESRKRLAIRAFLHD VQTSILLWKGPTIAYTELDFALPAAHDLWKAGTPQVWWDLNSTKGPAPAGDVPRLSDI KDCVSFVTESNAWVDVETCCKAALHGLWGQVWTYRCAVAPFYNSTSDQSGPDTPLWAH SLYQSLYNDLRRLSAKVKEARTFSPGLLLLSELFMMILHVSLIDVQRLAGRKGEGESR RAAQLLEKTWLPKPESRYAVWHAGQVLRYAEEYEPTRLRGFNAMVVYFASLTLWAYGL VSQQSINGYKETGDDTVSLNEPETEELTTFLETGQGTPSLAFPGGMRPQLELVANCAA VLSLGRLIFRQNYPVTNEAMPPLVEGLCRHLADLQPEVDGQVAMKTF FPSE_11957 MANRVHRITMFKLPSKDDQAKLLDQYHKLDASQQRDGKPYILSM VVGTADEDARSQGFTFVSKTEFASMDDMKYYDDGCQAHQALKDFVKGNLKPEGVTTVY FQPQAMGGVDHASK FPSE_11958 MPTFRSKPTTIKVFEIPPVAWQSHLTRAVFGQSDETHDHRTQSH KNTTEPSISLNYPQIVQGRDEDALVKQALEDCKGHTLLAIENQVHCGQKIHFKDLKRG DVVILCTSHDVYGQSARRYLYWGKTSVDSDERLIFLTLVTYEREGIEVSPYWFLKHVS YRFRSEPRQASKAFVSWTQAVVIKPKHDYIVGIRERSEAESKDFRAFVKFMGHLLNSH GELISYLSTLNHKCTSYSDTQARGDAYCKIRDTLKTLKSKDCPVECSVDRALRDELGK YPKNNKWSNDYFPIKSRSRGFKPNDGKCQPPKDLCELLTGQVVRFCANNHKMVGN FPSE_11959 MSNGYRMSLSYPNPSLGQMAVMENMTEEDAPMATTVIGLRKHSV TGPRRVVLVISLLMGLLFSSLDTSIVSTSLVTISHDLNDFTNAPWIVLAYLLTYMGFA VCVSKLSDIYGRRNMLVVSWVIFVGFSQGCGSATSMTALITCRAFQGMGASGLYSLTQ IGLVEVGPSHSPSLIGALIGATLAVAFVLGPIIGGAVSQLSDWRWLFNMNIPFGLIAI LTITNFWPHEEVAHFFSWRAFVSIDFIGSATLLASSGFLVFAIQQAGSETFAWGSPEI ISALAVSAVSCFIFMWWEVYLETKPLRNIEPIFPIRLMLRRVYSAGLLVTLFTGFPYI SLSIIIPERFQIVSREEVLMAGVHILPMLGACAIGSFLGGAISSRRNNTSWTLVGASC LQLLGVGLMSMLNEPDSHTKAQYAFQAIFGLGVGLSFSAATIMTSILAAERSELASAQ GAVAQARVLGGCIGLSSCTVIFNSHVNEYLKGHLTPTQLDSLHRSPLTSLQLSGNIQE LVEDVYAGAFAEEVRVMMLVCSVMVIISLFSLERNPAPLERLTAFPKDDFSSRRGSES ATEMTDVSIIRRSV FPSE_11960 MPSDLLCANFFQKLHAPGPNWKSDCDIPNSRLHSRKKKVRAQRP LPTRITDLLVMDNPVLPKECPELYSTRHSHYSWTRITVREDLICSEIEFLAGGFPGPE FPSE_11961 MEDDIAVVGIGLRFPGDASSPEELWKVLERGESQWSEFPKDRLN IDGYYHPGGDRQGSISFRGAHFIKSNFAAFDASFFAVAAEDAKAIDPQQRILLEASYE ALENAGIRKEDVDGTDAAVYVGSFVKDYEQVCLRDPDWQPQYAATGNGIAIMANRISY FFNLHGPSMTIDTGCSGSLVSVHLAAQSLRTKETSLAIAAGAGMILTPNTMMPMTALN FLSPDGKCFTFDSRANGYGRGEGIGVVVMKRLSDALRDNDTIRAVIRATKVNQDGHTT GITLPSKEAQVANIHSVYESAGLDFSQTGYVECHGTGTKAGDWRELKAISESLGSVRG LDNPIVVGSLKPNIGHLEGAAGVAGLIKGVLTLEHAKIPPNINFDRPNPDIDFENWKV KVPTKLLDWPLPGLRRVSVNCFGFGGTNAHVIMDEAPRYLSARGLKGNHNSFEALSST VETSHCNTVTRPQLFLFSAHERSGVQRVMESHLPYLKSKTGMDESFLRDYSYTLGCRR SNLEWKHAVVAKSLQDLISKLQNVNDSHFKRTSRNKKPKVCFLFSGQGAQYAQMGKEL LCFEVFRATLESASRYMKDALGSQFDLLEEILQDQARSRVSDARIAQPATTAIQMALV DLFNSFHITPDYVVGHSSGEIAASYASGALTKEAAWQVAYYRGLAASSLAFKRPPLEG AMMAVGLSIVDIKGSFNDKQYPCQVACINSPRSVTLSGRKENIHCAYKELTAKGIFCR ILPVQVAYHSQDMLLVENEYKSALGLVKPREHRKSTAMLSTVTGEYIDGRKMDKMYWA SNLTQPVLFMSAINHLLSLPPEDCPDIFVELSPTSTLRSPVLDIINLTKSNNPPTFHS ALSHKHRDSTFLMETLGGLWTRGYELDMDKIVPQGSPEDHSKCLADLPPYLWNHAKSY WHESHLGEANRFREFPRQDLIGAPTADAISFEPRWRGFLRISENPWIQDHQVQKTIIY PAAGMVTMALQGASQLTKETENVLGFEITNMRIEKAMIVPNTTHGLEMAMNFKRLSTS GDQHQDLAFDFCIYSKQLNSPWEQNASGSIEVRYKRRHWGAVFRQHHKTFESLRTTCK EWIVPRQLYELLDIVGMNYGPTFQNLTQILKGDGACLAKVRIPDTQSKMPAKFEYDHL IHPATLDSMFQTPFAVSSEPMVPTFIRSIFVSAAISRDLSKHFKGYATAARTGVRDAN ADIAMTQSCWDQPSVIVSGLHFTSIVNSSQTAEGFLQNNRTLCTQISWKQDITCARAP TVEVLATTLAHKFPGISILQVGGSAHTALKILHAFGCPQGRTPWFSRYTLGHTAGTKP LQRPPLIEGTHYEHFVENRAVDGSEPLPNYDLIIVCVQDDVDTARLLKHVKRPGFLLE NLPPGNFDSADKEIIGHSYQDDNGGTVNMEFSVHHLDPVHDWLSVPNVVVLLPNEYPS EARSFAGKLILECYHTLQIQIMHLGEVKSQPEKLKGKIVISLLDFATDNTGAYVYNWS EPEFNDFHTMHRLAKSILWISRGAHMEPMNPKGAPIIALARTLNSEDPLKMFVTFDLD ISTSLDSPTALNSVNRIFLQSFVWAAGSGPRELEFAEKDGEIFVPRLVPIEPLNDIVE KGVAHDVSKVSFHGYVRHLKLQVAQPGLVENSLVFSAETQFAPQSGEVEVIFESAPLG FIDLETVMGRSSDSDFGTEIRGSVRRTGCNISGFMTGDRVVGLVAGGAIQSNANIDSR FVAKWSSNIPLSHCISAYHCIVNVGRIGRGKSVLIHAGASTFGLAALGLASRLSADVF VTIMGSGAASQREFLLSIGIKNSHILNADTDNFAVILRDRLQKGVDLVYNPTQKHLEA NIKCVRHGGIIAQFASKSPYPPPVQLGSATISIVNFDLATLMEHEADYVAELIENVAE YEEYLEEKLVREGLLVQNIELPNIIEAFKLVEKSPLFGLVSVTAAIDANTMVEIQTMN RTKLLHQTLSHEHTYVFAGGLGGLGRSICDLLVKNGARNIAFISRSGASSKPAQRFLQ NLKKMGVNARVYCVDICDMASLEMIIREHICEEMPPIKGVFQCAAVIKDSIFANMTYS DWMEAVRPKTMGSHNLVQAISDKSDDPFFIFLASSAGVIGNRGQANYAAGNCFEDALA QKCRLQGKNATSIDLGPVLGAGMLADDTEILDILRASGFYGIRHEDFLTMVVCAITEE ITPSTCMPERVIMGIGSGGLIRQNQPADPYWSRTALYGYLNLVDMPPPDLAIVDGTSK FDLKSLLTCCTAVDAAAEIITKGLSHMLSKAMNMLPEEVDTGKPPHVYGVDSLVAVGV RNWVVTNCSVEVSVFEVLSDQTVAELAMEIASRGGFGVEAN FPSE_11962 MAPPYANVGQPQAYREYHSEPRPDNKLPPLGCSKDGHIRLVPGE VYCRWRHPVTGVLCRSADRYDTLELEEHYRFDHGCKVAPDNRPLFGETDGKPHRQAIQ WYADMLQGRMPSWPPAPEHNCQDSSSDNNRPKREPGMQDSGETRIQQKDDVEQNHFPD VSQNQQASWSGKEKQPLYLKMEDLPLGTQEESDRHSAVRLCTLFNQHQSSMPPRVRSR LLHLLRTGQFQHNAQSIFHPFKHQLSIHMYDEEEAQANWISVTYERWFILVGVYGWSM LRDYADGIRKAVVMRYGEPLDSDLTFKDSSDNSGSIVRPFLSQLADEVSIWGPMTRQL EQIIRQQEQTIRTQGSMIRNLQTVNSAQQKQIDKLNTIVGVQSRTGSREKVNDGDRDV DKNREALAVLETQNKSPYNTSGDLIEITNSRKRARRD FPSE_11963 MENMSPSEILVGLIRSTQLYDVTLTMRNISQNVHRTNQLKEHYR ILKERYSKLEEENRKLVGDTTGLVEIKRRLVKNNRKIMANTKVVMEKNVRMRRTLRRL KNVSCATLSSERHTREVGKVIRIHRMMEQLGGPPCRSHCQR FPSE_11964 MFFDDKTRLRYKYDAEDVWIEPWGPNAFRVRATKSAKMSSEDWA LQQLKEITPKISISEDSATITNGNIKARISRLGKLTIETADGKLLLEEYCRNRKDLID PKCSALEVEAREFKGILGTENYHLTMRLESVSLDEKIFGMGQYQQPWLDLKGMDLELA HRNSQASVPFAVSSLGYGLLWNNPAIGRAVFGKNVMSFEAFSTQVLDYWIVAGDSPAQ IVEAYADATGKVPLMPEYGLGFWQCKLRYQTQEELLEVAREYKRREIPIDLIVVDFFH WPKQGEWKFDPEYWPDPDAMTKELKDLGIELMVSIWPTVDKKSENYDYMVEHGYLIRT DRGVRIGLDFQGETVHIDTTNPDARKYLWETVKKNYFTKGIKTFWLDEAEPEYAAYDF ENYRYFQGSNSSIGNIYPVEYARAFYEGQEAEGQKNIVNLLRCAWAGSQKYGALVWSG DIASSWGSFHNQICAGLNMGLSGLPWWTTDIGGFHGGDPNDESFRELFVRWFQWGTFC PVMRLHGDREPRQPQHGTTGGATCCSGAPNEVWSYGPKVYDICVKYIKMREELRPYTR KLMKEAHEKGTPVMRPLFYEFPDDKNCWSISTQYLYGDKYLVCPILKPGQTSIEVYLP QLPQGKKWSSFDGESSYDSGQSVTVESPLSQMPVFVREG FPSE_11965 MAPQDELMPFQCHFCPKTFGRQEHLARHVRAHTREKPYGCRQCN KSFTRQDVLQRHQATHSLGAVNRNAVSARACKECAAGRSRCSRELPCERCKEKNLECI YPKSTRRKPRSVAQRSNTATQSTGSSTENSNEDIEIRDRAQVTEEVMKDTSVLMENNA TENGGGNGWIADEHQPIPMTTTGDLMTATSLPESMFQVNNLGDFAVERTMTEPTMGAS SINWLSDSQYLSMWESQLSAVPDGLGPVGYVFPSGLARPNPISPWISNQYNDVNSAGM ARSDPEPTYAPSPMATESPHYSQADSLRGSSVSKSTDGTLYVEGTMARAPFGGQLLGR SMNQPNASHAAEAGSSNRAPDSLEVDSQTDSFHYVSNELYSELVSAARGHAEIHSQDL TTAPIPPIGHIRCFVRLYYENFHVIYPFIRKSASIWQDSSNWILLLAVSVVGAKYLGG TWSPSLSRLLEAILDDRLQSMSEKSNQDSHGTWIPGSSQSRVRLDFITLQAFIISMID RLHSGQKAVTERALSQMILLVEQCRSMNLLSRAPPKINNETTSSNGASITEWLRAQSE LRTGLMVWILDSIIAYEFDCPHLLQLHEVKTTLPCQEGIWDEPTWERINSNECRQATV LEALHLLYMEKRQPERLTEFGNIVMIYAVCRRTQEAAYQYETALSRWTPVAHVEPCSE SITVAESWPPSLEIMTRWRNSACDSLDMLHWKANGKAANAGGSEHPTILLLHLSRLYL LAPCKYLQRLATSAAWNETTNGAYATVDYSEAYNHLYRWANVDQYKARLSIVHAGALI WHIRRYRSNSFIEPHAIYLATLLDLYRTPGCQGQDRISRAMFHRALLTQGICRSMMMK RKKKKNRNQHLYIWIGHVMMKWFKLTYVLATR FPSE_11966 MDDKVARVSEDDHAPASEMVKDTAIAKQAAEEEHSLTLLQAIRK YPKAVLWSVLLSTSIIMEGYDIVLISSFFAQPAFREHYGTYQPSTDTWQITASWQNAL SNAVSVGTIIGAFANGYFTHKLGYRKVLLASLVAICGFIFISFFSPNLPVLLVGQFLC GIPWGVFATMAPAYASEVCPMALRGYLTVYVNLCWAIGQLISAGVQAGFSNNTGHWSY RVPFAIQWAWPLPLFAVLFFAPESPWYFVRIGDYNEAEKSVMRLSSSKEPGHAKQAVA MMIHTNEIEKSIDQGTSYMDCFRGIDRRRTEIACMAFAAQPFCGSAMGGTPTYFFVQA GLPESISFRMSVGGLGIASVGTIISWWLLAPFGRRTLYLWGLGLLTAVLMIVGFISVG AGDSQSGNYAQASMMLIWLGVYYMTVGPICYAIISEVSSTRLRAKTVCVSRIAYYIAQ IICNVINPYMLNPTAGDWRGKTGFFWGGCCFFFFIWTFFRLPETKNKTFEELDILFAH RVKTREFSKYKVDAYAEDDRTFTVKDGSH FPSE_11967 MASTGTGGTLPPNFLLTPQQQNLLFAALNSNKQQLAGSTANNAV SAPNNSFRNSPSQQKPAQASAFQESPFLDNYDYDFGDSGFDFSFASEDQPSMIGDLPG TTADSTNNAASVALSDSSETETPEKRSYPDDEDDEDSPGQEHKRRESAGKVPKKPGRK PLTSEPSSKRKAQNRAAQRAFRERKEKHLKDLETKVDELEKASQAANHENGMLRAQVE RMTAELNQYKQKVTVMSTTKSLPREKVPFGSAAVSNLGDVNFQFEFPKFGMLPGPPTN KPQGSSPTSPDQQKAPYPSPNNNMNNNAQSAQQFKDDLAKFSGVFSPSMSSSATNPSR TSVDSGNYSINGASSSPSASSHSNTGPSSSCGTSPEPFNQSPMGFKPVDTMTTIGEEQ SGQNNASQFGNVDLNSTNFDWLSQQNGGQFDPQLFGDYREPQANVLSNPSFDDFFNDA LDSDFFTPYNMAPNSPNAQANNQHKKASNLIDQIDAQKESCDDEPPKKQNMNCNQLWE KLQACPKAQTGEFDLDGLCSELTKKAKCSGTGPVVAETDFDTILQKYMGKDVSSSCVA EKLGVELSSTKPNSEAHLGLSA FPSE_11968 MPKEKNYNPVQAQRKADKAKALKKGKHERQERLNEKLSRKNPER IQKQIDDLKKVTSGGGKLTRHEEGTLEGLEKELKAVKKARETLGDKAPTFGRGWNRDR DSGPGVLGKRRRGSNDATTSDEDVPDDIKRIPMPRDTPPPIPKDVMDKWYAERRAKRA AENAARQDDEDKQQKKDTPPPVEAKTVYEAKPVVRDLRKEAVSAFVPTAVKMKMNKGQ GQGGLIEPEEADRLEQEGYLKTTDRDAEAQPGRDPPSRHVTMEDVEDEEA FPSE_11969 MDCHQVTSRALYEEDDVINYVNQVDAENGDVKTGGDLEKHQTAA STASYQETYPEGGLQAWSVVAGSWFSLFASLGLMNTLGTFQAYVLDNQLTEYSEGTVG WIFSIYTFLAFFCGVYIGPVFDKYGPRWLVIAGAVFTVGGMIFMSFATQLWHFVVSFG LLCGFGSSLLFTPSIAAVGHYFKARRGLATGVASTAGGIGGIIYPFMLTRLIDKIGYG WATRVIALICLVCSLIGITLLKSRLPPAKDATAHPNFLIFKSLPFLFTTIGVFLLEFS LFIPLGYISTYALHKGFGKDFSYNLIPILNAGSVIGRLLPGYYADVIGPFNVSILAVI LAIVACFCVWLPLGGTTAGVIVFAVLFGFSSGTSIAIAPVCIGRLCKTQEYGRYYATA YTIVSFACLIGIPIGGSIVQANGGEYWGLIILTGAIYVGSMISLFLAKVSLLGLHNWK AAL FPSE_11970 MATPANTTAEALASEEAYFFQNPPPRNLAAHTALADGFISSHAA AGRRVVLVTSGGTTVPLEKQTVRYIDNFSAGTRGATSAEYFLEAGYAVIFLHRQFSLL PYSRHYSHATDCFLDFLTEDADDRIAVRGEVQDKMREVLRKYRKARDDNMLLTLPFVT IVDYLHELREIARLMRPLGPSGLLYLAAAVSDFFVPPDRMAEHKIQSTNAVEQKRTEE EETFDNFDSSPSVPRSKRLIVDLDPVPKFLKNLVDGWAPEGMIVSFKLETDPALLVRK ARYSLDRYQHHLVIGNLLSTRKWEVVFVSPRRDDRWVRVPREGGWGEAEERPLVAEEL PAQDPDLEIESLIIPAVQELHGEHIKRQSKK FPSE_11971 MDDSDATTSPGDTIDVLDESHRNVFCRALSNLLSIDIAEQTYAQ ILDGLPTEDSLLDGAPYVEGHPVYELCHTQICDGYLDKARMIRAAYNPADLNFDAFLL KSFQKTSMHSQEFKLRLVELVVVACHQIAVHLFNLDEGAHKHQLYQDWAQDRRMEQVL ASEVRDVIPPCAFFHTSYYYLDQYPQGLADVVGYWAEGQIFGGVVVFDRGETESECKS MWIHGARLRGPRTLYPPTPDQFDSLINFLLSDPKEGATCPLPIHGTNENRPRWHPYDA LAKYHIFRDKYERKLPMEPPRQGCTLVNADWPELGDEYIVRNTEYIRREGGIVTDEEI AAASARLKEVTPSSPCWHPSLEKHK FPSE_11972 MPRGEPLFMSVYGINGLVVNESHLTPDGRDVETFSKEENSWTYT SLASAINIGIKMLETPEGKRCLLQLGRAVIQQWVRSKTHRFGGNPNHMAVYVDHFLSA LRQGFPQVTVIHLGGPDILACTRRMTPRPGFTWDGNLHAYRPNKAVGLYFNMSRVADM VGAASVMWQKSTTAAPSADKRQAREILTQMTQKYKEFQFIFASATTHELCHAFVGYLA QNSQDASSYTPPNVNHLDYGSGQVDSSFVQKGESGRYMEKLLFGGALEFYRDPREGHN QVGIPHILDQNAVAFRVDPKFMSSMVESSSNFRFPFPKLGSGISEKDRVRQGVQSLGS TKSGGPLPSGLMYMRSRQVGPVLKYNITQEELSKIPVNPRPLRAQRVATM FPSE_11973 MTARARRPQNKSARPPLTIKTDETEDANGNGHINGNGKMSSGVE MRRKAANPGDENVAANFIKSESFSLDDPVPKTPALNDHGFFELPRQDQRSFLLLVLLY FLQGIPMGLATGSVPFLLKNHMSYSEIGVFSLASYPYSLKLLWSPFVDAVWSPKIGRR KTWIVPIQFLSGFGMLWLGSHVESMMDTAGKPGGMTAFSFMLWWFFLVLMCATQDIAV DGWALTLLTPGNVSYASTAQTVGLTAGHFMSYTVFLAFNAADFANKWFRTVPSDEGLL SLGGYLKFWGWSYIIVTIGLGLLKKEDKSQNEDGVWDVYRIMWGILKLKNVQTIIIVH LIAKIGFQANDGVTNLKLLDKGFGKDNMALTVLIDFPFEIGLGYYAGMWSQKYTPMRL WCWGFVGRLVAALSAQFVVAIFPSEGVTSWYLLVVIAEHLFSTFTNTIMFVAVSAFHA RVSDPVIGGTYMTLLATVCNLGGTFPRYFVLQLVDSFTVATCHPGKSGASALKGTLVT EAFSCAVQSEKERCEAGGGICEMTHDGYYTVNILCVLFGAATFFWYIKPKVMHLQSLP LRAWRLTNENKR FPSE_11974 MSSETPPPTESELIDQEIAALKEQAAALRKSLKIQTSTILSAPS TQALLKSNKGFSSRKSSSHTKVLSQSEKQKAHTQQNLYRSYSSVTAFKVHDPDPNAVD GGHVLGLRFEIMSKSQFLQPYYVFLNRPYYNSKHMRVHRNTLPPAISIAGLAARHLPA PRPESNSPPRQDLDRFVRTLRREVVRYHNRLGVSADLRRSLGLHGKIDDTVLPDDIVE VGIADIEAKQIRFSWADDRSGRVVMDSDGKVIKLMMFGREGRDWETTKELYGKYERIE DVAKTLQEYVNG FPSE_11975 MHFQPVEQMTDEPYIARPQDDPQPDHQETNDALDDVFGSGPSSP AEHHNDESGAAHPSDIRRLQTEHTTAGYREGITVSKESSIQAGFDEGFSLGASVGLRA GQLLGLLEGIAEAVHNLKDADSSKIIELTKQAREELSTDGIFKPEYWAEDGNWKYEVK PAAGAEEVVFANVADAHPLVKKWTEVIEEQVKLWKIDRSVLDDETGVRLESVMDDTLG SGAAPVAKKPLDW FPSE_11976 MPACHGCRVRKVKCDDGNPCSPCRQFDVQCIRSTAPRKRQNPKR GRLVAQAREGNLQQQTVLSPSASVSPSAVHSTPHAASEWPPGSSPGSVSATGPFSPNS EAFTSGFFHSLLPEFEKVVYPFSPAITPEEILASISMMHHNPEDAALVYAYAAVTTFL SQTADNSHGSVAAQISNLVYHSLEAHYRAGISSHGPGRVDEGVPHSIKRIVTCIYLEI STMGLTRLDRSFSFIREAISLIQVMEVQQRTLPDPARTPYPMARFQQVYWEAYIHERF LTIADGYPSIMPPLHTNLSATDASTPEHIRVGFNCLIELFLILDETFLACWQSQRGSI GGLTVQWIENKQVQLDQAEMNAASAEARMNTAGHAGFTELQRADLFITRLWLRTLLWQ LALSQGLLHSGSSDTTHEGLSLQFPASRLSIQLRSLVGRLDSIVSIATQGSGIIQKLF EIASTIADVLALPAGHDAEDGLRSHVKDFLYVVNFLLELGLMRENQKEYLREKYHMLQ PLNTDEDMPAHNGEQAVVS FPSE_11977 MPRGPYDTTGVVKPGAPKPPKGKKCPQLVPGHTVIPSQHGCFSD MRTVFAMMISTADRNKKGFVG FPSE_11978 MAVQETIAARNWQQTGCFFAGVWLVYIVALVVWRLWFSPLAHIP GPKLAALTQYYEFYYDFVLGGQYNYKIIDMHERYGPIVRINPWEVHVGDPEFFSDLYT SPSPSRRRDKWTFYTQQSGAPRTQVPHPNALAAPKTATEGSLAAIDHGLNKLRRSALI PLYTTQTVRELQPVIEERVEALLTAFMNYAEVSNGQPLDVMYPYSALTNDVINEYAFA RSDHLVEKPDFGAEVTNDLLIGTHMGPCVQQLDWVLTLVNALPESISNRCMPGWGGFL KMKNDIFEQIHSVDPPQSTGKWRMVGGHPTMLSTRARSAREKNASRQAQESQISVQGG ALTTSWAMSLATFHLLNRPETLRKLRDELFAAMPDAYETVPLSRLEKLPYLRGVVKEA LRLGIGTSSRLARVAPDEALVYHDRENGEDWNLPPGSIVGMSPYKTVMDESIFYDARG FHPERWVEDGERLDKYLDIFCSSSRICLGMALAHAELYLTLAKLFRRWGSGGVVFGSD DGDQRYGDVGYLSIFETRVRDCEIDADYFMPIPYKGSEGFRFSFETY FPSE_11979 MAAFDPVARKRVLRVIAISLLLDLISFTFILPLFPQLLEFYRDR EGQVPLDKDAPQTLLQHVLSGLHRYKASFSRPIESRHDIVLLGGALGSLFSLLQAIAS PLIGALSDRYGRRKALLASMCGNILSVLLWVAAVDFRTFIASRIVGGLSEGNVQLATA MASDISDESSRGATMALIGACFSIAFTFGPGLGAWLSTFSTFTANPFAAAAGFSLALI VTETVYLYFSLPETLPSMRDTGAKGDAKKKVAPKKIERTNSHFLLNAIHFVFLLFFSG MESSLSFMTYELFSFTSGKNGRLLGYVGLVASILQGGVTRRLPPLMSVRVGTLACLAS FILLGRVNTIGGLYLAATCLAVTSATVVTGLNALSSFEAHEDERGNKLGMLRSWGQLG RGLGPILFTSVYWWAGREVAYTMGATGIAVVAAAVFGGLKTPKGMNAKGKKVEAKAQ FPSE_11980 MGKEEKTHLNVVVIGHVDSGKSTTTGHLIYQCGGIDKRTIEKFE KEAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPRYYVTVIDAPGHRDFIKN MITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAYTLGVKNLIVAINKMDTTK WSEARYQEIIKETSSFIKKVGYNPKAVAFVPISGFNGDNMLAPSTNCPWYKGWEREIK SGKLSGKTLLEAIDSIEPPKRPNDKPLRLPLQDVYKIGGIGTVPVGRIETGIIKPGMV VTFAPSNVTTEVKSVEMHHEQLTEGQPGDNVGFNVKNVSVKDIRRGNVAGDSKNDPPM GAASFTAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFAEIQEKIDRRTGKATEAAPKF IKSGDSAIVKMVPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKAVEKSTGAAGKV TKSAAKAGKK FPSE_11981 MISRAVRVPRISLACRFGLITQRSAGLGFRTNPVREGLGRRLYT SDLGTLGDSKVEPFVTNKDTSETISEENLGENESTTTIPSTDATESNNSDPATEINLE STTTSQEKPIGSAQTELDGILDQASPSTGKSDYGLSELSDLPDLSSKPSSDSSDHELD DLLNEAEPKTHLPGNSSGGVSGLPDLPELSSERSDSVFELDELLGERSTRTESEFGVS ELPDLDDGLHRGVRGTRPWPKRRFRDGLMHDAPLGVPSLGLPADAIIINNPNKTRIER SPIVVEEEEVAPENFDWESLNPSVNIEPEVEEINANIEEFRPDTRILRLTDIGTLVES LCSGFTINQLKDYHRDRVPEQEEGEIVNYTWIEGSVPWASVNSVRVRGTDKTAIAQKI VFDKWRIEAMEYENDLGKAYVWMDPEIFPFLLYGPNNISRLLWVLRRDFLVGEDEKLT LTIERSRINITARKSTTYGVLAYMDQCFQDMRSRTIDAAPFLPPGTSSPKPAELKELG RLTKTSIKRVREGTKEKYRVSWLPDSDEAPAETEDVADMVFRLMVGLRIPGTHNVLQC IPSKGEDEVSGQFVSVQRQTRAMSWRDKLGRWFRVVDPITKSSWSAKEPSPLNLAASA DLPEWDASGPVRKDTTTATFGHILHSEPLDSMKLLSRKRHILLPFIPHPAAFSALKPE DDNTLKETTTIIMNLVPREERKRGRSNDAQKEIKEPAVRIRIPIKPDADFANFSVPDD MTAESFVTWHVNDLLLPAEAVDVRLQHERSETFSIVNRGVKKFLKASQFNLAEGKLRT PAQATINISGIMLSGSRTGSSTSKSKNVVYDFRGIEIHKTVEMPWRGHTLRYSSIEAG QQGGQRQEITLKAGPPGGGPVKFHPERRQSFLQLVEDMATGKCFSWYDGHKSIKSRQL EDYSYNLPEKELTDDIIVEDIFDAKGRPKSVERERKQRQDTAKRERAIKKQASSKSQA ASKSQAASKSDRQWASSESKRDPILDDISKLLAVKNDSSLDPEPRVEEVSNEDELTQE LMAIIDAPLKSRAAAVGRNNKATIVSTGTTKDKTPEWTAEGVKQVPADEDATKDRAPD KQEFSKDAANASPTEKAAPTKSKKSAAETERDRVRTEIMNNFFGAEEIKIESSATSDN KKPSAARKAKITARKYTVPDLEQPAKKTKAKGKGKDKAKAKVVEEVDPFLAQFATRAT SNNRATDPNAAPGFFDTPPPESQPKKSQSTKGSKNGGKKKRS FPSE_11982 MPTQRRRRPVEADESEEDVRPRQRNRVESEGEENEQEPSDAEMD GDRSQLQSADEQLAKKLVRYALSCEFSRTIIRRDGIKERVLGNQGRSFRRIFELAQKQ LREFWGMEMRELPVREKVTLQEKRQAMKSNSQPKLGSGSYILTSTLPEAYRSAVILGP SKTPSPDDEATYAGFYTLVITLISLSGGELSEQKLKRHLLRMNADQNVSMDKTEVILK KMERQGYVIKRVERPPLGQDGELTITWHVGPRGKEEVGLGGVMGMTREIYGESWDEEM EKKLRASLNIRQPRQNDDDEEADAEASRMQE FPSE_11983 MVQITQSHQLERLQAKYIGTGHPDTTSWEFRTNIQRDTYSSIAG HRPLLSYIALAENEPVAKVRAQMIRKMVQPCGPPPPRED FPSE_11984 MRARYCDAEGREWFSQRRAFLNELDVLFTDIKDHKKMDLKTIED SIASEKEAWYRWVLRMYPRFLSTGDSGADPDELRAMLDDPVKRREELIERIWEGVGKP ANWEADVDSLTEKIATVRNDAAALKQLYITFFFKDSKTGEVVENAQPYLEAYETSDAM SIEQVIDRIAQDLKASLTTEPQRDTHRSRLDELRRAKMAFEQNRLQNKSRAQASQTPA ISDYLYDLPPCSVCAKSMDPKNVLSCPLCQALVQLGGRQKMTVYCSDECLDKGFNDHV DKEHDCEAGDRCAQYDYEDIEMGEDATSKAVVCKDCIDQCDIREQGSVEGDGKAAVYC SIECAKPNIGRHRESKHMTSAAGYEVSNLALPLWETAEKILKEGNPGLKFSLVDAIET HQPPAPPPPAPSKTFTIDFEVSTQTEVDDNFDNLRDTHSQFMDSMFPLDGDTDLSSLE DSPLTSFPTPSSSHTHSIQSLHAKPQFNLDSAESLLDSFRRMLIHYPCIVLMPEETVA SLAATKPFVLLAILAAASGSRTLQGHTLYDEEFRKVLGLKFVAGGERSMDLLQGILIY CAWYPFHLRPKNRQAFQYYRMAGDLITDLELDQEPAHLGSAIPGEMSSAQLDRPRVYL AYYYAMSKYVLQETHQDHITHRSDSFMYMFKKKDLIPAWTTWADACCNILQRHAEVDG DVSLSYLARLANMTNTANSSIRDNDPQVNQQVQLVLLGLETQHREMKETMVPHLSRSA PVKLASLFFEIFLQGGPIFYLTRINTKKPSFVDPSPTRLVRCVNNIRTLFDHLTNLEN FTCFTSVDWTKFILCVILAVCLSFPIADVPDWDHTWARSQLRFDEFLETMTEGPEDLT PASKRVDILSASRVIMRVLKTKYNRRVAILTAPVLNEPLGHQGCPMFDKDMQPYLTAW DVDFDAQSVLPTPNINTEGQQPMYHDLWATMTMSWANDADTMGS FPSE_11985 MKVYQSAPTPDPARIVSPTVQHSERASLSPSSSGSPDTVVAHTT ASFITNLAASTATPSAMTMPSYLPTAHDFRPTQASGSAYNSNMYDVPRYALPVFPSPT VCVKIRRLPLNTTEESLRLMAFFSQELVDAEVLPVEQSEDAGFCSAHMRFRSMEGAQQ AKTMLDGRLNISNDAKMVVEIKSDTSPFSQRYSTDPLSANNSGSPASAASASSVIGSG QASRFNGGYHALDNLSPQTSHAYTGHELPRPISNVDIRDMFSPQSPIGAHLDNPGRMS GKDLIAHDSAADDETSNLLSDPLAYADINNTAPQRRATAPQIPISNMASLSLNTNNMP PLGPSSLPQFSNNMPAQSGPMSPLDKGSMNRFQQGFHRQNIPAANPADQNPPCNTLYV GNLPGDASEEELKTLFSNARGYKRLCFRTKQNGPMCFVEFDDVSCATKALSDFYGTPL HNSTKGGIRLSFSKNPLGVRSGQTPSHNNSNAMGGQAHMSGSTSGFGSANRPPPGLSA PPGLGTGRSYPYMNPGHSNGALDSVASYGWNTPAYSEHNDSRRRRPMFSGNPNPRYNN YMFGK FPSE_11986 MQPANDLKGIICQSPPVDVSKPYDASTLKDKTIVITGGANGLGS HMVREWASHGANIIIGDVADAAGEELVASLTAQYPDSAFAFQHCDVTDWDSQVSLFET AVHVSPHGGIDIVVPNAGIILPDVSMQFEEPVLKNGRLPKPDTKQFDVNITGATYTTH LALYWLPQNGSSPRDRCLLLVGSLASLCPFPTQTMYAMSKHAILGLFRTLRSTSFRSG VRVNMITPYYVSKSNMLKLPVEVAFLSGTAGGAMIEDVIDAATRLIADEEIAGRALCI GPRLKSAGVEGVEDEDDFMIVQEHENNGQGRAVWECYAGDFDNTDAFTKRYILLLNAA ERVKGWFGIFTDIWGMLRRK FPSE_11987 MPRHYHYQPSRELHVVVLGAGGVGKSCLTAQFVHNEWIESYDPT IEDSYRTQLQVDGRQVILEILDTAGTEQFVAMRDLYMKTGQGFLLVFSITSSSSLSEL AGLREEIIRIKDDENVPLVIVGNKADLEENRAVARAKGFSISQRWGAPYYEASARTRT NVDEVFIDLCRQMLRKEDEQNANDGPNDDYKYDNGRSSHRRQRRRRKKDSPRCAIL FPSE_11988 MASLHPPNGNHPSESIPDAAYPNDSPFRSDNNSSQTMVSPLTIP PPSASGSTSTPQPSVFGASVPAYSSPVASSSSWSPEIPSSPPPPYDPTRPPPIYYSPL EPPSSLSSPPPQYPPSTPWPYQQAGSFNGSIHGAPHKMGPVAAARARRRRKMQLIALA LCAVIMIIFALIMGVLMGIVRLQWKGDDDDDDD FPSE_11989 MNALQQKCRPKHQVLVLKCYPRTAKGAVDVKPNSSELSYLLYYA TSRRSKIQKIGAFLEKKTASDVWRLRIGNVQVTLQILSALMEKLHKDSVLIAPFVLKI LDTVLRSDDITMIESSLPTFGAFCDYHDAAFLLADQTYLRQYEEIVRLYAQLASTTAP GRESLTTPVKVRWRNAGLEAIRSVSTADALSSITGSQMHVIMPRILENLWSDTPDFLE ILHQRLEEEEKVDTEKQLRRRTSIATVGTDGANDPNPVALSGTAGDVDKLAEEEVGVL AMQCLKSIFIVPTRAQIHGATVSLLKFIQEKVAQGNSVVELHDDRERDNGWAISIYSI IARWAPVQDRYTILVAALETLLRIPAQDATLDEQLALVTIMSSLLRSDVNLIGLSMMD VLLGLIKQMRKLFRLRSPASRSDDGNVATAESDTAVRQKNKHLVGRLELCIGDLATHV YYADQIADMVSAIILRLKPSRASSINSSPGGEKNGNEAGPGASTVELSESQQLDHYFC ISTGRASGLRAIKEILLVANPQKKLTGNLAMSRNPVPIHVWEGTHWLLRDPDGHVRKA YMEAIVTWLDRETSFASEIAVEEKLPRSRSSIKMSKEGSSGINRRAVSNASHRERGSK PRPSQFLALLHLVIYDNALQYVDFETDLVLLHILLTRLVLQLGVNAARYGLPMIYRLQ EDVQVIETPLHKVRIAALCHGYFWALSEKFDFKESDIGQAIESEVARRKRKAFWVQGI NMPPPSLDQVGIPGEALPQPDWDSASLEREELLPFDDREALVESVAARYHESLQAPPG SPGSPGRNPSGPILGSSLTSTGQADSDVELPVVFREHMLGDWSRDAAAAMLAAAGKSE SLTGSKTETTGTRGHLTVKTNGMNGNGLANGHGPTSPYGSQYNLMRPHSSHGHREKER EGTIPRHRKGSVRSAHSPAALSTGNRGTVASVDQLKLVLSGNPPPKTAGLAGDDDSGD SMVSYDYSLSDMSFNPATQNDQPGSPTSVKRPGTSSKRGPLSAHPPLGGAPNLHEEQN GDGSVPPVPPLPDMNMLGGKRSPIQAMENSFQEKGRRRSLNSRNGDGMRPKSVRSQET KTMDLQDLLRGIDSRPSEGSLGNVTRPPY FPSE_11990 MSVSSRKVKDRSDMLQRRSDAHLDITNGTLSISQEGDSDESQEN KSRPVSS FPSE_11991 MCTQTETLEECSRCGYVDAIESPILNCGLRSRQPFDSRQENYFV GRCYGVAITSYTDIVVCPTCATTEERERKRQESKESAQIVAK FPSE_11992 MDWHDPDCRRIDPIPFDDKLWTCQACGTIGPLEFSIDEPAEAVA SSAYHEEPHPKEEVKPLSWPSCVDYMTDAPSEDIGRVLRRIQASQGMVCSGITHQAAT VSDAYGREIQQVEYPELTQPSHIRILELLPAPREKALEGRLLVVDVDKPPAYEALSYT WADASNDSSLCQRIFIGHGNRALPITSSCHQGLRHLRKELESVFIWVDSVCINQSDLE ERSYQVAMMDDVFSRANVVHAYVGEDEYGNSRKGTKVVPLLQSIAEPARPPGREFKNH ALAILDPFFQRPFFSRLWVVQEVLLARSVILHCGDNSVPITQEMVVKAQTHRVEIPWW MNHIGRIGPYVKSELVQVLAATALCQMSDLRDKIFGLLGLVDVEDASILAADYNLMVR EVYIGTAAYLIQKRGRHEILELSESLTNIEQRNTYGIPSWVPMWDLQQRPYVAADISQ RLGDIQTVMNEASARIPALSLPTWPSSQPIWNRSAKSIRKNIPLSTCEAETDLALEEC YKAVDAETGCLVTSGYEVAELKRSDFIKLLKTATFDEVHREVHYKAIRQGEVILTVSG PVSTLRSTPQRVLGPSDYLALVRILGCKTFFVCDKYIRDNNVITYKLVYPCAAAIIYW IGKQHIYSKHTDFDIACILEATYSLTLEIITFLYQWRYLMLPREEGLAACNSADSFLA KERENATNLYVRYAVVVEIESPSASEDEIAAWRLQLEEDPNWTSRHESSLVDNFFTEL LDLQTFWDAGRFEKLANLDLKTAQDDLNYCNGVLDTFATTDRSDKQSHRRKEKPGKVE AELSSWKAAWGLLYKRFNGLISVALKEEANMFPQTVDDIFELPESWLGAYKNKQELVR LLEDRWMRCTRLLSFVIESAQCFEEAKQVMEGRREILGMFGVGREDERIVIE FPSE_11993 MSAKSGSSAVGPAPLPPTDLLLSLRTGKVRPMGGVNIRSAINKR DRQGKWEVTPLGLVGDEHDYVHHGGPEKALHQYCAAHYDAWNFEVPGRQDLFKIGGFG ENLSARHMSEHNVCIGDVYRIGKGSVRIQVTSPRQPCYKLNHRFQHKKASAMAQSTGR MGWYYRVIKTGFIEQGDEMELVERIHPTWPLARVQNYLYHEKDNYEASRELATLPALS EEMLNIFRTRLEHGAEDMSGRLEGDRISVSFVFEVEGDDEKSEDFAFGQFPFVRLRFG PDGSLSRAYSVVSGTKNRFELGISRDDNSRGGSVYLHDNLKVGDVIKIASGRNASTTQ QDKSSAPQAVKHIFVIGGIGVTAFLTEIEKLSSGEADVQVHYAVRSHKDAAYMERLPL DKTNIYAKDQDRRLNLSQIIPKLVDENKPTTVVYCCGPTSLMNACRTITTTLNYPKAN IHFEEFGDATTGTGEPFEVEIKSTGKTIQVPREKSLLQVLSDAGLEIESSCLVGNCGT CMVDVCKGEVEHKGVALNEEQKKESMLSCVSRGKGRIMIDC FPSE_11994 MASASGVSIDQDCIIAANALRFSKGPDKIKFIIFKITDDEQNVV VEETSSETEYEVFRQKLLSGVDNTGNPAPRYAIYDVDYDLGEDGKRQVYAFVSTLRML YASTMEYLKKAVNAGVFTHAGDQEDIEWEELVKTASGGKANLPSTGETAHSHLQSTLV KMPRTLLLCFIHGFKGTDNTFQEFPYDLKKAVGKELPDCKVQSVIYPKYETSGELAQA SEGFLGWLKERVMDIRKEKSEKPWPPHDRDVGVVLVAHSMGGFVAADSLFLAINERAN DGDENDPLFPLIQGILTFDTPYNGLARSMFVYGAFSNYQKVNSVFNVMTAISAAAPAS LARLSARRAATTAVTTSTRGPQWKTWQLVAVKTGTVGAIAAGGVAAYMNRELIMQGMK SVKNINKESVAEGYRQSMDAFGQGLAYINRGNVGRSFSWLSDHVTFVGALLRQKELNR RLERIGSLKGIGMHDFYCSLGENGYWSGGYFVPERTFCAIPEENHSAYPIFERCVMLK ADDEVEAHMNMFRPEKHRGYEEMTERSAELVKKWFMSEDNVVDDPKFRETPPEETEET EKAKEILEQDPGAEENEKAEGADKDKDEFPDESPLDIANAASLVPLPDDTGDQIIGDA NIEGADTVEKRNYLNYLFGVAQSAGTDAKGWLPNKIPQMPNLPSMPNMPNMPNMPNMP KVPSSVSSLGNVSMPSMRIWGKKDGDAQGEGEKQDKDTPEEKKDHVAEAEAKDEPKET TDDNAEVSQKVEVEGEKKSAELSQHERRAYQSSHFPALPSFSFCLTSKHVTLKPEERF VALEGSIYPGGPSTWYIMDWDQRRLVSVTMDEELESADPAVEHLSKHIDDLELDVFEI HVSSQGDLISTSTDPEDDNTFCPFYAPLEAIEKPEDIQVVPRSKLQELDRLGPLVDLV TDLQTSKSSEKLVFKYYFVYQRMSRVWNEMNLWMRLPKHPNIVSFDKIVIDELEGRCV GFTIKYIAGGTLDENRSRVFKLKWLLQLIAIIDELNLNLGIAHQDVALRNLLIDDPTD FLMIFDFNFSARIGDLGYSQARNDVDGVLFTVYELITGDYELRSVEHEQQKLDHPVAE FRKVLDQWSSERRKDSNRINTFKDAPNYIEWPTMPQPPPSEVVINYTTGPVKEPKVLW TNWKYEA FPSE_11995 MSGEETILARRARLSQVPRACEACKVRKIRCDRSQPCGNCKAAG ITCQQTHSIQQSERPRQDNRISNLEEYVASLEARLAKVEIRLEKQPTQPSTSTPASTN PPTSTPTVSKQDASVSPPVSSEPSVYDVAQTPVGNLYEGSSSFISQFQQASEEIKQSA AAKTPEGQQSISESFNQLHSMLHDSSSRKPPPRGPTRSMPDITPLPASVVLKIIRTIK FNPTRFFPGHAMTDIKLIEHLCQKVYFPTEPVTLGHITSVNGIMRLLLRELIITEDPL SKEHDLEALKAQVERNFHLGLETFETVTVPSFENVIAITTAVLKIQNESRPVLARTLV NAGLSHCQMLGYHREITYQKDQSGFAEHKRRLFWTLYVCDKTNSLHLGNASRMQDFEV DAQYPSIPIDVAEKPWIELFHLVIRLAKIQGLIFDKLYSVAALQAPAMERRQWIDTLV ADAHQWRYELDRLDGSQVRFIKLLELSMTHWDIMYYTTLTTLLRAPAMPGVSTDMTSQ CFQTARLALESHLRAFSGYDGVKLFTKADYIDWALHNSSFTPFVVIFLHSMAASSLED VQLLEQVVDTFRHAREIHAGAERLYQICATFARLARRMVESRNTSVGMYDQNTDSLQV AGVSQDVPLNWPEAFVQPTGQGQQATSDSDVDAFLNDDMTSILADWINGQPPATEMFV MDFGE FPSE_11996 MDAAIEKRKTSVDDSIATKQNEVEKQAQLGDLWRAFQFADRLDW VLNVISLICSIASGAAMPVMAILFGKATGRLADFGGGSADPDEFKSEVNSFVLWFIYL FVGKFVLAYVATTAITISGVRTTRVLRERFLQKLLRTEIWYFDTAKVGSPATQMTTNV TRINQGIAEKLSLLVQGLAMFASAFVVAIAVQWKLALITLTVVPLFFLIMGVFMSLDA PIEAKVTGTYSKANVFAQEVMASIRTVHAFWAQGRMSERYDNYLKEAHTHGKKKSLIY GIMSSSTYFCMYSGNALAFWQGFRMYRSGEIDSVGTVFTVVLSVLLASSSIGLLYPQI PALVNGAAAASELFQIFDKPSLLDPLSNEGQVPEACNGHIQVENISFSYPSRPDTQVL KDISLDIPAGKTTAFVGASGSGKSTIIGLLERWYLPSSGCLLLDGVDISTLNVKWFRS QMALVQQEPVLFRGTVFENVSKGFTDSQKALPVQEQRALVQEACEASYAHDFIQNLEH GYDTYLGERGGTLSGGQKQRIAIARSVVSNPKILLLDEATSALDPNAERIVQKALSRV SQQRTTLVIAHRLSTIKDADNIVVISSGQFVEQGTHEDLLALDSHYARLIRAQNLAVA GHETKAGISAEESPDAFDTDDEVRRVMTAQTHKSRDVEGQDSTPKDRSILSSIFLVIK EQKALRPYIITSALCCTIAAATWPGQAVLFSRVITAFSADTSASDVNFYALMFFVIAL GNLVCYGIIGYIANHVAQAISYQYRLELFTRMVGLDIEFFDRPENSSGALASTLSSIP THLQDLLGLNIFVIVVIIVNITASSILAIAYGWKLALVMVFAALPLLMGSGYFKVRLE SRLHVSNEERFKESASLASEAVSSLRTVASLNAETDFINQYSDTLSSIVIKTIKSLSV SMIAYAFSQSIEFLVMALGFWYGSRLMASGEYTSEQFFLIFMGVLFAGQAASQLFANL TSLTMAKGAANYLFNLREEKTVIRETNDNKDKCPDFDQPMGVTDVHFQYKSRSTKVLQ GLSMDISPSQFVAVVGPSGCGKSTLISLLERYYDPTTGKICVGEQDIKDMSPRQFRSQ MSIVQQEPILYEGSVRENILMGLEGDATDKTDERLNEAARQANILEFASSLPEGFDTP CGPRGTAFSGGQRQRIAIARALIRKPKLLLLDEATSALDTHSEKLVQEALEQTRKESG CSVIAVAHRLSTIRDADIIFVLVGGKVAEVGTHEDLQARRGVYADMCQAQSLDRETGD S FPSE_11997 MDSPRSLRRLAVALLSLAPTVLAQNKADVISKCLDDAGVRNVID TDSSWAEETVMFQKRLKPDPEAIAFPENSDEVASALKCARESKVKANALGPAHSFQGN GFGIPGNLVINMAAFDEVSYDKKSTLLTFGGGTHVGPVQKYLWDTAGRHVPHVRGAHV GVTGSSIGGGFGTTSRYLGTPMDNLVEIQYMLYNGTIVNAKKGSDLFWAAQGAGASFG IILSTKTKTFKPQFDKAINFTLSMGDLTPEAGAKALVAIQDYSLSKDCPDTWAFRWNI MAPPYDGTGYFYGNPSSFDSVMAPLVKKLKTISSNTAVKSTVLPWWDLEVAVAGPGMN QPNGGALGGRSFYTQSLTTTTDHPLTVKQAQILFEGTTLAFNRTDMTKFGYMDLWGGV SRSIKDSDTAYAHGKNLWLIRWDANAIGPYPSDGISYMRASIKPFEDSLVKGGAKLRG FVNYADTELTEKEWSSRLYDGNFERLKQIKARYDPEGLFINHRQSIPLP FPSE_11998 MKFLNPIAVILSLASSVALGAEAAATESSHLRGEEVKYQGLTLY VSKPHKSLGYKTPGTRVGVLFLTDIYGLKLKENKELVDNFAKEGYITVAPDLFKGSPA PSEDTPGFNVTEFLANYPPSVTDPVVTKAIKYIREELKVSKVAATGYCYGGRYVFRQL DKKGGVDVGFTAHPSLLQTEEIEAVTKPVSIAGAADDNIFPQPRQAETNAILTKIGKP FSSALYSGTTHGFAVRANNSDPQQVFAKNEAFYQAVRFFEAWD FPSE_11999 MPSATRQDQILLVPWDPCSTEHVKRIYEQRVQCGWDKQRVEGWK AKQTSGEKCIFWITLRPEDPQTRESLELHFDAFPAEKEPLVDTADSLRAKPRKPSHTK FYPVGHISLDHTNEKMGDFVLDLPEKGVYWIKTFYISKALRSKGIGRAAMDLVESMAI DEPLCAKTLAIDTAQKDTQKRIYREKGVELASTNQEWYERRGYRLIHTEPDFYKDPEE PPIDAVFLRRDIA FPSE_12000 MVPKKDISQSYNNSIGLYYVESSQSEVVSEKATEGNLDPIDEYL TPISGLRPTHGSHVASHITVANQKIATP FPSE_12001 MPPLPGFSDNKFESRDDFIEAIIALISPLSKYFSTDKSRIRIPV ATGAHFDESAAQLEGFARPLWAIAPLLYGYDSIKNKELAARVDELVQPWAQGFIAGTD PEHPEYWGAMNHMDQRMVEAEMIAFALIAAPKRFYNPLSDSQKNNIKSWLASINGKQM PPTNWRWFRVFCNLALVKTCGVALSQVQAELDADLEILDSFYIGDGWSGDGPWQTAEQ AIQEQELAEKTGRRDAIGVGRQADYYSGSFAIQFSQVLYSKFAADLDEKRCEMYRQRA RDFGSQIWRYFDAQGSAIPFGRSLTYRFACGGFFGALAFAKVPDMPKPLDAPGAIKGF LTRHLRWWAHNSADIFATDGTLTIGWLYPNMFMAEDYNSPQSVYWSLKSLIAVGLADD DEFWASEESEYPAFDPPTQTITAPQQILCNHPLGNHHFMLSPGQFVAWPMKANQAKYC KFAYSSAFGFSVPTGPLIQQIAPDNQLALSRDGGETWAVKWKCDAVKFGNLRTKLHNG SHTDVTIASVRWYPWGDRAVAVDTILVPPTDQWPDWHIRMHRIRCNKSIPSFHTIEGG FAINGRKATNGMSLPTIETVDDATPGKSEGIYSDNVSTLISSEDGCSGICVNALLDQS KTPVESHAQKPDSNTNIVRQRTLIPAAAVSIPGGLEPEQELLIQTSVFAISSKANGGI SGDESCLRDRWLNRPSVDVASFEHM FPSE_12002 MMDIFIEYAPCIYDLKKNKPGVKTGVIEGLSRRVEALENTVYQG SDANNSTSSVDSSQLVGAFSSLIQELRGLVSNAGVTLPLQTINSSLAQIQHTTPGTAS LSSPNDVHQQPRKRRRVDSCGNPNIELASQLGELGSTTSQLPPPELLEEVINAYFILV QPWIPILHETRFRSRFYNHEQLPCLTVLLHAIVVAAIRFVDLGSEKLSERETETWISK SRSIVLLSGMDGMSVENLQALSIIAFTDMGNGDMPRAWPIIGSLTRTVEYLQLSVEFD DRQQGPLLKPLTSLPPPQNWTQEEERRRVFWSIFNLDRWNTSLTSDDVRRRLPADGGL WHKEETVLTPYFGIWDRSAAKMGNSIVFLPAHYPSPEQVTEAPPETPSTNTTASKRND TVDMTTVGAFAYCIEATESLSRVTTYFLQQRINFKDRQEVGNWLTRFKELDLRLVHWK MFLPQKWKDSNISRRPTIINMDPNLTLAHITHNTSMILLHQQIAYPAPQWTSIVRMPS AHSAETCQIAAAETATITQKYLKYTPDNSPVNSQFAFCVFVSARALLVHWRYYKTVLA SEFWVLIDSLDQFAKRWAGPISEAKSKTSLAGKYSAQLRALYQKCTENPEYSPDVVGY STDGFVDHLSTQTPQGHELTRNNIQSEGTGAVGVLNTTFDTRPPNTGLPFDPAHTQIS NDQLNFLPQISPTNPGLEHNSPDELSAISTVLMDQDFMQLDRVISFDDMMFTAQTIDD QGAPFPMDNWTLN FPSE_12003 MTISNGVNGHTNGTQPSSFKNGKVSTLSNTASISSTLSDLFDEN ITAKILHAAETGLINNNPPLAYPEYVPQTGPETGKYVLREKLFWTCGFFPGSIYSLIE RMIKFPQASPGGSNKQQLLQQLLTVGRSWSDPLHDYAKRTDTHDMSFMIQPSMRVRWE VLHDFDALQSIITAAQSLYSRYNSNVGAIRSWDVLSQDGVDISSMTDDFLVIIDSMCN LDLLYYAAAQTGQTEMAEAATTHAKALMKALFRPETDESVGRTMYSTFHVVNFDPNNG SVKEKRTGQGYAANSTWARGQAWGILGYSQTYNWTKDTQFLDAAIGLAEYFIFRLLNS PDCVEVPVSGENRTKGRYVPLWDFDAPIDTSAPLRDSSAGIIAANGMLVLSQALAGSG SHDLSERYRSMAIRIVKDTLDFSLAQEKSKVALKVDGTFEVQDLESNGHFEAILKNAT ANHNAKDYKRYWDHGLVYADYYLIEFGNRLLKMGLA FPSE_12004 MESSDSIDSTVYEPTPLKETPNDDSEWKMKSEVIGFKERDKSSG VPDRELGVTWNNLTVDVIAADAAIHENVLSQYNFPRLIKESRQKSPLKTILDNSHGCV KPGEMLLVLGRPGSGCTTLLNMISNKRRGYANVKGDVFYGSMTAEEAKRYRGQIVMNN EEEVFYPALTVGQTMDFASRLKLPFQVPQGVNSHEELRTETRDFLLKSMGIEHTIETK VGDAFVRGVSGGERKRVSIIETMATQGSVFCWDNSTRGLDASTALEYTKAIRAMTDVM GLASVVTLYQAGNGIYDLFDKVLVLDEGQQVYYGPLKEAKPFMESMGFICQYGANVAD YLTGVTVPTERQIHQDYQNRFPRTAKALRAEYEKSPIYERARSEYDYPTTDIAKEKTK AFQEGVRQFKDKKLPDSDPMTVGFLDQTKACIIRQYQIVLGDKATFFIKQISMIVQAL IAGSLFYNAPDNSSGLFVKSGAVFVALLSNSLVSMSEVTDSFTGRPVLLKHKSFAMYH PAAFCIAQIAADIPIILMQVTTFSVVEYFMVGLTRTAGHFFTFWIILVAITICITALF RAVGAAFKNFDDASKVSGLFITATIMYSGYLIQKPLMHDWFVWIFWIDPLAYAFDALL SNEFHGKIIPCVGNSLVPSGPGFNNGDHQACAGVGGAKPGQTFVTGDDYLASLSYGYD HLWRNFGIIWAWWLLFVAITIFFTTKWHASSEDGPSLVIPRENAHITAALRQSDEEGQ TKGEKKMIGSQEDGVISGDDTDTSAVADNLVRNTSVFTWKNLTYTVKTPSGDRVLLDN VQGWVKPGMLGALMGASGAGKTTLLDVLAQRKTDGTIRGSIMVDGRPLPVSFQRSAGY CEQLDVHEPYATVREALEFSALLRQSRDTPREEKLKYVDTIIDLLELHDIADTLIGKV GAGLSVEQRKRVTIGVELVSKPSILIFLDEPTSGLDGQSAYNTVRFLRKLAAVGQAVL VTIHQPSAQLFSQFDTLLLLAKGGKTVYFGDIGDQAKTVSGYFGRYGAPCPKDVNPAE FIIDVVSGHLSQGKDWNQVWLSSPEHATVEKELDHMITDAASKPPGTTEDGHEFATSL WEQTKLVTQRMNVSLYRNTDYINNKYALHVFSALFNGFTFWQIGSSVAELQLKLFTIF NFIFVAPGVMAQLQPLFIQRRDIFETREKKSKMYSWVAFVTGLIVSEVPYLCVCAVIY YVCWYYTVGFSDHSSRAGATFFVMLMYEFIYTGIGQFIAAYAPSEVFASLVNPLVITI LVSFCGVLVPYASIQVFWRYWLYYINPFNYLMSSMLTFGIWGSEVKCKDKEFARFSPP NGTTCGEYLSEWLTKVPSNLINPDATDECMVCSYTKGEDYLRTLNIKEYSYGWRNAGI TAAFVFTSYALVYILMKLRTKASKKAE FPSE_12005 MTRKRSLRYCVLDRLWILNATSALIFGREANFRVVSTTLFFCLT VSFVKYGSKKGLRCMSQEKACTFDRVRGRRKQAVRSRSKQTSESSLSERLRKVEEALA QTLASQSDPLSRVSKHFTSSSLPVHDNSESPATESGKEPTPVVVTQPEPSDSSMADCE SIVQPESLTTFVPSPSAVSYGQLHFGGCHFGHLSQNNGLPLISDQDKTVVETIWHVFS NSSFRLVFPVVDPELFYDTINLAYMSWSGETPSLEHMSQMACVLAFASMIPLFQGSLV DLPPVDTDICAAKARYILTDVLEVPNLATLQVAFMLNMHEVFLGRLRSASMFHAIACR MAFTLGGQNYTATETNKGRITHHERERRQIRLLFWLLYIFDKNIALRSGQPPLMSEDY CDLTLPENYLECYEYLPGLSHHLPLELDGDTALAPHLPGDPRLSHIKEKASRLLYSAQ AARKTPAQLLFDIRDLDDELETWRKSIPQNFRPQLSIRNNYQVNVENMHLPRSMRSIT LHLEYHHLLATIHRASGRCMHHPEHEKSNIDAEWPSNIESGVESSIALALEASRSTLL YLMAATDGLAGEAFWVVVFYPTTAMITIFFNILMHPLRPSAKSDLELLKSSSDLIKKL PSSHLRPQDERMEMINDFCMELVRLASSAINKAVYELDQEREIMPPTNI FPSE_12006 MTQTAGGQMAQHSVPFPLVILIAMIATCLYPANLPGPMQKLRLS EIYRSRHYATQRAARSVIRRAQHLAASEIVQIAADLKKLVKKYYTSARSYSPTQGDLQ YFLCAVFYLWHSSRPIPAKPVLRDPTFDERTIHVGYLKHDLEPVAGLLDEGRDNRLVF DDAYLFIDFNDETMIVSFIFVDGNGIEIDPEDVDIFSEYASSEDRNVTLFHHYEDSER IRVMDHNWDVAIWSARRKIKRFAETGVTAIEAANWPNRLETEYMVPFMTVERVLEIYE LDFD FPSE_12007 MALLEIVVSALIHIIYICIYPWLCTLIFLNNLLSKPAVVYKMQP GSNVNFNSLEHLKVMDRPNIAQVTETASSLTKLLRNPVWVPYHANPAKVESARKKGAH GAFAKLPQDVLDRIVNKLDLEKDGVTILCLGMTNSFFFRILGSKMQKVLHLDAGPWRG QRLVFAGAWAPGIPEGAGTPEEEQDWFSRRIQTLHELHTYPVTLGRAMPMTPYYAPEV FMHPGPRSNRVIDLMNRDRSSTTEDKEAYFRMIEIFMAEPGHLTEIQRVQVLRNLDTK EYVRADVLMDLQDYPERYIPWNDHEALDQGKPQGKAWATSRYDVSPAVTVEGDNAWAD VTGQAIFKIHGYPIYHPMWRNSLEIERPFIRVQPIRFFTRMAAMERARVLREEVAAQY EADDAAGPDPTTTSPTIPPADGIDLDLDFNIDLSSDGDSQKHWETDSEVGSDLDLDLD HN FPSE_12008 MTLTHTVLFQFKSDVDSSEIKEICDSFLALKDQCIHPTSNSPYI VSLVGGKDNSPEGMQNGLTHGFVAIFNSAEDRDYYVETDPAHQAFVAKVGSVLEKATV IDFTDGVF FPSE_12009 MSHTYDPEQHYLFRAGVSAYAVDWPIISRYPKLHRACRRNQLDL FRFLDENQAFCCIRIMNSQAFDIGNYIQPVVVSGGDPLENACDLFTYHFKIYEFAARY GISNLKSLSFYYLKLAADKLSYYVLAGTLSKLNYAFSPQETEFIAYMRERSQMQNETL TPRDHAAVMDDWQYSMTLENFLLGQIIMMKLHIQKLEADADPGVTDDTEVTDYTNDSD ASDTEQDDEDNGESQ FPSE_12010 MPPRAVRDGSSSAQANDSLRWPGLYPEQAQIVINYLMDDDYRSE RVPSGSHWDRQRLALHDAIYIHFNALERHLHGLMEHCAIEIVHIALSLPLLSFLCCIT GYREWVHLNSGWFSPVMYHYIQYEMPDAAIGDVETFRALDFIDEYSRAVALLGPLFTA FDQLIYASRTGSGWLT FPSE_12011 MSSSSEVPNLDEWEFVDEQVDCSWPIPRHPKLGVCCSIKFYDGV EGEVDIPEFLIQKHPYFAALFSKDKYLDCSPVPRDTAHVVALYLLRGCLPAPIRDEPE KDAARRLFKKLIIYREDAYKFNLHGLDEMAMERILELLRCLDVFDIVEITTKEREWRL AETDDMLIRILDLRICEDKETVTDTALALVDEFCKTVSDAKWHTLKALIKTAVDLQRS ERAVKALTKDKFSSM FPSE_12012 MRRDYEETPPEGARDFRMDLPSNNLEKHFEYVYDPGLGHIVPNL EPPPPPAEPSMIGVYFGFDSHLPEQECHLAVLQARFPSNVEDFVRSDEVRFPELPDKV KPVLMRFLYTGELKRPKVNASSTLDELYEAFEIMFHLNAAGCYSAIITLTAESHASLW LINLYMSEEETKDIIREIQEDWPNCAPNWYKAFLADCEYTHVNAMATELKKPLTYDPG E FPSE_12013 MSYGKKDEDADLGLVKVDRTQVFQEARLFNSSPIQPRRCRILLT KIALLLYTGEKFPTNEATTLFFGISKLFQNKDASLRQMVHLVIKELANSAEDIIMVTS TIMKDTGGSTDTIYRPNAIRALCRIIDATTVQSIERVMKTAIVDKNPSVSSAALVSSY HLLPIAKDVVRRWQSETQEAAASNKSSGGFSLGFTTSSSQVPMNHSTMSQYHAVGLLY QMRMHDRMALVKMVQQFGAPGALKSPAAIVMLVRLAAQLAEEDASLRKPMMQLLDGWL RHKSEMVNFEAAKAICDMRDVTDAEVSQAVHVLQLFLTSPRAVTKFAALRILHNFASF KPNAVNPCNPDIELLISNSNRSIATFAITTLLKTGNEASVDRLMKQISTFMSEITDEF KITIVEAIRTLCLKFPSKQAGMLTFLSGILRDEGGYEFKRAVVESMFDLIKFVPDSKE DALAHLCEFIEDCEFTKLAVRILHLIGLEGPKTAQPTKYIRYIYNRVVLENAIVRAAA VTALAKFGVGQKDPDVKSSVRVLLTRCLDDVDDEVRDRAALNLKLMNEEDDEMAVRFV KNENMFSLPYFEQQLVMYVTSEEASAFDSPFDISKIPVVTREQADAEDRSKKLIATTP TLKAPKVGPTKATGAEAVATASAQAQKYAQELMEIPEMQEFGNVLKSSPLIELTEAET EYVVSLVKHIFKEHVVLQYEVKNTLPDTVLENVSVVATPADDEELEEVFIIQAEKLST DEPGKVYVAFKKIGGEASLPISTFTNVLKFTSKEIDPSTGEPEDTGYDDEYEVAEFDL SGSDYVIPTFAGNFSHIWEQVGASGEEVTETLQLSGMESIADATEQLTKALSLQPLEG TDVPVNQTTHTLKLLGKTVGGGRVVANVRMAFSSKTGVTTKITVRSEEENVAALVIAS VA FPSE_12014 MNSVTRLPLRIAPRVVQRSSFIRPIHSTVVKAANVAPVVGTGPP PEPPVQAQDVHQRVARRRRQAEMLKNAKELRSASGGKAGGALKKRFWKDVTVQEVDGA LQVFLDTRPLRHPSTKAIVRIPITKPDLASALALEWDLLTSAQDATRHHLIPLTSLTC RAIDIAEADNAPGSDISEIRSSIATVLLRYLDTDSILCWNPPAGEYDLKNEAGESLRE VQKRTADDIVSFLTTHVWPGITIQPVLDGHSLLPRSQAPGVREIIQGWIVGLDAFEIA GLERAALAGKSLIAAARFVAEWSEGSVGKGNLNTGKKFGVEEAAVATSLEVNWQTMQW GEVEDTHDVGKEDVRRQLGSVVLLVSGTSKA FPSE_12015 MRWTTITTVATILGSVNAVSFPRSKTGKGYLSMHIGTSDRRSKS TNHKRQDADEAIAVRLENRDFFYTTDLEIGTPPQKVTVLLDTGSNELWVNPDCEEAQS SLQYNQCLDFGQYDPDRSKTPPIGPLGSETLNYGDASDSTTHTSATIRYWVETMSFGS DKLKNQTFGILEKSEGISQGILGLAPDLRGGYDSNEPYSLLLTSMADQGLINSRVFAL DLRHSDDTEGALIYGGIDRSKYIGELETVDIIRGEGGEYRLATNLDSLGVTIDGRTSN IRVPRSDSNVMLDSGTTLSRMHMSVARPILEELGAQNDGEGFYMTDCENRKLDATVDF GFGNKVIKVALSDFILELGPGSCYIGLVPTTDQQILGDSVLRAGYFVFDWDNEKIHLA QAADCGDEDIVAVGSGSDAVPSETGKCKSSDITATGRGGATRTGDSFPTGAYTTTYTI TSCPDFERECVTGVVTTQTFSDRPTVTVTAGAGDGDDDNAAWQPTPLGWVFAVVGGFA LGLNLV FPSE_12016 MVFYPPSSVKLPFDPPDSVTVPEFIFREDGVRKPHSRSRNPFTC GISGKTYTTAEVIERQKLLARALAKRLGYDIREGTEWDRVVALYSVNTIDYITMTHAV HRLNGIVTPASAAYSAPELEHQLRSSGANALFTCVPLLEVALQAAKGAGIPEDRIFLL PVPGAESKQPFKTIDDLVAEGKDAPELKPLDWIKGQGERQVAYLCYSSGTSGLPKAVQ ITHRNVIANVIQATVQNEQGRKSAGVDAQIQLGLLPLSHIYGLVPVAHYGIYNGDETI ILPKFDLKLLLSAIQRFKIQQMALVPPIFIQMMSNYAECQKFDLSSVRFIFSGAAPLG KETTIDLNKHWPSWKICQGYGLTETSPVVTSTSELDIDPGSSGTLLPGLKAKVIDSEG KEVTEYNKPGELYVQGPTIVLGYLNNAKATAETFVHHDDGRWMRTGDEVIVRKSPKGT EHFVVVDRIKELIKVKGHQVAPAELEAHLLTHPLVDDCAVIQVPDLRAGEVPKAFVVK APQAKGKSDDEITQSINKHVEEHKARHKWLKGGIEFIDVIPKSPSGKILRRLLRDREK QARKEKGAKL FPSE_11069 MKANFALLALTAGQVSAHWGNWKEAPKYTPPQAVDNKCEENQKK GWQWDDLQLGQFEKYNDWDFKGWSCGTDKSKRDLTGRHFGKSIFAECGSKKEEAPSFG CGPGSKQKQFSLHKFHVKPEFDCRLEFHYEMGDGSTCKHQADCSKDGTDVYNTQCGGA KKVHVVYPQQPNKPKDKCRVEIPQIDFNCDKPTFPQYPKPSFPPGDDKTKTIPSGENT LTVPAGEQTTDYSSPAETTSAPSGDNTETVPAGESTETVPAGEETKTVPAGENTKTVP AGEETTSPADNTESVPAGETKTVPAGEQTTDYSSPETTSAPVGDNTETVPAGENTKTV PAGENTETLPAGEETKTVPAGENTKTVPAGEETTAPVADSTESVPAGETKTVPAGDKT TDVTSPFETTSAPVGEETETVPAGEETKTVPAGEETTSPADNTESVPAGETSVKTTVY DSTSTIYTTDVKTITSCGPEVTNCPVTAGTPVVVTETVAISTTICPVTETLTGDKPAG TKPAGEKPTGDKPGYTAPGGDKPAKTTEGSSPHATSEVPEEVTTIITSYDSVSTVYKT EVKTITSCGPEVTNCPVTAGEPAVVTETIASTTLYPVVETITHKGPKPVETGSGEKPV TPEAPKDGYEVPDVVPSCLNTFLDFEKDCKDNTDAACYCPSKDFVDNVFQCLYAHAEN DDTVAQAVSFFQGICAPYVEKNPGIATGADSVTEHITVTGTTIITSAHYTTIVVATTI TEPCVTGGSTIEGSSTVKTIETEIVVPEVGFTDGPGGNGPIPAQTIPADVPAAGTEAP AAPGYEAPGAGETLITNKPVVGTGGLPAPTATPGVPVTAGAARNGMGIAAAILAVAIA L FPSE_11068 MDSKTRVDLVHDVIPIAELELCKASQLWLEQALYETTKLKITLT YDDQWSVGTDRPKE FPSE_11067 MAKTNEDLLRRPLYLYDLPANVLDTLVLKDDADAEDIAAAESAA SSLTESSSDSNLVGTQACSLCGLTFTTVIDQRGHLKSDLHHYNLKQKLRGQKPVSEAE FEKLVGNLDESLSGSDSEESDEEEDGRQESTLTTLLKKQARLTEKAGDYDEDETAGRA GRGKPPLIWFSSPLLPEKNYFGMYRAILTGDEQRNQDLVEVLKKKQVEPISMPKIKEG ALPEVAYKGPHIFLCMIGGGHFAAMVVSLAPRPNKNGTTMNREATVLAHKTFHRYTTR RKQGGSQSANDNAKGAAHSAGSSLRRYNEQALVEDVRNLLQEWKALIDTSELLFIRAT GMTNRRTLFGPYEGQVLKHNDTRLRGFPFSTRRATQNELMRSFIELTRLKVREIIPVE ETKKEADVVPKTSTKPSKPKLSEEEETALLHTSQLQAFVRRSKVPALLSYLKNNNITA DFEFQPVEQNHHAPRPLHLAAAQNAAPLVLGLLVRGGADPTIKNNEGKTPFELAGERS TRDAFRVARSELGEAKCAWDDAKVPPAMTKAEADKRDEREKKEAGDKEAERRKAEEER LQSEGPKVPEGRKQKGNILAAGLKQTPQEKREAEARGLTPEMRMRLERERRARAAEER LRKMQSGG FPSE_11066 MARYTHSPSGSITGSITGSTRSLSATPSPANDPLFFTYLIDKRF GLDKTNDGPMDEHQAWAMNYNRQHNPQQIQSTQQYDQIAALQGMTSGLFAAPQDPQLI RNVGQAQQLLAASTLPTGAQVLGAEILHAQGWSQEAVANQVQYQLPGQVAAESAPRLA LRPKTRAQARRSKATPSSSTLSLSIRLKPSPTLTNDQIRQLVEFIESNPNARRTPMAD IPSVLGFDCSEQTIITALGRKGFKSLPAITRPRIDEKTRQMRLHFAQSYRHFTAEQWE SVVFYAEMRVPLKEQPQQVFVTRKSDEDIHPDCVNFEPVAPTDYTNSNVYFAHLSGVA GLGRLRSWNRHSSRVHGPLSPESWYLNIFPSLVDFLQDHSGGPRFALSPDLPAHCNVT IRDELRSGHKPVLYMPPASPDLNPITEIFGTMMANLKVDKANSLFGDVTDYRIDDVVR DTWQGISKEYLSELIGSMSERCQAVIDADGWYTRY FPSE_11065 MDFVKNLAGGNKNDNTSQQQSEGGSGGGFMDKASFSTLSGGESG EKKEDGLDKAVDYVQENFLGAGSQNNESAVEQTKDEAISDAIRNQYKGATGSEFPIAD K FPSE_11064 MPRAASDIIQDLRQQNSAKKRKHAIPEFVKALRRDSFQTTWEAV GAASGLAGLMRLLSIRDLRQLCKRLGMTASARKARPQRRAGLGELVIILFEGHQDTRP LNRYYQDIVPACDLTIIQRFEQPWTPSQQSYLLAGQREHNEIMFLDEISSEDMLLSQR QSLFRGNIPFTEKILATILTSTFCPPDLIDELVMPSLKRLLKSRYDDTTRDQYLDLVL QVIRKHDKIAGQLSLENGGLVQYIVDRWCNAPSERKQKLRLFLEQAIELLPSTPKSRA KDFQRIQQAICSTRLSFEGRYEFFRLLLLHMKDYQIDIESNSEKDLYRLKQFLQWPSL LFFSMSYPMSLRLFEKLDKLFPQKDFLDPAARKGTILNHSIKHSPCGDVEVVKALLIR KSKTQRDYPDVTDLVMERRTKAQQSREAVERAYWAISAVHLCVAAGDLSTLKETVVWS RRFVKDSAVSYRLFSGDVLKTQEIEELLGAMPDGNVDSPEAAAAFTSSLRKSDIDLAN DILIELVHTATMAAGEPGFQANQWAWLFILVKSTTDRRSRRLDIFFKSLSKYTDGESC EKDWLEAVWKPTIDALIQIETTLHDSLYNTLVPVLYRDYIKGIYLYQRLANTSISPHL LAELTRFLIDQMRARLGSAGLKAQIHNVVSAIDRLANSEPQLACPFISDLILDDDFKE ASSWHRQLMSHKFLSVLPAKKAEEFLRTMANTITERMREQNHNFDSKEARSVKESDGS MERKTVKVTTVKMLAQILQHKIFIDPSLSCEILIGLLSEARHIDIRVAITASLFDTME EPDCPPSIRDQILSALEDFVVPVASRLDERRDLAESDWTAVEDSMSLPVVGEDCPLLD LLLEKTRLSKLEGADKLRLARLVMATLKMSALLNERFLHLFMARNNFSLEEALPGMPV HLEALSEAFIHLMPYIPSVVFRMAEAAAFTHIEPSPGIKAISKAIQEDRELVNSNAGK HWLSQFARDSLDRNIIHVPRLIQQNSEQLDSKLVTDGVNRASLLQFIHGCIERMMRVE KTGDIVSLVRRLCSDRLKSREWHKNCLPVIETIIRKIKEAQPQCLFLINLHTLPLPLP DATDGEDQAFVEKLHGAIQGLAGRQGYPYHPDLETLKQEINYWPLHQSYGRFALKLAA VQDYDFKSMEQPSLADYLGWEIVAHLLTKASGPQRAARDVKRLLEEWKTSKDKMINVM GMDLSRAIQHRDWLATN FPSE_11063 MSTTKKRQAEDTPTQPKPKKSKKRKAGAPDDELLNTELGLNTLF TKMDNQLLADHLAQKLTRFGSDLSAIEISDMNISANAIQDTTTWQEPRTLDKFPSFLE SVTENPELLYKSAKKKGSPHTLIVTGAGLRAADIVRSMRKFQNKDNAIAKLFAKHFKI EEQVKFLGEHRTGISVGTPARLMDLMDNGALSLDGLKRLVVDASHIDQKKRGVLDMKD TMMPLARFLSRKEFKDRYDDEKKPLALLFY FPSE_11062 MSADRQTIIEVNRSLRNIKNELENLLERGVIDDSVYDTINVALP PESSLSGPLRTATGAANKTAAKAVSPAPTPSPAPSAKAAPTKAFNDLKIKSSSPAPPA YDQTPPPGLPTRNVKPTVAHARALYRYAASDGRDLTFEKDDRIAVYEYMNQDWWMGHN ERTGQEGIFPRNYVLVDQETKKPVQPVQAPIPQPQYGYPAYSQGPPPQQNPYNAHVPP MAVAEGSGQPAQEGDGKDNKVNEYGKKFGKKLGNAAIFGAGATIGGNLVNSIF FPSE_11061 MSAVNRTLRTATKQLRFQSPRGLRVAAPLVARSALAARSSLPAS HGAAFSTSATKFSGAPDMSSAPREYDPEIKDIADYVANKTIDSELAFDTARWILLDTL GCGLEGLRFKECSKLLGPIVPGTVVPNGPKVPGTPFQLDPVNAAFNIGAMIRWLDFND CWLAAEWGHPSDNLGAILAVADWINRTNKAGGNLAGGKTFVVKDVLEAMIKAHEIQGC LALLNSYNKVGLDHVVLVKVASTAVVSKMLGLNEKQIADAVTQAWVDGQSLRTYRHTP NTMSRKSWAAGDACQRAVNLALKVLKGEQGVPTVLSAPVWGFYDVLFKGQKFEFQRPY GSYVMENVLFKVSYPAEFHSQTAVEASEKIHHLLKSQGKSAADIKSITCRTHEACIRI IDKQFKPMDNFADRDHCIQYMCATMLVFGRLEATDYTDGGEAATSPLVESLRQKIKCV EDPQYTKDYHDPKLRTISNALTVELNDGTVLDEVAVEAPLGHRLRREEAKPVILEKYK RHLGPHYPEARVKELVELNLDAKKLESTPVDEYVDLYTVESSKFVQ FPSE_11060 MAGNKLLVYLLRRDLRAIDNPILHHLATSDHGFTHLLPIYILPP HQIETSGFVVEGQKSPYPLAKSQVGRFWRCGPLRAKFQAECIWDVKKNFEDIGSGMLI RIGKFDDVLKHLIKSLNEDHQSIDTVWMTEEPSKEELDDQNAVASVCSKEGIGFKLWH DEKYFIDDRDNGLKDPQDTPDVFTTYRKTQEPLRERPRPPLPRPQAGSLPSFPSWIPP QQAPFRIPNNYDEFERLLLEPVKAPIISDPPPFPEGAKSAHPFKGGETPAWDRLYHLI KSGAMTTYQETRNGLLGTEYSTKLSAFLAMGTITARSIHAELVKFEDGSEESYSTGFG FGKGENEGSRAVRFELLWRDYMRLCTKKFGARLFKVDGFKGASGASGANGNYSKKWLS WDDPGVKDMIDRWIRGTTGMGLVDASQREILRSGYTSNRARQNVASYFAKSLGIDWRI AASFYEYALVDYDVNSNWGNYAYVAGVGNDPRGQSRSFNQVKQAFDYDIGGEYCKTWL PETRNIDALENVFQICTCSKEVLEKNCLTDNIMVTDPLKRIEFSVTKPRGNRRPYRWR KQGDRGRGGRGGRGGGTGNSSGNGGNRHNEPSPPNGGNRHNDFSPANGGSYMQSPTNW SSGGNQQMAWRGNSHGLPSGRGYMARGGPDMYSSRQFITDSQSYPQHYYARQHPHQHH QHQQQQQQQQQQFYHQIPPHI FPSE_11059 MPESSDSQEVASYTPDIFEIPLTISTHVAALLCGVGIGGDAEWS HAGAVVAVGASRASHASHASHASHASHASHDAHEHHDTNDTHAAVAVAAVVAVAAVWQ IAAF FPSE_11058 MEALRLYLTFLSGAKEQDPTYSQPSPAGELNGISDDNNHQGITT PSQQLQQPDMTTYNFSAPKRSIYPAKQFDAASHNDCDPLRLGAKVRIEILGSKYILCK RKNQIKQQEPARIACEPANTATNQSDFQYHTCLANAIDHLPARLRNCVWALTDNTLCI H FPSE_08264 MAVLKVGAGAFLPDRDVRTGRCRIAKQQPAAPVAVPPETPQAGN KRNDEGAIKPRQAPQLPLDEEEVAGTLATPSTSKKVPAPNSTAPNMTIITNDDHRAKY YDAELQMTIFTLNYKRSPNGTMSERRRSPTSSKSTMPSLPSIGRAELIRRNDAPAAAA TGPAPARPRFVAVPMPVPASDPAAPAAHASDKAVQSDCPKFLQLQEREAEVAVGLQQV HPGSGPGIRGRGSPRMFSRIVKSLSGSRTWKPRLGTFLPIVSGVPSLLPQQHHPQGIR KIVR FPSE_08263 MIRKIVPPLLAVFCVFAIFTSSYLYFGREYLTWPSTSYDPISIG HNEAQSSTNSTSFKTEAKTTSADVQSATSSVPAPTPTVLNGTILSAERISPYISAILD PTDTTLPRLTCPVLNTQRYKELQKHENQESKTDYFFALNLRNCKDILPQLLGSIIEVA RYLGANRSALSIVEGNSFDGSADILSALDPFLKDLGLVYFYNNSKIDPSKNARIKKLA QLRNLALEPLVKNRVSASPQTTILFLNDVAPCPEDILELALQRKRLKADQACGMDWTY AGEHPTFYDVWVARTITGGSFFDVGSDGSWDEAWDLFRNDAATRERFENKKPFQVFAC WNGATAINAAPFLNGLRFRESHPKECFQGEPTLLSKDLWHRGFGKIAVIPSVNLEYSI EQAAKIKQLKGYVSDIVGNEDDDAIEWKNSPPENVKCMPTWETQSWRPWDETL FPSE_08262 MHAQTRVLGIQATQPTQTTHTFTSLSYVLHTISSTRAPFETQSS VRLEPRAISTELSTCGYLNGDPDKERTADEGFNCRIDARNGIWGFCPTTVVSASDCGL AGYCIDQGRCSTGCGMIDTDLTTFTCGRSSFCSTAILTFGIDQSYSYIACGKHYTVEN YLVSPLPATATTTTEQTTTSQEPTSTVTVDSSGTTSTTTEVAETSTQKSSSSSEEKPS QNNLGPIIGGVIGGLVVVCSTVIGAIYLLRRRRDKESTPKQDTAKTFSTENEFKARQE LQGAWVIAEMSGQQESPRPVELAA FPSE_08261 MKSKRARETAEVDEKPVSIDVEAPAKKRKREDDGADVKKAKKEK RDKKFKKESRKERKEKRKDLQDLPEQDDDVEDAQDTPMAGADDKPADVTADAEKSAKK DKKKEKRAKVEEPKDASADADIDAEPKDESKKSKKKNKKQKSAETTTNGESADAEATA ENTNGKADRHIVFVGNLPFTATAETIKAHFASLDPVGVRCMSDPKDSKPCRGFAFVEF AKVWHMRTCLDKFHHSEFTDGTSYPRRINVELTAGGGGKTKQRQEKIKEKNVKLNENR AKRIERERIAKEESSKANADRRPAGDDGIHPSRRAHIPGKH FPSE_08260 MAQPGVQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDN YSASVMVDGKPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAKW YPEIDHHAPNIPIILVGTKLDLREDASTLESLRQKRMEPVSYEQALTCAKEIKAYKYL ECSALTQRNLKSVFDEAIRAVLNPRPTPSKQKKNKCSIL FPSE_08259 MASQETPARTPSRTPRRATSAEPYSARASVHTPLDRIGARDFRS SLRRGTPASAGRSNAPTPHAKAARRLLDQRRTAMFTPGKNRRQSMMQQRETPLDVLRH LGRALAPKSKPIQYSSSSSPGIRKSSSPAQQEQSNLYDDDEDDDDDEFLTRRPRLSLP LDEDASSMSSEIPPPRLSQLDEDNFTVQSIEMPRRYAENPRLSRGSPGSERISDYFNN EPTEDIGQQSDFFPGFLENLDARAGDVSLEAFEADQTRRMTEGRGSDFNLDMPEGLDD QTVFQMSDPADVQQTSPIVDQSVAEARGDEARDLQQNVVSGSDSDGGAGDFGMDGWDD EGMDDHSSINEEMEPAMEPTVTVTTHHMPADDRLPRGLKANKKRKRISQHGIEYPSLP PAFVKRVAQTALQSSGLSNQRLSAETLDALIQSSEWFFEQLGDDLGAYADHAKRKTIE ESDVLTLMKRQRQIRPHCSMFSLAEKYLPRELLQELRMPVPQPIKQRKAKRVSDQGDE GEVAEYT FPSE_08258 MNVNAPTLLRSLRQVPRCIRLANAPKRNFTSSFFRRKKQPSADD PEFVSVLDGPPQLVRVGKRHGPGLIILAIIPVTAFILGTWQVQRLSWKSELIAKFEDR LIRDPLPLPPTIDPDAIHDFDYRRVRATGHFRHDQEMLIGPRMRDGTDGYMVVTPLER ENGTTILVNRGWIDKKHRDKRTRPDGLPTGEVTVEGLLREPWKKNMFTPDNQPEKGMF YFPDVKQMAELTGAQPVWVEATTEPEFMQMVDWEARGIPYGRPAEVNLRNNHAQYIFT WYGLCFATSIMLWMVVKKPANDVARRVARSKGL FPSE_08257 MQHSFKERHNTARLGTKNCTECRRRKVSCVFDDQRRCRQCLTHS LLCESQQVPPYEQSSHDKDRIIQELNQFVTQVESRMVEMQESIRVLTSQLDKCNVAGS ADKSSHQQEVHIPENSQQIPKETLANERSQTPMSQLELIPGDIIPNSQTLDKILDYSD QLLQSLMLWPFAIIHNDQEDRGIFCNRDKIFDALKSRDIGLASKCLIWTSLLLSQLPR DFKDVETGLPLCPEKFIDRILTQVSILYLADCTPACSIDSIEALVLQYELFVAIGRPS TAWKCIRAGIENALLLGLHLRPSLLWDALWIRDRQMSLIIGLPYGTLNMDVQTTELGT LRKIGLVSGFISDRDRLRQDTPFSIMQSIVDGMKELKIMIPDEWAEADLNSAFSPIEH AIIRLYKHTLNIMIHLPYSQFAGYDKQFEYTRVEVLESAEGALDAHGDIRSLQEKPLR CDLYDFLAFNAALVLFVDLVAKETPRTIEEEDHIWTAIMEFVNRLRKTGEVLGSATAG RAADVLEDLYAAYKGRYRGQPCKVTTIPYFGRLTISRDLTQTAATIELESSVYTFRVP DETGKELIDDWTEIDSSGTYGWRGIYTFDDAPGLPL FPSE_08256 MTSCSACQKSPPEVTLKRCAKCSSESYCSRECQKNDWKKHKKTC GKGPSAQAAGSSTGGSGSPPKGLDQPIPDPFTRLDKGKYLHNRPEKDVYRLLIDCYRL RVKDMYTLEGEVMEDGLSGFKEFIAKMSTRKGLLPSWWTPEKQTECEEFGMSSSEQDL KTATDKAGIIQHYGDHQFPMQLRMLGEAVYGKAPGGGDGSAMRKMLASMEAGGMDGMA TTMMHIDTSRN FPSE_08255 MSIDPAFMPWASSKGVKCSNVEPRIMPGRGIGIVAVYDIRANQT ILSVPTRAVRTIDTVPKHIKDALHGVSVHGILAAEIALDDSDDFAIWRTVLPTREDLE GGMPMMWPSELQALLPKRAKDLLDNQNTTFRRECDIVLKAFPTLTRDEYMLSWVLINT RTFYNSMPKMKSYAHSDRLVCMPVLDLFNHEDQSQGCKLVYSALGYSVQTDRAYKQGE EVFVSYGPHSNDFLLTEYGFILDTNRWDEVYLDEVILPLLNKTQRAELESVGFLGRYT LDDQTPGCHRTQVALRMLCCSPGQWQRFFDACEDGRSSQVEVDGILLSALKDFQQVIE KTRRDIDEVEGGTSSQRELLRRRWEQVEAMMFFSIYTGASFRPAGGRGRDRGRSRDRG RDSTCNHCHRHGHVQDQCPQVEELKRLRQIAEELLTHYNELASRCGAAQFQRPAELGP VSEPAEPLLQYAYPATASTTARSATSFTTRSATSSTTSCSVTRPGTACSATGPGTTAP PPSPSPSSSSLSSSWSFYP FPSE_08254 MFYQGTLQEGISTAVSQQKLVLCFVADDSDESSQWETEFLTDDT LSGLKGHAVFLRLIAGSEEAGHLAQIFPLPRTPTVVIIKNGELKEYIAPGTRKDEFLR RVKEAFNSSATPQPAPAQPVQVAPPQPVTPTAPEQTQTTVSLSTSENVRRILSERATR LQAQREENERKVKEERARTKERAKAEAEAGMNTDNARAHKAAEAVKKKRQQDQEERAR ILKRIEDDKAERRMRAEEREKQRRGSMKGGDVAASLVSAPETKLADSTKTGGMTALQV RLFDGSTLRSRFKTNAPLKEIRQWVDENRNDGAQPYTFKQVLTPLPNKNIDETEEDKA IGELGLSPSSTLVLIPVKKYTSAYNDDSQGIVSRIIGFILSIFSWIFGLFSSDERGSV GPTSSRERSRIREFQNPSDQRDQQLYNGNSLNFEPRPEEEKDS FPSE_08253 MPRQRSVGRAPSRPTAAASAPKPQQTRPAATHAAPAHPPAQAMP PQAAGSQGPGLFGQMASTAAGVAIGSSVGHAIGGMFGGSSEPAAPVQAQAAPQEQSWN QNNCAGVAQNFTKCMDDNNGNMQICNWYLEQLKACQAASGQ FPSE_08252 MAVIYIDEEAGSDLPEIQGTESAPFKSLLEAYFRHGAESEYQVK KKDDEEYKPAAKSALKKAVAYAAGQKKKLDAAAKRAEKDAQDEAARLAVLEEAKKIKI TNDPSLPEPVSIGLHQTDSSVIGTLRKSKDEPTEGVKRVSVQGRVNRVAKQGGLIFVT LRRGVNYMQCLLSGDLSKTYDALTLSRETSLEIIGELWEVPAGAHAPLDRELRADYFR VIAKAPGGDDAFTNIVPVDGDNNTLMNLRHLTLRHEKPAAVMFVRDVVEDAFHTVYKE LDIKKVSPPALVQTQVEGGSTLFKFDYYGETSYLTQSSQLYLETVLPVLGDCYCIEKS FRAEKSLTRRHLSEYSHVEAELDFITFDNLLDHLEHVICRVIDLTLENPTAAEAIKKY NPDFQKPTRPFLRMRYSDAIDWLRERGIKNEEGNDHTFGDDIAEAAERKMTDEINRPI FLTHFPVEIKSFYMSKAKDDPRVTESVDVLMPGVGEIVGGSMRIWDYEELMAGYKREG IDPTGYFWYTDQRKYGSTPHGGYGLGAERFLAWLLKLWTVREACLYPRYMGRCTP FPSE_08251 MTDVKDITPDLDRLDDQLDDLEEILQPLLGNLEGLASELPLLDK AKLFSLTAYAIESLLFSSLKLEGSDTQTQAVYAELKRIQQYFGKIKNIETPEVEESRS LTVNQEAAARILKADLADNKTISNKLAEKIAEERAKALLKSVENRKRPAEESPVPSKS GSADDGKAKGKKQKKGKKSKSKN FPSE_08250 MLRFRDMPKSRYRNVEQVQPERTRKSAYAERNAAIAKENEDEGQ EAAPVPDRSHIDELVVTGRGFEAVKAIASVKDRIDDKTSVCLLNDGIGVLEQVRKMIF DGTQPEPNFFLGHMSHALVFNRNRDSVKQLKAGRTILTSADAALNTPKDLHASLDCNV PTFMQSLRHAELLQSSYSTYDQWLRFKLPSLMFTAAVEPVCVLLDLPYRGLTTNRSGQ KMMNQLLGEMALVTESLPEVQNSPDLIRFLRGEGLKKFCYRRITGKANAPSELLMRIN KGLQTDINYQNGFFLNRAVDLGIHTPTNKLMVHMIKARRQEAMEKRKLYIPIEETSAE QIRERVRRRGIPRGNLI FPSE_08249 MDDAELDQIRKARLEQLKAQGGGSSGGGGSGQEQQQRQQQQQDD ARQHVLNQILHPEAADRLGRIRLVKESRAADIENRLITLAQTGQLRQKVTEEQLKELL NAMSESKEEEKIVVSRRKAWDDDDDLDL FPSE_08248 MSFFNPYRHDLIAATGEATATPYFIYRLRDAMLADPTGRRILRA RPRISSKTLSLDALRAMPENSVGRAYVGWLDREGVSPDTRALVRYIDNEECAYVMQRY RECHDFYHALTGLPIVREGEVALKAFEFANTLLPMTGLSIFAAATMKRSERQRFASTY LPWALKNGARSKEIINVFWEERLEEDVNNVRKELGIEQPPDMRDIRKREKEEKKRLKE LREQGL FPSE_08247 MTSQESFNTCRELPMASLQQEFLSAYNKSQVLNIVLFDQHEPAI ACTQSLRINAISAAGDTSRLVYMTDVRLLELAKSDVLFQKYGCIVIDEAHERRLATDV LLALLKSAVTSRLDLKVIVTSDTANASKFLAYFERGVHFAIPSDSFQVDIRWLLDPDP NFLELAVHTAKHIHETTGDGDILMFLTGTGEIKSACAQLKNTTKDLDVLTFPQRDNII KQSSRRRCIITGSSRKWFTPINGIVYVIAIDTRFNPRAGLEIQSVAPISQAEARYRAR QAGRSGVCYRLYTKSDFDEVLLPTVLPPILVSDLSELVLQLKAMGINDVANFDFVDKP HPEVFLHALEDLHAMGYLTDVGVITERGQKAARLPVHPLWYNALERAHELGCSDELIT IAATKSIRNYILTRPPATRQAADLAHQQFACPMSDHITELNAFHAYLDTEASSDHSDA LQSWCSTAFLSMQALEDVKRIRIKLVESFAELFGAPSKRANFESRDYDLGIRKALAWA FVHRVAFRDPKSGSDQYRLFHFNWQAAIHPRSSLVGLDHQWIVHSTFVYSHRQYFQTV TAIEPEWLISSNVLRDPTTSERRAGR FPSE_08246 MSSHKRPRATGASLVVYIENINNDTQIADFETFVTAAGFSGTFF WPETKSPIDRYHDGCWVQFQSQVDATRALAVLDNATFMGMKIVTRSVTVNFKRARHGN VTSVQDPAMVTHHNKGPEPTSQLRESVGSYDNGSPLIKDVPISCKQKHPHMQHDSHKP PQELINDKVKLENTDENSIMNLPDKSISQIANDGKMRQPWVYQQWFYKGQLESIDSSK DPRPTYRLHDIWFADNPTGDTTAMFARGIQMSGLTARVQVGEEPPHGARSIALFGAKS SGHSMYWEPGRLLGHDNKARALVSHSPTFLVPADPGSDYSSGTCRSHIPIYRRPRSVG LGWGGYDLYNEWQYLGRQAKKYVPERDYLTRTAASNVSFTSEVTGVHTVSIESRTIKS WEDIGQDRSLWMEINRKEDRMGNALPPRTKRK FPSE_08245 MAEEDFEIDIYGDAGNEQQQDPQHHDGSNQDYHQEDHRRDSHGD QNMDEHHAQDFHARESYDSNHRASGSQQGIKRKQEEDGRPVDQTATTSLMISELNWWN TDDDIRGWAREAGCEDEIKDITFSEHKVNGKSKGQAYIEFYSPQASTATKHRIEQILA ESQAAQKKVTLAYWNPNINPFKTLPKDAPTRSKDQNRAPSTYNDRGNNNMGGNFGGSG GGFRGGRGGYNRGGMNQGGYNRNFNNHMGGGYNNNMGGGYNGPMGGGGNFGFNNRGGM MGGGMRGGPGGMRGGRGGGMMNMNPMGGMPMGMPGNMGMGMMAPNGMPGFQGMPPNFN PGFGFNQNQGGGGDWGNPHGAKRPRGE FPSE_08244 MSDQVQEILEVPSEFVRDGVQFVRRCTKPDQKEFLRLCQAVGVG FLIMGAVGYVVKLVHIPLNHALVGSA FPSE_08243 MAPLEEERSSRPVVTDQSDDETHNESTRESSEGPAWYYEIEADE DEPEEDEDEDYEDQPVEEDEEEEEDDEDDDDDGIEGDGGAQRLLNIVRMVIQAGARTG LLTRRQLLALMQDPNLTSVLFQDNADDDAEFLDWPFRRRRTPKDPNRFPKVPSEQGIK LMRSGVFGANDYNLRAKKRLATRMLERELALGNREDRLRNNALINQGLLPQTRAEKVL HFDDPVYSGQFSDDGNFFFACSQDFKVRMYDTSSPYNWKHYKTVAYPWGQWTLTDASL SPDNKWLAYTSIQTMVSIAPTDPNDTGDPYTLDLDDGPPRQGWHGRRGFGIWSVRFSG DGRELVAGTSAASIVVYDIESRSVLHHVRGHQDDVNAVCFADKMSPHILYSGSDDTTI KVWDRRSMGDNREAGAFVGHIEGLTYIDSKGDGRYILSNGKDQSMKLWDLRMAMSTDR YTELDPTRTVNTSGFDYRGGLYDDEDWDVHPHDNSLVTFRGHKVLRTLIRCHFSPPTS TNSRYVYSGSTDGKVYIWNMDATLAGAVDVKKATMRTRPMENRHRFYHLEESGNWTTC VRDASWHPNAPLLVGKFACLCFNAPANSGSASAWNGYNMARGTCSLHSFNENIDDEGD PPMGHSVSNVLKEQPDLYQNSTYGMD FPSE_08242 MKIRTTHSPLILLLLPALANALSSHDVTDIPGPVAITEQTDGLP EAGVKRHDIPTKDAPVDGRDGKPHHGPFIETDDHKKPAEVLPDVGSPAAVGSASGPAT GAGAAAGAGAAIVPEAGAAAKVDASSEALPELKGRPDDPTVVDGAKIPETNDGVMFDK DRVHAQQGTTGTEGGVTERYKARKLEEDLAGEETFRKPASPKEAPPLPHSEEEKIRAS GGDKTEKIEDQTSGEKADDVIPKPAKPIISNDDDHDYTAGLGKPADLPDRPSGQNKPV QDATKAPPVDLSRGTTHDGHHDDESIIQPFHSFVLSFTMILVSEVGDKTFLVAALMAM KHDRMVVFTAAFGALLVMTVLSAVLGHAVPALIPKRVTSFLAAGLFFVFGAKLMREGM QMDPNEGVSAEMHEVEQELAEKEKEMGRKRGDSVSAYTLEMGMNGNGNSNGRRSRPSN RLMSPPRSPSQSPVRDSRSGSGAVSTVVQGATNLCSLLLSPAWVQTFIMTFLGEWGDR SQIATIAMAAGQDYWWVTLGATCGHAICTGVAVIGGRAIAGRVSLKVVTVGGATAFLV FGVIYLLESLYS FPSE_08241 MSSKDQDVEAQADERPQDREKVRRSKSERKERSHRDRDHDRERD KDPERRRHRTSRLSKSKLGSELSDNLSHTSSRRHRHRKDRDSDEHHRRHHRTASTSDL GSSDIRTSTAATSILSDRINMPYPSFSKAHSKEAIVSRDSLSLKPPTREPPEPPTAEE LKARRDSGNANPNAPPSPPPTDLDADKTAVNEETPNEPVDEESTKEDSFDEETLNADL SREDIPTIQTPTEEPSASEAGLKKSKSRLSHSRSASRNEERSRVSRRSGSSSQATYVK SSHRSAEKIRRSDSRASSSSHRSVHRTSSTRSHRKYDADGPSSPSSAQDSSPRTPTQA PFPHGYPDTKRETPSVIDVEDVDSNLHSKTATPASAFGIAPPPPPPPPALDVHDMPRV DYLLQNGGLAYTAPKNFLSVLPRQNGTRPSNPPLVGTDTLFAPFHNLLDQYNTVLNKQ GSIAVATGHRSVARRLLDRLENVFSRDLPPYGCTCVMCDNPHEIHDGLNWGDVLEWVS GRIELPQWPPFDLAEVGTKAAEISGDVPPRPASPVQLDPDIAEEFREHYLRQSKKVRT AVDRWLSNTGETPVPPPQDVDDETLSFAILTNLDPEDRPYFNAIMTGSKELKSSVRAP TPGHRPRTDFLIKTGLALQRLYRLQQVPRDAESATYLVKNPHTHDLLVALSNINNSEW EILVSGRFDGFLWSGADDEPHTPHSESRGPTPASSYYNTRTMSPGPRASSSFSSRNTT PFSVYSRGTTPASFVSVNTTGMPGSRQAVSNDEEMEMAAIAEIEREIYKGMETLEDAF EKLHKKAEVVRNALRQRGAGLMQNLQNRRRIDVLSGPNSGNSQSSGYERPAWAGDDDR EPGSDDDWALDDIDIMPDDSASNISSSRHRRPKRRTERRTPAPINEEDED FPSE_08240 MANTPHGGVLKDLFARDLPRQSELEAEAQKLPALTLSERHLCDL ELILNGGFSPLEGFLSEKDYNGQVNANVVENNRLADGALFSMPINLDVNQAEIDQLGL KAGARVTLRDFRDDRNLAILTVEDIYRPDKVNEAKKVFGSDDDTHPGVKYLFDTAKEF YVGGKLEAINRLEHYDFLDLRFTPSELRAHFNKLGWQKVVAFQTRNPMHRAHRELTVR AARSQQANVLIQPVVGLTKPGDIDHFTRVRVYKALLPRYPNGMAALALLPLAMRMGGP REALWHAIIRKNHGATHFIVGRDHAGPGKNKQGKDHYGPYDAQVLVQEHQEELGIKMV EFQAMIYLPDSDEYLPINEIPEGTRTLNISGTELRHRLRTGKDIPEWFSYPEVVKVLR EENPLPAEKGFTVFMTGYQNSGKDQIARALQATLNQGGGRPVSMLLGENVRHELSPEL GFTRKDRDLNIQRIAFVASELTKAGAAVIAAPIAPFEDARKAARDLVEKSGPFFLVHV ATPLEYCEKTDRKGVYAAARNGEIKNFTGVDDPYEAPAKPDLVVDLEKQNVRSIVHQI VLLLESNGLLDRL FPSE_08239 MFATRRPLTVLSCLFASLGIIPTAYAQDKIFISGTGSDGVFHDR LDVSRTPSLYTGDFDDCLNDGSLFNVTGFDTAYYSDNLTVSFHLYGSSNIRRENVMMH LSIEVYGEERFNKTYDPCAENVTSLCPLEAGKPIAAYITTSISAGDIAGIPSIALNIP DLEGFSRLRIFANSTQTEIGCLQATMSNGRTFSQPQIVGTILGVFTVFAVLASFATAI YGVQIPHMRMHYAHSFSILIIFETFQSIFFSGALSVNWPALLPAWWSNFAWTAGMFAS NNMIEAISPFAGVTGNASQVGSAGSIPINNGGGLAQQIFGRSLKSRAAVQQDGILQAL TRRNDFNSSNPYDYSWAGGPRNPGMPLPGDYSGFPGVLSVLGIPRNDAFIIGLIWFLV ALAAVVLFLAFSKLVLEVCAKCKLIKSDGFDYFRSHWLGYMVAGLLRTLFIAFFVMTT LAMFQFSIKAPSGPTAIAAIIFIMFMGFAGLTAYACSARLRQGKYEVVSDTLRFEQGK ILKKVPFVATTLESSIGEEEQAHKPRLFASFPVRRIRFVDKDPERPKVHQDEPYIKRF GWLSARYRTARWWFFAVYLAYQFVRACFIGGGRASPLAQVYGLFIFEIIALTIIIKLK PFEGSRNTTAAIWLLSISKIVTTGLSIAFLRTLDLSRVAATAIGMIILVVQCFLAAAI LVLIVLGMMSTWMSLSRNREEFPEKIDDIRVRYFEHMEDRAGTSKPKEDEVDELAELS QSIFSVSNVRRNTQHNALPIVQSTRSQVPSHPAHPLNRPRRANSAGSRHSVNSLPRSG RTHRASWSAKDFAEWDADMNRGDTSRISRMRSSSMRMQASKYSVSRPPMTPTRESAEF PRMSVSTVDKDAIVQDKIEENVTTPKRRKRTVSWADQSSICEEPSEEPPKNEAKNDKP LEKIDSTGGRDEASTPKPEQ FPSE_08238 MSDAHDKYGDGQPLSEETREDLRRMTLGLTDQKTIDTLTRVGMV AKFRRQSSRNFHEDDKAEKKTGGHQEKATAETADEYAVKKHVSQGDVKNGGTESDKDK DKKQV FPSE_08237 MSQEPRYEVYRFRDDIKALQEKAIVEVLTSSPSGGQLRLYSYGL DNSCEELSDGVIRGMGGPLSKWASHCGLVINDLDRPTFRSEVFRLQRGSAIWPGKNST ALLVPLSNGNAMIDLLPRGSRDRIAHDWDPRTILHLNEMGFEFQGNGSVRFMYILFQT ASRPGFYAQS FPSE_08236 MENRKKPPFEPEEYPPGSLPLLHERCGEASWIAMCRHECTFETR HFDEIMLNLVENPNLNSKWLFRADVIHDESSHSPVPADVKITENSPRTRDFEGFSRQR TIVRRLIPRNTLRDAPLDQTCAFYHSRSGVGELDSGGQTGLMRSLVLYMPHASSAAKL PFYHPKVKGICQLHEWDQSTEIGTVSVHFLLFDGEEDGEEVDQVKLGRIAYHLLQTIH KHGHGTAAGYIKRVNHDVVVPRAVFQDRYSELKTKYARSLVQSWLETTDPAKHVFEDL GIAAFLIELWRDMYRGVDFPGFVDIGCGNGLLVHILKLEGYDGWGFDARERKSWSQYN SSFSGSPSGKSLQRLLLLPNIIPVETPDANTKAIDPKDVHDGTFPKGTFIISNHADEL TPWTPILAAISQCPFISIPCCSHNLTGDRWRAPPPRDKSKPKSMFASLVDWVAQIAED CGWDVETEMLRIPSTRNTGLVGRRNTKGAEEIDMHAVFQKYGGVQGYYSNVAKLLKSS PRGH FPSE_08235 MSIENLKTYDPFAEADEDTGETKQTQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVNDSEMGEVIQLQGDQRKDVQDFLCDKKEGL ELDAKTIKVHGF FPSE_08234 MGNPPPVRRGSSTGHLPQPLTSVTSNSIKYPPPPTTTTIASSDD VPPVHPSLLQPRVAVVLNVPTPWHPWLFALRLFSILPALWWGLPSAVQLLLRILPGPE IVVVVPSTTGGSVAMSVEQDARLINYTPQATMVRLLTTNICNAYLTQVVLSAAGGFED PRLFLPGWIGIATTLTVMYHITHQKINIRKETSTSINVFSIASFFSMVTLLAHMHQFT PEYPQMPIVTNGRRAWDEASRVVAQVRGSVEQHGDL FPSE_08233 MDQPMGPIFKAVNWSADDGKSDKQPSLEALGQDKVTRWMKDGLQ VLYCGRLPDISNVVRPVDKSGSYMDILQLWTSDLESNTNVGRPSPQSQVYCTTSLEEQ QINDVESEMRFYDLLVRAGGRPWYPRTLIDQVVRNPGNYKELLQYWRHDPSGPEKEQW MVFERQLERWNMFCRYQKRVRQNSDTFREYSTRCTRRLYKQSLPTALHMKQDTKDQDT ISQWLEYLCFELSECKRYSWYKRYHQNYENAWQTVVDAKVLRSHESQSLVEAAQCTSL HDDERTSLRQDVEAASSQVLLAERDSLNPSLRGPKAQRKLFEAQAKLDSTIETFDRLQ YRVDTIRKYHDITSTYREARAGAQRHEILLQWVRQQIPLIEKDLGLPCSASRLALEGD VLAETDSDESDYQGTNAASSCTSSINEYGRLQRSESKKRCRLESISAEATQGHSNAPP KRSRCVVDSFSHTS FPSE_08231 MSSPPDYRRPREPATSNRQLRNAFAEFGIDASNFETQSDAGSPR GSPSHLLLNAKSLPALTKPAYNSPEFNEDYDDGVQPFWGYPPSQVDHNQEAETTPFQS VQHSESQIQSAATDEAPRPFTRSRRTFDAQAVYPAEACLFVANLPQNVDDVTIERDLT VFFGQYGTVFIKVKRDRRLMPYAFAQFTERTHADFALQHASGLEIMGRYPRLEKCGGN LSYIIFRKDKRAVQYDDAYNIFSPYGKIAKIETLDYKVQIKLHVSPSMMVQYERFDPK RDVIKSFGHSDVFIIMLYDPKIGQDPSERTSGDRTFMEIYDKNRRSIFMGNMPAHTDE RLVNKLASQCGDVASVDLRNIPDANGGAPNVYAFVEFERPNAPDEAVRQFNGTHVEGS ILRVERRRTRPPRDTRSFSVSQSMRPLPLVPHLRPGRSSSVAIEAVDQQNDHCSADST SSTLVDHETNHSRAGSVDTTAPVEHHGHHSRLGSMVATPQPRHLGPMAATHLNQHNRS VSMAATRFGHHNRSVPMAAAPIAAPSRSVSMAVDAPINQQRNHNHMKSSDRIPPIPQN ARPLPVQPEHSRRAFLSPNKMLAFGAGELDEYTPINSPDKVSGPNVTGNNVHWGQSQF IEASPEYFSPAGSSPIHHEPHDTQIGSFAERLATQGSRSVSYRFSSAAQHAIDRCETA VEDKKNDVVKGHRRGPSALFPTAPALDLEVTGSDGSSSWRTIGSSEEEEGFKEKKAES RTKLRRGCLSEQNLKRNELAYKEVNKDHKSEENLKVKKRVSFKSEASIIYHSPESSNQ TSIHQASSPSSYAGSQLQAQMMTPALPPPPGGLVYVAVPESVAAQLSNAHFYGYPSHQ HEAQYQAPHRVYQNHPQAHYQVHNQVHNQTFYGESAGAMYRAQPQVPFGESVQTPYYG YTQPAYDDRTVRYQSPRVQYRGSQQGDPQGNPYASYAPAAESPHQRRQRVEAERYRRY NA FPSE_08230 MGFPSFAASNAVIYVTYGAFLIMGTGIAWKMRNQSKADFLSGNG TQTAFPLALNFIASALGSGILFSYPELATISGVQGMMVYALASALPMLIFGYLGPIIR RRCPEGFVLTEWTRQRYGIITMLYLSFMSMVTLFLYMVSELSAIGQVVQALTGLDPLP VMIVQCAITTIYTSLGGFRISFMTDVVQGTMVIGLVIIAAITIGAKTEIQRPLIDESG LTKPNLLGWQLLYILPVAVLTNDFFLSSFWLRTFASKTDKDLRIGTTIATIVILCVLT LVGSTGLIAVWSGALPLADKAGSGSVAFFVLLETLPSWVVGIVLVMVVTMSTAAFDSL QSAMVSSGSNDLFRNKLNIWYIRAIVVLIIIPVIAIALKAPSVLQIWLITDLISAATI PVLVIGLVEKFYWWRGFEVVVGGLGGILTVFIFGCVYYGNAKEAGELLLVQKGLYGND WSAFGAFVAAPVGSLLWGCGAMIVRITFQYVHAKVRGHHFDALDRPLDLRPVSREDDT PSENLVSQTTGKFF FPSE_08229 MSSSIIALRPAILRQSVLGATRVQCRYMSMLSRERMLREMRRAA TKDSKKTDVESMSAIQKKANDEYFKSGGGPLFPGTFVPLPLSRYPSGISSALNYTWYR FRQWGIEFLSLLQFKLKSMPGWTTQPKWKIGRGKIAPTAKNMYMEMLGAFAAGDKTTI NNLCLGQFGKKLTAAIDRRNAGERVTFELLSLNKSLLYPRVVAHQVHNINPHDKDNCT EQAVIAIASTQRVAKYKKPSDQLIPGSTKVQDKLEYVVLSRQVNEKTFQSTPWRIWGT VPATTLESYQEEQDWIMKEQAQRAGWKGPLK FPSE_08228 MAAVSFETIKGTTQPKPLYDFNAPESVHDCIVMSDKTIGGFSQS NFDFQKSTESSASSKTPSAYARFYGNISTRLPDDRPNIERTGFAGFRSPDQRPTMFGR SMWDIDPYIYLALRVKSDGRSYFVNVQTESVEPSDLHQHRLFPKRPGQWETVLIKWND FVRTNHGFVVEPQTEMLRQKVLTVGVGLTDRVDGPFELCIERAWATNDASEADITEEP QASVISEEGELRNKKGEKT FPSE_08227 MARYLNPAKVGLLALVELYVEGAVPSDAILPVLSFVTSHLMDHT PSKASANQSERWSKAERTVGLVISIKDFEKLLGSYPFLMGMPGRRLWDQFLGKLWDIN SLDALHNFFDNLSEMLAKTKEERKRLAELGLPIETEEGIKLSPNSPFGTFVRRARLEY QRLQFHDCTELWKHFVRYRQPTASYLKRKIPGFGRLSFDNVLLMGEQEDWDHQSVMAL ASVAYGDMLTGDQSGSLPVSTDDIESLLEFQIEQMQKFGNRVPLEIRHQFHDLLNDSY LVPSLTHYLKFLDAWRAGDYPTAFDYLHRYFDYTMQNRDRLFYQYALMNLAVLQADFG CHKEAVAAMLETVSTARENRDMTCLNFALNWLFHFGRAHPDLVQNLESNSMLGTGKES LAFLRVKAKETGMWTLWSSVLLSEAKLGLLNGDSVATAFESIVRSSHIIVERNMKNMF GSHFSLTSALWDRLGLSTLSSATCEVFLRCHARNAIFDDELKLTCRLALLLALRGRYV EALSKLEQLEENSLRSWKPSQYWHKYQGIIKLKRDLHHNNLEGAERLLSQIIQSKMDD LEPDMAFLVDTLHIDYLTRRGDLQAAFSKVDDMMSQLEDEKKDVVLRVKLLLLKASLL DKCGRPQRGFTTAMRAASISWGARLIPCLWQAIGCVSNILISLGEFGAASQLLLAIIP RSLECETASLAAQLYCYLADAYMGVAGKCEPKSSKRTEYMTKALAAVQKSFDHYSSIE DINMQCQMMAKKAMIMKLTGDLTLAADYAAAYVSLKKTAESLSHGG FPSE_08226 MSAVRFTRAATRATAQLRAPAQRRFASTQNEFIKERQHIKEHAA GTTELWKKISLYGVAPCLIAAGANAYWLWSEHWEHWSHMPPLEERTEYPYQNIRTKNY QWGNGDKTLFWNDEVNYHNKDKTA FPSE_08225 MAFRLTTWNVNGIRNPFGYQPWREKRTFQSMFDILEADIVVMQE TKIQQKDLLDEMVLVSGWDVFFSLPKHKKGYSGVAIYTRNSTCAPIRAEEGITGILTP PKSTTRYRDLPEDQQIGGYPRPGQLDGIIDEATLDSEGRCVILEFPGFVLFGIYSPAN RDETRDDFRLGFLQALDVRIRNLVAAGKQVVLTGDLNIVRSEVDSTNVSETLRKGNFD LSEWMNMPARRIFNQLIFEGSVLGERDQGRQAPVLWDLCRYFHPERAGMNTCWDTKRN TRPANNGSRIDYVLCSDGIKSWFNFSNIQEGLMGSDHCPVFATFSDKVIVRGEERALP EMMNPPGMFHGERRLRDWNAKDLLPLSAKLIPEFDRRRSIRDMFTKKAASAAPPQEPA NPEAVTKSSTNGDSNSEGIKEKSDGASGPETSAISIPKLGETIGSTKQSVSPLNAKRP GSVTDTTSRPLKKTKSLVGAKDLKNKVAPGQRTLQGFFKPKAPAAQDGKSERVAASST PSPTKKPTVATRGAASPQQPNTPEAMLTERSPLRISQRGNEPDSSDRVFDPIEAKESW SKLLGKRVAPRCEHDEPCISLTTKKPGVNCDYGP FPSE_08224 MSQNLQGSLLQGPLGVFMPPEAEVPITIVYSQSQDDVHVFVPET TSMTMVNYVADNFSRRVQQPVKVFHDEARKKYRLCPIPKDIFANISTFGRYCFTRDQS TPVTVSASDPTIGEGGKRIPRPRNSWMLYRQAKSQQIIAQHEGLTAGELSTIISNMWN SETPETQAYWRKLAEDEDAEHKRLYPGYKYSTKGGRDN FPSE_08223 MDTSFSFTPLWHDKAIIYKPEEALRALDDTIYACILRSKLLPKN GEVFHTLEVLRVVSAVAKHMLLDLGFDNEVLSKIRTTAVKLVPDHSIFSLIDACLVSW YFQAVDVIYTHNQARRRVSGPNLPSAWIGGYTDERPMANLGFLSMLAGSRDSQVPEHP KLRAASLVSKTTVAVLYTAYTIGPHLTNFPWRKLKHMPVLSAVDLYLKVFISTCGTVY SNDPAIIPPPAFEYSVTQEDVKVSNCGRKLLVKVHGDEDWRTAPKWHPYIKVPGSPWN NFIRNRKQPIFFDDLGYSGYCESESAGSESESEFPSAFAPEPDEAESECSESEKVTIK YKLPSSALTIFRQFEDTHSAAREDLDENYPGTRRVLSERAANRQYNRFARLSGRKYAK ECPDDASAVYLTDANEDFLYYSPVIQKPRADAAGNLGLPFMSTAVHALGAHDDPEEPD DGFFIMTYKQ FPSE_08222 MSSYKDQVLEALTNCSQERLNAAMENPSMMRELLEICGTELAAC IGPSIGDPVQRAKRPLNGFMAFRTYYLKLFPDTQQKDASGFLTQLWATDPNRNKWALI AKVYSFTRDHVGKAKCNLNPFLSVACPMMKIVEPAEYFGLFGWQVSHDNFGNMVLVQD LAVMANAPLDNFEHPTTEIDLLTDILVAGYFSEYSQHLQVLMWTSQNGIMAPAGTFVN DAIGEQLYEPVPTTSEKTDFIESVRNNACEAAQALFGPDYDAQFFQSRFVHSWEVDDL TSFQGVQISIADEPLPANTLYDFNQLPENVPQVSELTIDTSIDADIMEITSAWSVDKI TFDRLNRER FPSE_08221 MAGFEPGDYTAFQNMDDLAHGGPNPFMFPGGTGDEADASPH FPSE_08220 MNAVRRVWHTASHSLSHQPMGVARINPTLPSFQLAMISSYEKLK SDALAANREVERLRQELPEDAPSRDLHEAM FPSE_08219 MNALKRCANAVHWWLHQPLGGVRANPIPPFTVSRRSPEQQRLWD KAEEAYRVLQQMQEQQDLHEKGPVSKRDAAMRSLVEEMRLRRHRQDSKWFS FPSE_08218 MSAAVRGTEHTKSDQELAINIKKATNAEEISPKRKHVRACIVYT WDHRSSAAFWAGIKVQPILADEVQTFKALITIHKVLQEGHPSALKEAMANRAWIDSLN RGMGGGGEGMRGYAPLIREYVYYLLAKLSFHHQHPEFNGTFEYEEYLSLKAINDPNEG YETISDLMVLQDKIEQFQKLIFSHFRNVGNNECRIAALVPLVQESYGIYKFITSMLRA MHSTTGDDEALEPLRERYNAQHYRLVKFYYECSNLRYLTSLITIPKLPQDPPNLLAED DDAPALPARPKQEIERKPSPLPEPKNDAPDEMNEFWKSELDRQNREYEEQQRILEQQQ QQALLAQQQAQMQAQREFEQQQQQLMEQQQREQEALMAQQAQWQTQGRLAELERENLN ARAQYERDQLMLQQYDQRVKALEGELSHIQNSLGQQMNSKDDQIRALQEQVNTWRTKY EALAKLYSQLRHEHLDLLQKFKAVQLKAASAQEAIDRREKLEREIKTKNLELADMIRE RDRALHDKDRASGSSKDEVEKLRRELRLAQERADNLERSKGNELSTMLSKYNREMADL EEALRNKSRQLEDAQMNVRDGSSDLEQLLRDKEEELEVYKAGMDQTLIELNELKMNQG DTDNALDGQIDALIMSNLDKINDIIDSVLQAGVARVDDALYELDSNMQAGNQNASPSY VLSQIEKASASAMEFATSFNNFIADGPNATHSDLIKAINVFSGAIADVCSNTKGLSRL ATDDKKTDSLMNGTRQPAISAVQFLRGLQSFRLEGMDPLQKTDVVINNNNDVQMNLQK LNKLVETFAPGFGQLANKKGDLGDLVDSELSKAADAIAAAAARLAKLRNKPRDGYSTY ELKVNDSILDAATAITNAITQLIQAATVTQQEIVQAGRGSTSRTAFYKKNNRWTEGLI SAAKAVASSTNTLIETADGVISGRNSPEQLIVASNDVAASTAQLVAASRVKAGFMSKS QEKLEQASKAVGSACRALVRQVQSLIKERSQEDDQVDYTKLGAHEFKVREMEQQVEIL QLENALASARHRLGEMRKISYQEE FPSE_08217 MGHSYGKRAGTRYAFSRDFRKKGMIALNTYLRQYRVGDIVDIKA NGAVQKGMPFKVYHGKTGVIYNVTKSAVGVIIYKQVKHRYIEKRINVRIEHISQSRSR EDFLKRVKANAEAKREAKATGTVVQVKRQPLGPRGAHTLSLSENPPMTVTPLAYETTI FPSE_08216 MPPRAHSKTSRVPRRPFESARLDSELKLVGEYGLRNKREVWRVG LTLSKIRRAARQLLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKIEDF LERRLQTCVWKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFIVRLDSQKHIDFALTS PFGGGRPGRVRRKKAKAAEGGEGGEDEEDEE FPSE_08215 MPAPPPKPPPSGTGAGAASKEENIYIPSFISKRPFYAGDEGDDN DYLKHQRREEKDEKSQWYDRGRKAGPAATKYRKGACENCGSMTHKKKDCLSRPRAKGA KWTGRDIQADEVIQDVKMGWDAKRDRWNGYDAKEYRNVVDEFNQMEELRKQATKGEAG DEEADEGDKYAEENDMSKHQSTATRQLRIREDTAKYLLNLDLESAKYDPKTRSLVDAG ATADKAADVFAEEGFMRSSGDAGAFENAQRYAWEAQEKSGDTSQHLQANPTAGEFYRK KEMEEAEAKRAEREKLLADKYGGDQKAMPAALRNMAITESETFVEYDEAGLIKGAPKK EAKSKYAEDVMINNHTSVWGSWWSNFKWGYSCCHSFIKNSYCTGDDGKMAWEAAERQR TGANLIEDKEEEAEEAVEEDKQKDEEEKPQKRTREEMMNGVTEEEMEEFRKKRRATDD PMAKMLGTDELLT FPSE_08214 MDLFRIRLNCIDHYQATATQYDPQLRNDIRPSQISKGPKVPIVR IFGATETGQKVCAHIHGAFPYLYVEYEGGLSPDEVGAYIYRFHLSIDHALAVSYRRDQ KNDNARFVARITLVKGIPFFGFHVGYRFFLKIYMFNPVVMTRLADLLQQGVIMKHKFQ PYEAHLQFLLQFMTDFNLYGCDYLESSSTGFRSPVPEYGEETNSSHLWHSESIPQEDV TDETTLPRSSHCSLEVDICVQDILNRHRVKERPLHHDFTERTNPLPSDMKLVYSMAGL WKDETKRRKQKMQDPSEGSSPFPPEVLVSMSANPRDSQPQGWIHEEEHRIEIQNLISE EKVSIDGDELTFETFAKHRPYEENISTALESVEDLFPSKLALALGLPSDLQPNQDPES SILVDEGQVRQVREANDNFLPDDSDEEAITALVAMEKAAAKGFRDPTNQLLEEDLEQA AALDISLGELGQRPFWSSIIGVGRSGLASGKLPDIPLGPELFDYAEDEGLVGRAFDAP KYTLSSSTQLKRPMPDVSSVQMSNKRLRFDEQPMIDEDDMDLVPIPSTDLNKPLISSF RGSSILKGPSSINKSIGSVLKGAAGSNQKLNFPTVKDQNDPNTRLRLSQLSQKSASQQ TDDGQHTKHVSFDPSSFLPAEAGPSQMTLSSSLILSGGDEGENDSQQSKIQRAMRKFA SPQVHLLFSLPPSAASVVSTLKGHMLPDVIYQDAYYSKEKDVPGRTREYAGKEFRLEG NTLPFLPEFDPTATSPASYGLKYESLDRVTAELQYERQGKECSWKSWEFASPPPTFKE VEDWGLEQERKVNTLDGSGLPSTHRNCHSQIEGPTPKNRHGFKYTPGKKATSVKHEVQ YMSTMSLEVHVNTRGNFVPNPEEDEVQCAFWAIKSDGTSTDSQNSAGTVQTGILLLSN DAEFTRRVQRQTSANIIEETTELDLMVRMVEIVRNHDPDILTGYEVHGSSWGYLIERA RLKYDYNLCDEFSRMKTESHGRFGKDNDRWGFNTTSTIRVTGRHMVNVWRAMRGELNL LQYTMENVAWHLLHKRIPHYSWKSLTSWYKSGKHRELNRMLRYYQNRAKLDIEILDAN ELIARTSEQARLLGVDFFSVFSRGSQFKVESIMFRIAKPENFLLVSPSRKQVGGQNAL ECLPLVMEPQSAFYNSPLLVLDFQSLYPSVMIAYNYCYSTFLGRVTNWRGTSKMGFTE YKRQQGLLTLLKDYINIAPNGMMYAKTEIRKSLLAKMLTEILETRVMVKSGMKQDKDD KTLQQLLNNRQLALKLLANVTYGYTSASFSGRMPCSEIADSIVQTGRETLERAIAYIH SVEKWGAEVVYGDTDSLFISLKGRTKDEAFDIGNEISKAITEMNPQPIKLKFEKVYHP CVLLAKKRYVGYKYETKDQVKPEFDAKGIETVRRDGTPAEQMIEEKALRLLFETADLS QVKEYFQKQCQKIMRNNVSVQDFCFAKEVRLGTYSDKGAPPAGALISTKRMLQDARAE PQYGERVPYVVITGAPGARLIDRCVAPEELLDNPHWQLDAEYYISKNLIPPLERIFNL VGANVRQWYDEMPKVQRVHHATTLSNKKTTLESYMKSTHCLVCNIKFSQEGNPLCPAC RANIPAALLSLQTRLTNEERRLQEILSLCRSCSGVGPVEDVQCDSKDCPVFWTRMKQI SKTRGVRSTSQPVIQSLIEDVEKLSLDW FPSE_08213 MAARFTPEAFLPSGAYETDAALSLNSHLSYRNTSSVPSPRAGAL RHQYPQHYLDPCQPHNQDYSHSQLQRGRIPPASALPLHHNDSSPLSLDSLRAAQSVPP NYRRYPQQTDSSNNFDGHPTTVSPTHGGPPSAHEYRFPASSTAPLPNVASSSGLVGAS LSRSVSADAVAASQSSLHVVQRLMQQNQSIREAWEAERNHLEANRRRVEEVYQEERII MDEVQESWEAEKESMAREIEELKERVHRLEGENSALKAVTAQSIQVTGVVSPLASQRG GSGEGSLDNSYFPAPPGRLVSRAQNPTIPVSVSMADASSLPPGLDGASRRPHYFSPSS ARASPTTQPESSPFVPLDPRMQTQNRVAKDFLPSPTEDMATPVPVIDVQEIDPKLEGI PIKATAVQKSTFAAGASPPENTASPVTSPSGPAAQSPEQSRQPTSIRGSSKEYTLQVL SAVESRRLTMHAGHTPNHSLSVFPKINPTDSVSIIGEGATPTVETAIDLGTAAAIAAE EVKDTLPVPEQAERRDSKVSFVSFNEELDPNALDVMMEPSDGDKPLKGPLMLRNMPAK DELFWEEVHKKLDPISQGQNALPAVMQSQELGSTAGPSGLNVPAHGLKQSGSIGGDGS ADARADADASDGEADGKAIEVDVPLKLKSTSNFGAPFGAM FPSE_08212 MNFLYSTVNTLRDRYTPVSHKSTFRQTGQITPEEFVAAGDYLVY KFPTWSWGDADSPERRVSHLPPGKQFLVTRNVPCHRRLNDDFAGDAGHEEALVNDGDD FKGNTGGDEDGWLRTGGLASSQPLKVKEVRTVDDSGNVGDREVVEDDEIPDMEDEDDD EAIIRDSGADSKNSAHRTYTLYIMYSPYYRTPRLYLSGYLANGQPLPPTDMTEDIVGD YKDKTVTLEDFPFFANNIKMASVHPCKHASVMKTLLDRADAALRLRREKLRAGNANSS QAPSGMEGLVDEIGKLDVKGAQEAADKDEWEEVQEAEIDDQEVAIRVDQYLVVFLKFM ASVTPGIEHDFTMGV FPSE_08211 MADSTTEPQAPVAIFKKRGAKGKANIRKRPATPPPADSDDSDYS SSEDESGQRVKRRKKTATVTASSKDLTTGKKDFSATIYSADRSVPITSTNDATKHSDW YEEDKNELSAKNLLGSTRGPTKDSQPDGTYKGLANQTSFIQKNPDAPQRAKGPVKAAT NIRTITVMDFKPDICKDYKKTGHCGFGDSCIFLHDRTDVKQGWQLDKEWEEVTKGKKN LGGTIIGSSNRDKKEQAPEDEAEIAMLEKIPFACIICEGPYKEPIVTRCGHYFCEPCA LKRYRKDPTCASCGAGTNGVFNSAKKLKKLLEKKKEREEKKKKAEEEAADEE FPSE_08210 MLLSTATHRKYAYTLLAAVVIVVLLAGNYAYNGHISPNTAPKEP EPTSATTLKTEAFPHKIWQSWKDDSEDPTERTVGFPHQWRVVNPGWRYERITDANNDA YVLDRFDADISDVFTSLKDPILKADFLRYLILLREGGVWADIDVYPHQPVSKWIPKQY QGSVNLVIGIENDHHKKPIWPGSPYSVQLCQYSVLAKPNHPAIKTVVEQVAGDLKTLL ASKKLGEGVSFEEVMSTTGPFAFTKVLMGYFKEMTGTEHTGDELDSLSEPRLIGDVLV LPKDSFGWLPHENTHEKGEPSILVEHLFIGSWRDSHHG FPSE_08209 MAQDGNSLYLVLPVYCNLATPAREVPVVGEAGTPSLQAHNEIVA NMIRCMVHTSIAPTPIPAPIPAFAPVDIPVGSSDDTGVSDAEPDDADASLAVVACVDV ATLAEEDVSEVTNAVVEVDSPVNTVPTTVYSFSFRFHVAHTFGLDGRTANLPTPLLQQ PEL FPSE_08208 MASSLASDKPSLPAPGAAPCDPFVITDDTNTTTPAHHRYSQYDH DFFVTGPASPESAKRALAAHLQETERRLEEAGKLGNALVAQRKELTEQLKEIEKVNSE EELSQELRERMVDIEKEFNTLTRESARNLLPKQRVPSNEVHPSSPFAPDTKGPRVRSV SPSKFEIQATGSPTKLTVPNRKVRNQPSNRVHDIEFATEISTSLIAQVRNLQGVLAER DEENKDLKADKARLELESEGFQQRFKSLDESENRYKEENWNLETKLQELTTQHKEALD REKKLTQSLNVIRTEKVNTQRELDEVKVTHARLIDQHAAAVKQHDIELGTVRRNIAMA EGERAAMQRRIDDLTGQNQELARAFASQRRIVTELEPTIRASDDDLESSTDHLTPEHS PPQSPMKGTPRHAMLETETMKSSLHHAQRTIQSQRGLLHREKTEKLELRRIIQDLRDD LEKARSDPSEPKPQRPRRTKDSKEFKKPPRLLGSFRSSRQEVITDDGEWEDQTDISPR ASVSPSLARASLANSSHTVLPSIEIPDATDTEHFDTANEGADSAFETANERATETEDF QTGNEEMSASDDTETEGTTRGFGSMRNAPRLPAGGFVRHGSTNSLHSTASTSADEDDV AYTKTPSGTIRSQRSSRFRMSRSVFNRSRQASEEPAFQSSPVSYAGSRDATPHGQSLF AELQDFDGSDDDSAGPGTPSRLRARSMTPGSIRSFRRGSSPRPAVPPLPKVIMVDTGV MTEPVKIVPRLSGFQSAQTISSGSMTEDGEENFAESPISVMTANRPGSMQSAIGPLSQ RGPASWPVDFAFGGSRPVSMAYSDAGAQHDPDMEEKLAQFPAPPSMLAPILPPILSMS SIASEDVEPREEVEVPPTPLALTFTPLSNQSVEPLAEPETPLPDLTMTSIISEELEPR AEPVVLPPALSLSTIAAEHLEPRAEPEIPAPKLSMSAIAAHSLEPKAEPEAPLPNLSL TNIIAEEVEPIAEPPVEPVMFMPPPALSMSAIAGEHVEPIASKNPALSMSGIKGEHIV PISEPAVPAPELLLSTIRSEHVQPIAEREINSTVPAVVAPSLSPAPALSFSAIHGENV QPIAIEAPELAMSKIVGEAVEPVVEPVPELSFSTVKGECVEPTDLPAPDLALSSIVVE SLEPISEPEKIVPMPSLKMSAIYAEGCEPREELPPTPTTLVFSGLSTQDVQPVSEPVP SLAFSGITSENVKPVIEAVPAPPTLAISSIATQSVEPINPVHKTPMLPAFGFSTIESV ETMPISPRTPKREGFILPRDMHSPFMERDIPRTPDNQRSYNGDVDQGSSPLMVEDENT QTPSDTPEPATPDSHRPFDKPLVIMNDQGAQTSLTADAIDAMFKARAQPLVGLQKKLS MTSPDTPATTGTTGTVRIHRRDSFESPVRQDRDDDVFDASFARPGSSRSGMASMHDAP PLPANHRQVIEAARSGSAHSNQNNMGPPLWPASVLKQRPTTPGQGASPSLRAGTPRAG RNPVSYGELGRQSPSKLTTVSRKSSVSSFASELDTRFNIRPGEMGMDPAGFGPNTDPR MIQAITQTMIGEYLWKYTRKTGRGEMSENRHRRYFWVHPYTRTLYWSDRDPSTAGRTE LKAKSVPIEAVRVVTDDNPSPPGLHRKSLVIISPGRTIKFTCATGQRHETWFNALSYL LLRTNNDQANVEDIAENFTREDVDEFNPQVGQRPGYATRPGAAPSLSSYHSRTTRNES PAVGMSMNIPTLTPKAQPQRPAGTLSKLSGYWKGSQLSGTFSTLRGRGPSAQNVHIYE ASEAHDSAEDVREIIERQDRDADRLENVRACCDGKHDVGTLHLTSKRGSRPGNNHAHS THSQPGLTHSHTTMSSVRSRV FPSE_08207 MASFTSLLVAYVLGGFTFIPLVIVSVLAFIFYTSPVLDDASDEH KYSLIVDKDDDTTALEAAKRSHKKDNRAHENDLDVAAGFFAVCREYTPMGINAKPIER STPVGSATVAPSSPSVYQTMYRSIFERKPTPGPMDNNSTTTSQRPKNAGNVFYVVLRH GHLMLFDDEEQVEVRHVISLAYHDISIYSGGDVTPEGELFIKRNAIRLSRKPAGTELA PDSPVSKPFFLFSENCSAKEDFYFALLKNQEQTYGADGQVPKPLHFDVKNIISLVQKL HSSEENVHSRWINALLGRIFLGVNQTKDIEAFIREKLTKKISRVKKPAFLTNITINGI DTGDAAPFFSNFKLKDLTVEGECVVEADVKYSGNARIEIAATAKIDLGSRFKTREVNL VLAAVLKRTEGHMLIKIKPPPSNRIWVTFQSMPKMEMDIEPIVSARQITYTVILRQIE NRIKEVIAETVVLPFWDDMPFFKTEHKKWRGGVFEGDNATVPTDDAESIVAAAGDVAA VSHLDGNPEVTEETRPLEKSHTVPIMEATPPPTGLFGRRLSKTGTNPQASASSTSVDS KGPGASPVLKPKISKTSLQPVVDTDIAHADVFKPSTSPPDHATNYMAALHSRSQDASP RPPQVADTPPVASSASQRSNRSSRSSSSVNDAANNGVAEDSQKTPVAMGRRNTTSSTG SGSHADERPSSSASSLKESIKSQTGSLGRGFFAKRDHGEIPVHEEEEEPEHSEAFRDS QQDQNPNHRQTTLAAVSNAAMQAKQWGWNAYQRHKEARRLAEQAHQLDLSQPMGRGQP LPPPGTPLPKPTNGMTRIAPPTSVPARKPVPNSAAHNSAESLETHHETNHDHDQDHQG EYRPPLPQRGRRRQSHAPEPDNVQNVLVVAAPEDSQPATPSGDDPLNHQIWASSDEQT VTDSGTSHPPSMLTNESSLVQEDNKSDSPKKATPATSAAAADDDEDFSGWMDNETLDM EINSPVRSSAAAQEVK FPSE_08206 MATLSAPRTMLSSHQLDSATAMATGSRSPIHCLFRGTVSKANSF SISNVPILLPSSSSLINSFIPLFSSFSFSFSAFTTQSIVIPVSAESEKYLRGPCANWF SDAISSAGLRWSARLRDTAFPCPMSTRTLQLPQSKLSLPADVFLLVSSTDKSTSPSPL RANQFQTSFSPRNISTDLTESIVLEEGGESGSETLEPVTPVSGRHSQDFTLESQDLQD LQSLQSYPAGSVTSSGVGPIAIPGANAGNNNKGGYLSQSASRPPTTPTTPRASEPEPG TSLTRQSTRGSISTASFKRTMSSFFRRSNSQARNDYTPSEPPTPSVVSAPTEPQTNGQ PRAAARRRFSMNRSSATTRSNSPPSPSDNGLEMNLPHRERGTDKSLPSQGDFKKHRAS TGFTLRNRINFVGANHNGRGNGNKRPEYNRRASSYDGSRPSTPLPPPVEGDETMYPPE RSVWPLPPESGTGAKARRMSLSLPDDFAVDVAELQNEFEYQRKFLGRHGKHLGKGAAS KVTLMMRKGYPEELYAVKEFRGKSHRESQQDYENKIKSEFSIGKSLHHPNIVETFRLC TDHGRWNHVMEYCSEGDLFSLVQKGHLKGDDRKKDRMCLFKQLIQGVYYLHVNGIAHR DIKLENLLITKDSKLKITDFGVSEVFCGTHPGLREAGGQCGRNMGGEIRLCSPGICGS EPYIAPEVLAKKESYDPRALDVWSSAIVMIYLTFGGAIWSRAEPGELHYDKLVTGWNK WYSKHPENDASISDSDYPKCYALDVGMSPPALRRLVLQMLNPEPSKRIGIDEVINNRW LKNVECCQLESYDDPALLIDATKKDNSIQGNKKIFCHNHLPPKGTGSHSLGKMPGQAG Y FPSE_08205 MRAAAVIALFASVAFAAPADSRSCSKAKNTCPVVFDGRVPANAS LTDFDKENGGGWNPYNPGFVKGNNISWSEIIKLPQTTPSRFDVSGKTLPLEVTISDKS IFMKQLGFRRAGLQFSKDKNEGSPGSEGIKTLHFSLMQDKSRPLNLSHEYLNVWHEKA DFSGNQFQFQSGTIIGQNHTAATWKLFDEKMKLLWETPMLEGKWQNFAITLNFDKNTI QAYYSEGSKALKAATQPIARDLSGQGQYQIGMLKKPTGTDDVANAGFQEANLNEGLIY GGIFLEDGKNNCVSL FPSE_08204 MRLPLYLTNLSLVLACARVAYRTTGENKSAFIIGRSVDYVADPN LTFWVFPAGIDRHGGTHRKALQWRSRHASTVAVMYNKITVEGMNEKGLSGSILFLGGS HFGDPDTRPTLSAGAWLQYYLDQYENVGEVEKENCPTAAWGKVSPQIIRDDPFDGMET KMHIAISDAKGGNLIIEHIKPSNQIRCYSNEDWDVAAGDTYHMQRSRNKKRIRKEIDG RALSQWPMPYERYDRLFFYNYYIRKSEDFASALATTMGMIHGIMVPNIKVSSKKRKEK DIWPTQWMMYTAPHEGFIYYESARTPLNFNYQFFKFDLHEGSDIKLLRTMGRCARSS FPSE_08203 MNARTKDDIVAAKQFQQEMKAELGSSLPPGDKHASPTCPYTPEL QELTTSKAVSVYIPTWKDTVAWARRDPDLISQLKTGYPRFFVPLVVGQLAESLLKWSS SEPTLDELPSELRALLDAPGRSAMILPAGYHARMCREYLNAQNKGAVFALRADFNNGV YLAETLSKKCTLDEIYAVVYPQELAVEAKAFWQHTGYGVSSRQATHWLESAPFLNRDN KSSRSHLQNEEAADAKVVVQRRIAHLFGTETNRLDADDVFLYPSGMSSISHTADTIGH LTTDEKRTVAIFGFLYVDTFKVLSKIYGFDCKLYGATPSDLDALETDLAGGLQLSALF TEFPGNPLLASVDLERLQKLAIDYEFLFVVDDTVATSVNVELISYCDIVCTSLTKMFS GGCNVMGGSIALNPQSRLFPVMKRLLKDGFVDTYFPPDAIVMEKNSADVKERVVTASE NAERTADMLRSHASVEQVYYPKGNPTQDIYEKYKRPEKGYGYLLSIRFKTPETAIAFH DGLDVAKGPSLGTNFTLCCAYTLFAHFSELDWAAKYGVVEHLVRISVGIESQETLDEL VRTALRAASQANREHGCVSGKL FPSE_08202 MAEEAHRRYAEIMRDVELMIDDHISRQQDDSMLPSKLRMLIPTA GPFFTRLPLEAAFKYQDRKRYISSRRFVAPSFNDVRQILNSAQSMAVTNGSLQLATFD GDVTLYDDGFNLEPTSPVIPRLLDLLRKNIKIGIVTAAGYTSADRYYERLHGLLDAIA ESTDLDPVQKQSIIIMGGEANYLFEYSPSSPCKLAPVPRTQWLTPEMASWSDADITRL LDVAENALRDCVNNLNLPAMIMRKDRAVGIIPKTPGTRIARESLEETVLVVQRILELS SLGSSEERPTKHRPSSPPIPPSVASQSRRVPFCAFNGGNDVFVDIGDKSWGVTVCQQW FGSKENGGAIRGENTLHVGDQFLSAGSNDFKARSVGTTAWIASPAETVELLDELADMM QKKLS FPSE_08201 MTSKIVSLLTQLSSRLSQSFVSLTVLKMAELGGLSTQEINRVMD LEWEESIQDWEWYALNYASPVPPLIPFPSYCVSRRCGWCRFVIKPDELVTARSPGGTE SSAFAFGESCDDTKLFATFKRCESDHDACATTGYHVECSVIASNLGLQKNDFLDVARY SYEPSSKEDARRLECILNHLHQTMSKKFAKLPTEILFIINKHLVLYYAIASLSGLRQP DRWTIEPFKDVWATHFSLNSIDYIASLSNEPKPGSRLLWKAPESREDQHIYISEDHLG ITQIVNDPLAASVEERHSSWWRTLPIETPPLTFSGDGLKLRSVAAAPINPTISWPYPM TPRSLKSMAYYATKTSSSSFGMTVEARMVALNFNEPGTIAYSACWYEDRLVDIHAHES GESLDFYHGLEENVKNRWPNKDSNNESLATIQWVYHPLNRGEKIEQVWLHTKNEPEEG NDAHERRRMTESSPDNIAIALLTSHSRTLVMGRPRAMHSEWKCIAQTFTGSSMRVFFN PSLDGVSLFAAPTVGGSGSDLLPDRLSTWLDAERKPNNSDASLDEVKEFVVCKEKLEI SGLLLRYKDGHRLSDKGEFILEVMSLSNLEHDESLEWNKMLLEGILTWDSLSRKTADH SDLVA FPSE_08200 MDTAAVRSLLATSLDPDADSRRRAEIQLKQIEEQPGFLECLLDI LQAEQEASVRLSTVIYVKNRVNRSWYNNEGYSTEPPSNPIPEDEKARVRDRLLPILAA SEGLVRQQLIPVLQRILQYDFPARWPRFMDFTLELLNTNNPGSVLAGLQCLLAICRAF RYKSTDSDDRQHFDQIVEASFPRLLAICNELVNQESDEAGEMLHLALKAYKHATWLEL SPTLRQRDINIAWCTVFLHTVSKACPASAMQGDQHEREKHHWWKAKKWAFFNLNRLFI RHGNPASPGKGEEALAFAKDFTANIAPEILKHYLQEIEKWVAKTSWLSRPCLSYTLVF LDESVRPKEMWAHLKPHLTNLVTHFVFPVLCLTEEDVEQFEDEPDEYLHRKLNYFEEA SAPDVAATNFLVNLTKNRRKETFEILKFVNAVVNEYEQVEDDKKNHIAKEGALRMIAT LAPVILGKKSPIADQVEYFLVRYVFPDFTSTQGYLRARACDTIEKFEQLNFQDQNNLL TIYRHILDCMADEALPVRVTAALALQPLIRHDIIRTSMQQNIPTIMQQLLKLANEADI DALANVMEDFVEVFATELTPFAVALSEQLRDTYMRIVRELLEKESKVGDDGELYNEYD DKSITALGVLQTIGTLILTLESTPDVLLHIEAVLMPVIKVTLENKLYDLYNEVFEIID SCTFAAKSISPTMWQAFELIHTTFKAGAEYYLEDMLPALDNFVQFGAPQLAQKPEYTQ ALFSMVADMFTDSIQGGVERICACKLAEAMMLSLKGQIDSCVEGFINIAMTILANQDV KVKSYRIHLMEMVINSIHYNPLLTLQVLENKGWTNRFFSLWFGSMTAFTRVHDKKLCI VAISALLSLNPEHVPQSVSVGWPRLLQGITELFRSLPAAQKNRDEALRDDFHLESTYD YGEEDEWDDDEANWNVEEEEETGETAESKDESTAYLNFLNEEAQKFSRAIDDVEEDDL GEDSVLLESPLDKIEPYQLFRNTLMKMQAEQPQFYGSLAGHLTADDQNVIQNVMVKAD EIATQQAQQAQQAQLLAQQQAAAMAASLGAQNGGAS FPSE_08199 MASSTQPLAKGAYAPSGPDDIRGPCPLINSLANHGYLPRDGRNV RVEEVLAGMNAVGLSKPLGAAFANPIFQERAPSKFHDDPVTQRSLLQKLWQTVTDPWS VLGKFGMRKPGQVDSEGHRVLNLDQLGLPNTVEHDISLTRRDHQQGDNITLQKDLVED LLASSKDGKVITVDDLVEFRKKRIARQKEDNPGVQYGAFENDLACAEIALIINVIGTG DRVECSYARAFLQQERLPIDEGWKKRSFGIVGLITERDKVKKKLGMDFKSQ FPSE_08198 MIPQVNPDSAQTVVGESGPVVSANDSEPPRYQVFHIEHSPNVHK IFIPTGFPRPAGYLYFVTDLSLQDHPETTLPVTADVLKSSEQMGDICQSRFVGYIASQ DLDRMRAMIKEYSRPLGPDDYAPLPDYATRCEVWVRAVVATLLNDGILEAPPPEPAAP GGHRLPRHHHTAHCIHQRLHSYHPYRRRSRQPIDQGH FPSE_08197 MSPVQVLTRLAQESQERQEGLEPKPRACLSSKGSRPASPSAQVS SVTAKAQAQPQGQNPARQGREDIVGHAQQLPEAPGVPTTSGFGNKAVKRSTKIGRHSV QGCWQHGTDKDQASEDQDQNQGTHAPDQRQLQIPHFGVGFGQGERQASVTDSPRQESV DSFTAQEREEENPSSIDERLAQSSPGLERPGHPSLYYLGLPQNQNHLHHEHHRAQQYQ GFTGDDPSISTHQQPQPLSFGQHQHQHQHHQPPACSSPPLHFSFPFPSPPASAPPPTS VFGLAPPPPPPATPPRPPSRRRFQPTTSRRQQNLSHCRSNSNLGSSSSNGASANRRLL ASRSPASHSASGKTPRQRLAPLPPQPIDSTAPQDTPTAHHRHSPFLPSHPHPPASSLS ARSTLSALSTSSPSSPILGSISSSPALPSTFSPLSSSSSSSYQTCSSAIYSPHINMSR SSRNPAPAAAGTGRQNEYFVPRDGIDREVISADICRYLGNDALVRPGHYENPQTGQAV QGYYITAYRNLTTAMIEDLKADSARWDSERRAQTSRNTSGGTIASRNVGVPPRHSSNS PVVQYRYSETHQSRQHHGPTEGPYATDPYARDSGFDGGPRYPGTGAPGYTGAAGSYSQ SYGGSSSGFAGYAQAQQSPPPADARFSSTPAAAVMNTPYTAGQSPYVDVGTNQRPRGY DAYANQAAAAAAAAAAAQQQAYATSAPSQPGYPATAAYQYSGQAPPTGYTMQPQDPFY GRGAYSGGPDGQVLAPPATDVVTERATGTAPTVTTAPTAGKSGSLAWIDDLIDFALYC HADETMLHTFYTGTRR FPSE_08196 MFIYKATRQTPRSLLLVHLQTIGFEYPPESPICLFLLINSSLPR RKTVFRKIWMASSPLHRPFGASSPLNEGGRRRSQFTYRQFSQLASSNTSNPLRVIAHI DLDAFYAQCEIVRLGIPEDRPLAVQQWQGLIAVNYAAREHGIGRHCNVEEAKKLCPEL IAQHVATWREGDDKWAYRDDAAANIVTDKVSLDPYRLQSRKILACIKDALPADVQKVE KASIDEVFLDLSSQVRSILLERFPELSNPPPYDDPTEKLPLPSIAALDWQTDALIDLN EEQEAIDPDWDDVAILIGSEIVRKVRSEVRQKLGYTCSAGVASNKLLSKLGSAYKKPN KQTVVRNRAVSAFMAGFKVTKLRNLGGKLGEQIVSTFNTENVTELLEVPLSSMKAKLG HDTGFWVFNTIRGIDTSEVNSRTQIKSMLSAKSFRPTINSSEQATRWLRIFAADIFAR LVEEGVLENKRRPKTMNLHHRHEGQVRSRQVPIHQGRALDEECLFELAKDLLSQIIAE GRGVWPCANLSLSVGGFEDGVKGNMGIGAFLVKGDEAEALRLSTSESRPSSTGPEPLA KKRRVEDSGIQRFFAKRSSTDHDGSYSNKSPTPNEKTTTARTRSEDAEKSLSYATNYG HEARYGSNISSHQFTVSSRHATAPSTDTDHSKQYSIDPLKCSRCKANFADPEALQSHE DWHMAKDLQDEERVKPTFAERQSTTRNTAPKTQGTASRRGRGGKLEQGQSRLKFG FPSE_08918 MTVDVLVARSGELDLASNTSDTTINATVVKDETIITRPPPTLTR SWSLPNASTRRTDSPAPPVLTRASSLPVKPVEPATDIDSDSSSVWTWAWSDEMQGPAT SKRCGTRWIARLLPFFMLMLVGYATYDVVVYCCVEYFIQETRKTATAIVLIVFYTIFF ILMVAAYIRCYVTIQFNTGFVPWTAAREAAESERNERSTNGGDVESLQWSPADTNPDS PGLEAFYSKDAFICESDGLPKWCSECRSWKPDRAHHSSEYGRCVYKMDHVCPWMGGII SETSFNFFIQFTFYCACYCVLIVATNAYVVTLRRNAGQGVESRVVVGLALGSLFGLFS IAMTATALRFVFQNITNVDLFRKNQTFRLAVRVPTGTRSTDQFTTITYPLSPPGDDSR APGTAHSNGVDQSDGAGSIATNRMAARDQRAKRTFAILQTQSGENPWHVGYRNNFKSV MGETIFEWFLPLRHSPCTRHDSMVSDYEFGPLVEELKRRYGLAEGDAEKGANETTSS FPSE_08917 MALVLTSEGAFDVGVTDDSSSNVASTTSTPPTTVADEASLHSES PKRDVVHVALDIESPEVTLTTSNLIEPTDSISLTEPPPSAPTRSRRSRVSAPVYNLVQ LSGTAGHGKRRAKGDIVADRRRRRRTVSGPVLPGYGSASSSPAQGATPETVRSGIDAL GVTQSASKLDSPRTRRQRILEEDLPSKRPSTRRSSTIAPAAVTPVNKKSKVTKRSRKS LESETPLSRELRRLQDTKEFSHIDEKPVIQSVWSNGKFVDPKAPAPPPKKKSQPEPAA EEPKDAEPEPMNRFRNRKPKKYLVKGLYAGQDTPTDIIKALSGVDKKTIAQVPDLIPN GRVNKTMPMPIFTGLRTLIDGRDFKLPYQVCHPLPPGQPKPDEWKKMTKNRFIGESKD YWRKSPHFHDYSSKCVCKPEDGCAESCQNRIMLYECDEQNCNAGKKYCTNRAFANLTA RRNRGGKYRVGVEVIKTSDRGYGVRSNRCFRPNQIIMEYAGEIITEEECERRMTEVYK DNECYYLMSFDQNMIIDATTGSIARFVNHSCNPNCRMIKWIVSGQPRMALFAGDKPIM TGDELTYDYNFDPFSAKNVQKCLCGEPNCRGVLGPKPREVKQPKTDLKNAVKGAVKAG KRKLKELVGDEADSGKNAKKRKVQPAKGVKRAISNASSKVAKGAASAIKKGVSTVTAT AKKAALGSKPPAKQRVSAGAILKKTTTKRVIQTYSRTPQKRAAGRASNVGLVAASKAK GASVTKVNTKSTTKIGSIKRNPFPTPMPRTTMNISQLAEIMVAADD FPSE_08916 MSAEQDKTAAATAPAEAPAAPAVVEPTAETTAEVRDEKPAQAGA SAPETSLAKLDGRLNDICSKAQHKEMWGVQLSSINHIPTMVVLQKFLRANNDDPVAAE KQLTQALEWRKKMNPTALVTQTFDKSKFDDLGFVTAHNGENNKETIITWNIYGAVKDK KATFGNVEEFIKWRAAIMEISVQKLKLGQVTEPIPEGGEDPYQMIQVHDYLNVSFFRV DPAVKAASKETISVFSMAYPELLSHKYFVNVPAIMGWMFGAMKLFLAPATLRKFHPMT SGTTLSTELKNIASSLPKEYGGLGPSVKEGQTVLLAETGKTDATSSKSAVTETTPIPV ADDAGPAAENPPAITEPTSAAHDSGSVVENAPITTESAPSAQNAIIAEPAVAPEPATA APAPSDVEVVPAPIPAPVEAAKEETTEKAVEQAGEKPIEEALEKLTVGPADLDEKKEA PAATVEVEKKENTA FPSE_08915 MSYHTDRFPGQSNAQQPQTAQGNGAFQHPGPLSSNPPFGVPSFQ PLPDRPQNTAGWYSPESVSYANSNSWMPSAHQALNNALQASGFGDMQNGMETMSPLPP NGNPVPSILEPPVAPPNSPIQGAPGVMGGQAQGAGNNMANGVVNGAVNGHVPSAANTQ YFIANGTSQPAANGVPQGMVIVTNGDSQALGSSGIANRLPHGAQLYPSRPVQNMNALS VEPSGLISPPHGHNSQPGSHHSARSDSVGVAQHFYTPVPPAQNPNMAGLPQSISFHAG ISMMSPTNTPHHFSGERMSDSLSTPGTTHHLTEPRNYAPRTAGQPVDSLPPPRFNLDL QTISSACPTSPRDPFGSPERVEPSALIPFPNMPPPAMQQAMLTAAMAVPFTGNKSQKL HELTGTYSGLPTLETAMSPAFFPFVSGPGSTKPSTAGVVRLKNIPFSTKRSEIVAFIG RNSRMLSDGEEPIHIIMDRATSKTMDAFVEFMTMEDAMRCAEKHHQYTQTGRISRLGE RPVEVELSSQAALMQELFPLARGVFWDGASPQFLPINNTEPWENFKGFIFPEEMVMLV KHVEVPHRSPFSKECPQRPYECLISTLRKFPWYASDHITIAQRGAMYKATIKLLRLLT RSVSGQDDPVNLTNQLFQRVIRTAMECKGFTILQKDNIAFMAQMTPAEQQRRHGQPMN PTSWVHQYAIARKPGIPLDIVDWYIRLIREQTTRDVLIRPIHERTSIQETLKDTDEYW GYFYHEVNYAQGPQFDGMTLGKCAFLELSAIERILGRALGYN FPSE_08914 MSQSLRPYLQCVRSSLTAALTLSNFASQTAERHNVPEIEAQTSP EVLLQPLTIARNENERVLIEPSINSVRISIKIKQADEIEHILVHKFTRFLTQRAESFF ILRRKPIKGYDISFLITNFHTEEMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARFV AESFLTPVKTNLNDTVGRY FPSE_08913 MSSISVVVKHQGKKHDVEIDPSSTGEDFKLQMFSLTNVEPERQK ILIKGGQLKDDAEMSKLGLKPGQVIMMMGTPSAGGGELVRPKEAIKFVEDMTEAEQAQ QIGATPAGLVNLGNTCYLNSTLQTLRLIPELQDALAKYSPASGSSSNNFMMAGSNMDI ASQLSNLYKKMGTTQEPFPPLTFLGALRAVFPQFAEKSKTGQGYAQQDAEEAWSQIVQ QLNQKLRITGSEDSPEKSFVEKYMSGEFTSVLKCDEEQASTDGEKPVIATEGFSKLNC HIDSTTNHLRDGILAALEEKFEKKSEVLDRDALYTRTSKISRAPKYLTVHFVRFFWKR ETQKKAKIMRKVTFPKELDIVEFCSDELKSALVPVRDKVREIRKDEEDIERARKRRKK THDQDVGDIPGGAGLPTEKEQKEAEKKQEGKSADGDIVMGEEGETYKTDADIETERNA SILAAKKELNALINPDLRNDDGANQSGLYELRGVITHQGASADSGHYTSYVKKAAPVD PKTGKKGEEDGKWWWFNDEKVTEVEAEKIDTLAGGGESHSALVLLYRAIPLPSAEGVM E FPSE_08912 MAVHKWTRQEKFKRGVWAVAFAACIFAGTITGAQLKTDNEKKEA IQEFRATSPNEQIAALLSQRKSLVAQKAVLQRKIDAFDIRVKEREAEKARRDSESS FPSE_08911 MSTPRVFLIRHGETEWSLDGRHTGVTDIPLTANGEKRVRATGKA LVGPDRLIAPKKIAHIYVSPRKRAQRTFELLNLGLSRPLPWTPHGDTPDGTGLQCEAE VEVTDYIREWDYGDYEGITSPEIRKIRAEQGIKGSWDIWKDGCPGGESPHDVSRRLDQ LIEEIRDKWHKPVMDQGSDQCGDVLLVAHGHILRAFAMRWAGYALREGPTFLLEAGGV GTLSYEHHRIEEPALLLGGAFVVELDGQDN FPSE_08910 MTDLVSENQAYFGKIANEYDQRNADAIVQLERSIQDMIPFIGIK QDGRLLDYACGTGMLSRVLAQHTSEAIGIDLSKDMVGVYNIQAQNQGSSRQAFQGNLA DPTDPSPAAFTDTKFFDFDVAGVGLGFHHFDKPDLASKRLTERLRPGGVLFIIDFVAH KIDPKDAEKRGIAHHGFSEEQIRKMFEDAGLTDFAYQELPEPITFKDPMGHGHNHGHG HDGSHAASKHEHGGGHHDGKGHSMTIQAFIARASKL FPSE_08909 MPLAETKMSEITVGGFGGAQLECARISSPHYVDASLTLPSVFRS FPSE_08908 MTRPAEHAPAIAEWIMYCSSGGDGYSGRQKPDVPGRASRSRTGL EERTVSDGTGI FPSE_08907 MADDSYRKEYPQHSRPDDSHPRTQSHYPLPPDTAQRPPDAPPGT MATSVTLPSIHDSRGYGPPPVAPGRGYPTDPRYASPNAVNGYPPPGQQPPPGQPQQYL PPLQPQSDPRSSAYPPPPPDQRGGYYDERRPPYGQEPYGQDHYYAYYRGQPHPGPPPP PNGYRHHPGGVYEYPPVGPGNGPPLAQAAPRQRTSIACRYCRKRKIRCSGYQTAPGGK CQNCARMNQECIFQPVSSSSSTAFIPVSAVPGGVPPGTQLFGAYGQPLAPGNPPPPPP PHAAYQHSSAPPPPGNYYAPVQSPTESFSSYGDARTDDGSQLAGRRRRRTSEEQDDAY RLPPPRSAVDEDPRRRSPAEFSNHSSPGGVGYPPYQGARQSPRNPTSGTLPQAAASGS YATSAPGGRSPTGQNGSSGASTPARQGQQSQGGNASIMSLSNLVEKNDIDKTMIDRLN RPAPGQPSGRRDASR FPSE_08906 MLSTTELLVSRPPITLSYSVPPSRSCFSFKSPNSSICMDTSTRI LPSPSLSLNCLSSELIALIFEQLRDLDSHALTSVRLVSKRLDAIAAPIAYRFLTLNEA LVAPDAERRHPDVFRHISNLTNHVIIPSNLNSTGINSLLSCIKRLKSVRWRYVDGDVP VTGLWLPSCLRRLHNCDPNSTQLHIENLPLRREYENNLLETFIRSIPAELLTSLKLAN STPVLSTRLNSLKQLVVQARQLATLCYEDRGQGTCFNFSPGEKLSPINNLLLRSYDWQ HTAEDVAEHWDFSGIQSLELISVPIFNFLKSVCLQDFSGLHTLHAEDYSAHLSDKRED ATVALNVLIKDHIKALEVLNITCHTQLFQLDAIAAHRNSLQVLRFRDHVGFSEDDQRC PTLPPSGLAGLGQQLKFVHTLELDLDTRLCDPFTFLRAVCAFPAVHTLTLHVQTMIQP LDEVAPGIDRDYEAAMKTFQLLVQEKQRLGVCTPWKHIAVNVGGWKRVMIRRLGGIWR RRNNMGIYAERCFVLERDTQSGRIAVHEEVCAEPTSHGGSPSP FPSE_08905 MWAKRMDLDKDNCTTGETPLKAEDGIDALAPNNTLGVTQQKTTL WESAFRRASPFMHARPYQEASVFSLTWGFPGPNH FPSE_08904 MKSMKGLGMSKMLGSIRKKASNVSNRTSDGPSGTSPSGTTPAGS PEATAHNSVKAFCESGGKAKQDEVLFLPPIVDAAESSPAAAAECARLIRKYLSKDYFS KPSWQYNAVMLLRILTDNPGPTFTRNLDQKFVDTVRSLLKHLRDPSVRQIVMETLDDF QHSKAYDENLTLLISMWQKEKEEAYKNYGGPQQPMMSPGGYNTSPPAQNPHSQNYFAR HHTNKRLPDPIELASRLEEARTSAKLLEQVVMNTPPSEILDNDLIKEFADRCSSASRS IQGYMTSEDPTPDNDTMENLIDTNEQLQTALNQHKRAVLSARKQLGLNEGTDSQSPSV TPQLQPQQQPESQFQANGTNTDHYFPNASSSSSGHNNGKGKETQSYSPPSGPPPKAGE GSTSRPYDESAENPFADPQPGGSAPTVVAYQYSEEQRLGAEPFHPGFRPTESYLGRQD SAIGKVHMHGAGASTPSAQPPAQQRLDEVSDDDDIYDAPSGPPPKSKEPMYRY FPSE_08903 MDESEIDLGQLSSTQQEALNQYTQVTNQEVNEAIPLLSRSEWNV QIAIAKFFDGETADPIAAAQQEIPRATARHENLQESLFHEAARPSRASPRQRTDLAPR IVPQNSVTNRAPWLLGLLLTPFSLGWRAASTLFRTLIYALSFLPASIRPRAVTSRIST GFRGTNGRRPLMPRDTASRFKREFEEEYGESDLPFFEGGVAQAHDQAKKDLKFMLVVI LSPEHDDTESFVKETLLSPEVVAFIKDPSNNILLWGGNVLDSEAYQVAQEYICTKFPF SALVCLTPKEGSTRMGIVKRLVGPMPSATYLSEIRAAIEKYGADLDGVRAERTAQEVT RNLRTEQDSAYERSLAIDRERARQKKEAAAAAAAAEKRALEEANAAAILEEKRGKWKA WRATTIVAEPPTSEKNVVRVALKMPEESGIGRIVRRFPQDASLEDMYAFVECYSVLHQ DDAAVDDRPEDYEHEYKFRIASILPREVYEPSTTVTMGEKIGRSGNLIVEYVSLDSED ESDDDVDDEDEETEAVQ FPSE_08902 MADSNKSRSKKSLNVDSPSFTPASIGSKKPTFSSQAASAPAFTP RGLGSATPVGLQDSDSSLFNPASAREFTPTFDINTTTATNGSAQDSGISYDPFTVNQG LATPQFNPYAEDPTLGGHGPGYFQAQNAFATPVQPLQHHLYFPVAPHRDDLMPYHRVP HDFFLPEKEREEIARKLEAAGQVLPNSQLPQLDNYHNLVPLDTTHRKNANIFGYPSWV YKATATKTGNLYCLRRLEGYRLTNEQAIKLVKEWRRVNSGSVVTIIDAFTTRAFGDSS LVFVQDYYPLSKTLVEAHLTPSTTHGNRFQAKNPVAENVLWVYISQIANALQAIHSNN LAARCIDPSKIILTHKNRIRLSACSILDVVQYDAHRSIQELQQEDFIQFGRLLLCLTT NTLPVHLTNYQVSLEQMSRAYSVEIRDTILWLLTPQQPPAQKGIEEFVRGIAGRITFT FDQNLQALDKANTDVMREIENGRAARLMMKLATINERPEFEGDRAWAENGERYMLKLF RDYVFHQVDNNGKPVLDMGHMLRCMNKLDIGSDERICLTSRDEQTSFLVSYKELKKML ANTFGELVKGSKSGRGF FPSE_08901 MSDRPSHRGGRGQGSHPRGGRGGGRGGGAGGAQQERERPKKENI LDLGKYMDKQITVKFNGGREVKGTLKGYDALMNLVLDDVHEVVRDDEGNESTRSLGLV VVRGTLLVLVSPVDGSEEIANPFVQPEDD FPSE_08900 MGHTSDSEPTKRKRDVDDAGGPGRAQHAPTSLQGVPGYIHYLSR SNASHLSLVQGDADTFADIVGLIGEYENVLDRHESLAASLGAKLTGPRLVRGIEKFFD GPIKTTPLQPFSNPISWLDVVSFARSNPKDFSLVQKPDGSRCCQFILKGSQVEILEDD WRLVWSGALDRFPLDHPLEEDETAELATLDILEQRTSILYKKADEVAARARILNHRLG QRKQDIRRRRSTQDPSPSRYQSANSTTSNASQRTVTNFGAGYDLHADLLQQFLTASAS PPPSRSTSVTGIPITALGQPSPSHSTTQSQTHGSRYGQAMETIAQPAIDSREAAFRPL IAQKTDQLGKGDVITPPCDRCRRLRVECVKHLTACSGCTKKHAKCSWKTVTDEEFRQL KKEMGLRDEMDVDGQSDTGPSTETLDPKDGLPSRVGDRQDAAKTSGERTPGTYGTDEG SRPPSRDNGHLPTTMEPSTASMHRFDLSAERHRLPFGIGQTARSDHSNHSIETLQTGT SAAGVTNSLTIASNSTYRSGDGSLNPSPS FPSE_08899 MSHHQTSSQIMTRDDEKPFNHTHVPIDHAALIQSRETGDVLPDD TPIQGNVKALPFAKSWVHMFAGGVGGMTAAAITAPLDVLKTRLQSDFYQAQIRAQREA QVQTLGRLNPARSALYHLNDTLQILSSVYKNEGWRALFKGLGPTTVGVVPARAINFYV YGNGKRLISEHFNNGVEAPWVHLSAGVAAGVTTSTATNPIWMIKTRLQLDKNVAAGGA QMRKYRNSYDCIRQILRDEGIRSLYRGMSASYLGVVESTMQWMLYEQMKVSLARRHNE IVRSGREKTWWDKTVDWTGKGFAAGSAKLVAAVIAYPHEVARTRLRQAPMNNGLPKYT GLVQCFKLVWVEEGLMGLYGGLTPHLMRTVPSAAIMFAMYEGILRLFHTPA FPSE_08898 MASDQDNSGLDAPGSQFHRPILQSMPDTRQQSFDEIYGPPENFL EIEVRNPRTHGMGRHMYTDYEILCRTNIPAFKLRQSSVRRRYSDFEYFRDILERESAR VTIPPLPGKVFTNRFSDDVIEGRRAGLEKFLKIVVGHPLLQTGSKVLAAFVQDPNWDR NAW FPSE_08897 MAAVTTNGDAAASVLDDIKPPAGVVLPPREIRNVLEKTAGYVAR NGAVFEDRIRDKERSNPKFSFLNPSDAYHPFYQWRLDEVKSGRGTAIAAGRANEPAAE PPKPQGPPKPVDFQFSARTPRIARKDLDVIQLAALFVAKNGRQFMTQLAQREAGNPQF SFLIPNHTLHNFFQHIVDQYTTLLRASGLSGEGGKLQEERVRELEANIKDKFLVLNRA RQRAEYAKFVESERQKKEEEEEKQKEEFAQIDWSDFVVVETITFNEADDHANLPPPTN LSDLQYASLEDRNNASISASFRIEEAMPDEDTSYNAYPNQPVAYPIAPQQPAYQPAQM PPPMQPYAPLPQQAQRSAQEEEEERRIQERAEQQARKQQATAEARGGAPPMKIKENYV PRAAQKAANKQGAQMALCPNCKQQIPMNELEAHMRIELLDPSWKEQKAKADSRYASTN ISHVDVANNLKRLASQRSDVFDPVTGQAISEDELARRKKAAIHSYDGAMDAKSQAQLG HMQNVNVEDQIRAIHQKFADKK FPSE_08896 MLTLIEAFRFPDMAWKYFAIGQAELAAGRLKDTHLRLCIGQTKA VLGRLSGNMDEAVSSLQDIVSNNPAASASKRVRCEVGVAIIQRSLNCIQVADLSTAQK LLEDWDPYDAEPSPLEKILSFRKYSLLGRVMRLQGNFEKALELLKTAHQASQITGELV FDEDLRDLTCDLADTLRELNEPVAGEGYLRAEITRRTERPDPLQGKSLLELALAEALF AQERYGEAKEICVDIESRASLLKYERLRVNVILAKLSHTRSDFEAALSRWSEAMQALQ EFSLVDGQVQNIISASMADVLDAQGHNWLTKESPRKASLTELAKPEGVPHWIAGFRQW ADYLQSKGTR FPSE_08895 MARTRGKSPQPPVKATTPVTEKQQPIAVPQQQQQPTTVTTKSKN KSKNKVTSYQSEGVEDNDVFLLPLSDYWVVLALMVLATIVRVYKIYQPTSVVFDEVHF GGFATKYIKGKFFMDVHPPLAKMLIALTGWLAGFDGSFDFKEIGKDYIEPGVPYVAMR MFPAICGILLIPCMFFTLKAVGCRTMTATMGAGLIIFENGLLTQARLILLDSPLVAAT AFTVLAFSCFTNQHELGPSKAFQLSWWFWLVLTGLGLGITVSIKWVGLFTIAWVGSLT LVQLWVLLGDNKNVSMRLFSKHFMARVFCLIVIPLTFYMAMFAIHFVCLQNPGDGDGF MSSEFQATLNNKRMKDVPADVMMGSRVSIRHVNTQGGYLHSHPLMYPTGSKQQQITLY PHKDDNNIWLLENQTQPLGVDGQPINGTKAWDALPEPVHIKDGAVLRLYHSPTHRRLH SHDVRAPITEADWQNEVSAYGYEGFEGDANDLFRVEIVKKKTRGAVAKERLRTIESKF RLVHVMTGCVLFSHKVKLPDWASEQQEVTCARGGTLPNSLWYIEGNTHPQLQGDVEKV NYRNPGFFGKFWELQKVMWRTNAGLTDSHAWDSRPESWPILRRGINFWGRQHTQVYLL GNPIIWWSSSVAVAIWIIFKAIAVLRWQRSCNDYANTTFKRFDYEIGTSVLGWALHYF PFYLMKRQLFLHHYFPALYFAVIALCQLFDYATARVPGVGARETSVINRIATVSFLVL SAAVFTLYSPLAYGSPWTKTDCKRVKLFTTWDFDCNAFYDSYEKYSEIPSISSSVVPT TSVAKKEEPKKEVPAVQQQEEAVISGAPPAPDQSHVEHRVVAKEEKVEYRDQDGNLLD PEEVKALEGKVEFKTKYETKTRVVDEQGNEVQEPAEGWDQNLGAGVAPPHPDVEGVDS ETVKGNVEDAAAPQDAAASKDGEKEAEEAKAKPASENQQDATVNDEL FPSE_08894 MSAAAAGWGQPLATKSPASRRSLGHFRSLSSIAAAPSYHPRTPS LTPDRTPIKSSSSTHLPLGVKSASVDFGDEMSTIPDPRSRAISPADDSGVATPNHHPD LNDEVATLSNKLINAINHQTILDDSLSAARHELDAARDRIRDLETQNASQREMLAGDV WVRKHTVEAEKKVWQAKIAVERQKRLDTEMEKKKIEQELENLTAALFEEANKMVIAAK EEAKADHEVLQRKNDQLKSQLADTESILKSQQEQLVELKHVMEHMAAGREEHTNPTAP SSPGLTKPDTRDDRRSSTEEPMPSLWSAAAVVEPAHPTSLSHLIQPVLRTDLASYDDF VSLTRISRNRASSRVSSGSVSALNALTGFGLGGSISSAHPSNASTTSLGTPIPGPGSA PQSPNTPASTVSAASNASATPLPSLRETKFYKRVLAEDIEPTLRLDMAPGLSWLARRS VIASIADGTLVVEPVPTTGSLVALTKPQFYPCSLCGDSRKGPEYLRNHRFRTSETDSA QRHPLCKYCLNRVRSTCDFLGFLRMVKDGHWRADNEDHEKAAWEESVRLREQMFWSRI GGGVIPSIPAPISIDMEKSPRNSDDGSVRSDHLEVPGFQTPPTTMERTLSDISNVVPI EEPHTPPMQIDGPRSVRTSVQSLEVKSASGSEETKRLSLTIPATD FPSE_08893 MPRSERRRGERQQREREQDSQYNGVLHDETSPLLPRISEVSEPE TSVSLTDVHSLLAEAKLLCRYSLPLIATYLLQYSFTVITTIVAGRLGAEELAASSLAL TTINIIGFTIFEGMATALDTLCAQAYGSGRYTGVGMHIQRMMVLMAIVMVPVGAIWLC SHWILPLLVPQRSLALKAASFLRVSLVGLPGYAFFEAGKRFLQAQGEFGPGMVVLIIC APVNAFLSWYFAVKLNMGLDGAAFGQALANNLRPALLLLYVILIGKKSHRCWGGWDAR AAFAVREWGPMVRLSVASTAVNLAEWLAFEILMISTSYIGTPHLAAQTVLNTLSIVTW HVPFSISVAVTTRFGHLVGAGALKEARRAAILYSIVFIGVGILDGAFLFLLRRPLAAL FSDDSTVKDLAVGSMVTVACFQIIDSVICGTNGVLRGLAKQSVAAWVVLAVNYLAAVP FAIWLELGSPDLKLDGLWIGLGSGMVIIAGIECAYMKWISWQDCVDDVKEREDDGT FPSE_08892 MTTSKLIVVVGATGGQGGSVVETFLKDPTWLVRGITRNPSSPRA KTLQSRGVDMVRADLNDQVSLAKAFRDANVIFAISDFWGIYSESDDTDKPEPGSLFNE WVKQKETQQLKSIIDEAAKITSLERFVISSLPNVTKLTDGKYTEVCHFDSKANAVEYG ERHQPDLWSKTSVFVPGYFLRNFLSHPMSQPTKGDNGCVQFSTNLDLDNKLPFIVHDE DSGPFVKSLVQNGPNKGVIGYRAWLTFRQFVDIFSNVTGYDTEILKFPLGQFTWDCEP ELRDEFRETFAFVNEVGLHGGDNAGYIHPFTLETPPDVQSIEAWISEQNWEKVLGS FPSE_08891 MDPLRKTIVVASNNIPKIKATREGFNQMFPGTHDFQGLSVHSHV SDQPFSDEETLRGATNRAQNAQTLKPEADFWIGIEGGVESHNGSICSFAWIVVIGKRG KVGKARTSAYFLPEKTCKLLKEGVELGHADDMVFGQTDSKNKQGSVGLLTGGVIDRAA YYTQAVILALIPFRNASLDF FPSE_08890 MDGTYNNPYAQGQSQSPLSPQTPGGYQVNVSRQKTRKWVQAPVQ NYDGDDWGADEFDDDEPQPPPPVPRVTTGLRSVGQRPPESYGPSPRLAAAVASSSRSS SGPPSLQLQTQHPAGPSHDATSEPIHNVSSPVTGRNGSPAPVSSVAGSSKPPSFQQQP EVRSSTPQSPSSSARASPSIRPDLYRHIDDERKLGGSPLSIAENVAPRPNDHSTPPVH NQQGYGQGDDPAIDRVQKQPTFDSILAKRDELHVGQPVSNDAPAVGSAETSQQINNPK EESVLHSPTDIDRTRMSVSPQLPDVARMSVFGADFFSSGNGDASVQDQVKQEQPLASK QSTSASRNLSTLNEKPQEGEDDGNGTRLDNALASNSSLIPTNDPRSVPPLRTPSPNTK GLYPSSGDPASASTATGSKITPTEPLHPRPSDYSPSNYEPNSALTQGTMNTFNSSPIK ESDVLSEEIMRSLSPIAPTPNSAHARPAPENAQSLTPGGQNEIQNSSYTLSDYDNYWA DSNDKPEQGQQKLQVANASVHEQQQQQPTEQPPTGVSTSSGPKPTSPPESPNAESRRR FSWEAGFDPPPKILAVEAPTGNLEREGSAPTSTPPVASPDVQSSRNLVSVDTNQPNES PRNIEAPKIAIPPSGGISHQVSKASTLPPSQQQTTLEPPSPVSVEHDDSTPQATADRR PSVAEDKSLAKTTSNLTSATPPPEKSSRSVPSSSEFPQTTPWRDIMTLATPVQRTLKF EAGRDAHQAWESGLDNWLSSLMADHPEYVNSTASFSGAGSMPTSQKGHASGPSVSQTQ QHLNTSSPTTGQPATGRSRLAGSVPSGSVGFGNSSNQIGTKSKELMQSAGKMGKGLFS KGKSKLRGTGDKGEPTSPVQTKLKAERRASWGLDRLTRSRADETSEKDVVNSRPSTQS YHAPQSSQSSSIPPFGPVPSTGESGKQVASRESDKPQLSQDVSAVPSREHDDRSQWYI PTPIDESTWDPFREANLASAKASKESRALVASEDEPQQSVNAEAPFSKPVSGGTFSVP VGGSVRMVPLEEHTSLSHQPMSVYATVTAQETHRDVQYESQPRSPAQANDSSTTPQRH SSFVGLPPIRRGSTFDVNSRSTTIERRTTEPIDELVSVDAENYDEILRQQGTITSEVT VGSTLVGTDSIAPDKEYKKDIDDPETPAMTPERQRRASQQRSPQEVPRHSLQMHPANR GPMPPQNPEYQNTSLPLLPPHLMGGGGNPVQRMPPSGPWKLEESHLSEPLHRVTPKAS PLLPSGQWKLEESHLAEPLHVVNRNRAGTNASQISQRSQRSQHSQQDPFFGYNKETGD VPTSPSSSSTTQHQHHHHHHQRQKPSEVPPSTTNRYPGLFPGQQRPQSNSQETRPSPG PQFARRLSHEEGISRMGPGGSGVPGDDRGRSRGASALFKDIGHRFRKSSTERRHTPMD NHNPYQPPQLPEAHHDAASVSSVATDGTNDRKKPRASFMLGLRSKQSRERLSMPPPSR PEDLRPHSAMEEKRASRLNPGLGFDSAHSRPAGVPRAATSNLANELSQHGSATPPPKK RFSAFNAKAAMTNVFNRPSSGTYAKPGTPTSSRPVSSHLSVQQPVSLEQSHLGFERSN TAGPSFNQMLPIPPDAPPADASERRTRRGSAAGLISSLLGHGLKNKEAQPSALSQMQQ HPVQQSHDRRSSGPQLNLPQHEMLQAQDQRSSSPQPPTPALHMPGAFPETPGIPTPQS DAPPLSSPQPQRPQPFVNQQPPMARQMTGGAVGREQSPMLTSRSSSGAIRESQHAQAA YRNSRPSPLGFGSMTPSGTPPIVTTTDNSRHGTPQTSFSVGQSFSDTRAEQMRSISPA ASGVRSAPTTQTSFNIPSTAPTTQTSFHYPSKSFEKLSQHMHEGAPVQRPATDSPNMS FRQNTRPGQVASDDGMPLSPVSQVSKTSPPSVSSMATQSPRLNSSFPTSGRRQSSQIS QPETPIISAVSASPPVQAFTSRMGMSPQQPQSSNFQSQTGPRQGAYPMTLSQPPMQPQ QSDGGSPVPPKNETSHMQQPQVVDQPAQQGSPGVSKWKGLKNRMSAQVAHKSQPNQGK AEADKDKLTTSKILGAFKRSSKENRQSMVIPDFQAYQQHQMEAPHQRQHPQQHTTTQA PFEQTQSSRPSSNIGGQPFGQAATSRPSSHLSNQSPMQLQYKRQSSMFISPPLPQQQF RSSSGSIPQGQPGMYSPQQVLAYQSPQPQGEPLSPSSPTGPTHHLSQQQQQQGHYQSS LQHQGQFQSPFQQQGQFQSSPRQGHFQSPSLHQRQFPLPSPQGQLGSPVQHQGQTQAP TQIQGQFQSPPQSLGQWQHQTHSPSNQSLPAQSRQASYPGQWQGTIGQSSNSPAHQSP RHSHFSQQPYSPQPMHPNQQMAHGEESHPVHVSTPETVHAPTPVHAPSPRPSLLNLLA SGSLDNEEAQQLDLGHTQAVPRDDQSNAISLGNSNTQRFTGSEGPYNSRTSGNRQASA GSSPIKHISPEIGTEQNGSSKNQAPSLPMPVFTSDSVGGSFMRAGAATNANGGYSSKT EESQGVSISPPTATSGNAGSGPIRQSPSDMSDSRAPSQPKSSGGPSFHAELENTEDAR RRTLRINSQEEKIHYDPNADSDGEVPAQMSATSYPGQEWNPYGMTEVGDWNEALYR FPSE_08889 MAVASIQDRTSEFKSVLAQAQRKQTSSKVSSQRRSLLTDAQKDA ANGHPTGPPRRSDFARKAAEIGRGISATMGKLEKLAQLAKRRTLFDDRPVEINELTFV IKQDLSSLNQQIGALQTITKQQHPKADQEGEHNKNVVYLLQGKLTDVSVNFKDVLEAR TKNIQASRSRTENFISSVSQHAQPSIQQSASPLYGTPARNSPVPGQDTLSLNPVGDQQ LLMMEEAQPSNTYIQQRGEAIEAIEKTIGELGSIFGQLATMVSEQSEMIQRIDANTED VVDNVEGAQRELLKYWNRVSSNRWLIAKMFGVLMIFFLLWVLVSG FPSE_08888 MAPSTFKLNTGQEIPAVGLGTWQSPAGEVEKAVTYALKDGYKLI DCAYCYGNEEEVGAGLKAAFEAGVKREDIFVVTKAWATYNTRVELALDKSLKALGLDY VDLFLVHWPLLLNPEGNDDKFPKKPDGSRDVIRDYNHVDGWKLMEKLPATGKTRAVGV CNYSKKYLEELLPHATIVPAVNQIENHPELPQQEIVDFCKEKGIHIEAYSPLGSTGGP VMSAEPVVKIADKKGVSASTVLLSYHGNTVLAKSVTPERITANKTIVDLDDEDMKALN DYSAELQKNNQVKRYVYPPFGIDFGFPDKS FPSE_08887 MATDRVKASVLHGEKDLRLEERELPKPSSNEVQVAVQSTGLCGS DLHYYNHFRNGDIIVREPLTLGHESAGTVVAVGSEVAHLKPGDHVALEVGLPCETCEL CGEGRYNICRGMKFRSSAKANPHAQGTLQERINHPAKWCHKMPEHVTLDLGALVEPLS VAMHARDRASLPKGSTVLVLGAGTVGLLAAAVAKADQAKTVIIADILKDRLDFATSNG FADASVVVPMERPQTIEDKLAFAQRVAAMVKETQIDGEAVGEVTAVYECTGVETCVQT AIYATKPGGKVMIIGMGTPVLTIPMSAAALREVDIVGVFRYANTYKEIIELLSNPPAN MPDVSRLVTQRYSGMDKIEEAFKMAGKVRDEQGNLVIKVVVDFSKK FPSE_08886 MSASFFSLQGQTALVTGGTRGIGQAVAIGLAEAGSDIILVQRDT TSQSTKESIEKLGRKAFIYTADLSSQESVAALVPKVLADGHEIRILINCAGIQRRHPC EVFPDSDFNEVIQVNLNSVFTLCRDVGAHMLNLEPSPVTGRRGSIINFGSLLTFQGGF TVPAYAASKGAVGQMTKSFANEWTAKGITVNAIAPGYIETDMNEALLNNPERLASITS RIPAGNWGSPDDFKGTSVYLASKASGYVSGHVLVVDGGWMGR FPSE_08885 MAQEGIFSANLLSSEVKAALPEGYTLRALRKSDFNSGFLDCLRV LTTVGDITEADFVKQYDDMAAAGSYYIIIIEDTSRKENPVVGTGALITERKFIHSLGA VGHIEDIAVAKDQQGKKLGLRIIQALDYVAEQVGCYKSILDCSEANEGFYVKCGFRRA GLQMAHYYEGEKGKSK FPSE_08884 MDNASITSAEELPPQPGRTLLPSPVAQAVSLATRSTCLAIRLSS RAGSFGLSAAKLTTLSSLELARGMVETVLGQAGRETLSRSQNDMSTAEAESIIERSFE HLHNAMSQAVFWTTAGFQFTNTTFSMASGMSQLLLSSLDQIFGSTDSSRAIASIITLI KREFHDPATGLDGETIGMVDLVLGLCALAYLQRWSWKMVEEERKRQDCEEIVWDVVVL NDGERVDIQDHIPRSPVFTKTASLPSRDNSLDKFQAATTIPSRSVSFQDEEEIIDRLK DEMINKLPPDTSVSISNTVSSIQTVTIDVDGPCPFPLPLLPGAEIIEAKGLDNQVGKR CSQLLGDPPEASSYRVVYKLERSRSGGMSFPGSQDDPMSVVVTSQDGSPPMVPAKEPP QASKKQRTPVAKSSPPQWSRPTRITNDTPTRTSPSTTSKSRSNGTRDERVRLPRSNST SPPSSPTEPQTPQREANQKRPRAPVNPSYPRGKTSGSREATATPKRVLSKRKSDTSTT ATQQPSDKRTGLRQALREGSQSISNIWTKDSPPTDNKKKPQQKVPNTAKLSSKTANSP RAHLDQQRIEKAELIPRNSSRTSYVSVHERRRDSMVSQTDTYSFQSGCVTPNYPPSVS LNGPTFDSTWAQHSPNDGLLAPPPISVSHRRIIRKSPSLWSMASNDSQSSVVLSYYHQ KSAYTASDAMGGLRRDGMVNGIFPQAHVLRNITRYMRFSSASYGSAFLKFMGISKEMP LLRAWDSTHTDVRHFVHHTESDTHSVLLASIVDPQGGTDSSGSTGTGVPLVHYISLDH DAKAVVLACRGTLGFEDVLADMTCDYDVLTWRGRGHKVHKGVHASARRLLYGGDRRVL LTLREALLEFPDYGLVLCGHSLGGAVTALLGVMLSEPNPTGTGFVTAIHAPERTVGND QLDGLLPIHSTLPPRRPIHVYAYGPPSTMSTSLRKRTRGLITTIVHGNDIVPYLSLGV LHDFQAVALAFKNDQQQAKTEIRQRIWQAFQTGVADKWYGGLPSVPSGDASKWGHSVL QGLRAGMTNRKLVPPGEVFTIETQRVLRRDAFLLPEEDHIGRPAQRIVLKYVKDVEER FKELRFGTSMLIDHNPARYEEALNKLRLGVV FPSE_08883 MAPLITNVYSADPSAHVFNGKIYVYPSHDRETDIKFNDNGDQYD MADYHVFSTESLDPPAEVTDHGVVLKTEDIPWVSKQLWAPDAAEKDGKYFLYFPARDK QGIFRIGVAVGDKPEGPFTPDPEPIKGSYSIDPASFVDDNGQGYLYFGGLWGGQLQCY QQGNDIFDPEWQGPKEVSGEGVPAQGPRVAKLSDDMHQFAGEVQEIQILAPETGKPIL GDDHDRRFFEAAWMHKRNGKYYFSYSTGDTHFLCFAIGDSPLGPFTYGGRILEPVLGW TTHHSVVEFKGKTYLFYHDCELSKGVDHLRSVKAKEIFYDDDGKIITTTAD FPSE_08882 MAPIIPIPQAERPPDKPVPRGPKSPARTAQIQSQNRRREYLARH PSYFESLEHELADPVLYERLVKRLQTASEREAEGKAKGYGRTLEADLQRGESKLTQLK EGDGADNSIGDLEHPWEKPATDKAHGMLLWHAFLEERFVHGLDEDFDYRPVDANEDLD TMLRRDAQDAWFDDEEPSWIDEDEPSIQAARRQGETGVQDF FPSE_08881 MGATDIASRLRARFTKRRHSAAGSQASSNHSVTDISSSFGSRQV GDRDRARSRQNGDGDRSSRAVSNSRGTLSSRHGMTATTDDSQRQRQDSDEFAKIKVSR RVSEPEPEPANSNSDSAENTSSETDTEAPGAVPPVKDHSTTRDVALKHSTPPVDQESL GEEPATAATSSSCSSSSSDQHQPPPQPQPQINPPPLISPSLHQQEQSSPSRPRQASSN LDRIHEDAQDSDSDSESPTARSRAVASLDLDAASELAGHNDDDPLSLDQAPNPPRSAA FAPLAAATSTNFVTPSPGLPSSGILSVPGSPTARPAPPPRRQSLLSNRQTTLINTLLS NQSTHEPNITQPHTYAPINGNMVTRKIWVKRPHASPTLVTVNEDDLVDDVRDMILRKY SNSLGRSFDSPDINIRVIPRDQHSERVLNPEEPMGRTLDAYYPGGQTVEEALVIDIPR RAPKASPRPVIPAYVGNVYYSEDGRPTETSDGYFPSVGVGAHPGAVGSPHLPVAVPAH VNTQGQQHSIAVLGTGIIPPIPSPGRTRTHQSHPSRPGVARTHTSSPTTIVGTSSVSL AAAAAANHASHDAPGMPPAAPPLPTPPAPEPSTGYVSTPPARPSSPRPIPKTRKTKKS EHPSLPPGMLNGGVPPINVLIVEDNPINLKLLEAFVKRLKVRWSTAMNGRDAVKKWRS GGFHLVLMDIQLPVMNGLDATREIRRLERVNSIGVFSSSPDGEITTENPDEVDEKDRL DNSSLFKSPVIIVALTASSLQSDRHEALAAGCNDFLTKPVNFVWLERKVMEWGCMQAL IDFDGWRKWKEISQEAEENEAAKKAAVAAAKAKSKKNRVSMTKPG FPSE_08880 MPPRVRGASTPLVGLDAAVSISSRSSSSPFLRTFSTTPCREKMS KGRARMFEWLNHGGGRSLAEAGTSPNYLGPHQDQPFPLNPLFRSQTVLSDQTREIIYD KVIGKGELLKAVSAEMHIDVRRVAAVVRLKEVEKQWKAEGKQLAVPYARAVMKMLPKT FWEEGAENVPHEPINEIHVHNLTMQQLFTPVSESRHFTREDAAKAFHDRMLSADKRSP QRELIKMEKQVIKGVPRQEALTKFQELVQKHETEDSEKEAEKGRFLDSMTKRVKTDRY EFRFKPMDINEVGRDGRSRKGTGWRYGAPFEDRKRGLVKIPTSVP FPSE_08879 MADLGLPFHSISGLPNFRDLAGRPLPIQSHPGHTIKSGLIFRSA EPSRLTEDGVAALQDLNISHVYDLRSRTEIERYATGTREWSGAERVFVPVFLDEDYGP EAIAVRFKNYTAEGTEGFVEAYRGIWEAGTKPISTIISHLAKPDPSPLLIHCTAGKDR TGVICAFILSICGVDDQTIAREYALTEVGLGDFGDELLKAVTKIPELQENPEGAKRML GAKPENMLAALKALRGQHGSVEQYLVKYCGLSEDDLEQVRKNLIVPKQ FPSE_08878 MASDNFTDSPASAGNRGQLIDSQQWKCCNCDHGFWSDKTDLVCP NCNVPRCTRCGVC FPSE_08877 MGIKDLAISYVVFTVIHFFLFALALATCGLYGTDVHHANQQGKY SDSKWVYAVVVGSISAVTCVLYFVPFVLRIAGVFVPVWDFILFVLWIALFGVFGKMYI NEDAEGDGDVRRMKNAVWVDLASALLWFIAALGAFGYWWKHRDHRSRFTGRAHV FPSE_08876 MASFARTIKSPYLSPRLRPSMSGRTMSTNSDRSNNNSPNSPRSD ELCDFELSGLNTPIIHQHSRPSRGRDGFFDGEDIGSRTSYFTDDTERPSGTPSAPSTP RSRSHARPIVIDQPQLKRFTSAPARTPPEPLSARGDLPGGYFPLHEDPTSRVHRPHPF QNHPDARMSRLISESGPIYADRPTSHPAQSALMSQSNTPVASYLAPGFHDNPLPMGKY YPSNYESRTGNQSNMRPPLNGTMSSNIGPDSQTVPRANNQSNPETELRRKLQQYQRDM IAQASMAANELLSSSAKSGDKPGISLNSLPLRDSRFATPGSHKPISPRLLPLGSPGPV TPMDLESMGSGDYLSR FPSE_08875 MTPSSVPSTATVVDFICLFTHDLKRKQKRWQDGVLKYHTFNKRV MVYDDRSHFVGDAHWQGGGDLEPGDEFELDRGSAIVQVSDCTGKREQDLTELLDKRAK EVEKRRSNAGTRTPGSTAATTHTPRNDQNTPHFQLRHRPLNDLVGGATRIGRAVISPH SPYQVRKMAESPAQQQDSPTEDARPSKRRRQEESPPSKMGHARALFGTTLTLTPFSSS VSAARSQALPAKTTMKSKNMSTSTRTDLLDAPKRIKTSSETLPCSTDPEEPEARATNQ TAPRRVFTQRASLKELLAGNEQNWNEEHPRPRESISKSRPNIPEKARPFLSHNSDNAI SLLTQTEKSERLDNAVQNLQRSASTRQVPGVVKSAKPMPSAVNIDIGDSSRNRKQLAE TNNDAFLSWLALGEGASSGHQMPIVPTNPSTTRLEKSRTDRGTIYQKTVEEVNHGVAQ TPIQIDEDEQSRPRTVTQTRPRNATPKPPRKNQSAVVKTHGTKRPLSTDRDPPAQTGA VEPPPAKEPRTELRIRSRQRRGLLMVAQNKQGDRAGSLGRLLPLSSGTGNDAHISDRP AIPAIQLQEESDIAHDVAKGTDPPPPPAKGKNSVVLEHPSSIQEPCGKSAGNFDSVAI EKVSGEESTEDPKPMRGLSGENSQMEDSASDNIVSEKDRASVTPPRRTNPSRRTRGKA AQVVLSDNEEEIAASNPPSGAGDSDNQDENSSTDTESARERQPTTKPRAKAETSSGPR ITKMSRKSVKSREIIGFTIPVEDFPPPNFGIGGFGQPKIAAIENMNTTENPSHRNHLT LKPPGNTPILAHQQESARELSREDQLQKKPVQEKQTLGEQPHIEQTIQQPQIKQLPRI SNPATRGKKAARKQDAAGLPPQILVQLETSTSSRIVSANSLQKVNPASDTVRSELPGF CKPNGGAWSRHAGDLLGMTRPSKAPPR FPSE_08874 MATNSNTLPQGFSVFQPALGAQLQFFPDIGSSELDELVNAYIPG PASIQEKRATISLDYFEYAHLTGHTFKFYPVYTLSASVESPASASPLQDSSYGSFNTS PATSNWDWSYVNSTSSRRSSPKSTASQQPADFSNLPGMKIMTKDGRDVTNSASRGSKT KEQRDHAHLMRIIKACESCKKKKIRCDPSHKKRGVTSTVAQPAKVTKKTKTVAPEAKV SVVAQDAFTGQMTTPELEFSVNLDNLTASVEPESDAWEQFIQYPAVDDSYDFFNDPEG YFSPQSPSSVSDFSAKPITPTTGQDLLRRPRGTADVEIAELDDSAAYLPFNQVDVNHD YADFNLYSPDSSFSEDDRMVPVQVSKQSVSQPKSPAPNPLPPRDFSNELSSCGDELGG DELPHNLFGGQLAAPASASQYLFTHGQVGRKDVCDDSGAGLKNHAAIVPTTSLGSYIL STNTSSQHSAPAVASYTQSSVDTLSSTNSNVTISRDIIEAHGMTTAGSSDHRLSENAE TQAASQPTEIVATQQNPQTLAAAAAQADSSQLNEIARAVAVFKPTETVSTASQASCHD VSAEGGPKQPDSRDAEIHNVAGDISQPSPTPAAVALVSYCPASTIRKPGLETLVPLGM MLIASTVWSFVYQLFVNGDECCEHGTRFSSQMQRKVKQMTSKIGRVATKLQSITLSGT TPAGWTISMGRGLIAV FPSE_08873 MGVLQSLGLSPAKKAGAKAIRRLFGRVMPGEGDSVTASASASTS DTDQTGNGNASNGSNDHQEISKDKNEAQQKRNPDSSSSPSATKSTTTTDYPQQQHNLQ TAAILVASNSMQSLQNRLTHNGDADKENQPPSASQLSESLARLELQDNKSILTSPKPV TLVIPPAPISTDPAVVAERAMHMKFTEAALDMARLALQTNETPVGCVLVHDGRIIARG MNATNVTRNGTRHAEFMALGALLSYPPKDGPRTTYLKPKAENQSEAASETSSIDSGPP DEGNEDGAKGHLYPYGQKCHPDARVDRSIIRESILYVTVEPCVMCASLLRQLGIKKVY FGAVNDKFGGTGGVFSIHANSLPVSTDGQTASAHPTPKPAQLPDGSGTLGVSYPPGGG DGGNIESGYEIEGGWGRDEAVGLLRRFYVQENGRAPVPRKKEGRAARLAAMLEGEGNG EETPTPDITTPVPDADSFDLPTSTEILKEEHEPLADRTNV FPSE_08872 MATSSALRPTMLALGLTLGVPAMLYFGILGVLVAAPSLQAHAIY LHKVTLTWFKDLNTPEQFGFAHHQVTPFYISTADGIKLHSWHVLPLATYEVHQQELIA QGPEAGLVENFEDTLNFHLLKENPNSRLVLYFHGTSGTMASGWRPDSYRSLYSADPTN THVLTFDFRGYGESTGSPSEDGVVTDAVTVANWAIHTAGIPPERIVIFGQSLGSAVAI ALVNELAQREPSVHFAGLVVTATFADIPQLSATYRIGGFIPVLSPVAKVKPLFAFFAR QLSSTWDNMQRLGEFVKSAERYDITLLHAQDDTDIPMEHSVKLFREAVRVAENVDDLT EGEGAFLNRIGKNEQNRGEGGSVTVCATKKGDIRLEILKYGVHDKIMSYPATGLAISR AFASGNRNDVTTS FPSE_08871 MSGEVISRSAATELVGWVPEDANRGTWSLITSCLFTIAICTWTA IHPRIHVSLRLRLKHKFYQLIKAILAPEMARKAVRRCAHATDKEFKTIHGFYLCMMGT RYNSGNDGGYRTLWPGQYAWLLNNSLVSWKDHKNWGLSKEDISDKNKADGLVKLAALL QVCASPTFGHPRNNDLGEKPKDIVTASFVSLPQMTPAQWTKFESLAMENTYDVSHQGQ EHSDAIAWYIVPRDYRDDEVGVMEEQEQHLGESVSLTESDGQKAEPAVVMTEEDASVI TEWDANLYMTRYWPLLCLLGAWFGAIHLVSKISLSRVIIAVETYILKFNN FPSE_05620 MALNRIKSRGRGHLVIDDYDSNNGPSSNVIDDGRVEVQFHDNPQ ALAVWCQHFKESFADDASCSERLQPPEPRRTNSVAFVPGVEKPAESPRLHIAIHIVGS RGDVQPFIPIAQLLMKPPYGHRVRICTHAAFKEFVEAQGIEFFNIGGDPEALMAYMVK NPGLLPNRDSLKGGEVGKRRKEMAEIISGTWRSCIEAGDGMGEPIKAANVESTDDLFL ADVIIANPPSMGHIHCAQKLSIPLHMVFTMPWSPTKSFPHPLASMSYGNADAKVANYL SFMMMELLTWQGLGDLINKFRTQTLHLDPVSPLWGFQLISRLRIPFSYLWSETLIPKP SDWDSHLNITGFSFLPLASSYTPPPDLVSFLDNGSTPIYIGFGSIVVDNPQALTTMIF EAIKIAGVRAIVSKGWGGVGTGDVPDSVYLIGNCPHDWLFQRVAAVVHHGGAGTTAAG IAAGRPTVIVPFFGDQPFWGQMMARAGAGPVAVPYKDLTAEILAESITFALQPDVMAV AKDMALQIGEEDGSGGAAMDIQDRLDIDSLRCDLSPGRLASWLHRKTGAHLSGFAVGC LRDHGLIEMSDLKLLRHRHWYVDEGAESPIIGAIAAVSGFAAAIGTATSDYTQRLRNS PHSKTTRRHSSIGLQRVPKTEDGTNDTYLRSQKGPRDVTQADMEALAQKMASKTLYGA EPAFSAARLCRPVSEARQGRKNSWKARESGRNGRVFYVTRVTGKYACDLAAATARAPV ALFYNVANGFHNAPSNVFDVDVRRRDEITGLGSGVKTAGKEFCYGIWDAFSGIVTKPY EDTKNMGAKGLGRGLLRGGLGIIGNLGSGKLY FPSE_05619 MAYYHQPRQHSRPYRYDDDFVAPDPERTHDPYDDAPPRRVRREP ATDASSGPPPRRYKSERGRRPRSPGRPAYRDESIADDRRPGSRKRSHRSEPERRGHSA GPDRRSRNLSPPPFGPPPDSPRRKARSSRPERESYRPEREHRQSSREPRPGREHDLPV RDKRGGRPERDPRYEDNNTSSYPYNRDPYAQGPPQRGYPDESREARRTQNRDPDSAHP PRRHGKSVPPAARSRSRGRAGRMRYSDSESDTENDDYIAGAAGAGAAAAASRRRPRSQ GRERRGRNHEKSPDRGRPPVTQKARGAPSGRRSSMPASTKNKAAAWWQNPLVQAGART AFTAGAQAAMKSGKESGPWLGPKGAKVATAALGAALVDGFMGQKHPDSTRQKIMREGV NLASAQTGRVPERRGRSRHN FPSE_05618 MGWMENANHKIAASAVGRWFQLDGSGHPRERKGSLFFTEIRAGL ATFFAMAYIIAVNSSIVSESGGTCVCPAYKDGACVPDDAYQLCVAEVKRDAVTATAAI SALATFFMGLFANLPVGLAPGMGLNAYFTYTVVGPGGSGPVPYELALTAIFIEGFIFF GLALFGMRQWLARAIPRCIKLATSVGIGLFLTLIGLTYSEGIGLIVGSTSTPLELAGC EASYRDPATGLCPSSQKMRNPSMWIGIFCGGILTVLLMMYRVKGAVIAGILLVSIISW PRGTDVTYFPYDTLGDDRFDFFKKVVDFHQIKRTLNVLQFDISGHSGQFGLALITFLY VDILDCTGTLYGMARFADLVDPVTQDFEGSSIAYMVDALSISIGAVLGVPPVTAFVES GAGISEGGKTGITAMVAGICFFISIFFAPIFASIPPWATGCVLILVGSMMVGAVTEIN WKYMGDAVPAFLTIAIMPFAYSIADGLIAGICTYMVLNTVVLLVKVISGGRIVPPNYE ERDGWTWRIPGGFLPPWMNRLAHGKKDFWREDNPPHNTNNETVVSDTAAPKENGSDHG GSEGGKVAETTLPREKET FPSE_05617 MDSISAEPSMDLPVIDLDVYLNNPVDSEAVQEECRKAASALITY GALVLHDSRVSEKDNSTFLDILEDYFAQPVEILKKDEKPELSYQIGVTLENTEKPKCA VDEPCLDVIQRLDPSQRPLDITAHSPDPKCRFFWRMGEQPPFETEFPGLNAANVVPEA PHIKDRWEPAMNQWGTSMKSAVSKLAEMAAVGLDLPAQFFSDAGKYGPHLLAPTASDL TKYGQKDTILAGFHTDLNFLTIHGRSRYPGLHIWARNTGNRIAVKIPPGNYLLVQAGK QLEHITGGLIKAGFHEVIVNDKTIETIEKRKREFPDRPLVRISSTLFWHLGSDVDLTP VPKLAARVKEVRERQKELGRDEGREVEYPPMKVGHQVQANICRPMDMRNNDL FPSE_05616 MAETATKQATPSFVGTSKVVQTDYPLIDNDPHFKRVVGYARTSD YLAGTAAAAFAPAALYALEKFAPSHVGKGGFAKAMRLAGGIGVLGGFLYFYQRSALRF YGATENSREIEMDMREMVDKVKKGEPLYGASRLSPHLQGVAARQSRYSALFLSTIPWF NFVNHNQHGVDTAKYYQQAERELEAER FPSE_05615 MPILDSDPANGLDNYDVADLSDDPFASPPPEASNKKRKEPDSGL GIDEEVDVKKRARAPNVKLDEDRLLGPKGIPKLRQRARDLKIKGKGHEFSDASRLLSF YQLWLDDLFPKAKFLDALSMVEKAGHKKRVMIARNEYINESKPKDTVTDDEEVDLLGR DSTSRPTDEATTRTQVTERLRTPEPSRDIPDDDDLYDATPRPSRPIVPIRNDVPEEVD IEALIAESQDQTPKRDGQIQIENSESGNPYEDEEAAMQEMEGLW FPSE_05614 MEYQQTLPIDKFQNSPTESLMSIPGDGFTSLFDVTTPSATSTMN PMEMMTPKSYTDDQIPSSLPQIKQEEDMTTPSPSPAPEKKTTKKRKSWGQVLPEPKTN LPPRKRAKTEDEKEQRRVERVLRNRRAAQSSRERKRQEVEALEKRNQELEEAFMAAQE ANAKLMSELEQIRRSGAVSYSPSALDSFRVNPSLSQELFGSKHTHEPIDGLVSSNTTV DPTALSPVLSPVAESFEEIAEQEPSNEAKPELTESISPDLTQRPAAMFAANLDAANLG LAPALPGDAAFSLGNSDLLPTSLDADRYVLENEYLSSSDSSIIGDDNMVGDAPAFNLN DDFDISLWLNDDSAISAESMATSDFAAAIQGLEPKTYEPENQVSSENPIQQPHPGAST QGCDVGGIAVGV FPSE_05613 MSNRQMARDMQVEFQARFQAKQARREAQKAAKQDPILKKQIQDL LKKGETQKAYQKAKMLLSKQALAQQMDQMADMAELSAAQIQANNSMNRMTQMMAQSSR TMNVAQKNTNPEKTLVTLEQFKQQNEEYAMSNGIYQDAITQSTSTQVGEDAVHELLGK LADDAGLELSKELNQATPSTAEPAQTNEPSAEEEDKLQQRLRALRA FPSE_05612 MAAIDALLEGEAQEIGQRQPSIQDPEALKRHLEQLLLSPSPDFS PEWLNRLQQRWDFEADYTSLYKVAPPHTRTLTRFQRHGLEGRVTGYKNVTVPANSATA KNSTSLSRKPANRADFVRGAAGFFPFAPGGLEGIEATAAFEDSVHTGGIAEPGSTGNK LERVIQLGAEGGLLEIAPGMSRGIDFAKKKTSISQEDANAIKEVLEEEPEEARVGPKG DDEVSPDTATPEPNADSGEDGDDIDDILPVEFPALEPHGVLAASSARKAGREWAHMVD INHDMSNFRELVPDMAREWPFELDTFQKEAVYHLENGDSVFVAAHTSAGKTVVAEYAI ALAAKHMTKAIYTSPIKALSNQKFRDFRQTFDEVGILTGDVQINPEASCLIMTTEILR SMLYRGADIIRDVEFVIFDEVHYVNDFERGVVWEEVIIMLPEHVSLILLSATVPNTKE FASWVGRTKQKDIYVISTPKRPVPLEHYLWAGKDIHKIVDSEKKFIEKGWKDAHFAIQ GRDKQKPAETTVATRGGNPRGNQRGGTQRGGRGGGQQQRGGNQQRGRGGPPRASHAPG HMGRGGRAGGFTSVAQDKNLWVHLVQYLKKQTLLPACIFVFSKKRCEENADALSNQDF CTASEKSHIHMIIEKSVARLKPDDRQLPQIVRLRELLSRGIAVHHGGLLPIVKELVEI LFAQTLVKVLFATETFAMGLNLPTRTVVFSGYRKHDGHSFRNLLPGEYTQMAGRAGRR GLDTVGSVIIVPPGGLDDAPPVADLRNMILGEPSKLRSQFRLTYNMILNLLRVEALKI EEMIKRSFSEHATQQLLPEHEKDVKLAQADLAKVKRDSCEICDVSMDECHQASQDFKR LTFDLYKGLLAIPIGRRMFSQHRLVVFNWDGIRSVGILLADGISNKGTSEDPTLHICA IKSLRDRRDATDQLPFVPTFRKYFHELPKSKKRVQTKTLHVPLSDVECLTRWVTKGIV PEIFQGGDGYHQAKDRLQELCGSWDDRLEELDLSRIKQLQLQEIVETRVGLIKTISDS PAEKCSEFLKHFAMCHDEWLIKEHISQLKQSLSDQNLQLLPDYEQRVQVLKELGFIDD ATRIQLKGKVACEVHSGDELVLTELILDNVLADYEPAEIAALLSAFVFQEKTDMEPSL TGNLERGKETIVSISEKVNDVQTRLQVIQSADDSNDFVSRPRFGLMEVVYEWARGMSF KNITGLTDVLEGTIVRTITRLDETCREVKNAARIVGDPELYQKMQQAQEMIKRDITAV ASLYM FPSE_05611 MSRILRPAARLATSTRAIRAPVAPSAAQSAITRAALARPVVFGS AQTRSYADNSSGVKEYTVRDALNEALAEELDQNEKVFILGEEVAQYNGAYKVTKGLLD RFGDKRVIDTPITESGFCGLAVGAALSGLHPVCEFMTFNFAMQAIDQVINSAAKTLYM SGGIQPCNITFRGPNGFAAGVAAQHSQDYSAWYGSIPGLKVVSPWSAEDAKGLLKAAI RDPNPVVVLENELMYGQSFPMSEAAQKDDFVIPFGKAKIERSGKDLTIVSLSRTVGQS LIAAENLKKKYGVEAEVINLRSIKPLDVETIIQSVKKTHRLLSVESGYPAFGVGSEIL ALTMEYGFDYLDAPAARVTGAEVPTPYAQKLEEMSFPTEKLIEDYAAKVLRL FPSE_05610 MVASSNTNLEREDHQRDADFNKAMHGSSAQARGGVAAMFRKGGA AKQAAVDEYFKHWDNKPAENETPEERAARQAEYATLTRHYYNLATDLYEYGWGQSFHF CRFSQGEPFHQAIARHEHYLAHQIGIKDGMKVLDVGCGVGGPAREIAKFTGAHITGLN NNNYQIERATHYAFKEGLSNQLEFVKGDFMQMSFPDNSFDAVYAIEATVHAPTLKGIY SEIFRVLKPGGVFGVYEWLMTDEYDNDNLRHREIRLGIEQGDGISNMCKVSDGIAAIH DSGFEMLHHEDLADRPDTLPWYWPLAGELRYVQSVGDFFTIVRMTTWGRTIAHGLAGL LETLKLAPAGTKKTADSLALAADCLVAGGRDKLFTPMYLMVARKPAA FPSE_05609 MPPQIKQDLNRSGWETTDFPSVCETCLPENPYVKMLKEDYGAEC KLCTRPFTVFAWSATRANGRKKRTNICLTCARLKNCCQCCMLDLSFGLPIVVRDAALK MVAPGPQSDINREYFAQNNEQLIEEGKVGQEEYEKTDEKARELLRRLANSKPYFRKGR TIEEGESSKSGNAAVGAGLGGPGPIRTRDSKAAAAAGAKPGGKGRQVFPSAAQLPPSP RDWQPPADKSIMSLFVTGIEDDLPEYKLRDFFKAHGKIKSLVCSHMSHCAFVNYETRE AAEKAAEACQGRAVIAGCPLRVRWGQPKAIGTMDKDQRSQMLRDARQRQGGSQGQGSR AAEGSGESTNRPAALPAPVAAPPGASDTPAYAALSGD FPSE_05608 MTTVPALNAYRHLMRAARIAFQGDAPILSAAQLQIRNEFRQKAT IDASDAPAAIKHAEEVAKVLRQNVVQGRRIEDGKDSYKLRIHEDIERGDNESIKTAGK GSMGGGCCGGGKQ FPSE_05607 MASVATVTLPLPALPSGWAADKDFKAVGKLSEATQRSIEPVGPH FLAHARRARHKRTFSEDDRIQAQESAKNVEDGDVSDESEPEDPMMLQREAKDWKTQDH YKILGISKYRWRATEDQIKKAHRRKVLKHHPDKKAAQGRVDDDQFFKCIQKATDVLLD PVKRRQFDSVDEEAEVEPPTKKQLQKADYYKAWSKVFKSEARFSKTHPVPSFGSADAT KEQVEDFYNFWYNFDSWRTFEYLDEEVPDDNENRDQKRHQERKNTNARKKRKVEDNAR LRKLLDDASAGDERIKRFRQEANASKNKKRLEREAAEKKAKEDAQAAKEAEEKAAKEA EEKAKVDRESSKKAKEAAKNAVKKNKRVLKGSVKDANYFAAGEPTPADIDSVLNDVET IQGKIDPDEIAALAGKLNGLKVADEIKAVWTGETKRLVEAGKLKDGDIKVLA FPSE_05606 MTDHGLAMKEEGSIGNSPSKTGVSTEQPNSTMTVTLGDKGESDC GDTKDEKKDTSFKYYLRVFTYNDRLGWILNGVAFVCMVAAGTILPLMDLVFGKFVNVF TDFATGSLSPAGYRTEVSKYSLYFVYLFIAKFVLTYIWTILVSIAAINTTKSLRVDFV RSTLRQEVSFFDSPASSIPGQITTNGNLINQGISEKFGITIAALSTFVSAFIVAFAVQ WKLTLIVLAIIPVNLVVTIICVAIDTGYEYAMFDVYSRSSSLAEEAFSTIRTAHAFWA FPKLSKRFTSTLEEARRIGHKKSWVYMILFPTEFFCIFAGYGLAFWQGMRMYSEGEIT QPGTVVTVIFAILVAATALTQIAPQTIAISKATAAAQEMFEMIDRKSQIDPLSQQGET IPDFKGNIQFRGVRFAYPSRSNVTILRSLDLNIPADRTTALVGASGSGKSTVFGLLER WYTPSSGSITLDGHPVENLNIQWLRTNIRMVQQEPTLFSGTIYQNVVDGLTGTPMVDL PEEEKQKMVIEACKSAYAHDFIETLPKGYDTWIGERGASLSGGQKQRVVIARSIISNP KVLMLDEATSALDPNAEKIVQKALNNVAKGRTMVVIAHRLSTIRDADNIIVMAKGETI EQGSHNELLERGGTYSRLVRLQDLGKGSASSNDEDDDPVKHEAGAHLDPVLSRASQHV VADITQSDAINYGLLKGLWLVIREQRPLWFSGFILTIISVLGGATYPALAILFSRTMK AFETIDVSEANFFSLMFFVVALANFVIYAVAGWVCNEIGQYVMTVYRGELFDNTLRQD MSFFDDPDRGTGALVSRLAAEPTSLQELLSMNLSLIMINIVTVLSSSILAIAYGYKLG LVLTLAALPVLVSSGYVRIRLEYKFDDDTAGRFAKSSGLASEAVLGIRTVSSLALERA VIERYNNALEGLAKEAIGSLGWKMLFYSFSQSASFLAMALGFWYGGRLVSTGEYTTDQ FYVIFIAVVFSGETSAALFQYTTSITKARTAINYIFELRRQKALHDDVGNGPGGEKNP TGKGIDISCDEITFAYPRRPKLPVLRGVDISIEPGKMVALVGASGCGKSTMIALLERF YDPTSGVIKAESQDISTKDRRLHRRDIALVQQEPVLYQGSIRDNISLGIEEGNPSDDE IIEACKQANVYEFISSLPEGLATSCGNQGLSLSGGQRQRIAIARALIRKPRLLLLDEA TSALDAESEKVVKEALDRAAEGRTTVAVAHRLSTIREADTICVFSGGKIVERGRHEDL VAKRGLYYEMVLGQSLDREA FPSE_05605 MTLRGVRERKIDQIEVRLANIENLLRDLSQRPTSTPGSNVYVPV TPGAFAGLDANAASTVGFESSDDESALGGDSVIAQQTTYASELLEHAVERTSLHDVSP KMWEALANLRQIAELQSRQSISHGPRFPLQQPLPQGGLGQLKMPPMDVVVSMLKRARA SPPGLFTFVCYFVGISDFSNLCRMVYFPTEDFTDATFIIVNAGLYYLFLEQHSLTTDN KALKEEFASHLHTSRVNLETGLANMSLFMSVKVETVQALLLGTLYAIDVCRPSVAWHL NSAAAQMCQTAGFHRKDHSVRNPEEASIRAVLFWYVYTTDKALAIRLGRAPLIQDWEI DIPRTFYFEGILSLETKAVAATWLSAATLQGQVYEQLFCPAALAQPPAVIAERARMLA AKCREVEAEAYESRGMAIASLEKIGASPLVDIHVKGDEVQLLSTMTLIYRAIPAPEGS SSRFCDECLETARRATHTHLACMELVRKDPHARNIYVHWNLVLTPFAPFFVLFCYVIE TSSPEDLRLLCDFSTSIGETSDASETMQKLSRLCQVMSNVAALYVEAKSQQQEDQTMV PIGDEFDVYLSQLGFMPVMDPNVNTAGSDPNAAFPDNSQVAQMADWMSGSRNLLGLLE QDISQIGGPQWPPM FPSE_05604 MPPRHQTLPAAQTAAAREAQKSFYCQLCSKGYSRMNDYEAHLGS YDHSHKQRLKDMKAMVRDPNAGSRARKAEAKADGLISIKLPGQEAATGTAGGGGGGGF KKGGFKKSGFKSAFTKVENSGSGSTSSTTEKPKPTNALEPTPVNPGLQKNLSPESDTE DEGYEVYNPRFPTD FPSE_05603 MDFDQSPDRRASQASFLSLQQLDPSPIDSPPADPTIDRRDLGPP PRGSDDGYEMVSAEEIENSTSSLRAPSTGAPGLSASTNLNRSGAGPGPIFYLMRIQKF SSYAMGIFTSLHLANVSLIPAITRSVPGSETYLLMTREIYQTSLTEPILVALPIIAHI GSGIALRLLRRSQNMQRYGAATPGMYALHRSRTELEKDQKSAKAASPWPALSYISMSG YTFTFFFAAHVFVNRILPLQVEGDSSNIGLAYVAHAFARHPITSWFSYVGLLAAGCGH MIWGQAKWFGLSPTTKYIWGTSSVPAEKKAMKQRRRKWVALHGASMAFAALWAVGGLG VVARGGLMDGWVGKVYDDLFSAIGL FPSE_05602 MLKRKDPYSEESSDLCIHDVAQPRPLKRLRIANTACNSPFRDIL TNISSEILLRILSFLSSSELLEISPTSRRLYRLASDPHLWREHYYERFVLPRALRIPG FRIGGAGGTRLQYSARRAVWADGRKGRAPNDEFLKESSKNESVDWKRQYKLRHNWARG KCAVEEVSVRDASEESDGQKRTLIKVVEGIAITADSESGLRAWDLRTRRPTAQRNFCE GDESDDSTPTSLAMDENSSAIEVDIIVGFTDGSFGLWRLNLEQQELTRRYRHEKSCNG EIIAVACGHPYILTATTAGLISLYTFNRPATVPTDDITNSASDNSEPLSHETVEQQAK PRDAGTILPAPYLLTSLKSHTTHLPIALSIRRMSSSVSASIAYTFPVRDGWCIGVQDL LITPSPDGTGVDKLTSRLASTLPIAASGGVDAIRTHGSRPELMTLCYSHPYLLATLPD NTLALYLCTSTAKSLSLSSGIRLWGHTSGISDAEITPRGKAVSISTRGDEIRVWELEG RVGGSSIEVRPTTRQPSDNTDSPTTAPRAEWEDRRNWVGFDDEMVIVLKETRDGRESL MVYDFT FPSE_05601 MASNYPDPAQRSSPMGPPKQPASKAYNNHHHLMSPPDHVQDSFH HNQYDAGKPASSRTDYEKHRMPMSPPISPYNQPVSTAEVPTGPSNAIKDPLLYPTDEV PSSPAQQPLFASAEVEEDHDKIIDGHIRARAQSPATFGMTSPPNREHYGLVLSFKSQV MKHYQQDPRGWLRQERRYLQDEQAARNAHGKRFPKIMPAKTVKAPRQRGDRVQKPQST PRPIRTHPPVCPSPGAGIVRPARRVSATPEPSRRAVAPNREDKDFASLENYCPPLDSL PSKLNSLKVEWKGQPLDLSNDPNRMHLHPDEVSLASSLRLDAATYLTSKRRIFKQRLD CYRRKKEFRKTDAQQACKIDVNKASKLWTAFEKVGWLNPKWMEQYL FPSE_05600 MSKQLAHTVGVTSSRRILAPTLRFTAPLVNNFCAPATNQFRTFQ TSIRRDFRYTTSKMAGTQPASGGEQRDFARDSLFDLKGKVALVTGGGSGIGLMATQAL AVNGAKVYITGRTKEKLDRVVENYGKNISGEIIPIQADIGNKEGIQKLFDEYKSKEDC LCILINNAGISSTSFQVEANSAQEMKENLFDNKDATFEDWNQTYNTNVTGMYFTTAAF LPLLQKSSEKHQGWSSTVINISSISGLIQKSQHHFAYNASKGAAVHLTRMLAAEVVSN KLKIRVNSIAPGVFPSEMTTDGSDETQKSFIPKEKYAEKVPAGRAGKDQDMAATVLFF ATNQYLVGQTLAVDGGYTIAAGQ FPSE_05599 MFQRFKSAIDRTIAEEQARQQTATQSRSPSRTGSTSSRKGDGTP GQRAKSRKQASDAGDAHNPDPAVFEAAFVIDDSDEPSRAATPLPPNAADEKKSDNTNG QGNMSEDKTSEGQGANDEGSSDKAQDGATDAPATKPQAPKLQDMSPEIRQKLRKLEKL EATYPELLRSYRVAHRRATAIEPFEKALRENTPLTSISDPEALVEYLNQVNLRSDMVM QELKKVSTDKDELQKRYNEAEEKAKKLEEELVTARSASADQPRTGDSETSKDAQDDKN GDTSPEDPEKSKSPVSSVMGMFSPKQKPQKSLGEVAETKESNEEFFSYDDEIPQLQAD VTSKSEEIEKLKSEVEDLQKELTTARETSTGLVESLENATRELSETRDATSVKDSLQA QLNDRNKEITSLNQRLEEVQKQLKQLEEDKNAHAAKVDELEVSLASSDKRTSELDAEL AKASNAKNISKKLIDDLNNQIETLKKEKSDSQTKITDLTKKLESKPAPAMPTPTATPT PTVLQPTATSATAASGGGKKKNNKKKKGKGGAGGAGGAAAPSQAPAAGDPVEAPEPVI TTGTAGNAELEAEIAQLKEEVAEKDTQIDRLNKRRKTEEDLREEIESLQENLLMIGQN HVEAKDKIKELEAEKLELKTQITDLEKKVSSSTSDAEASSKMQSEMESIKTEYNDLKE KTSTLQADLGAAQQLAQNRFKDLTELREVLQKAQPELKSLRQESATLKATKEELANKT KELRDMEKREKDLKRDVERAQKISSDRETEIKSLQEKLTVETNAKLRLEDAQRVSGRD LRRSEAEKAEVSGRADKAEQELQSVQEELSKLRPKVKELEEQMHKLKREKTASQEEAD FKTQQYSNAQGLLSSMRDQTAEMSVQLKESKSQAESLEEELAEVQRLLQERTREGETM RRLLADVDERADNKVRDMRARMEAAVEERDRIEDESATLARRKTRETEDLKQKLKDLE REVKTLTHERDELEQREKEWRKRREELESVEEKAEAETDELRTTASQLRTALDASEKQ VRDVEKQRAELRRMLEESRQRYEKLSKDLKAAQTKLVASSSRSSFDSVRSGSNGSPAG APDTVYLKTILLQFLEQKDTKLRAQLVPVLGKLLRFDKADEQKWQKAVQHIEVK FPSE_05598 MVAFWPWGGESSDTASFEKTLSTLSTKINDAQASLDNFRASSRR ARVIWTLYLSFAYLVYAIVLLLVVGYDSLGAFEWGGLTGGPLLIYVTRTTLATYYSFR IETLSARLREHQQERAKTIQKLKEATKYDSTMELIEKYGGADNKSKKKDGEQNADKTA GKQQHTTPGQGPPGRTRMPPPPTANIQRAHSPVPGPTLIEPGAEFAPNAEWSGPPPPP SAPGTPQPPPPPPPQRSYSSYSTAASETHWYDRIFDVLLGEDETAPKNRIVLICQSCR VVNGQAPPGTKTLSELGQWRCMSCGASNGELDEGRRIMNEVLDAAKSAEIDGDSAHEE FAREDSEPPMVDVSTPSDGPASNTRKRKGGNGKK FPSE_05597 MAHSPSSSSVEDAAENTADEEDSEDYCKGGYHPVQVGEKFKDGK YTVVRKLGWGHFSTVWLSRDNTNGKHVALKVVRSAAHYTETAIDEIKLLNKIVQAKPD HPGRKHVVSLLDSFEHKGPHGTHVCMVFEVLGENLLGLIKRWNHRGIPMPLVKQITKQ VLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKRVVKPEAAEKENNRNGRRRRRT LITGSQPLPSPLNTSFNQSNLFPSPTPHSSLAGVLNDDKSSNEASPKPSDDAQKQREK SADLLSREVSGISLDKSNSPSTAAGDKRKAEDAHAFDVISVKIADLGNACWVNHHFTN DIQTRQYRSPEVILGSKWGASTDVWSMAAMVFELITGDYLFDPQSGTKYGKDDDHIAQ IIELLGPFPKSLCLSGKWSQEIFNRKGELRNIHRLRHWALTDVLREKYHFKEEEAKRI ADFLTPMLELVPDKRANAGGMAGHNWLEDTPGMKGLKIEGLEVGGRGEGIDGWSTEVR KR FPSE_05596 MNGAQSQDAQKAVNANSNGSNSNNSSLAAKKRKKDLKPIITMED TSQTDGFWCALVLDLLMSREWRRRWRFQQSKHDPIQVFKKHPAKRGVASCITSQRTAV KQVETSVDIVHGGIVPSAALSLWRTVGLGLDRTTRPHKFWALANLESIDRSLGPPYLI SACPACPDRGALGHSPTASFEVPGIALG FPSE_05595 MSERDSPVGEHANEPVNDGQEQEYEDAVAAADSDRDSDALSEVD EDQFEDYDPETANIEDRPIDIDEDVARTLKATKRKRTEGEAPKKPREGRRDKKRRDRD EDVEMDDAEDGSKKSRRSRRVAVDGERRQKSKAATPEPENEDHLSPEERRKRAIDRAL DAAIKKPSGQKRKKKDEIDLEAEIDDLLADLKVRMEGACQSDNQAREAGQPALHKLKL LPEVTAIMNRNNVQHEVLDPDTNFLQHVKFFLEPLNDGSLPAYNIQRDIFNALAKMNI EKEALLSSGIGKVVVFYTRSKKPEPSIKRIAQRLLGEWSRPILNRTDDYKKRQIETRD YDYDAAKMAQRQKTNSQFSLSQRPAPNTKEAERERLLAPSRGNNSARMVNLPSSYTVA PQSTFMGSQGSGHRPLGAGGMEAFRKMTQKSKKRAN FPSE_05594 MDRRTYEGPMDWEYQDHGPFDPTSPFTHAAKSNSQNVFASPSKP SLKPNPFANLGTPSKQQPPRSSFFTPQVPRAAAPPFRNPAFTTPRRPFEDLALSEASG AEDSPAPTEVSDFPNDTPEVDHTSDINMGGMTSPSKIDKSHRYNKTPFSSKKHTPGRG EIRANRDLSVSEFIRKRKRHNLDRDVSIVNRHRWTESDSDYDSGDSIAPRRRSRGRRK AKEEPKGFLGSLFHMLDEHPNAPDNLYRWVKLLVNFFLVSVFVYIGWSIVSTVKTDIE NANEMARIEIMGRITECQTQYTINGCSRNDRPPVIAKHCDEWFECMTQNPEAIMRVKV TAKQIAEIINEFSDAMNLKAWGFFFAVLIFCAFANNFFLGGYINKPAAPVPSQPAAPS REASMVPENGPGFMWVPVQTPRMKRHMILDEGTDTDSTPPKMKALLPPAFTPSIRRSP SKGDRGRSPVKNRSPSKGRREPFA FPSE_05593 MDVDNEHSDGGSQPPPSTEHTPQGASAQAGGASGNPTPTSVQSA NTPSTASGNASTAAHSQNSKRRRGLGVVTPNACTECRKKRAKCDGKKPCGRCRAQKDV ECVYEIPVRQSKENLRTEIETLRQKQRSSDQIFAALVRPELWEGVLTRLRGGQPIESI SEWIASVPPSGGGPLPNFPNRPEGPAMGPGPGFPGGGLGTLAAMSLGMSQGPPQQPPI REMGQQSPWHSSFRSQTGSTRSSSYPDVMNWTPQNRVGSWAEGMHPEQMTDGLPRYRG VEQILSPLNEPELRTAASTWTSLTSDNTLVQHLLALYFCWEYPTFASLSKEHFLHDFQ QGRNRYCSPILVNALLALGCRFSTQPMSRANPNDPYTSGDHFFKESLRLFSQETDHHS LTTIQALGIMSIREASCGRDSESWYYAGQSIRLAIEMGLHRIMDEGDEDELAVQSATF WGAFALDHAWSLATGSLPQCSCFPHLPPKPAIIGDIEASLWVPYTDDGAPLQRSCQQP SNVRSVYKCFCELSELVHQSLYILHSPGRPLTARELLNMYTQYLNWYDRIPEVLRLGH NFTPAVLFAHMYYHFAILLLFRPLIKLRIIGSKVSPRDVCSQAADAIQGLLRSYSSLY TLRRTPSFVPYFVLTSAIMHLAIGAISVNPEATEADVKKAVKVDPRAAEAITQGISDL TEMAPCHQFAEQALNILRYLAVKWNIDVENNVDKLTAEEYDRLVLPQTGSLNFFSPNM RHGDITCQWGTENFMPGTPKQSPSVQKVGDSLENPLFWPFPMQGRPILPDGLELEHAG FSIL FPSE_05592 MDHEYEASRDRHPMEIITQHVMHRHSTDIQSRHWLTSPEFPQPE ELMAEYPAKLPAGPSDENPFDKNAYLEFQYAMNRFEGTELLRQAIKDFREKPLKSDRD KFYVYTQVRIQGYLLAKTGPACRISFSTECSETKVVWHQSTRLTPGTLVALSPRSDNF NTQCFVAVVAARYLLGGLEPNFDDGEDNSTPPRIEIFWSNVETAMFDPSVELVMIEAK GGYFETVRHAMIGLQHAAKFESKFDKYIINGSNRECTATYLSEEGALIPGKAKQFDSS QQEAFRRMTSQELAVVQGPPGTGKTFTSVVALESHILTLKAVRGGKAIPPVIVAAQTN HALDQLLDRCLSFDAIIARLGGRTENEDIESRTLFNIRKDSKIARGPTRGEALRKKVQ SEIEDLLKGCFPAELITAEEFLREELITQKQCESLKDEEYETIPIPGGNRQADDEAVD SIKSWLGGCVEYDQTYIYRPPEGQKESPESQDDVIDESKQENDRERLHGDFFPTKFYM TGSVPSRYGDGDAVSSQASRLLLKHHDLYDINLSLRGIVYRLLRKRLINARVKRFPKL LKAYQAACDDIKIARCERDVKILTNEKVEILGCTTTGLTKYRGLISALKPRILMIEEA AETREANITSALYPSLDQIILVGDHQQLVPHVDVRELGCEPYNMHVSLFERLVNLRLP YSMLQVQRRMVPAIRQVVNTFYHRLTDHSSVNDPRNRAPVLGMGNKSLWWFHHAWEES RNTDDFSYSNFNEASMIVCFVRYLIQNGVPPHRITMLSFYKGQVTLLLEILRRDPTLS AKNPTKAWSVKTVDGFQGEENDIVILSVVRSAHPGFVQNKNRAVVALSRAKCGLYVFG NALNLVQKWEDSFLTWIGVYEVFEEQRCLEDFILVTCKNHGRETKIFSIEDWETIAAA GCGEPCTETCSEGHPCTKTCHPFNQSELRCEENCQRVLSCGHKCSSLCGDPCRCIQQC SEASAQSASSQTSRALEPRKLIPPLRLTRSPQTHGAKGFPATRGGRGGRPMTGQRGGR GGTVRRGSSRTDSSASMRSSLESNRSAPKNRTYSDNTGMLLEQFNAADRESSIQEPTS EQLMETGYYSKGGPSRWHEQITVQVQKNLELAPTPSTKTSLSDRWSPEKISQKDRVLG EEAKRASRQRSPCAAVIRTTYRPTTMEPDGSRRYGLQTHSSHTVVKYSLTRKEMLLDA AAGQPIIDQHEKHEKHEHHEKHEKNEKHVKNERYKKHVKNEKQGVGEERVVTGGEGSN VEDLISFD FPSE_05591 MNALDDDRLSNSSNDSDNDNDNDNDRIDRDNDADEAMEDVDDNG DQDQDAEGDDDDNNDDDDDDDENGDANDDGDGDADGDGDGDESQPPPATNSNDQTSTH IKTEPNGDTERESTTPSPSSHRKWPQPRPGVLNARLYDIVPTMAAPQSTSVNAMAITP DLRYWITGGSDGYIRKYDGPNTINGKLPLTVAQRHPFVDSVTKAGILMSYWENEEPAP PGRGDQEHILSPVYSLAVHSEALWLLSGLESGGINLQSVRHDEGKRIHCLQQHTNAVS VLTLAQDEKSVLSGGWDKNIFDWDLNTGKTIRSFDGNAGQISALELRPANGAPIPEDD DEPLPSTTTVTNNAAPIASGSFTDGLTQDGLEVDDMNADFNPDVNAGDGQASPAHDSL FGGSDAGSLFGETKEDQPFAQDDDEFGAGSMGMMPGHGHDSAMDHSADMAALDAGKDT ELQPVTAVDPPPPDTSQDAADAMDVDLVPAPTQGTEPSQPTQDSQPTQDSQPPASQEA PASPPLILTSPHAPTQHDPTQTSTTTFLSAAMDGYLRIWDRRQPDPVARIGTRNGVPP WCMGACWSPSGNAIYAGRRNGTVEEFNIHKAKRNWEPQRTFKFPAGSGAVTAVRPMVN GRHLVCASHDILRLYDLRDTRAFKHSTVPFLIIPGPPRAGVISSLYIDPTSRFMLSAA GTRGWEGTSTEVLIGYEINVTDG FPSE_05590 MPSGGSSHRKSGGFLEALGQWTMGTETARRSSHRSSHRSSHRSS HHSSRPHRDTEAYRQTRLDNAPPGLNLTARQWREYADHVPAGYCTDEEDGDGGHEDVR RSLPPAPQPEPEPEPEPETEPVQFRSAWSHSSSSAVTRAHGTVRRRDSPLDRIIGRTS MQRQPTSIPLSRDEVSFDANRDSLFANVPRFEEQRGVDDVVVHRERASDDGFMAETFR QPTSDTGFEEDNRRGTEVSARSQRESQLHANHRQTPQIQPEDSNVWPTAQNISHVPIE HSRSRVGSWRNSVVEVPDERHGVNQAPSVFGGSHVTVWPGPDQANSSVSLVPDESASQ MVMRHHGQDNRGPSGSRARDFHGSSRTRGSRHNHGRR FPSE_05589 MSQQASPSAQQAHDGNPQDVLAEARKNLQCLIDSGLSKELLHQL VDGSQALNLNLSAMTAMQTMPAIPSQSQPQTQFNQQTSNPNQSPNTASLAPPPPMRCP PPPPVTSNVPSVQTVTAASSRRSSAATTPTPSVEIKHEVLPEEVNYHETAMFLPPQVA FSHRPRISVSSTSSGSSGHASIWSTNSGQSAMSWQSTSTCNRSQAPLPIPPSSSMNGL GPMAAPVGTASKTNIYWCTSCETSFKRKYDWKRHEDEFHERWRKYPCPEPGCNRSFWG SNSFNQHHKQCHGCKTCPHAEKVVKFLRKRKYWACGFCSALHPARERHVEHVARHFES GMTKGDWMHSRVVYGLLHQPLIHEAWDALVVSKQPEYNGRRPQFSWHPSKTGRAQGFL ENENPGQLQDLLEFFSGDEGEAQWIVSVAYDLADIVLTSAPIPSPQYSHASLGPQGFG HDPRMSFMPLPTQGHPGHQSLMPSPDPQQTQFTAPFRNTIMVPSQQFTSPSRPSPASS AHSPLGPSTSPLMPPPAPSPLEKRELPLPPPPQSHGHDNGESMMDFEYSPAPVVFDDW ESLTGAVIEQPGQQHNGPPADWGMVQYFNDPRVTS FPSE_05588 MTSIRDQQSRWQAGCYSVRVSISISINIIIRVHMVDRPVFAPSL WNRSEFKAVKRGETWDS FPSE_05587 MDPSLTRDLPNPAPNPTKIPSPSTASKQSPRPGIGRILSLPPQW LSMYDEFITKNAGQVSQIESALRSLTYIIPGRFRDAEIASESIHSGVQLLSLYHDSLL QKAIARLPMASMPSAHARYTRYWTQRSGAYRRIAMFLQMIIYTEMLWEMTAKRKGGEK SRWKVVLLLEGLKAFCRLLLLRITKSRPLVTPVLPEREPIPEDAAAADEDEEIAYRSE SELMDEISADGSGSSQSSRPAHEREWNMPRTGMSLPSLPTGGDISSYLLGRVLTADDI KPATKLLNQLQGSSHGAEILQILSPLIYAAAMAHNIRRGGDKKAWTPWLIGFAVEYAA RQLRDRGLRTTSLEREEWSKRGWAMGWWAMRGAAYENITKGVVGGVSKRMPSFIGGIL EDYEYLWENYYFSTSA FPSE_05586 MEIQFSIPINGGRNSSAGKRHVKCDETKPSCLNCMKWRGSCDGY ADASAPSEATAAEQRPRPAASRSKSSTNSSAKSRAAPKIKVSTGSTAVTARVPTIPEE PSINTICFTSSEQRSYFDEWSSLSVTFLSGGLGDSRLWTTTMPQLTLQEPSLRFAAMA VGALRKSSNVEFEASSPGNELAGDNKHYLNAIVYYCEALQLQAKARPTKEGLRTALLA SLLFICFETQRGNMPAALKHIIHGFSMLNELAACSDKAPSLVRIAPAPPALVQEILDC YKPLELQSRSFMGSYKKFFFPPKPPGVMSNKAPPNQASSPQMSSPVSQPGTPWTGATP GQQNSVSLPSPQSQASPQAMSDQQTPPRGAPQRPPGIAPFTKHSPYFRPRQTNITVID DMPAAFSTLDEAHGYWTLLQRFMVQYIPLLTTTTAQLALPKVKDRSELVAKLSSVRQN PRIAKFLEDSRLWLHRWSTSFSPLLQAVSDNQQNDSQSYLAAINLHIEFLILYVYTSM PRYSGLETAKDLTPQYSQINAIAETLIASRPNCGFAMDSGWTWPLFVSAFGCRDQAVK EDAIRILAKYPIRNALRDSRVFRAIALKNWEVEAMNAMEDDEDEQWLRLRRRELVFED LGMNIIFRYAEKNPMTGEWDLVEEVAAFMVSEDGQLDWHRQPISESISILSGVC FPSE_05585 MSMNPESATPQMGEFRSKVAPAEPLMKGGHAPGVLVGNEALPEF HAEMHPAGTAPPENTFQPNPESEIPAQTSGNEGAIPAAATLGGATSADVHTGLGKPAS GQTSQELHGTEKKNRSGLEGVGANPSDPIHDKGADRDHPTNYRGKGGENALNYPGAED REPAKAEEVASERR FPSE_05584 MQNYHGDPYEREPLDSQNYYNPSPQRPVPPPHSYSQTAAHDVPS RSNHPSAHPDSSYSRVQGGYDDYHSQGRSASPYGGSAGYDSPRRPSPHQGDRDYHGDQ GYNTYGGGGGGHLGSGTGAMEHSRLPPPPSRTQQNPYGGNGGYGNGYDSPRGPPGSSS TSHYNGSDPYVDNPYHGYTNSRHGSANLGVVNPNEIIDDGDDGLVYGKSQRNSMLSLS NSDRAKRGASASAAAVGGGAAAGGLMSGRGGAYEMNAAREKPYSSAADDTGRSKKCKW LIIIVVFLVIVGAIVGGVVGSMVNNGKDESSAGGGSGQGESAKDDTKKNGDLGKNSAE IKELLNNPDLHRVFPGMDYTPLNSQYPDCMHNPPSQNNITRDVAILGQLTNKIRLYGT DCNQTQMLIHSIQRLELDDTKIWMGVWLDKNETTNERQMSQMWDILDEYGDDPFEGII IANEILFREEMNITALSKILSDTRTKLKKKGLKLPVATSDLGDDWDSELAADSDYIMA NIHPFFAGVEADEAADWTSSFWKNNNGQFWKSDKEKNIISETGWPTGGGTHCGGTATS CTKGSVAGVDGLNTFMEDWVCQALKNGTNYFWFEAFDEPWKVRYNTKGKEWEDKWGLL TIDRDLKKGVKIPDCDGKTLKDYSAFS FPSE_05583 MSSSPEAKGLDPKAREAEYQALWQTCDRATRKGDYICADEQTKR DILYTACHGRIAKRRAQGKTMCGTKIVSMVDLHIQREASRLLYRAPRTMHAPDPAPNL FPYPAESQNFNANHVAFNPAQNPFVSIPQHQHPPPQVPMAPQGFDMSMQSESHFIRHM QEQLNTQGEYIQRLINTLRDYHGRIVTLESSMKQVEERAAAFAQTAHPIHHAMQYPLE GLNAGFDFCQESPATPASQTQDGNGDGDDQKLAPLVQA FPSE_05582 MSSPKDSQEWTQVSRKSRKNKSKSTSSNQVSSSSRSHINARRDV PNEENIRAPAELEASYRQNREHWKSEPSCAEVRELIASKASHLNKINRAINFGVGTFD PKGVYNPKASFVQLAAFDIIVEELEKITGEKIETYIQDPLFSDSDKTFLANLGHTVVE EPAGNDLITPTTFFFGVHLYKGVYKEAFEKHLPVLFIGTSWDAWENTLDVDGLEKIKE IHNTFEHCEFPEDKYDTAFSTTGIYWKVEGEDEKSESVEDEDKGKGEGKDDSDGVAVK EGDEDELSKKLESTTIS FPSE_05581 MRTSALLTFVLATIVSAHSVITYPGWRGNNLITNATFPYGMQWI YPCGGHNVTTNRTYWPTTGGAVAFQPGWFRGHETAFAYINMGFGDDGPDGGPANMTLP MVPMFQILGPTNNPFPGTICLPQVPLPKNTTVKAGDKATIQVVELAIHGASLYSCVDI IFADPGDKKLKEVNETNCFNSTDIGFADIYTITTKASGSDEYASESGATPAFRQLGWM PLVAAGLWAFLLA FPSE_05580 MTDFKLSAQLVGHEADVRAASFPSPDTVLTASRDCSVRAWRRTQ ASPPNFDATLLSRGSEYVNSLSFFPPTNEHPDGYVVSGGKDTIIEVKSPNATNTDNAE RLLIGHSNNVCTIDVAPSGKYLVSGGWDGQARVWSPQKWETELLLGGHEGMSVWGVVA LDDHTVVTGCADKNIRIFDLRQSTAGEVAPNSTIHTPDVVRALCRVPKNHPSGADIAS ASNDGTIRLWKLNGQQVAELHGHESFVYSITSLPTGELVSSGEDRTVRVWKGNECIQT ITHPAISVWTVAANPETGDIVTGASDSIARVFTRSPERTGDEAMLKEFEESVKSSSIP QQQVGGINKEKLPGPEFLTSKSGTKEGQVQMIKEDNGAVTAHTWSMSQQQWVNVGTVV DAVGSTGKKVEYNGKMYDFVFDVDIEDGKPALKLPYNLSENPYERATKFLGDNELPLS YLDNVANFITENTKGATLGQTSEPSGPDPLGTESRYRPGEDTQPKVLPQKDYLSITAA KYEAIFNKILAINKNMVSSGRKDAALNPSDESTLSELRTALESNKPVPQHAMPLVVRI LTQWPYSDRLAGLDLLRCVAKYPFVAQFSDPTVGSLLDLAFASSLPQDETPNENAAMM GLRTLANIFATANGRSVVSAQSDEAISFLERVVGVSSDPIGPFNRNVSIAATTAAINL SVLVHRERLLTPDQRRRLAILLGTILSRDGQTDSEVLYRALVALGTLLSASKAEAANL GIKGWIQGAAGRSSEERVKSVAAECTKVAPRM FPSE_05579 MASEPRQYHPLTCHGHSRPVPHIAFSAIEKDTDKDKNDVYYMIS ACKDGNPMLRNGITGDWIGTLIGHKGAVWQARLSPDAHHAATASADFTAKIWDTYTGE LLHTLQHDHIVRAIAYPPDNSDLIATGGMEKKLRIFDLSELPVAGAPTTINASTGFEI GEGVHTGSIKFICWTQDPNIVVTASDKTIRWLDLPSRSCIRHEVLDGDIKSCEMVSLA PQYASPSDIGGGKPVLAVAAGKTAYFWGGVQAMDELKRISLTYTIASVSLDLKGRKLV VGEEPGTWAKVIRYDDGTEVDTHKGHHGPIWSIAFSPDGKLYATGSEDGTIKLWKNCE GYYGLWRGGAEKAAE FPSE_05578 MSHAKDTTTPDHKGKGKEVADPAPKSILKSPQYAPADISQHVNF AWEANTTARILADNRGRRLPTGKENRRERFVECGAYVTQDDTWDEWELISRPGQEDAP PETGGEIISCREVMNLPGDDRAASILSEPFENTCTRLQRHLQRDSETDLK FPSE_05577 MANISFDYFDHPQNKQHLGFYDPADFSGDSAHSPDSPLSPVFAN PYQLPVSNDWINWDDKAALSSDLDSLPKQEPFDSIIITTIPSRNSMELSPAINPHDLS GTIPDAVPFGRVGLNDIDTQPLFQTPIPTISSQPPHLQSSMMPPPMSSSMDSINSKDA NGRYPSRKRKSSGSGSSSSRSSTSPPPATRRHCSPPKKTAHNMIEKRYRTNLNDKISA LRDSVPALRVMVQRLEQPCVEGMEEDGDEDLGGLTPAHKLNKATILSKATEYIAHLEK RNRSLAQENTQLRNRMEGFEMMIMSRDQGPPAGVWA FPSE_05576 METPRVLLTGATGYIGGTVLNTILTSSHPSLKNIHITVLVRRQD QADTLSSLGVTPIIFKDLDDTELLTETASTHDIVVHAANGYHIPSAKALLAGLARRKA KTGKPVHYIHNSGTSNFGNRPISGKYLEEPRVFSDKDDIYAYEKFREGKEQYKQRTGD VVVFETGVEIGVETYIICSPLIYGRGTGLFNKSSIQIPIMIRAALERGEAIYAGDGLG VWDHTHVEDIANLYTLVVAKVLGQEKIPSGKEGFYFANHGTQSWLDIAKGIAKVGHQR GKLAAEPNSVSLSEASRAFFDGDEDMTEPAVCSHSQTRGDRSRELGWKPLKDDSRWEE TISEEFEVTLKATA FPSE_05575 MAFGRFDPNWNNTNMTTLCGCYVFIDNNLKYLPQVQVKAHTTIL ATTSRTNLTQTFVNPTSNTIQELRYVFPLYDGVSVVAFTCTVSSKTIKGEVKERQKAQ QDYNKAKAKGQVAGLFKQSLEAADTFSTSIGNVPAGEKVHVDITYLGELKHDAQVDGV RFTIPTRIVPRYGSAQSLASPNVTQQGFSFTIDAEMPDGSSIKSIQSPSHPLSVEIGT TSTTKSQEPSLRRASATLQQGATHLEQDFVVQVVATNLGEPSAILETHPDLPNQRAIM TTLVPKFKLPAEKPEIVFICDRSGSMSDQISNLKAALEVFLKSMPVGVKFNICSFGSS FTFLWERSQTYSQETLDNAVQHVQTFSANYGGTEMYQPLEATFKKRYRDMNLEVFLLT DGEIWAQDQLFKLINDEIDRSKGTARVFSLGIGSGASTSLIEGIARAGNGFSQTVADN EKMDKKVVRMLRGALYPHITDYSLIIKYESVETPADDGFELVEKVMDGLTINTAEVAG HSAGGSQAPKKPLSLFDSSINNDDDSDMIDTSAVENKFDHLPSVPVPRYLQTPSQLPP LFPFSRTTVYILLSDATPEKRPKSVILKGTSRHGPLELEIPITELAEKDSTIHCLAAR KEIKELEEGRGWLAHAKDYYGKLLKEKYDGRFTDMVEKEAVRLGVRFQVGGKWCSFVA VEDDEQEKDIGFFDELNSEEDEPSPRPSAFGVRKRGAKVMRAKMAVAAHVRPASSLFG GSSNTGGSLFSSAPGGQPSTTSGLFGSSSTGVNPFGSASRRQPGTGGGLFGSSNASGN PFGSVSGGQPSNVGGLFGAAPGGSSGTGTASFGGAAQGFLGNSQPPIVQAAALAPPAA PVDEALIAKYQSEMDAAAAMPLADVSSDECEAEGFGLFGDGPAPAAAPAPPTAHEEEA EESDEDIGFCLYDDGPAAPPAASYSSMLVKDKNPLEALTSLQTFSGSWSWSADLERVL GVKFEEVTKLGLPSTYKGDILATACAILFFKLKLKEEEDVWEMLVEKAEGWLEDNIGE DGVKELEIALQKLF FPSE_05574 MAQRLSFAQLEQAALHIIRLIADIPGLENTHLAIVGDLAVNKYL SQQARVTSIDLVISKSSSPGRVKKEIVGHPITPLVEKSGVVLYRHTTGWEVEVKLIPD WLSPYLPEAAKRVRDVKGEATLPYTSLEDIIIFKMDACGLHENDNSKRRDACDAAALL NLASEHGALVLDEDKTERAEEALADMVEFSDEKDKGWWQRCLGMVNNKPRTPQEILSD IAERPQTASSRSSVYSSISRASSYTSNASGHSSASSISSTSADDKSPKSPIPEKNGRT RKMSVTKKPSRHKRHTSIGASTSVSALDAHMHRLELDRPASPGISLTNRI FPSE_05573 MAVGGDGHWVLASMWSLLLVSFVFVVLRTYTRVYIVKSFGVDDH MYNLAFFFLLMNTVLMTIGVHYGLGQNLMDILENDPEHLPLSLLYEAASQTFAIIAMS IAKWSLGLFLLRLVKEKWHKIAIWCMMACLMSASIAVCFVYWLQCTPVEYLWNRKIPG RCHIDTAPVSMTLCILCVVADFFFAGFPWLFILGLQMKMKEKMVILISLSLGVIAGAF GIKRTLEVPKLKSPNHTKDPMGLIVWSAAELTVTMICIAIPVCRPLYKKCFSKWTSRN SSNYPNSGASYPLRTIGGGVLQAKRVDRNGSGSTADTNEQVEEHERKTGINGPFTRTR VYPKSDGRRIGGDQSEEEILGSEFRRSQIMDLEAQNGGTMPTRHTNNSERSM FPSE_05572 MFADITQPPNPLPTPQLKAVRPGVSLLPPLSRRGHGPGLVVLTP TSGDLLKIEDGVPSVLIKWAEEGYTVVQIDASALEDGDSKDVLREALETMHQCDKYDE GNIGLVAYSSSLWNTIAPNLTDVPDVAAAVVYTNANDLSELSSAKIPLLRHIVGPTGK SSPGTEYYYPKAQSYAFATPFHQHFDYNMESVSHTRNLQFLKPKVGGPYFDLEYIWEE HTHYEFADRSVEHTMSTMVQEPYVNHIPTLTGGIGRDKLSDFYRHNFIFNNSADSELD LISRTIGIDRVVDEFLFKFTHNQEIPWMLPGVPPTNIKAEVPFTAVVNIRGDRLYHEH ISWDQGTLLRQLGLLPEYLPFPYALPDGRTSESGFEYRLPVAGKETAIKMKDRHGVAS NQMFSYEAREKKD FPSE_05571 MSSSPTTTAAAVTSAHPQGGIIEGLNPIVYNPKDPITLFIVQAF VIIFFCRLLQWPLSKFGQPRVIAEVIGGIVLGPSVMMRIPGFKENIFPTESMPVLSNV ATIGLLLFLFLVGLEVDTRMFKSNWRVAVSVGLASMVLPFGLGVAVAWGLYEEYGSEG TMKDMEFGTFALFIGTALAITAFPVLCRILSELQLLSTSVGVTVLAAGIGNDVVGWVL LALSVALVNNANGLTALYVFLTAVAWVLFLVYAVRPVFLWVLRRTDSIQNGPSQGITT LTLLLVLASSWFTAAIGVHAIFGAFLIGLICPHDGGFAIKLTEKIEDLVGSILLPLYF ALSGLNTNLGLLDDGTSWGYVVAIIACAFFGKIIAGTLAARLTKCLWRESFTIGALMS CKGLVELIVLNIGLQAGILSPRTFTMFVVMAVVTTVTTSPLTRWLYPLSYRLKVEKWR RGEIDWDGNPLQTEAQSSEHKMEEALDKSQTNRLILHLRLDALPGLFNLVSLLGGSRK QTVPAITPTTNDASADATPAEEKTQVIPSRPFEVRGVRLMELTDRTSSVMQSAELDEF ASRDAVFSAFQTFSRLNGVAVAGQVSIIPTNAYAETIVKFAEEARSDFMIIPWSTYGG IAEESSTAALIETGNINDRFFSRTYIDYVQNAIERSTCTTGIFINRVPHDVLNRTPTL TRTRTGLSVHSAHDGAVVQRPVDQRQIIFVPFIGGKDDRAALLFALQLAHNPHVSIHV VHLHFSEDDHEALNASPDSDPSTGNDLGKNAVGFPSASDMDLLNTAKNNAAGKLEGRV TFVEIPVDSVRNLPDLAVAHARELVGKSRYSVGDLIISGRSHPLFDNLLVEDFGVERD FQRTIGVLGDRFARAGVDAGLLVIDDKQSKA FPSE_05570 MPNPKKWTFDIDRFINPYIPPPPWKHLPYPIAYIFGYRHQKPSS IGSILPVIWAFIGIFISLSVIELASERIPSFVERGAPIIVGSFGAGAVLEFYAIESPL AQPRNFFVGQLIAAVVGVSIGKLFLLSDSFSSIQWLGGAISCATVTSIMALTKTIHPP AGATGLLAVVDDRLLRLGWFFIPVVLFNCTIMFGVALLVNNIQRAYPVYWWTPENLRA EKTEGEREKPADVEKGKPSEVVPDDGSDSDRHERHDGKEIVLKPGGVLALPQDLFLMQ EELQLLEEIANRL FPSE_05569 MSTPQNHAVVFGATGLIGWAAVNQLLSKYPAPNTFASITAVSNR PLDAQRTFWPKESSERPKVQLVSGVDVKSGELEEQLKDNVVEIEKTTHVFYFVFAPHD EDQQEECKINSDMMRNVACALNALSPNLKSFVYSGGTRGYGIYIPGGIFSPPLVEYMA DTIPADYAKTVAYPWFRRILTDASAGREWTWTEVCPDVVVGFSAIGSNYSLALHWAQY LSLYAKNNGEGAEVVFPGNKEAYNARFTSVSSSILGRIAIHAALNSSLCGGKIINMLD RAEPATFAEVWPRIASFFGLVGVAPGDTEGQRPSEYIETHGHLLGEYGKTAGVGAGRK QLDSVGWWLTFDRQLSAERLRSVGFNEEQDPSEGWIEAFSKFRDAEIIL FPSE_05568 MPNLEDYLKLVKINDFDINEYMDKLKEDDVPIVGLSVSGGGTQS GLGGLGIWKAFDARSDAAIAARTGGLTQLLSYITGLSGGGAITVSLLAANNFTTTDNI QKATNFSTSYAEGPDGNQTDFFNTIFENAGAKDEAGFPVSVADTFGQFWGTWLPEDQL FSNYSDIADNGTAFAMGDAPMPILCFAEVVPGKSPEIGKLMYPTLNTSNLFNLTAYEV TPYEFGSWAGGRVQAFITTKYLGTSMSDGKPQNRSECVEGFDKLTLMQGTTTNAFTAW FTAWFIDSFYGVPIFAKRWLDKRQKANPDINDVPIPDDEDDNPLVQLVNQTALYFDLT FNQSLWATYPNPFEDYNDDMKDVSELLLIDGSLSLDTNPLRPLIIPERKLDLIIVYEA SSDAPNSWNNGTNLRHTALAASQGDIPFPKIPDVNSIVAQNLSFQPTFFGCNASEDTP LLLWLPNAPWTGYTNYSYTQSEFTPAQLDIAFDNAFQVATYGNGSVDENWPACLACAA IKGSLRRVDINLPKQCDECFSKHCWNGTTSSRKATAADFDLKPRLDPGLTFEKWNSSD WYGESRTNSSGGDSKEDNSAGAKVGNNVIGLVLSVAAMVYIL FPSE_05567 MSEPMNEPKTGTEAELQAARETSPPPEVRAIDDDVASIPNWRKW VILFVVCWMPLPMTFWSTAIMPATIEVASELNVPLTTISTVNAGVFVAQALSGLIWLP ISTIIGRKSAYLAANAVLCLCAIGCAVVPNMAGFASLWVIGGTAGPFFLVAGQTILAD IFDPTARGTAVGFFLGSCVSANSIAPLLGSVIATFTSWRVIFGVEAGMSLLGLILSLL FIPRSREVENPKLAEPRPRTRKEIVQAFNPMRVFSQYAYPKVIVANIACGFLGFNQYG LLSSIRRVVNPRFNLTTPLSSGLFYLAPGSGFLIGSTVGGKISDVVVKRYIRKRNGER RPEDRLNSSLPSVLIILPLGTLLYAWSVQRKIGGMALPIIGSFIEGFGLMASFSGMNT YAAEVRPTHRTAVITGKYVIQYCFGAMSVGGLVPMIDGIGVGWAFTVNLAEHGNSKTC FIS FPSE_05566 MTSNDDSPRDSELDSREDVGSSNLTPLSTTEKRQRKTKSTACRR CHARKVKCSGGQPCQNCRQASKGAECSYPRKNRLVKVSQQYIDDLVAENKRLRDQNVD PKSHPEATVPDLPIPSTNQIEAPSTLQGTLLEERPWFFDMNVLHTPVLIGEASDAAFA TRFRQAISEPGHSHIPRVNYAPDETLLALSDEDCPWPIPSRARLLVNVALKYVSRGYY IVRKSQILEGLEQTILNPHSTDSLLKSKLWVLFAIGEMYSTRTAAKDKNFPGMAYFAR ATRILRIISERPRIDAVEIRLLLSFYSLALNRRYTAYALAGSSVRLSVVMGLNLNVPE SQLRDAGAREHRNRVWWTAYSFDRMWASRLGHPVAIREDEIHVDLPTDPVLETPSDDF TDSSYVIASIRLASLAAKVINSIYTRRPQQKTLSQRVQEALKDLRAFVEELPAHLHIE PTDAPEPSPKPLSLHLYFNQVAITATRPILLHVLRTHVAAWDTQPRTEPQIPVSAMTL SEACVRCARHSCRLLIECWIDGSFATFDYFYTQYLFAAATVLAISSLIDGKESRSDKE QFESAAQFLAQLKENGNFAAEEFCRHIDAMKVCMTTRRGQFAPDAVMQQFEGIDVGGF SNPTAGMALSEPSLQELLSQPVLDLQFIDASMYHDGAQGLYWPDISPESWSATGWTPG G FPSE_05565 MSIVQPIIRDEPFIQDDDDWDSVHHVEWEIDANFYTGNNTRPRT PLNKATADHQIIIARAAALIIRASLNNIPMDIPDFDPTSLTGPRKKLYQWMSAYNASQ AGKLVLSDVTMTAMIEILSSLTQVGLEGEILARIGPNLGGIFQGTVDPIELLVEDEKL HHMLNGMELVQKMKAHLGEYLSYFSTKKPVQHVLEVGASTSNMTDTLFSAFSVEKGIS YSITDRSLPVLQQTKASLKGSFKLKAFDVNQDPLDQGFSPESFDVVIVNNILYTANSL AEALKNLRKLTVPGGVLVLVGLSDISPAYNLILGVNENIWSEARFEPLEYPSVDEWNK VLQSNNFSTLEPATKTFDFIGQSSYCLISTALSSTQNLMVNILPCPQSELFSFANQLS TALAEDGTASTISPTFPDNISPCFIYAVIDDGSTSLIEYKRLIGIKNILWISMKIDGI EPRDMGAVQRFARSARKTNDAIKIVTLDVKMRFPDLADILKVVKRIIRVSFQEDRGTR TELEYEYINGKVLVLHVKHSEVASK FPSE_05564 MSETLENQEAILRTCSYHRSLYYFPLRQTNPRKAPDTLFKCSPT TSSSLAPQTANNTASIYKLPPEVISNILLLLDVKSFHDFRNTSHQARFISNGIPQYRL VAQHGFAGIKALAQTSLSRHVTYDNLYRSLNTEQCTMCGEFGSLLFLPTCTRCCYNCL REEPELAVINYYHVYHLRRVLANRMGEDIPSQTDCRTLTIRNWENPVEMSRYTIGILA TDIPRRILSLAHTEGNRNVTVQKGWLHYRSAACIAYPTLNRWKMTVERGVSCKGCEKA FVSGRFEAMHQGWATSGMPRKCVERDMSFSVAGFQRHFESCEHAKKIWEDSEHGSKDT NDSKTIKNGGQLIGRLAMRAYAGIKSRS FPSE_05563 MSSSKEAEHMANQAQPQQAPAPAYTEAGPSSQEQPQSNNKVPTA ENPFDFPESSLPTYSEVSTSKEAPIAIPQETPSQTSPFLKAYAPALLGHGITQEAWSA FLDTISAFMTAKVGEKAINHAGDVAKTMGQQPVSYVKGVGNHAKSVGKSIAANAKRGN IIGAAFGVVGGVISIPVGAALGAVGTIVGLPGRTIAAAVKKPKTPAERAVAYVTVANH DWLNKRGLHATLVNTEQLSEVVGVSVKAILEASAEGNKSAGPLGPMSALSEHIAHLEV NGPGVVDIGTETWWLTVVQIETAA FPSE_05562 MAPSAQAVSSYLSSLFSLAGKVAVITGGSSGIGREMAIALGRSG CRIVLIARRPKPLQDTIDHLADLHVTAEAIVADLGNPASVRDAIQAIKATVGSPDILI NAAAVNYRPHMNDLSQGAWDETIAVNLTAPFALGQAFGPKMAENGWGRIINIISQQAF RSYGNSGAYGASKAGLLGLTRSQAEAWSRHGVLCNAIAPGVVDTPMTRVAFNDPAKAE AHAARTMVGRNGLPRDFAGIAVYLASDASATVTGQTIFVDGGYSST FPSE_05561 MPQTKSLYQDHLSKNQIRLLRLSTDTSNLDAGWLEIVSLDDAPP FYAISHCWGTQAQDTVVIVGGNPLNLTSDLAAGLSVLQELAVHGSNFNPPLRYLWIDS ICVNQESISDRSTQVALMRRIYSTSVTTLIWLGPERPWTTPAWRLLDQIYHVFTSNYP EAKRENDISFGAYSDSLHQVTGLPTWDNESWEHLREMMHLDWFSRVWVIQEVVLSPTD PFVICGNNIYPWNTLQWASSWLRRTGYMRLPQISETLLNVSNMGNLRHFRANWPLDAL LSFTTTKFHATDQRDKIFGLLGIAAECQDPSRIPPALRPDYSVDVTETYLKVARFLLE NGSSLAVLTRAHGSDSCSMRRNRVYDLADLPSWTPDWSDYQVFNKGIRTSLARVYFSD PEKPPKLGFTPPFAASSGLELKLHEPEDISILRISAVRLATATHCYYFGENEASKEDF KHAIDLNLRAAWNMALSVLDIADLASWATRFIKTTTAERYDLHGRSYEQTIRDGMAYL VRKLEMEGMQMKLPCEETERGKKVDLLRQLSVDGDAEGYATLAYTYCFSRCFIVTSIG NIGLGPSDSKLGDCVTVILGSNVPYILRKNGDSWSFIGESYMEGYMNGEVAKMIEQGF LQEEALDVR FPSE_05560 MVDKEPRAVEATQTADGITTITISRPHRKNAVDGATARKLAEAV LAFENDETQKVCILYGDHGTFCAGFDLHEVAKYNSGEESAGYRGPTVDPAHEVNGRNN GPMGPSRMQVKKPVISAVSGYAVAGGLELSLIGDIRIAEEDAIFGVFCRRFGVPLIDG GTVRLQAIIGLGRAMDMILTGRAVKADEALQFGLANRVVPKGQALTEATKIAKQLLKF PQECMNVDRSSCYYSVYNATSFQDALRNEYENGVKVINTESVKGAAAFSSGVGRHGVF ETPKL FPSE_05559 MKTANQNKLVILPKKPKWQRASKPKVRTEKPTCRRCRDGRVKCD GYAVPDKAKLQARAAISVPVKAYPLSVFNPSVADTSIESCYFHHFHHWTSTQLTCAPG SSNFYLTVVLPLAHQCEPIKHAIIAVGAAHRFFMAGQDTCSPLQQLRGLAMSQYQKAI SRIIPHMSLDSAFDVQCTLVCCLLFVAFEGITGRYAESIRHIRAGTRLLSSPTLATNP YEEKIASKLLDMFSSLGCEASAFMEDTIVPDIRSGYFALTYNTEWIDEPFRDLEEASS ALRRLDVETVELISQMPTGDDGGCGGFKDLDVVERALMEASWDQLEQRFQAWDRRFEL TKKHIATWEYQEWTSPHLMYLNLQQQFWKMSMTLELDDDSEPDPRTVEAYLDAAETFA QKLIIPGQPSFSLDGDLISSLSFVIWCCSESHHRYRALDILRGLNRREGIWDSKDLTE LHEAALEMENPKEWYNRMIPGGVPGFMAELAKMSSKYGLHRSRLLMENHDSEYDVLC FPSE_05558 MSQLEQSLRSTSLAETLSTYDKWANNYNQDVEKEEYVAPKVAAE NLVNHLNPKNISEAKILDAGCGTGLVGEALLNLGAKHIDGIDLSPGMLEVAERTGVYE SLSVANLAEQLEIAEDNYDAVICVGTMTEGHVGPEAFDEFVRVTKPGGTIVSTVRESV WKPKGYEKKVEGLVKDGRVKLVSNLKEHQRIGAGVFAYFVILQVI FPSE_05557 MDLQNIPKTYSSERLIYRALENNEQDTAYIFKFHENDPLNTALS GHSLLRPRNKKSSEDLISAIQKASISVMVCLSPSEAKVQDVESSDPVPIGFAILGWGG IPAGREHHRRTSLGITLSEPYQGKGYGSEVINWLLDWAFRFGGYHRVGLSTFAFNTRA QHVYTKLGFKEEGRERESVYLDRAWHDMINYGMLEHEWAALRGVK FPSE_05556 MAVEKTPSISHVEDEVSSDSKEWSHVQGQQGADIDRNMTLWEAI KAYPGAITWSFLLSSSIIMEGYDIVLIGNLMAQPAFQRKYGDWYGDKLGYQISGPWQS GLGNATAIGTIIGAFANGWLTQRFGYRQTLVASLLAITGFIFLTFFAHNLPMLLVGST LCGLPWGVFATMAPAYASEICPMALRGYLANYVCLCWALGQLLAAGVLFSFSDNATEW AYRIPFAIQWIWPIPLLIILWFTPESPYWLARKNRLEDAKKVLRRISAKSSKSDEDLD KQLAMIVHTNKIESEHSTGSSYLDCFKGINLRRTEIVSLVFVAQNTTGVGIGGTPTYF FVQAGVDAKNSFKFATGALGLASVGVIISWALIYRIGRRTLYVWACGVCTVLMLLIGI LASVPQSQSVSFGQAGIVLIWEIVFYATIGPVCYAIIGEIPAVNVRSKSICLARIAYY ISQILNNTYGPYMINPTEGDWKGKVGYFWAGLSLLTFVWAYFRLPETKDRSFEEIDIL FANKTSARKFAETKVDAYAEDSEARIIKEVGV FPSE_05555 MPEDYMSMLRSLPDEEAKVKGPAVGVATVDLTVTTGPPRHLASG ILYGIPDKPDQIPDRFYKEIGFNYGRGGGSQLPNTRGYAVSNEDYKARFSSALSNYKT TRKHGGKFIYLLPALWGADGGQSENFEYPGDNNDWTRWDAFLEQTLKNIQDSDMTDGL VIDIWNEPDLSFFWGASKEQWLSLWSRTVRKVKETLPSIRIAGPSISAIPTTAHEWWN GFLSECLKNDTLPDQWAWHMESGNDCDTIAGSMKTFHELLAKFDIPLDRAQDVNLNEY AVYGEQVPSAGAWWIAGLERENAHGLRGNWAIAGALHDFLAGLLCKPNAADGQYQIEG EGYWPTAEYQVYKYYGSDMTGQRVKTTPTPDSFLDVYATKDANVIRILAGTRSRSGDW AIEVTGLPGNVEVKIKTLAFKVAGEDKLKRVDGPEHLGESTQVAENGSLRLKMEHGDT TTAYAFELTLPKE FPSE_05554 MPPLQPDSTPLVQSDSGVDLPSNMQSMPSNPHLPISPQEEPDMR PSQYHENSRDTMMQQPQTFEYDLDPCDIDWNFPINWLPYNNEIETNYCSILGLDENAT SPRPLDPSYINNQPVTQTTANVFAMDNTPQTISTIASISQRSVAAASPQLSGTSDRTV PGDLYATSSNGARRPCTARSKQLFPPPGVESCKQLRTISQDSRLQTENTDWAFPDLDH INIQDVEGFGESTLTEATYASIYDSFENTCLESAIFRNEFTSSDFPPLAVLDYCIKLF FEHFNPIFPLVHPTLTDLNADWILALAICAIGSQYSATEDIDACVRPLHEFLRRALVV RLQLENSAMNLALAQALVLNQVGLLYYGTSEFRRNDYQRVGDLQSVINLYWNSQLDSD TNTLPNQHESNVHEAWKTWVEGETLRRLSYFIWLLDRMGCYFFTDHHAVTFDVQEITL PRDDLWSQTCSSDWSQRYRRVEENPSLSSAVSTIFRDRTAKSDLGEFSRILLLHAVYR EYSTVNTYCKRPLSSWMPQNNPDHQSEDSSALNLTESNWRNAALDCVDTLHWAANGTI ALLLGAEHPTVLHLHFSRVVLLVPREMLMRLAKYMEAPDSVRKMESNQQKALQAEREI SEWAQQDGSKARLAVLHCGCFLWHIRRYAKMAFYEPPCVFWAILTLWAYSVYSSKSRR SSGEERSGSVTRRPSPDHTKAISDDENPTFIRLDRPNDDEMVQLFVRAGVLKAYISGV GDIYAPTAPARILHEGRKILESVSLAWGRSTEYLDLLKALG FPSE_05553 MSHPQSTFHHTTFAPGSVLYRRRTTVAKTTLHTQLKRLRETGRY DCFKLQWHEIYDDKSMWPVPFHLFWDSDIAKWIEGACYFLHDEFDAEIDAAVKELVET IRGAQQEDGYLNVHYTVVEPGKRWTNLQDMHELYNAGHLIEAAIAHHHYYKNNLLLEP IEKYVALIHQTFGPADNQLHGYPGHPEIELALFRLYQATGNQEAYDLSRYFIEERGNK TGQAGEHYFEWESKQRGQNPYQRPDSYPEHGSHWYCQAHKPILEQDTVEGHSVRAMYL LTAVADMVCIDNQTLQASSDWKNTLYRLWNNMVDKKMYLTGGIGAIKQWEGFGRDYFL PQSTDEGGCYAETCASIAVMMLAERLLHIDLDGRFADVMELCLYNNVMTSMSLDGKAF TYVNQLGSSESDKSVREEWFWCACCPPNVTRLYGSLGGYLWDFGETSENEVYINVHLY SKANLKFEVAGKEVSLEQDSDWPWDGLVKFRLSAPESLKTTIRLRIPGWSRGQYRVSP EPSQQSFNIHKGYITLYSTYVAKNPEFSLNIGNFKPLYIAPHPYTNQRTLTLTRGPIV YCMEDADNTWEDNHFKDVGLKATMGVDEERTTIGDEEYVALKTSCWRRTLEDAETGVA PGTLVDCEESMGTKMEATFVPYYLRSNRGGNGHMRVGLNRI FPSE_05552 MEKQTCVICGSCFLGAPDTDAQKWLGHIRLSRCRSDDSVATLTG VGYLDPPGFTVPEDASSRIIPGESGPGLERHRETACSPTVVFHESCWNMLFEYMSLKT NTRHEENDVAQCLYDLIFDLPPGKNSSVFIGQNGFTTPCRGNTALPIIFEYAGADPDM LLLTHVEKELAETETDLLILEGSPNSFTSLPTKLVHHIITFLDSSSVCNLRLSSKVIA SVTGPKDLPQAFWASRFATDDELDFFPLDCLSAAARNDWRQVYFDVRHSLLDCSKTGH IHNRQRIWSCVKRLSLPLKMMLDQLLDVRRFKPLPIGFGLPGYLGTEKTQGLAKRREL RIKAEQYLALDPEFFETGDLRMSISTMIIKSTTYICGIRISRGDDKVSGDEMSRVGFV LPDTETHLCIQRSSHLTAIRVTASIGGIIGLSFQQTDIEGKFSWQSADHRDKLLDFAG VVTLKPAMNERILGIIVGFDTYKAISIQLLEDPISFASPSASRMSVRMQPRWLWYPVE PDSRNLIVPPQMAQHSIPGQPTLALNMNFGGSDGSWLPRLIHVSVFTSAGTNAPKGLG FYYVDGSCKRYLFQSDISDSISTRLCYEVGFPLNGSGGERINGLKLERLGGEVILKIT TNFHRVLKSNQADQEKESNELGLTNKRKIEIREVPDGQTIIAILASVEPSESHGNIES LGISCIQDRGAPSTTTTRPSLSARQFCLQRGHLSG FPSE_05551 MASHKRDMAGPVVFTLTPVNTVSEKVVEDKRNELFCKRPKQRKA PKEIFVTFNPHRCDGLYPSTVGRKGHVKLADLPFVVNNQCSFQIHKDTGEVMIVDESP SQTCSVTFGTIAQDFREFKNFGALVICPSATNIMLHFGNRGNASWMTWRIKWRMDEPI DLQDWADKAGFHARTGQDMALRSIPPTRRLEPNGIPAVPFETRYLPRQDIKVTPDVGV TKGVDALTGHLVVIKLVMDSVERHNRLVGEARKELTTDLDHPHLIEFLQVETLINRFY LVMELQDGDASQLARSDEFEKARGLFEVGDNIGRPLFHQMLQALDYLALRNIIHRDVK PHNILYRIVGGTIHYRLADFGIATTAPHPTVLSGTRMFMAPEVLVPGSQRHTTMIDIY SLCASICWIFAFEMGQDEIYDHRASVGLAWLSVLQGMAEVYPWRRTSAGNVLTTMFGG VGRVTR FPSE_05550 MTSTGPIDNDAIARSGLVDPETHGIPQVAAKGSMDVTRRSVSDS DDELPTDEELRTLRRVSGKIKWAMYTIAFVEACERFSYYGSAVLYTNFVAQELPPGSN TGAPLDPSGQAGALGMGPKAAQGISLFNQFFAYLMPLVGAWVADAKLGRFVTLHIAIA ISTIAHTILVAASSPNVIVRKDPAFGAFIVGLITLCVGTGFFKANVSPLLADQNEDTK MRVEVRNGERVIVDPAVTNTRVFLYFYLAINIGSVCGQIAMVYVEKYHSFWLAFFIPT IFFLIAPIVLALNKKKYKLKPATGSVLSKFLKMFFYVSKRSPAFRPNWEHAKPSQIPV DQRPSWMTYDDAWVDEVKRGLLACKVFLYLPVFHLAYNQMTGNLTTQASTMVLNGVPN DVIQNLNPISIVIMVPLIDHLLYPGLRKLGISFTPIKRMTVGFLISALAMVASAVMQH YIYQKSPCGKYANGKDPSTGEKCAPADINVWAQCLPYIFIGLGEIFTNVTSYEYAYSK APENMKSLVMSVNLFMSAFASAIGQAFTPLSDDPLLVWNYVIVAVIAFVGGVAFWFQF KHLDGEEDKWNMLKASEYQGEYQPNAAENKIADEHEEPTNAPRDIEKM FPSE_05549 MTRLLNAFVLLGMAGSLVAGQAYDQEKQNKDATCNNNCFFKYFT NKCNEDNKACVCTLNDMREKFLCCIAENCASNVLPEQIERSGNDCVAWNLPFTFDPEA ACGIKLPTSSDTSSEARSTITVVRATTSDAVTTTATGTETETTASATESSATDKTTTT SEPTPVDNGASNMKAIGGAIVLPVLLGLL FPSE_05548 MKSSAAILALAAVVSASPMSKRLDPAAWDKKETLCKGWDGNGWD TRTPEGVDKLWEDSGAGGQLDMFILMQWEHENNWLKNVESQVMDGTSGKSGAAGCGVL GSQCKPLNDMSCEDQWDKYGQDTIIGKNSYWIFQAAKGVHAKFNELKRQLTDETLISS LRIGQMVKDFDGSEDDAGNVLGWLAAASSMGNAVGGLVPGAGNGFAAGFGILGGIFSG LASQSEDEIDQSTISAALADVFESATKKIEDTLRIVMGGGTADEYNSLPAPKWDTFQS TITKFFNGGWFLLDDDAAAVKVAISSISNNIKTKVANDVMKAAKLHLVADKRDGFGSR EDCGYSTGRQWMSLKDGEEYCFYIMRNNPNNNRIKDWVEVQEVIYEKMADYGLGDREK YYRAVLDCALSDADDIDVGNLAWGEIPQCYFNLPAVFIEKDNNVGCGDPFSDPDCAYV KATPIK FPSE_05547 MTSTRMSFETEAQQADRPYTPWDDMVLPTVYSWSDLEGDEDDNG STKAQQADRPYTSWDDMVLPTVYSWSDLEEDKDDNGLEVEPSHEYSSGQNGAKCAIEE EMNERQMVDAPVRHLRDCRIDPDDDWSDYEADDESDNECDDGYESDDTEIWAEKHHLD WPSYHQD FPSE_05546 MASADKLIEALQGLDANAFANEAERVRALDALALAVSRVQKPWD TVWHHCWVNPATNACAKTLIDAGVFHKWIETGGQEKTSAELSELTNTDPILIRRMIRQ ISGQNLVIETAEDTYKPTPWVRALTADPALANVYGGLSDFVNAPMFRTLPFYLKKIGY KNPTDPNDCNWQFMKGKQENLFQSLGADPVAAREFNDAMESHSKYNLTPWVEVYPTET LVADSKPDRPLVVDVGGSKGHDLTKFHLRHPDIPHGSLVLQDLPDILRELTIAETISV HPHDFFTPQPVKGARAYFMHNVLHDWEDKQAKEILKHLADAMEPGYSKLLIHESLIST IKPHARVTTSDITMMACLGAKERTEVEWRQLIESAGLKVLKIWRPLQSVESVIEAELA RDPTE FPSE_05545 MGLWDVDDFGIIADKACWSMFAVTTVVVILRFISRQFFGQGNVG GGLGWDDFITAFCVIVMLVTCIMITIGTHYGLGRRLDDIDPTLIPGALRWNVIISSVL IWTFSLPKFAIIATLKRILDYGLKTTILFWGLAISSQACILATSVWWYKQCDPVEFGW DRSIEGGTCASVQVMSDLGYFTSAYSAFLDGFFALYPIPFIMRLNMPLKNRILVSVAL GLSFLACILSIYKLTIFGEIFVVLAENPTYPVPFLDILGLGEGCILLICASLPTLGPL FRFAKGKIVTANASRITDNSKRDSHSGQSSGRWDKLGGRQGDDMEHGSSRIGSSVDDI PLVVGLNHATDNSTRIHKTVEFGVSHAEPDNDGTGNRPDGFRGY FPSE_05544 MRQQQIPWAFWVLSSYAGLALAVPCNRLSNPYVVSGSDLQFEVV CNSYSTGGDQIGPSFELGDLETCISLCAGTTGCKVALFDRSQFLCYLLDGTDGPAPNN LYDMAALLSIPTTTAIPSTTSVATTTSVATTTQSAGPAPTPCNQLDNPTYIDGVKFSI ICGSQSTGGNQINFSNQLRSLTECMTFCAENLACPAVTFNNAWQECALYDGFNGFMSD LEKDMAVVASRPVTSTTEDPTAFSTTTSSDSSLSTSEFTTSTLYTTNLASTSDSPGTT SLSPSSDLTSETTFPAFTTISDVTSTAVEPTTETTSLSTESTSLAAISLSTTLSQSES SEAVSESTPDVILSSTSAGESSSVEFSTIATTESFLHTDMLTTETLTTVIPSTETPAI EASTTTTSATAMETTQVTGSQVYESTSELSSLSHYSQTALPYSLSSFTTINLGSKTLS VSDSAVTKTASEPYLPPSILTPTAIHTAYPIVTVGHAYTKTTFAETVTTVTYTTIDPS NTAALITTCAPITLLYSPCGCKHQVYPSVDMTTVACTHGGDIITLTVPKATYETGSGG NTHPIVQYPSGWTGGYRTDAAGDGYPVVQPTAGSQGVSKPGSKNDQSETLIRPTGLQE DSHPSYKVDQPAIPTSAGGSDDDTSPGQGNTQPSVSAQGSQKSNSNAPINPSRPSSPE SLTTETSTIRAPQFETSAVPPYHTQVPSKPKDAPYATPVAVSGAHSYEVSSWITMGAI LGVALLL FPSE_05543 MNENILHYGNQLIALVPGLIGLNLLVRPEATLQQMEYIIPSEPK ARQLTRGLARVYGIRNVVIGSIGLNLSLTRDPKLINMIYLGGLAMCVTDGIVAKSVIG HGEWLHWVFAPICIAGVAANYYLS FPSE_05542 MAGTTGAMSPEGLGSASTANSVRDDITDQTKPTTNINKEISDLA RQLSRVSTTAGTELNAFSPQPGTKLDPNSTNFDPRAWVKAFVKLVESEDGSAPSRSLG VAFKNLNVYGWGTGAEHQKTVVDYPLDAVSYVLGLLGRGKKRRVDILHNFEGVIEQGE LLLVLGPPGSGCSTFLKTIAGETAGLEVGSDSYMNFRGIDENHMRSSFRGDVLYNAEI DCHLAHLTVGETLSFASSAHSLRHVPGGVTRSQADTMMRDVMMSIFGISHTVDTRVGD DFVRGVSGGERKRVSIAEAALTGAKLQCWDNTTRGLDSGNAINFCRNLRLQADLVGVA AAVAIYQAPQSAYELFDRVTVLYEGHQIFFGRIHEARAYFESLGFECPHRQTTPDFLT SMTSPQERRVKQGFERTAPRTPAEFAERWQASSHRKQLMRELETYEQTHPREERLAEY KESRRAEQFKNQRSKSPYTISYLAQVKLTLWRGWRRLLADPGFTIASLVFNLVMALVL GSMFFNLPDDSSSFYYRGGLIFFALLFNAFASQLEVLTVYAERPVVEKHNRYAFYHQS AQAIASYIIDLPYKTANMIVFNLLIYFMSNLRREAGSFFFFCLTSYLTTLVMSCIYRT LACVTRTTHQAMIPVSILSLGLMIYTGFTMPTDYMLGWSRWMNYINPLAYAFEALMAN EFHNRQYGCATLVPQGPGYDNLPANSTICSVVGAIPGSSLVDGDRYINLSYKYYNSHK WRNIGILLGFLAAFLVFYIFAAEHAKPPRSKGEILVFRKGRMPPSFDKKDGTDVEAQA TDRPVVAEKGNANANSGLAAGASVFHWEDLCYDIQIKGKDRRLLDHVDGWVKPGLSTA LMGVSGAGKTTLLDVLATRVTMGIVSGNTHIDGKSTDASFQHRVGYVQQQDLHLNTMT VREALEFSALLRQSAEISRQDKLDYVEQVIDMLDMQEFSDAVIGVPGEGLNVEQRKRL TIGVELAARPQLLVFLDEPTSGLDSQTSWAICDLIEKLTASGQAVLCTIHQPSAILFQ RFDRLLLLAPGGKTVYFGDLGEQSHTLLQYFERNGAPPCPIDANPAEYMLNIIQPSHD EEVDNIDWHQVWRSSPEFQSVKQELQRLNALPSTKPEGTSAFDNADASQHQEFVASFW TQFREVLIRTWKNFWRSPTYIWSKTVLIILSSLYIGFTFEAKNTIQGLQNQLYAIFMY MVLFQSITNQIMPIFVPQRALYEVRERPSKIYRWNTYILSNILVEAAWNTLTAVIIYF CWYYPVGFVQNTTSDDQHIRGFLVFLFLMMFMLFTSTFSHFGIVCIGSAEEAGVLATL LWMLCIAFCGVGVTYNDIPTFWTFMYHVSPATYIVGGIMSVAVYGSDVVCADNEVLQM QVPSGMNLTCGDFMGPFVDAAGGYLVDRSSTDVCQYCSLASTEEFLARFNIDYADRWW HFGLLWVYVLANILGALGLYWLFRVPRGSGVKRA FPSE_05541 MATTLADQCSVDQVGDGEYVSRQNPIRMGNAAHIAYGGCTAGVA VNAACQTAPPTMSLYSVLGHFHGPASTEKKLYCTVTSTRDTRTFATRRVQVKQQQANG EFRVCMEVLADFHVNEASDFEYSEATCSKWPRAEDCPNLKTLVKDLLDKGSITEDQGK TFTKVFAANADFFETRYCTDGVSAQNLAGAAKTTITTQDDRCITEKVSAEWQRAREPL ETPIDNMSALAFLMDGALSFLPLTHNHMWFDDTAACSTLDFALRIFVPEIKMNEWCLK ERKTSRGGGNRTYSEGKLFDKHGNLIASNTQQSIMRLRKGAIVPKL FPSE_05540 MCDYPRPSEKVEQLRQYHQSQGSPVQQTPSPRDTYETELKDEYA KFREASYTFTRDGSILMGGSDLVDFHPNCDTLLLAAERIKQLKVRGTTVRQEENDYQN RIEQEQADGLRAHLNILGTTLCKRVFTEWLAAQQSSPAPVEGQPENEGQSADITTDLE FSPIADLPTSGDPSAGDQIEEEVQPADSAMTLDYVPVVSNLQQPTPAALSTPNIPPVS DTTCNPGNYTNENQQSTMWSHTRNPQMTPRSKSSKMKRRARRQIPSGPLTHRTIEFSE VYQNGHARQKYVIDKCGDYWYIVKCEEHQLEFRTAKPLLGAIKHLRAQEHNPDGTETS IQMAIAKFGRRVIPCSDEDAEKNNKVAIGVFSYDEVGVPQRRKRTRADVLDDTDDDMP PNTRNNSRTSVSPIKPQPGEVYKIFWGNHGCFYAAVILPRTGSLSQAGFEETNISIKD TPLVKDLRDIPRCYEYDPASGVLKWAESFGPGGPKASQSKYPAMYLTDPEFEDCKYCW LHVSKLQTYRRNDRNVMFQESVNNFITGRDQAANGDYIAEPTAVLSGTETTGDRSPIS HSSSTAAEQSGFSRESTVLLSDNDSDFERRLVLQTRENSRMKEEVVQQSPMEVAGVPL DKSEVQAPEQPAMMDQEKRNGQAIDHSPVLEQHDGHMDADAQVDNAIDSMITPGEKIT KEEIQMRNVLRFLKHDVHNDIDHRSRFVL FPSE_05539 MGDPLGYSAKAKRLREYHRRWMSQVDDTPMPPDDIYHVELEAAK KKVQDANQACLKAAASGDVASSIAFFTRAVEQQEEIKLRVKQKEAENKEECEVREFLD ILGRPFFERVYIRWLAGQQTLSTPAQESVDEEACPITAITELQLSPQVLSPQQPTSAD SSSAIPSAIPTPPADDPSHPRTTRNKQQDLAEGVGRPTFTPSTRNGKRPPSPKTVPDS ANKRIKKPDRRDRPTGPFAKRTITFAEVHDDGNAAKRYWIEEFRFHYYILECKDKACN ILFDRWSPVRHAMAHLKGPKHLNNHKVSLNYAKVLSKFGTQVVDCTSELLEENNKVTL RAINGDERAQTRESIAINADNNEDSARCAHDDSAAPQPGQVFETNYDDAQNSVVLILP WECCDRRGIPQWVPDVESTELIKVYHPCHWYSHSTRTYIWAPTYELHTSKSAFRKYPV MYFEGSQFPQNRSFNWVNLQDLTAYNPQDSNVSYKSQVDKYLHERRRLLRCKTRPAKG SVAGTDNTDDDTSATESSDDSPSAGTPNSSPGIEPLSMTGDRVVRRPVFRAPNPSSSY GIGPRSYGEPSRASGHFSDSEQHADQMEVDSRTIRDSEDSCLSKTTTHENGPHSRSTL QCGPAVNRHGPTHQEDENMFMSDDAHAGPSSARAVVPSEARANPKMQAWDETMAALDN VLNTVSSTSKTAQKQG FPSE_05538 MFITFGWVNFLGVFQSYYEQNLLQNYSSSEIAWIASVQQCLTYS AGILLGKVFDDYGPRWLLIIGGLAQVFGLMMTSISTEYYQVFLSQAICSALGASAVMY RTVESLATWWKARRATAYGIAISGSSVGGVIFPIMVNRLMPRVGFGWTMRAVAFIILV GALIAIATIRSNRGHTPTPFKIASYCTPLREMTFALLCLAMAVFGFGLFLPFNFIPLE GQALGMSLELSIYSILILNAASVFGRIIPGFLVDKFGRFNMMMVCTTMSAILTLAVWL PGRSNAASLCFSAFFGFFSGCYISLTPALDVEVSPPSEIGHRTGMIYFCISIGVLAGS PIAGALVEADGGGYTYLKIFAGVTMLAGAVLIIVLRFYINCSVKHKASGASQNRTDKE FPSE_05537 MWLRLLFFLFCMPLAVFAAGHHHSHLHSEHSHHHGHKRASNGSS SDGSGLDAARKILAAGQAAMAHANHAIIANPRPNRLEVLNSTELRRTQKAPPPLEYRN ATTKSLKSRDTGNGTSKDLRYTIPDELIKAARLLSEAWKPSPEDLDGGYASDVQHLKD TFLYKNNDTNMMPPMLQYDSGLIKPVHEPPSAFRYSENETDAADHVINSDNELHKRAS SDWWMATMSQRGSSPFAPTGYQVWRDVKDFGAKGKFIGDGVADDTDAINKAISSGGRC GGGKCTGSTIYPATVYFPPGTYKVSSSIIQYYNTEMIGNPLDLPTIIAAPSFVGLGVI TSNVYTGETSEWYLNQNNFLRSVRNFIIDVRPTPASAQVCGIHWQVAQGTSLENIHFY MTKFKDDPKTTQQGIYMENGSGGFLSDLYFVGGKFGQVHWIYLDTTKSMTNHLINSAY MGNQQFTASGLYFEEAETAIQIHWDWGWTMQNIVVDNCKTGLTIVGGAGGPMSTGQGI GSLHMTDLRFHYVKVAVSTSIMADNSTALLLSNSGFYNVDTIVEDASKKQVLLRGGKG TVNVDTWGFGRVTSANGTSAFHNGATLDSPVRNSSLVTGGRKQFFTRRRPKYDNLGFS QILDAKAYGAKGDGLTDDTAVLNHLFSAAANMSAIVYVPFGVYIITDTLDIPVGSRVI GQAWPQIMATGSKFADALKPRVAVRVGLPGQVGVVEIQNMMMTVRGATAGAIMMEWNV HESVQGSAGLWDTHFRVGGAAGTDLTVKDCPKLSGKVNPNCVAASLMLHLTPDSSAYL ENVWMWTADHDFDTADQTQVDIYVGRGMLIESKGPTWLWGTSVEHCVMYQYQLSGAQN VVMGLIQTETPYFQSSPNAPTPFKPGAFPNDPEFHNCTKTSKSCAMAWALRIIDSSAV HVLSAGLYSFFNGYDQTCLNSGRHDCQDKIFYTEQSYDIWVQNLVTLGSVQMVSPLNG VPTLGKPNRNGFASSILAWLGGSRNLTGQRNFEGYRIYSENTLDIDRFPDACQNALTA LVRCDNYTAEWTRPSYHGVLPNKVSVESVCDKGCAQAMSDWRSAVDTYCGNATWHNGA AAGVLGAFVSQGINETCQTEKNTGRYCNDIIYKFTVSETIEKMPTKDLCSDCYVSRLK MMQASPFSYYNRDSFYQDALKEAVKRCSLSNIPTVAQGSPFPPAPAEPTFCLSDVTYK TKAGDTCDSLAMKYSVASSAIFIGNPDILDCNDIVEGVQICLPLQCKTYKLEEDDTCI SVAIATGLYQQDIRTLNPWIHELCGNLQSATITLGRVICTTPPGGKYKHDVNTTSSDP AYSEYSDKAIAPPVGATLADKTTKDCGRWYTVEKGDNCARVLVQYHISLPLFIQANPS VSEGTCTEDLIPGRTYCVGPTKEVSVQKLKPVPPYTRFGCFAREADTTNRTVLTLAEA KHVKPMSIVACQSYCLQQRWTVWGVQNGDSCFCDNRLRMDSQILKDSDCNMHCNGNTT NICGGKDAIEVFSEEELLPVEYESLGCYVHDLHTYAIQGTTGGDTFDSPDEMSVDACA SRCTIEKRADFFALWEGNICTCGMRMRPGAQKVNDSRCNVPCNDQLGGNCGGKGVAEV YTNKKKYMVSK FPSE_05536 MHAVPSLVIADLDRTDCVAGCDAKGECDPGGFGSKFSNHTKCPL NVCCSKHGYCGTTKDFCGKKTVNRPSCSSKSGPMRRVVGYIEGWASTRSCDKFTPSDI PDGVYTHINFAFASIDPKTFEIVPASSKDPALYRELTNKKKTDPKLKVFIAIGGWAFN DPGPTVTTFSDIARSDTNQRAFIKSLISFMATYGFDGVDIDWEYPAADDREGRVEDFD NLPKFLSNLKRALKQSGERNGLSIAIPASYWYLQHFDLEKISKHVDYFNVMTYDFHGA WDTPKSWLGNHLNSHTNLTEIKDAFDLLWRNNVDPDQVNMGLALYARTFSASSSSCMS PGCLFDAGGPSEPCTNAVGVMSNPEIMRKLAGKASSGVLDKTAAIKTLKFGSTWLTYD DVDTWKLKLEFARSQCLGGAMVWAISQDTSDGKFSMQLQQATGYKSKGVSFLNSTTFL GSGVFIETDQNEPNGDASDNQCHWTNCGQKCPDGWAGVRRRDSDKKTAIMTDDTGCDD NRERTFCCPPGDQPYCQWQFFNNGYCIPGCGPSAGMEVGSSGAKCGSSEFAQTACCKG DTSGLDVYRQYEWYGLEKECARDEDDSPCGWDATYDQLLTESWDGSGDQNCYDSKGNR GPRPLCENTRDTSKPHFSNCIWSDDYYLGRTDTVSTGTCNSNCPKGKIKVALQSKNNK CGKGTSAYCCDVTISEPIHRLGDDEMEDAIKEWVKNPTCPDITNFDEDKLSSRSLENS DYDTDESASDLVKRAGLDRLAASLTVVQVIEKLMTFPKTSRATRDINAMVTKYFKPIW PHITGAYVAGRLWELRDSGKTTLIAAWDFVCNIDAEEKKAEKDGKAPKGTSFCHIPML DTYDPGSLQDPRGGRSDADISDIFGPIGTINDSGDLKFPFDEMLWSSDWVADDALEKR GKTYGKRREFEATCPDGITKVAMKSFTYPSGDNGDHLADKNGDDNKYWVDNLGDCFSA IVMDNGYTGDGNQYISEHILELQSVPMFMEYTMGVQKRLRNTFSPSGLPLKAPFNPEA HSLLTCGFWANDFTYGFYEWQNKYGNAKPVEVLFELLGSTANSIHMVNTEAKFNGKKG LIWAFNEPVADSTWTSLYARTDDATALRAFEQLMLVEQVFPYLTNPSIKTKLLSAHHD VIEFLDEYESLYRTQYPNNGPIGLSDMWRNFMTELLSKMQQWTNNWLERRTGKMLDNW HAEYLRRQAATRALTAAGSPAAAAAAAAEFEALKHLNDAYKHNRQYSAYVNAFNPESF LTGALG FPSE_05535 MDPNLELYRSVLDLPPSERRERLRHLPQAEQARVWMIVDREVKA QRLEELVAGRDLVQLALTNPSEIITNMRLKYTLLGRSIYPHDENMMVTRIANDVARTS QTLVDYIAEFDQKARPLALDAWKLVYCDLCYIDGGSATLQEVYETRLRDEELQTPAEQ AREIVRYKMLKKARRNAKWMIPAIQSLSSEQQAQWTVEDSRFAQGVAIQGKPDQALPL LLKQLEYEGTLKNLWKQVSPAPPAWMQHIIDTREQWGFVYYLSREVHERHGNDWESLW SGIKSSWTDTFSGRDMADATWMSIHCQGEDNRRQILEPLLTEDWPTFRSQDNLSEYSG MRRHFKEYTGERGSLLSPGVLRNTFIVIPLELVPAVSEDGGFGDNHNLNPYWVWACDA DWDSSDEETVVDGDKYEGRVRVAIYSLNSWFYAARWEGVSLKDMWLKAQQHPEKLWIC YAKRLEEWDHEPYI FPSE_05534 MSWLSIPSESHFSIANIPFGIISTSSNPTPRPAVAIGDHVLDLQ AFAKGDGFSGSTDAQKHADLFSQSSLNAFAALGRPVHRVVRSYLQDVFAKDTKYAQIL KDNQKLQEIALLKSSDVKNHVPLNIGDYTDFYVGKHHAFNVGCLFRGPDNALQPNYTY LPVAYHGRASSVVISGTPIRRPWGQILRPGNKVPDLAPSEKLDIELEMGMFICRENAL GEPIPISEAEDYIFGYVLLNDWSARDVQAWEYVPLGPFTAKNLGSSISAWVVLADALD GAKAAGIKNDSEILPYLQENKEKNVLDIDLEVEIITADGNKQILSKTSSRNLLWSWPQ MIAHHTITGCNLRPGDFLGSGTISGPDSGTEGSLLEQTKGGKIAIKLNNGEERKFIQN GDTLVLRGSYGSGDAKVGFGEVSGTILEPLPLFK FPSE_05533 MSGTNYTLYTYFRSSCSARLRIAMNVKSIKYDLTPVNLLKNEQI SDTHKALNPSGSVPVLIPPTSGSKPFRIGQSVAALEYLEEKHPEHPLLPPLSDLEARA NVRTLVDIICTDVQPVTNLRIMRRVRELGGNAEEWNCKLMTDGLRAYEEIAKDTAGKC SVGDELTMADACLMPALWNADRFGVDLSAFPTVQRIAENLKHHPAVVKAHWQNQPDTP DNLRA FPSE_05532 MRTPGAEDILVSEILVVVAVALVAARLNLRLRIQKRRLLLSDKF MVAACISGIIAAAFTPAFAALDAFDPKVHSTLQGYSGNGKRLRLILKLLFASNFPFYT TLYLCKAVLLSVYLQVFPEFMVKRRRFLWATIWFIAISYITTILLILCICLPLPRHWD LDADMTCQAWTYAVNFNVGWALSFLGDILVFILPWLIVPALQVKRALRLGIYFTFLLG TVNMAVSLVRFVMIFKAGADSSISLSTIVLWSALDVNIGLVVACLPSLRPYFGSRNQS EKPSFESRNKERHRRPSKFGIDTLRHNYMKYDEEASPSFSARHSTREASQWGNYDVIS DDGKRSCTDVSDVALVELRFPPTAKVSEIKL FPSE_05531 MSDHDKTTSSPTPVDKREVVYHVCICDASPTVVVVAI FPSE_05530 MKRPYQAKHQYRSNPLHLALSSPRSPYNMVVAADDTPGKGNPKG KQYTRSRTGCLTCRQRHQKCDETRPICGNCLVVQRQCEYPTAVLPLRERRKKCLPGEQ QPWTNAVTISRAIGPCTSVATRPISMAYRSDALFHYFYDLEDPFDIAPKERRQSLLSS TMHSSDTLRNTMLIAGLHFAWNTGQLQSFEPTFLFHKIEAMNSVNKFLRNSRIKYTVC VRNIATLCFTECAMGNIIASETHLDGLMRFMDLHRPPHKATLAESDLDDELANRYVLF SYNFIHGFKSRVEDVLINGSVTKTSQTPSPALVEKLMHEWHKHEVQGLNIRLKSLKMI PFFFSELPPNTKFVDIDGTAMVECLATLTATAQLRTQGMDFDDQQMIWQEGAATRLML AFVGSHIESISTDGRKGSVPNGSPMASSWSGMAAATGLYLHTVLRLWNAGEPIEPRLH RPIFGSGRPLLAR FPSE_05529 MQSRGNNLSASRKHSDRDDEAYPSTKRRVLTPARREQNRLAQKA YRERQKAERIVTKEAITKARNSTQPRPLLQRKDPCSNRHTNNKSSPPDEDESQSIQEL VPFNGTSSSDTDSQKSSNFPDVYLNMLQFFPTAFFGSCLANAASLGFDPKLVANCGAE NFSPFHQPNIAAILDHSTLMQRGSEFISTFNNTSIPIHLRPTMAQILIPHHISLDLIP LPFLRERAIMLSAALPHLFNAWELKLDVYERGGLLTWRLGPGKAERTSDSYPPWDMKS WEATPWFLNKWCSVIGPEYDDLHQQSIGWQVVRDMIVSKHSEVVEI FPSE_05528 MTTKTIAVVGATGTQGGSVARMFLSLPNWHVRALTRRSTSPKAQ ALKDLGAEIVEADMDDAESLVKAFNGVYAIFVNTDFWIPYMGALSSGKDQATSQQIGY DTEDRHVKNAAYAASKTDTLERYIYSALGPMKEVSKGKYSHCHHWETKSAAVKYIEQD MPGLAKKTSYIYLGAYASNAFLYPKKDSKTGGYVLDLPASKDLRMPIIDTTNSTGPFV QALVESEPARTKLLAYNSYLTMSEAVDFWSKVTGKKATIRSMTEEEMHQKSGLPYEVL DGPAYLDEFGYTEGIEGVITPEQLKKPVKTDSFEDYLRKQDPEALLSFKYGLFEAPPT K FPSE_05527 MTESQAWVFSQRGLPWDVLNLTSQPIPTLPPPLPLPKALPNPEE WIVVKVAFVGLNPGAIFQMTLVPPFIRSPSCVPEMDLAGTVIDVWHPDDESVSAQTRR FNKEDKILAMLPASYTLPTGTGALAQVVRIPARYAVHKPEGVSFGDGAGCLLTGLTAR QLVCESGAKAGDRVLVNAASGGIGSLVVQMLRKVVGIEGYIVGICSGKNVTLVQSLGA DEVVDYTQHNALSQHLTEKFSLNPFNAIIDTLGNQALYLASPAYLVPHGNYSSVGIKP PTFFVPDFLRAVLQMKLNEWWPVSPWLGGVGRKWIGTSMMSPTLQDRQAIADMLGRGD IRLVRDSVWAFSDTKEAYRKLAGLHSRGKILVKVDGQVGDEES FPSE_05526 MTATAKILTTNDLICDAIDAIVIKPKGEFIAGGTTSIVEILPSG DVTKTPWRGSVRESDCQKEILIEAKIYKKLGDHPRLVKMKHWDPNTFTLTLEYMPNGH FPSE_05525 MSLDSIDMNSSHMISISKRVNKELNNPDIAGAILLGGTDAMIEY LTFIALTVKSKKPIVGTGAYRPHTAHDADGLQNIIDAVILAATEGWSEEYYEVAMVMG GDIFRLWGTRKENDQFVPGSGSSIGRVEGYRVSFHDLPGRPAPFKFDITELSSVSLLP VVPVFLVHTGFDYKALEKAVDEGARGLIIGVYGNGYIPATTGTAITKLAYEKGIVVMG ARFNSVTVSYARVKGMIPGQDWDARQLRILNQLYLVQGKGITKHELEKGLEYGAKPHV SAN FPSE_05524 MESIVVQAWVEQTPTRARVDLIRAEEDIEDVSNDPQDPFTTAPS DYSYPAVLGSSAGFSVPFGFPPPATITSRSRSASPTKKFRKTADLLNLMPPVRFNTVS DFEPYVPDDARKLVRSLSAVEAKEGILPASLQNHKLLQSSKIRPYSWQPLKSSDEEDD VISLQRGLAHLQSVVDDSITSRNLFRSEAAWNCLVHTPLLKHTISEYDFLKVEPITSA GIAPSFRPLQRNGRYIFSQTGASSVSTVSEQDDVSSYSRTSAAALSVNKMVDFALVLE PKDELKTAIDEFLDAQPVGDNTITQTLYEPLRTRPACIFIETKIASGNIDAANCQLGV WVAAWHERMRSIIKMGDSHERVLTIPVIQVVSSIWTILFVVDTGSDIVKRIALLEFIS YRPPSMLLLPGLKEHMNPG FPSE_05523 MNDFNIYIISWLKELPTDISYSTRVKPPCENRPYAAGTPSPPPS HHKIYPSTPQNKQNKKRRLDSVPSLPAPPDNHVDEDPNAETPRQEGPHSVSETDDSSS HTSNRSSPSKTFSKLSLNPDGLEEKQLDFLDSRLPDSLVQLATDMEEIGMADRVIPDY LETELTDLQKSSKAHGLFRPRMFDKSLEQPKRFLSHLHHKLQLDAIIQLLGDAKDCND MEDDEAGWNNLVHTPLLKAAFYGNTARGRQLDGFRPCTSASILPAYRIKGSLGKKVDY VFHLDPEKDSCQPETVDAINTWRAALTDNSINHTPYAPLRRFPISVSIETKPGGISTR KAQLQMGVWHAAQWRHLHKLAGGNLQALPFIPAILVYGHDWVFVASTYENGKTTLWTG GTFGSTLRLLSSFQVIAGIQRLRTWAMEVFWPWYKTYVLRVTTPVSAPT FPSE_05522 MRIKCGCCAHGCRDNSQSGLGRIIKGERTTLNDLWDDVVAERMA MSSLYGKKIPGIQGWVDLDAAYINVCVVDVAACFHLG FPSE_05521 MARIIIKRKSQPSAPIAPSSSSSDPAPLSPQGAGTSPRIKLRLF RGSKECPICKATIVNRNGGLERHVERHAKLAEIEAMNVPLEPNRHGMSEDDIARAVDL WKSLPAKIRATGGVFHDGPLANKGEVSGMPEVFMATGRLKKKYMWIRKDQDGRVGRRP LKALKSGNSGAPSKEG FPSE_05520 MAESKSSTESEIPGLRADAHATSFDHVTSLEQFGRKWYASGVEA GKEKQLEKQENLLEEKESLLQEKGMEIERNEKEIQILKTAAVFGRDTLTYAANAMCSI RTASQNLNSRLTVDEREYIQRVAKEISSRRDECTTRIDSAAQESKKRSHETQASDGSI SSKHSKNH FPSE_05519 MRSLLTLTLATATSAFVLPDSLNIFNDFKDDVKETLEDIPKRLR KSLDEATDQLSTEISSAIHNKLQDEDAFFHDFVDKDAPSSDEPISIFGRTGGDFTDHT TYELIAKSNYTKKFFKLVQEHESFGKLLNSTDKNYTLFVPTDEAFEHIPDDHKHKPSD EFVQDVLNYHLGIGEYPASRILFTHTVPTTLKEKLLGDKPQRLRTSVGFSGVRVNLYS KVIAVNFKTKNGIIHAVNRILVPPPYIGKEISLFPAQFSTLLLAYEKTEFVKYIHNVP MIGSTVFAPSNEAWSRLGPRANAFLFNTETGKKYLRALLKYQIVPNITLYSDEIYYSD EKQTLNKKGLGHGGDFHIELPTLLERGVGVDVHTFKSWTTIVLNGHNVIGFNDAVGKN GVIHVPKTIPIPPHRRGEHPSEVDGEISVEELKERLQDYVEVDDSESDWEDGEL FPSE_05518 MASSKSQQFFHTSGATDAVWVHQDPVSNRPLFTKLSQDIETDVC VIGGGIGGISTAYELVSRGRKVVLLEARQVLSGETGRTSGHLTNDLDDGYVEIAKKHG DAGAKAAAESHAWGRDRVGEISNLLGIDCEYRKLPAYEVSQYSTQEKEHEDEMKELRK EEAAQRKFGIDSRFDETLTIRGWDGAVNQRGGLVIKDQATFHPTKYLNGVLKWLKSQP DFRCFDQTRVMSVEEKGIEILGIGNKSVIVQTSDGNSVRCNDAVEATCVPLQKLSVIA QMEFMRTYCIAARVPKGSIEDCLLYDQADEYKYIRLTACDDENDYIVVGGCDHKVGQE DTTTEFQELETWTRERFSQVGSVDYRWSGQIFEPVDFMQFVGKNQGNDHIYIITGDSG DGLTAGVLAGRLIADEIDGVENPWAAVYSPKRIASVLKSLPSMISHDLQINAQYKRFL ESDLADIEDLGRGMGGVLNKVGSKPIAVYKDEEGNIKQYSALCPHMKGVVCWNTTEKS FDCPVHGSRFSREGICVMGPSKGNLEPVDDAGKADQENVAGS FPSE_05517 MDKPTTNLTGTVPKAMDEQGTVGKQFTEQGAIGGTAQKIGGPLA KDGAIGKQFTTEGSIGGTVQENMGGEKKA FPSE_05516 MDDPPFISPGLSLQRAEVGDVPHIQSIINEAYAKYIPRMNKPPA PMTTDNGSLLASPDHSIFVLRSINKEIVGALILYHGDNTDEVQIDNVVVDSQAQGRGY GKVLMRYAEDFAKCRRRIALILYTNVVMVENLALYPKMGFVETERRTEEGFERVYFRK ELL FPSE_05515 MRPSHANIGFWLIWFIALLWSYRNGYDDPSSFFYKSRIAFNRRF SAAREAQVDEYLEREIYPVKHKQRTEIQVENEFLCIGIPSINRTSSAFLAHTVGSLVD TLTPNERNQVHIVVLLADKDPTKHFAYGKDWLFGLADDVLIYSNDSFAAKAVPDLDYT VLQHDIRGMGRSDDRVENIRLDHSVLFEACRDRDPTYFALIEDDIIAAPDWFRRFKKG VMEVEQHATDAHHDWMYLRLFYSEILMGWNNEEVLDYLKVVVLGYTGLIGCLLLALRC RRRRHAGSFASKDFAQTVALLLGLWIPACLALAFVTGRISLHRLFTPSHTVREMPRYG CCAQGLVFPNHHLQALQDFLREPPYQFPGDMIMEDFARDHGLTKWALDPSVMQHVGLV ESSDGPRRAEVWNFSFERLRDARN FPSE_05514 MTAGLKNVIVVGGSFVGLAAVKEIAALLPVTHRVLLIEPHSHFH HLFAFPRFAIVPEYEHKAFIPYNGFFSTLPNSANHAIVNARVVSLQKNQITIDRPWQG STEIPFDYAVVATGTRLQAPSNMQHDDKKTSVNYFKTYQQGIKNAKSIVIVGGGAVGV QMATDLGEVYPEKKVTLVHSRDRLMQLYHPKMDAIIRDRLQELGVDVITGTRASIPQE GFPTDGSKFELELKDGRKIQTNLVIPATGQTPNSQFLQNLQPTPGHKILNEANGFIKV SPTLQFADPAYSNLYACGDIADSGAHKAARPGAAQAHVVAQNIAAVVQDGKPTNEITV DPPAIHLSLGLTKNMVFRNPPKGQTEPIIMWRDDGARDMKIDGVWERRGTRVTRPDDY HL FPSE_05513 MKILFIGASGSIGGEALRQCLAHPQVTSIVCFVRRPLPSDVSDN PKLQSVMIKDFSVWPEEVLLPHVDAAAMIWYVSSVAMGSYRGNVRVDMEYPLAFQSAF APLLEKQPKRPRFRFIHLSGKFVIQDQDAKLWVNDYPRKLKGLCEVRSRELAEEHASV WRALVLRPGGVVTQKMMIPGLIARAILGNDWVVLNPELGAYFTYLAISEESEPEGTFL IENRRIVEGGRKFLKRQASS FPSE_05512 MKDLIRDTIFSHIIRLLTGGKIFKYPEEEDPSLWKKYVHAEKSA NMARHGQTEAPEGDGERQSRRSQTRSSSDTAISSGEGGTVNEPSGRIVDKEKGKDNPR NWSRIKRFFVTFEICLLTFSVYIGSAIYTAGIQDVMQVFGVSQVAATLGLTLFVAGYG LGPMVWSPLSEIPQIGRNPVYIITLALFVVLQVPTALATNFGMLLAFRFITGFIGSPS LATGGASIGDMYSPAKRTYGIAVWGVGAICGPTLGPLVGGFAAEKKGWTWTIWELMWL SGFSLVLFFFFLPETSSANILYRRSRRLRKLTGNDKLVCEAELATQDMSMKDVAVMTL VRPFQLSLGEPIVFVLNLYIALIYGLLYIWFESFPIVFGETYGFSLGIQGLAYLGILI AVFVVLPPFVWYQHKYIEPKFNENGELKPEWRLPPSFVGAFAIPICLFWFGWSSRASI HWIVPIIGTGWFSIGAFLLFNSVLNYLSDAYPEYAASVLAGNDFFRSAFGAGFPLFAS AMYKNLGVGWASSTLAFISIAFIPIPFLLFKYGEWIRHKSKYARHDL FPSE_05511 MKFQSVCLALASANMAVANNLLANLADSWIRNNHETQRPYWYGR AVVFEGYEAAIELTKNQTLVDWYRGQMDLVVADNGTIINYDMSKHSLDNYRIGMNLIW WYKRTGEEKYKQAADFIRDRMNQHPRTPTGGFWHREPTYPDQMWLDGIFMADSFYAVW TKEFDAKNTTAWDDIVLQWDKIQEVTIDKETGLPVHGFDESKIAVWADPETGASPIVW SRAVGWYFWSLIEVLDVFPKSHPGYKRLRTYYKDLASALLRAQGHESHLWELVMNKEY EGVEGNYIESSASAMFTYGWLAGLRRGLLDEKTYTKPAKQAYKRLYRDFVTKHKNGTL TWEGTVEVGSLNSDASFEYYTEVPVVPNDTRGHGPYMMAVYEWEVRNK FPSE_05510 MAEVAAGALAVEQVVSTGLQVGAAATVAKPTQPLTAVLTQIAKT PEEDDSLSLARSHHSVTVINDKAYIFGGKKDDGKLCNNDVHAVAIPGEDQVQGQGEYA CYPAVGDEGHIPPPRINHAACARRDTLIVFGGQNAEGHDADDEFTIWEWEPKAARWSA IEAAGKAPQSRHSHQIFYDAKKDNLILHGGYENEQRSTGTWLFDFTTRLWHVLPDAPA APLASQFVEGTLYSISAESDIHGSVHYIKTGFIQDESLDQLPEWTTVDFPSNPIVPGP RPRVGGALIAATTGHGRRYLLYLLGSRQDAELASADESYTEDHPFYSDMWSLQLPSDE YSATRVKDAIRGVIPGVQTGAFSWHELEIAPAEMTQEAGKVHPGPRGFFGADKCLDGR GVLMWGGVNAKGETESDGWLLKVS FPSE_05509 MAANKSSKDLPMEDIRPGSQRTLTTSDNESGTVKVQDEEKAAKE QDIVQPHDPNAVGWDGPDDPNDPMNWPAKKKWSCIGALSLMSLLTPLGSSMFAPGVPD IMREFETSNRNVATFVVSVYVLGFAFGPLVAAPLSEIYGRAIIFNISNVLFLIMTIVT ALSQNMPMLIIFRFLMGFCGSAPITNGSGTISDIFPVQERGKAMAVWAMGPLLGPCIG PLAGGYMVEAIGWRWVFWLIAILAGVLGFISYFFAPETYATILLRKKANKLRKETGNQ DLYSVLDTVGVTAKQRFRGAIIRPMKLLLTHPPVFILSLYVAVVYGVLYLMFSTFTFV FAKQYGFGTGTVGLAYLPTGIGMLFGTMVFGVLTDVVVKKKIAQNGKTVPEDRLPIWM TVPTGLLIVASLFWYGWSTQEHTHWIVPMIGVSLFCFGLMGIMMCLQTYLVDAYISYA ASVVAAQTVFRCLAGALLPLAGLSMYDEVGLGWGNSILAFLALVLVPVPAIFHLYGPK IRAKVPKNLE FPSE_05508 MADERPTPRDEDIPGGFPVTPSVNSMNQLTPTIDTADRLEAGFA GRDTNASAGYTTYDNVTSESGQDNGSRYSGNGTSAALGASAVGAAAGGYLASQHPHEN NIPAQQTETSNAPPLSERRSSSSTILAPHHDASPTEKRKSSTIANDDSSAVPSTFDDP IFAPIKSNTNEKPDRPPYEKRKSHRTEDDLFRVLSRRKTNASTPAEKEEEQEDNEELN RLMSRIFGQKRQQQSEGEKTRHSGVVFRGLTVRGVGLGSSLQPTVGDFFLGLPRKLGK LFTQGPKAALAKPPVRDLISNFDGCVRPGELLLVLGRPGAGCSTFLKTFCNQRAGFES VEGNVTYGGTDSSVMAKDFRGEIIYNPEDDLHYATLSVKRTLTFALQTRTPGKESRLE GESREDYVREFLRVVTKLFWIEHTLGTKVGNEFIRGVSGGERKRVSIAEAMITRASVQ GWDNSSKGLDASTAVEYVKSIRAMTNMADTSTAVSLYQAGEQLYDLADKVILIDHGQC LYFGRSEDAKNYFLNLGFDCPERWTTADFLTSVTDDHERSIRKGWENRIPRTPEAFAD AYRRSEDYQKNLRDIDEFEAELQTLAEERRAHESEKSKKKNYEIAFHKQVMACTHRQF LVMFGDKASLFGKWGGLLFQGLIVGSLFFNLPDTAAGAFPRGGALFFLLLFNALLALA EQTAAFESKPILLKHKSFSFYRPSAFAIAQTVVDVPLVFIQVIIFNVLIYFMAHLART ASQFFISCLILWLVTMVTYAFFRAISAWCGTLDVATRFTGVAIQILVVYTGYLIPPDS MHPWFGWLRWINWIQYGFECLMANEFYNLELSCEGQYLVPQGPGVQPQNQGCALAGST RGSTTVSGADYIEQSFTYTRSHLWRNFGFLWAFFFFFVFLTALGMELMKPNQGGGAIT VFKRGQVPKKVEESIATGGRAKGDNKDEESGQGNTVATGAERTKTDEQVTQEVAKNET VFTFQNINYTIPFENGEKKLLQDVQGYVRPGKLTALMGASGAGKTTLLNGLAQRLKFG TITGDFLVDGRPLPKSFQRATGFAEQMDIHEPTATVREALQFSALLRQPKEVPKEEKM AYCETIIDLLEMRDIAGAIIGAVGQGLNAEQRKRLTIGVELASKPELLMFLDEPTSGL DSGAAFNIVRFLRKLADAGQAVLCTIHQPSAVLFENFDELLLLKSGGRVVYHGPLGHD SENLINYFESNGGPKCPPHANPAEYMLDAIGAGNPDYDGQDWGDVWADSSEREKRAKE IDEMIENRRNVEPSKSLKDDREYAMPISTQTWAVVRRSFISYWRSPDYIFGNMMLHVA TGLFNCFTFYKVGFASIDYQNRLFSIFMTLTISPPLIQQLQPVFLKSRQIFQWRENNA KIYSWFAWTTAAIIVEIPYRIVAGGIYFNCWWWGVFGWRASSFVSGFAFLLVLLFELY YTSFGQAIAAFAPNELLASLLVPIFFLFVVSFCGVVVPPEGLPTFWREWMYWLTPFHY LLEAFLAAVIHDQPVRCEQGEFARFEPPSGQSCAEYTKSFVEMAGGYVQTGENGICEF CQYEVGDQFGASFSVYYRHIWRDFGIFCAFIAFNYAVVYFATWLKFRGKNPLKKLMQK RKS FPSE_05507 MMCEQPGSQQQQQQQQQQQQQPPQQPPQQQESGNNGQQQTCANC GAQSGNNYPQYHQTYVVPASQPNIERDFYHRSVVTIQSQQRQWPQDIWFHSPTQRVLY HPTLAWDNNMPVDLPQDEDFIPPTEPSTRGNETNGSST FPSE_05506 MDSNSSSFLGQTFLDLRDGNLSSSSAWTTAAIATAIALSLLNYF LTPRLDPREPPVVKPTIPWIGHILGIIRHQADYGRLIHNANPNHQIATLPMLNGKLYA VFDPSLLQSLLRNKTASFEPFAIDYAKKTFDLTQEEFLKVKAPGVYDEFTDAIHASFQ TASLHQMNVHFLACISAKLDPMSDGTMRAHADTHGKEKFANGQLQVENLYLWCRDVMS LATTKALYGDTDPFGSKPDLIEDMWCFEESVPYFLLSLYPSITMPKAYKARSTLQDIV CKWYSEDHDVTDPSVSAIVRNRAGSLRKNGLTGFEIGKFEVILPNVATLNAVPTFYWL LLYILDRPDLVARIRTEAEAAAVIAHDNGKKTVTFNIAEYEAKLPLLVSCYRETMRLV NQSVSMRRILEDITITTPEGNTYLLKKGTDMQLPAGVAHYEQSVWGSDVNTFDPERFH PGSKGSPEEERKRKAAYIPFGGGRHLCPGRNFAFAEIIGFASSLLLGFDVEATGMGFG DMKKLGPQLAGGTVRPEKYGSGLGAQIKSRQGWENVQWKFEC FPSE_05505 MTFNPPSWAPQLPSTYLRLTLYPTILTKTDIPDSISVADFINTD KAGRKAFSSSKNPYTCGVTGQSRSAAEVAQRVDFLARGLAKAVGFDAHDGTAWERVVA IYALNTIDYIPVTHAIHRVDGIVTPASSAHSVSELEHQLRSSGAKALFTCAPLLDTAV KAAKAVGIPDKHIFLLPLPDVPSDGSYRSIEDLISEGQNLPPLSIPAWIPGQGKRQTA YLCYSSGTSGLPKAVMISHYNVIACTIMIHTYETVTRQQDGIDTQVALGLLPFSHIYG LVVIAHIAQYRGDEVIIMQRFQLDQLLACIQKFRIEQLSVVPPIIVQLLSSQDKCRKY DLSSVRLVFSGAAPLGGETIQKLLEHYPKWRISQGYGLTEASPSVFHTSEADAFLGSS GSLLPGVKVKIIDQHGNEVTEHETPGELYVQGPNVVLGYLHNEKANAETFVWREDGCW LRTGDEVLVRKSERGNEHFFVVDRIKELIKVKGHQVAPAELEAHLLDHPYVADSAVIG IVDERAGEVPLAFIVKSREASGISDEDVVKAVHEHVEQHKARHKWLKGGVRVLDIIPK SPSGKILRRVLKAKVAAEKPVAKL FPSE_05504 MKRQQYACDQCRKSKRGCDAPPLEYPEDMDPIRDGKLIVGEKPP LVQSSPCSYCIKTHKSCTMNWAWTQLQVSYALAAAAEGESSIECIIPSRRKSSQTSRS PTTSVGADDTSVGAESTAASHVSQSLPSTFNFSDMDNGAHNSDMPSFLNNPLDSLPFD FGDAGNNPLDANFYEIGITDLNIPSESLSESFDIFKETADTAVPDNYSVYPLMDLPEI NISEPERSFFSPYNPSSTTDYNDRHTRTKRRRVSQAGSDTQYSSHSSLSSFSLDQSMM ARSNQSIISSNLLHIYHDVLEHNLTCWLNEITCPFGRSKDLNRHSPSAEWGSSWSNRV LRRTLSVDRGAQSAQLIHISKDRQAAVSKAYHLAIMAFATQWAQESRRQKERYPSPAD TDENPLNEYMDDVNEEFGRHLQRNLWDQARRTLDDVADVESFRVVSAEMIFGLTQKPL SHDDKANDWTTSVPLGGSFDADALSEEIADIIENNGPPIYMERAARKMHVLKYRYDAE RRGIVKTRKNKRPVTLSMMSTEDQSTISLLYWLSVMFDTVSSSMAERPVVVVDANSQH DDATGDKDWNVPLFIQDSLEKPKLVVHWPCSYDEAAEAVARSAPVKVLMFRHVHYLQT VLRQGESPEKVEEIIERSVRVYRYWNMTQELLENYDSIPSRIQSWFICISAPMHLGVL LLADLVSQVDTNSLGLPAQTQSRTNSKWIMRVRERSAKELSDLARVTTPSTPLPSEFH HALNESMILTEPWTVILERAFSKAAIYWMGEANDLRMFEAKGEVSERLSQAEECIRAL WILGKKSDSARRCAEVLSGAKRKIMV FPSE_05503 MPLDAQATSKVKSIIDNACADQTTNIPGTTIVVVDRNGEIFAHS AGTRGIGTKEPMTLDNIFWIASCTKMLAGIACMQLVEQERLKLDDGEHTENILPELKS LKVLKPDGTFEDKKNLITLRMLLTHTAGFGYTFFNERLRDWTHPAGVDEFSGRIEDII SLPLLFQPGEGWEYGTGIDWAGIAVERVSGLSLNDYLQKHVFQPLGITNMSMLPDKEM RSKLAYMHQRDQDGKLRIRDHLQRLPLVIDPDNKAEAASVFNSGGAGMFAQPQQYGKI LTMLLNGGACPKTGNRVLRQETIDLMFSNSVEKFPNFSRQVIPAAKPDLTNRIEELYG VEGDPPQGWGLTFMLTNGGPTGRSKSTVQWAGLANLWWWADREHGVAGIVCTQILPFA DSKVLDLWTDVETEIYKSIL FPSE_05502 MDRIYGTTLDIVWPDLGWITSLRLAFQLRSAINRLRAQPSAVDD FLDFWANLVSARLEFKKTPTEHSIRTKPILPYTRSFVFTHHDLAPRNIILDNNNQLWL LDWDLAGYYPRFFEHDGMYNFIPTAAWTKFEMWRWKIFACIASGFYGKEGRWLDIIRS RFTLYRTACRFNMKANGYAAVAGRIDRD FPSE_05501 MTRLRDVAVLFASSVVLAQDHQQVLNGGSGLLTTPLGTQVNTLG SCLMGSACQRVGKKITIDDIVISTDGQGNTKCCPLGTTFNGSRCVYPKSTVCPPNMHF ANGVCVLTSGPVCEDTNLVPTKDGCASKVPPQCPPETILDNGQCVMKEDPTCPGGKKI VNGLCTVDEVPKCPQKGFRAQGNLCVSDEGPTCHQDWLKVVDGKCVHTKEASCPSGTK QSGTGRCISVQPPSCPPGFTVSGNTCVHDSGPSCPSGSAFRNGVCEYSRLPSCKEGTL TNGVCVSNSQPGCPSGFRFDKVTARCLRRDQLNCQAGYVNRWDRVSDKIACCPKDFGG FDGTFCTQDNPGSNACPLNSELRDGKCVTSPGGQPDCDGGKGFVEKGVCYKKEEASCP TPLKVFKDKCVYETDPYCADGKLSPSRMECIVEGPDCPSDTTIVDDECVSNPHTPECP SNLKHKDGFCVGEPTLSCPPGTIKNGEYCIYDNVQPACKDGLLLLGNQCVTRTTSQCP EDTMPEGEYCVSMTNPICPEPEYIYSLARRACIYKYRPSCLPPFHLKNGRCVVDNEPT CPPDTVRSGDSCIKRANCSGDYTLVGDRCVHNQSPECPDPSTELDEKTGQCISRSETP SCADGSVLTNGKCIVQADCPPNTTPMGDYCVSYQKPACRAGFTLENGECVLKKGPACP PGFVARGSECFSSKQPECPSGSIMDGDRCIIGVNPDCIILGTCPEIA FPSE_05500 MSIIADRVRDRTLTRDDFKDLTSGDDINKPVDGNTPLGYAVLNG DTYAVRLLINHKASVKRKSPNGLSVLHMAVDAKENAARVVKILLESKDINVDEEDDSF PHDTPLMRALKNNPDPVIIKALKDRGASVTTPNSKGETALDLADRLLNSKAKEALVAP DPQNPTGISLPRFLVSSAFLLLDYLSPRKYLQDSVIAAFKMIYDQIVVPRMTSPVSTY PKTKPELKAILEDVVATHGLESFFGPNSPVLQSILLQAYTVVNNPALNLQLTSQAVSS QFHMALYQPIIYCDDSRSMLEENRWPTQADIVSEIADIMSLFSPSERGTHIRFINKSS PGLDDLKGDALKAQMNFAPDGSTKIGTSLNSKILEPFIYSKTNVGAAFERPVLVIIIT DGWPTEEPVDTLQNAIITCQQRLQAANHPHDAVIFSANQIGSAPQAAQFLSGLMAVSQ QPAFDGVLRVSAERLDTQFQQLRNNRDDLFYWIEKVLFG FPSE_05499 MAWPVRLCLILKALTWAVQAQECPGPSSDMVSRIKFKWYPNSQT FAEPGNGEINVLDNVPSLQDCANHCAVIANCKASVWNDITHHCRLISDTDGCQDEEPS QHSLWRVGFIDDADNIGCSEKEIEAKCADKETAARLEAEKECNSRNDDLRAEIARLQA ENTALRAAKNEAERKLGDAQTVRDEDQKRLNKQCQDKLNDEIEKRRKAVKDARDDAER NCRSKQENEHQRQLDDLRAQCDEKSKADKAERDRIESQCADSHDKLTQRCIDDLSKAD QKYTDCNNKCNEDIRRKDEQIQQCTDILNIVKDQQKQSDNQCQADKANLQKDCDNIRN NDKIILEATCQAEKDIINTKCTYDTDAAKRQLEQQLESRCRAEKDLINKQCTHDIDSA KRQQEQQLESKCRAEKDVINERCSSDIDAAKRQLEQQLESKCRAEKDNIKKQCTYDID AAKRQLQQQLDSKCQAEKDVINNQCTYDIDAAKRQQQQQLDSKCQREKDELDKQCNDR LAKEQKTSDEACQRQKDDLDNRCNDKLANEQKTADDTCQRQKDELRKECDDRCKTQAR GKDDICYNPGGFGAWPATIPPFDIDDTNYVLKPDTNLRPGPPIERTATSPAACAREYC NDVSDCVAIRWDVNKLNTCYVFNESPYSPFEKSRLKVYSNSYMIVKSDRMR FPSE_05498 MSELPSALDGWEKWNGQYPQQWPGLAAATRVINKVEAKLTDHFD NAERANNVMFEVKDKAKPDVQPFAKKSAGRLSEILNDNDAAPEDRDRASKLLEELSPK MDVKLREAWIKRLAIQYPGPTEAAKAEIQQEDEGRLVPRRYYVCEYLCKLISTPDNTK TEDWFETEPLSAWAIANIVSLQLVTYSKGGIHNPDHEKGSWSWFEISIRGENDIGTPK KLPGGQSLAWFSHANTYNDNTIQSHRGRVFTKEDKVFEHLKPKDRISVSVAACLGYKN IAKRAYLLLGFEKKDVELHQKLRFPPTENAAEEDDPLPPSILVCGQERRDCFMLPDDS PSSATKVTMIDGGVRLMRRLLEDNNWSVISRPEEPAVRQTQVPPGFHSILPLAKDKKA LDEGKKNPPLKVGKQTVVSAGPVMVHNWQNPQAPEAPRIVVWDDYGVSEANMDDYIYN LENNNPTSKVLLYQMHVPLCQGVLWEDFRPFKKSIAESRHLPKTLVVIDMDDLRAQGF NISRGISWEKTMEDFRGNVRGILKELKLPWYMHLIVHCGYEGVIYVAERPQQDAADPP PIDFVTYMVPNMAEGQILRPYNGSIPGIDIAFVTGLAASLVKESNSALDKLEPVTVGQ AIEVAIARSHRFALSGFVPDANGAIDYPRAQDVTNAELPRPGIIHINSDWFKNDDDGK WSLFSLAETWKEHVAAETVKTGTKFIEAFVPTAQYGPLVTADRSEIEGFRSVEVVIKQ YLDGDVQKPLSIAVFGQPGSGKSFGVKKVIDAVINDKKQKPIEANLSQFVDYKDLLSI FDSVRDMSSKSNHVPVVLFDEFDSPFGSKALGWLKYFLAPMQDNQYLHKGTMRSLGRA IFVFIGGTSSSFEEFSQVGGVVRPAQAPVTGAAGGNSDGDHDEMERYEKQAKKPDFIS RLSAHVNVAGPNPPKRDNPFVNVDDDKMVIMRRAMLLRSLLETRLDLMKKAIQVDETL LMALLKHERFPHGSRSLELILQSSRVSSGQKLQPSALPPDSQLAMHLNLVEYQAAMSD KLHETEFRPYDVILYNKLRWAAWARDKLLGGEVAG FPSE_06666 MAPKVQHPPFPLHEKTTNRPDEWKIEQGMSAATLPALDMTGPET VALDIQTFGPLTKDQKAIDAVGDRNELFKIERKGWKGYVEWENYPEKKAAAHKILTSQ TFPPNPEFQLGEIPPTNPVLPGTHWKMWHHALGGELDDVPEDSWNLVLKEKHPDMLHL LQFPYNGEPPKRLVTDKPVTPNPLHFVRNHGGIPIIDKEDYSFLLDGLVNNPQSFTLA DLQDESRFPRMKKHITMQCSGTRRIEQILRYPGQGDEVPQAPWAEGAIGNAEYEGVSL KKVIKACGGLKDGAKHLEFYGADTYFKDDKAMNYVVSVPWSKVKANEIMLAWDMNGEP LPRIHGYPLRVMCLGYIGARGVKWLYRIKAIENPSLAPVQSQEYLYFPQQIAKHNFKL TDGIQIQEMPVSSAIMSPWTKQVVIHNGIIRCKGWAYSGGGRWPERVEVSADGGFNWY TVPEKNLSKKRRWSWRTWTFDLPCDVEGWVEIVVRCWDNSLNTQPPDVRTAWNWGLHV TSSCHRINIFSVNKKHENTKARMDEMDKRGVPFAPLTVPLSFPAQSWDEYEKYWKEHD PRDAEEY FPSE_06667 MTSSAKRGENPISTLANGQPTENPGSTQQIRYGQSNGGLVVLSD TQTIEILAHFARERIPERSVHAKAAGATGEFEVLEDLSDITDASFLSEKGKKTPMLMR ISTVGGEKGSADTVRDVRGWSTKLYTEEGIQDFVFNDLPVFFIREPIKFPSMNRSHKR HPRTNVPDNAMFWDYHVNNPEGIHALMHLFGQRGIPASLQHINGFGVHTYTLNKADGS YVYVKWHYKPEGGIETMDSETAARLAGTDPDYHVKDLFNAIEKGDYPSWIVYIQVMRP EEVMAAPIDIFDCTYTWPHEKYPLRRVGRMTLKKNPDNYFQDIEQACFSPSNMVPGIG PSADPVLQARMFSYPDAHRYRVGPNYFQLPPNRPRNQVYAPYVRDGPGTMNGNYGGDP DYVFSQLRPVSVSKRVQVPTHEHFGGKVTSVATEFTDKDYVQARELWKIICGEEKGKQ QFVDNIAPTIKDLPVELQGKVLDYLAGVDADIKTTLTAQMK FPSE_06668 MSMLNVASCQAVDAVPTRCATFLTFKAKPDASNLAVIRATLGSI SDLTKNVAIRDLRSNLTCTVGIGSDFWDRLTHAQRPKELHPLPVIKGRVHATVSTPAD LLFHIRSDRRDLCFELERQVMDRFGNSVQLTDSTVGFRYFDARDLLGFVDGTANPVGQ ATMRSAFVTSEIDEAAAGGSYVVVQKYLHDLAGWKKLKVEEQESIIGRTKVENMELDD AAEGKQAAHKTLASITDDNGNEVDIVRDNMPFGSPASDEFGTYFIGYSSKLWVIEKML ERMFVGSPPGMHDRILDYSTPVTGCTFFAPSADMLDSLADIED FPSE_06669 MLVSTKSFLLTAALGPIIVLGETVLGVTVFSRHGDRTSKHYKGY TLTNLGFQQNYQVGAYYRSTYLEAGASKQILGISEDQYVPNQIWGLYPPIGNNDNVGV QELNNGTDYTAPLNGYQYVVLHSEDENSPDTIWIKGDESCPAATKDQKSYSQSAQFKD TFASTRQFYGDLYPLMKDVYDYKPENMTYQNAYDIFDLINVASIHNKSFSVESEKLDQ LRVLADRSEFGQNFNVSAPDNRSIGGKTLAGAILNQLNQTVAGKGKLKFSLLAGSYDT FLSFFGLFGLTEANSDFYGLPIYASTMAFEIFTKDNVTTFPSSTDDLQVRFLFRNGSD DGTPLSQFPLFGQARDGMSWATFVKEIQKRSITTVSSWCKMCGSTEDFCVAALKGDSA SPASAGSSSGSGISNAVAGVIGASVTLGVVVICGLTIFLIRRNKAHKATATEEAGYAS SISNKDSL FPSE_06670 MSSLSFTTQYEDSQVLDIGGSHIDLTFVVDQLPKAFLGKGDFPK EVAYTTGMDKWNAIADKSYQTTDEMSIIEATAAKVVNELESGTHIIDLGAANSKKFEP YVREFIAQGKECVYVALDLSRDSLVEHIAKAKATFPSVKCVGLWGSFEQGDVYFKQTR PIARLFLSLGSIFYNAPDGMAEDRCIEFKNHLTANDRLIVGQDSLTSADISNSHAAYQ TEEYDAFFTNYLQGLQDHAGIMGADPKRAWTVESKMSQAMHYFDVTANQDMFCEAFDI EVPAGTVYQMFKSWKRHPAEIHVLTNRVGLTIKTLGKAENSGMRQYIIKA FPSE_06671 MSRYSKDQPEGFKNAIERVAIVGAAGTVGAYITAALLQTGKHTV TALTREDSTNKLPDGVIAALIDYNNESSIVAALKNQQFFIITIAPTAPRDTHRKLVQA AAKAGVPYIMPNGFTGDIEQVKLGEDTLLGLVGKANRDEIERLGMHWVNICCGFWYDY SLAGGEQRFGFNLDDRSVTFYDDGNTKNSTSTLSQVGRAVAKVLSLKELPVNEDDGSL TLSKFLNKPVNIKSFVVSQKDMFESVKRVTGTSDADWTITYESTKKRYEEGMGMVKSG NMAGFSKLLYARAFFPDDPSNLSAKAQNDLLDLPEESLDDATKAGVDLVKILQGRAER MAS FPSE_06672 MSLPTTEVACMRPKKQLSPDDRAPIQNGHYIPQLDPINMQLKHF VYDYIFKHTGPCIATDWQCTVSITGRMPEILNPIESIMRKTRETDYIKFAEPICIFEC EVYHNSPTKQAYDREIGMKMTEFVKRHEDINVASEVVPS FPSE_06673 MANMNGDEKKIPQKTREVSNHHMDSTVWNDVKLRSDDIIISTYS KSGTTWVQQIVSQLIHEGDPTVAAGALSPWVDIRIVPREVMLEMVEAQTHRRFMKTHL PVDSLVWDPKVKYIFIARDGRDMIWSLHHHFYTATPTFYSFFENTGITPFQRPSENPR DMLIDLIEDDSRPTICWPFWSHIRGWWERRDQPNLMLVHFNDLKKDLEGEIRKIAKFL ETPDMPEDKFKDVVEHCTFDWMKEHAELAAPPQAAVAWENGAKDFVNKGSNGRWRDVL SEEDSKRYLDKAREELGEECANWLQNGGSFK FPSE_06674 MVYLSQPRNPPVWFENGGVTALVKPGVASTLLPVSVRNWKMESK ATGKSGIKSHDRKICIASILWTCNVRGIYSGLTYTVPENLHPEMINSENCAEICQYLP DCKSSALVDGQCNFTDVLIRKSDITTLNRSEPNQGFWNEQRCFQCNDCSLPPLFNPPE MTHAPEEVSSTSAPEETRTRSAEATPTETRNIVCGYVGQYTGGGGPYEADHTAFPDQS SLKACRQACNGIRDCKAVAFVTWNKYQNPGYCLFADNRITWDTLVSDDQAVYKAVWAD LECDFDLISVPELSFPTTTMAPVDSQPTTLTTRTSQQPETTTTPAGVCLFNRGQSCEM TPSKLESGTFCAYAAKFNGNQWKESRQDYPYQDTLEQCAAICQTMDHCESAAYWQTEN RCLFTAKKIVESDFTITPDRDFEHATWTHKSCWTCPSCQATPAPITRAVSCSYEQGDV CQRVTSNIDSALCNYQGFWELEWRESLEKYPDQSSPAKCAAICRTRDDCFGSGYKDGI CMFSPIRLEPQRFRDWPDHSRDGDWDDISCFQCPNCA FPSE_06675 MKLSAIISFLAASSAALPTSNSFVALEGRQAGSITRNDLSSGAS SACPPVIFIYARGSTELGNLGTLGPRVTSALESYYGRDKVWIQGVGGAYDATIGDNLL PRGTSAAAIREMIALFNLANSKCPSAKVVAGGYSQGAALAAASIEDLSTSVRNKVVGT VLFGYTKNLQNLGRIPNYPRERTKVFCNVGDLVCTGSLIVAAPHLAYQSDASGPAPEF LIQRVAAST FPSE_06676 MGYSEILCRICGVSFNINRYRTDQEPPSAALGESLSLDPDRCPD KGGCYFINSSLPPDEQIKHNPSDIQETDLDEDGWTHVAGPDCGNNGAYNGHRISLEAM RGCNTFQCLVYKPEDWTSQTNDEDFESKGKYFLSGLGDDMPSRDIDWPSVFPHRHDVD APRADECIWNEDEADECAMPFHPTCLEIYKRVSLQRNGVVDIEGLTLWWRREGTYEDF HQFPRDQAVIDAQEQWWSHDLGNEYLAANPCFIPGFQSLLQSCQDSDTKDFEPAGTAI PTQASTNDPFAKLPPEIRHSILVHLDFKDIANLRLTSRIFLQLPNPVMYELTIRNTPW LYEAWTSMPLSFWATTTQKELEEKWESTYDVLRSANPSIPVDQLSRTETNWLKLQVEI SRNWSKLLGLRNRRRIWKDCEEILNRVDKIQAQDKTT FPSE_06677 MNSPTATINGITIRNHDKPYEAISPQRPELSQQGRTVLVCGGSA GIGHAIARNYCIAGASSVVILGRREDVASAAALKLNESYPNTAVTARTCDVFNRDQSQ KVWDDLEKEGILVDVLVVNAVGEPALLPILDQGADRLWQDFENNVHAPLYLVERFYKQ PGHAKQKFVISVSTQDIHCWDSAPQMPGYQLTKSSFATVMQQVARDTPEEKMRVISFH PSIVFTEAARKTGYTEDTLPWTDENLPGGFAVWAASAEAQFLHGRFVWSTWDVNDLMS GELRDQIESDQWLLKVGVRGL FPSE_06678 MHLLNGKTAAVALALLNSCNALKVTILADTNRDGKVDNNDITGK STWTNDKGALILPNIGDTGSRCAKQWGPSVDIQGDESYLDKCNDASDNVQRNPKYLAS LKTLPLTALSATAKGSIAIADKTGASKVRIFVKQSGKWNYVAADHVFTAKELKSGLEL GVDARDVRRPQGWNGYAKIQFTVTDGKTKATDAVAVRVAPVLTHHHGQHAQRIFTTGV NEAGFNKVQEKYVADILRNVADAGIKEPIFQFHNQDIWTQDFFEPGYASIPGPNGPVS IRIMIRSAQSSRRSGRDAFHDLRNDQVGAVQHPGNGDSIDSTGNLETIPPYSHNGKSF PVGRTIMGAWEGRAPLMVEFLKAQQVQEPLILDTSWLYVGHVDEFIQFLPSDNKLGWV IMVADPMKGVDLLKKAVKAGHGKVKAVSRPLSADEKKEQLCVPQQTIAEALKFKNFDA INKHSAERIQANLDIIKKETGITDEDIHRVPALFYYTDSNSWVCSGETAEDASAQPQK AASNSGITMKTSQGGPGFKAKSIVEAATPGKSIQRRVIDPATQVTALYPGSVNGLVMT DTKILAPSPWGPVINNQDIFASAVSQVYTNAGYNVTYQDDWFSHFKLQGDVHCGSNSW REIPKKWWDSLRVNNY FPSE_06679 MVDNKIYDVVVVGGGPVGLAAGYEVAKAGASVMILEQNNFFNQA GSSGDLARMFRTMYTEEFMAELATKAMKHWDALEKDAGVSLRWMGGLLNFGDKDMGDD TPEGTLLGPIDNLKKFDMHYKELSAEDIEEQYPFKNLKKDWMGLFAPDNGVINVQLLL RTLYSLARDYGADAKQHTEVKQIRPSDKDKSIWEVHGTVHGKSVTYLAKKIIITSGSY VNHVLKPSFGISLGLEIWEMVATYFNTNAGPNGTIFPSMWFQFAPSVNKRSRLFYGFP ALPWGPPNVVRIAVDAATRTIKDPSERQTHVLSPEDIQDTQDFVRDHVVGVDHTVPAS TVSCLQTNVFDNMFVLDFLPKKYLNGGAEKSIAIFTAGWAMKFVPTLGKALSEMVLKG DSDYKLKEFSITRPAPAGKEIIQENCESPGEVKMASMSIQQPGFEQAQASSYGKRVSA HAA FPSE_06680 MDQSSGPSWPRHTFLFLACCLIGISLAQKDPTDNFCRRFGQQTA VVDRKLYIDGGIINYQPPRENFTNTFFTYNDLDSISDGDMPEFHTGLSKNGSIPSVEG GILWEDSINKRLYLYGGDFEDGPTEPFNLYSYDILYDEWHTYGSPPNSVKAASYGAGV SIPSRGEAYYYGGWLSDKSVQDWQGEKVASSGLIKYTMDSNKWSNVTGPDDTGRAEGV MVFLPVGDDGMLVYFGGGQDLNGTGTLKPQPMDEILLYDVANARWYTQKTSGDAPNDR RRFCGGATWAQDRSSYNIYIFGGRGFPPHETGYDDIYILTIPSFQWIRGPYPGYENGT GTYPKSMMSCNVIDNTQMLVIGGSYANATEKECDVPSIQGVHNMNLGKQNDEDAIWAR YQDDLTTYEVPVDIRKSIGGSAKGGASETTPISGFNDPDLEVLMTRTAQSGTRSATRA TSTSTKTAAPSASDEPSSSSLSTGAIAGIAVGCSVASILALLGCGLLIYRRRKHYSGP RGVAAPPPQGETAMAHNPISPGQSTSPGGWDPNHVSSPAGTTPSHGVASVVWPARNRS ASELTGHPDLKRNERPVELPADVNMHDMHHSELSPMSNASPPQSEWSHRY FPSE_06681 MTYRVTPLPEHLPINSVFSSRIAVRMDNFKIHTVIHSDYLVFDK SGKLPFSISFGLCRPLNGDTDPRNVGLKTTRSILDIPYALSNGLLSLREDDTDVSVGQ LKSTDPDSLDRSFLYLKSPVGRNDNVKKDWSVYHYPIHPDSDLAALLKPGKKYTIRPK VRDLGEYVFIDENDQHSEPNEAEKLCSTRENGRALFNVVESLPWPPKIETTMKRCNDT QDDALRLEITVTNKGTEAICVQTRDRQRFLVPSGPIEPEPGFPPLDPRPRIIDTERPS PRVSIQVVDITTNEIVRKATQPGGCGLYGQHDPRPRLETMTTLRPGEPIIRYVDVGDL VSKLPDGKFGLRMEPRGMWWCVGDCEEFAAAGEDRVPGHLYNPKIPPVMLECGDIVEI EVKDGAAK FPSE_06682 MSFSQVLVITLCLVTTGAHAKPLSPPSKVSSRQNPSPSSYPLDD ACGNEWKYLNFDINKDGDRAHLELLHDVICSGELRGIAAWGAFAASERQTSKNVVYDL FFDTEEDTPAKVNDVLITIAGEVTEGSNAGPKVAQMIIDNSDFGKSSDNSCENEGTLA YTQIDVDGDGREKIHFCEISYAKPIHAADIKCESLDKYPSTKMDTFSRVALHETLHYS TIGPKSKLGEEIGDQFNEDGQRAYDPERAHGLQDPKQDNQPGKSENNADNYAWMSLDA IISNRCNMETTDGEKPWHSFFPDPPPKYA FPSE_06683 MAHTMSWRALTAIALTAITVSAYPFQSYNHVARSNKHVYCPLSE LCTWEVCTSTTIGLDPKNCGAYGNSCEAGEICIAGECYPLDFGNNKCDTTCEPGQWCN DGKCVAIEIAANSRICGKDHKDCGAGAVCINGQCQTLDIDPESKSCGPKKVACDAGTW CLDDSCIPFILGTYPQTCDKKTPCPLGSSCHHGQCQQISISADVYKCGESAKGCTAGQ LCVSGSCQFLSFTKEKEQTDSCGSSHGPCDPGFFCYEHECLPISISTDGSKCGKIKKG CGADEICISGICTTNLFREAEASVTVCTGDSSGSSGRPQGPNCPSCSGGKSSDQGSQG KGGSSRKGGSGGQGTSGGSYENGGSSGRPGGKPNGSGSSEGGYYNEGGYYVDGSGKPQ YGDGNGYYPGTGSGRPGSGYGPGSRPGSRPGSGSGGKGGANNFGPGRPGPGSDGNGSP TPACDPDCSSNEICVGGECLTVSDPLTCGPSSGLSRRQTVECPPGFACIDDRCVPVDS PINCGGSNCPINYACIDDACVALGDPANCGGEVCASDEICLAETCTSNPDLASCFGVV CPSGQRCLAGDCVPARPGVDGNPQGNGGRPGGGIGDGDGPDAQPTRDGGRPGEGDGNG PDAQPTRDSGRPGGGDGDGPDAQPTRDGGDPDDEPSLTVTVSGSVTVIPNPDATDTSD ATDGSSGSTTGISGQPEGNGRTSTFGVITQGSTTITFPVNPTQTGLACSVDSDCNLYV EVCVVAGDNVCICKDQLCLVDPDLTPGDPTSTEDIGPATTDDFPAPPTGTSVCTTDDD CLVDNACLFNGENICRCVDGICVQQPITGDPQGRPTSASTEGPELTTLDGPDGDPTAT VTLTDDDGPGLTTLDGPDGEPTATVTLTGDDGTTDGPEVTTLDGPDGEPTATVTLTDD VDTTADAEVTTLDGTDGEPTATVTLTATEPAATETEFPCTTDDDCLAQLGLCTTDGLV NLCVCVDAVCVLPDLATETTTAIDGEETDAATTTNGEVAPTPTTACDTADDCAANAEL CLIGLVNICLCVDAVCVVNPDGDATTTGVTPTETATACSSADDCAINADLCLDGLLNI CLCIDGVCIAAPDGDATTTAVVPTETATTPCTSNDDCLVDVDLCVIAGINLCVCTDAI CVPGVGPGDGDDGSTCTDDSDCTNPGSICLDSGICGPDPNDPDTTPCTTADDCLTNVG LCVDGLLNLCVCVDAVCLVPGGGPGAGGDGSACTGDDDCTTAGSICLDSGVCGPDPDG PGATACDTAADCTVNAGLCLDGLLNICLCVDSVCVAVPGGGGNDGDACAEDDDCTSPG SVCLDTNVCGPDNAGTACNTADDCTANAGLCLSPLGINLCVCLNAVCVAGPGGAGNDG DPCTDDTDCTSSGSICLDSGVCGPDPDNTGTACNTADDCTADAGLCLSPLGINLCVCL NAVCVAGPGGAGNDGDACTDDSDCTATGSICLDDGTCGPDPGNTGTTCNTAGDCTANA GLCLSPLGINLCLCVNSLCVAAPGGGGNDGDACTDDSDCTATGSICLGSGTCGPDPND GGANSCNAASDCTANAGLCLSPLGINLCLCVNSVCIVSGGGGGGGGNDGDACSVDADC TTAGSECNNSVCGPPTTGGSNSCNAASDCTANAGLCLSPLGVNLCLCINSVCVAGGGG GGGNNGDACNANSDCTTAGSQCINNVCGPPATGGSNSCNAASDCTANAGLCLSPLGIN LCLCVNSVCIVSGGGGGGGSGGNNGDACNANSDCTTAGSQCINNVCGPPASGGGSNSC TRASDCTANAGLCLSPLGINLCLCINSICVR FPSE_06684 MIARSFALALIAATAVAGPCRPGQTTTGGSITTDSAVVSSTATE EATVTTTSVSEPAGEAVIFQINRIRRLAKRDTTFVGNDNPSDCTFASVFRLDNGKLLD NGVPIYYAGDERQELAANGQPPANAVTTTFSVIGGKLAWENEAFDEAGFCYLESDGTI YITFGSSAGCEPVSLTVYKEAQCQNGQIVGLGTSSATAEPTSTEATATASADACVAGV GGPDGEPPLTSRLADCSAFNVVTVSPLPVTTTVVKRELAVRIPTGKYTGQPVINTLAR RAEGEPTATTILPHNVPGYAGYCDSPEAYYEACSKAGVTAFTTTLSTSTTTTETTVTD CPMRKMAKRAGQAVGYEFEDNWEAYNMPGYKLF FPSE_06685 MHFSTTFAALVALSGFTSAEPNGWSKTKEAEDSPSKLDDCGCWP IYQAMLKCQKLKFPEESAEDCVCIPNPDGWYGSMDGCRTCLSSNTNEDFFDNMAKLVT QLFVSCTNAGGAVYSDGNSICASNSFREACVSLGTDGKPSWASFEQGDVSGNGTYVLD IEEYGAKKDSSTSVTTAKTAEKTTATTAEKTTATTAATDSADSTETETEAAETTKADT KADTKTETSSVEAAATTGTSDASSAAQTPAASSTTTPSSGMKLASAQAGAMGLIVAIV IGADDPEAGGKMELLSPESAKGADLADPEPASPDSLLATSMSQLSISSDLAKRLLRNG FVSDNLDSIDMTEALVWTVERKQMELLQELISQGADVNLPAKDGWTCLNLAADTANHE ILQVLLENGADVAGISGKYGLTALHWAADVGDSQGVEILISHGSNVDAQSTIGSYPLH LAANNGCVKTIRALLEADASIQCLDHKGFSPLHEACRRGHDDAVQLLIERGADVSIKC KQGQAPIHAAALTGQYKIIKKLLEYGADGNVITEDGRSVLTYAVSANSVPSAQALLDH GADIETRDNNDNTPLLVAVLCYAIEMASFLLEHDANIEAADDNGYRPLHLAAERNFGQ MTQLLIEKGADIESRTAPKAQDEPFGEEGLTPLLVAARSGRVDNFHILIDHGANPKAS SSGYTGVYLATAGQNKSLIRFFVQKGVSIDARTTHDENTALIRAVRDGYPQIVSLLIK LGADVNVSNNIGWTPLHFAAETGFEDVVEILLKAGANATAESHDGKRPRTISWENKHH PVTTILDGSVPISLDAQLHSKALRLSALFYAARNGHLNNICQVLDEGIDVNSLDADGR SSLSMAAEHGWSDIVHCLTDRKADVNLKDNYGGSPLWWASRYGSAMIVEHFLNQGAHA DSPDADGQSPLSASSQYGHLKIMKLLLEHGANPNSSTGYGKSPLLFAVENEQLDAVKL LLESGADINYKSPEGDSALSVAEEHGLGNIVEVLKAHPSLIMTKIKVDDGDRSEGVVS PPMSSTEPSSDPSLKRHWMLIYASRKGQIAMIKRLIQAGVDPNSFATGRIPLYEAASL GKSEAVAILVEHGAVVDPEDSSRSPLVTAAFYGYTSTVKLLHSLGASLETGYERGRTA LTEAAEGGYEETASLLLQLGVKTEVKDGIGRGPLWTATTNRHMNIVKLLVDYGANIEA ADHFGVTPLMVAVRNGDRKLTEFFLEKGSQMRPESEQNYSPLCCAASNGDEGIVNLLL DHGADVNYFSDGKRTALHIATIRGNLMVMKMLIEAGANVDLRDDDGRTALSLAKEGSN DASMRLLCRASSLRRDSHRQQRKADEVDFDKKGSYHYQPLMMKNSTRIIELYPGVPGD ILEFELEEVPLFSATPFEALSYEWQERYGTIPVQCDGQKIFITPNCKAAMEKLRLRDK SRYLWIDAICINQTNEQERNQQVAIMGDIYRAAQRVVMWLGEETGSIQAAFEMLPTVA KAQCMLLQAAGELPDGQMTTEGIEDPEKMLESMFKEQSAMDAFWELMQRTYWTRAWIL PEIVIAGSRGIVMCGNQSCEWTTLQLGMPIYEYCNFGQPPMIFNSGLVGDMGPEAEML FEDVVFVLHTLQATDPRDKIFASLGLVSQGRRTIGKKLVEAPIADYTMSVQQVFIHAA RYLIDLGGAFWAWRLGIQRSTKKVDNLPSWVPDFNRRLAFAEINPFKEIKTSYRLDIK EDPVTTETTLWIGGCIVDKVVFKLTLTKDLEISSILSLAVDVLAKTNRSIYDKYPIGE GFDVNTNIEDLEKQKDAESLLMKSTNAMALFATITNFRDTSDEDDDSTTPERILHLLT GYLIYTLSKNMDTPEVSKIAPDYVERFAETWAKGCDDAESFIDFELDNLKLVEDVLRE DRDLVYTENGYFGLTNTGEAEVGMVVAMVDGDTTLRLLRRKEDTTPFYEYADMVFLNT MGQEVENLERMYGELSPERLEIR FPSE_06686 MVRLPAMLGKLASRLANRHFIFTFSVLAILAAKLSHIHSHRNAV ATSRLLTFFGTFFIQDIVLLVIIRLLLEPPLTAKIAQYITATLAGLLIVYNVALAVVS VTFYLVAGSEIHWQNINMVSDPTSRAIFLSGLVTMILVLAALLLVSWLLQDVLYATFG WGADVVSFPFLFAGRAANRLLRKRNIYGRIPEPEAEFDNRYSDGDDDLSRDSIDYAKS QNSYSRLSQRMRKVCGVNMQPSTVKRLFHSIPYLSWHVFIFAMFVMSLERPSDRSLTF LSWTAGLLPFVDMSSTSPLLDQLPTHFKVGIQHEWDERSAVAEPPKFSWLPKGKPLAG FEDWYASDEMHYSAASDPTKISNLNEPLLEELRGKLQDVPVKHVIMFLLESTRNDVFP IKKDGPLWNRFQDSYPNKKIPRDALERMSTLMPTANYITGDYDDGFKHKKTPKRGGPR FTNAYTSATYTLKSLEGTICGLNPLIADFNLDYKRHIYQPCLPHIFDAMNKADPASSK WKSYFFQTATIHYDNHDKLMAAMGFPEENTIDRDYLRDENATHGAVELEDINYFGFQE DPLEDYIRDAFVDAEKNDGRVFLTHITSTSHHSYGLPANETSVPLGSGKEIKELSDYA NAEGYDDKWIKKVLDLLDEQGVANETLIVFLGDHGVSLVENNKASPYYNPSVGADHVP LVLSHPLLPSFDVNDAVHSTQVLPTILDMLLETGSLKGVSREAAESLLPNYEGQSLLR PLQTSNNETGQGYWQFTVVNPGRAMLNIRDARHPERHLTIPLIDNVEWRLSDVSVDPL ETDGIQAFDFVSFLEAVEARWGVEWAEWVEEGAFMTRWHVQDNSKRWRYERNPKVRET KGQ FPSE_06687 MLESSISFIKSSVPVYHNAVKSIIFKCAELLMGIFIYKLFSLIA ARSNQFTSYLMFTEDYIQRTLYISSRGLSRAGLVVLAFSLLNIVLSLYGTLLWALDAP GYIFKATEATIADYADQRNDNPPYIVQLSLDPSRIDDTTQRLSQIIGSDLFHPGLNYT LTGKVSNKDGAPEVVPQQTGPIAPGARVWLDDDGLSVSIDVSLIYSANPSLDDESYVV NTTTGKDYNVWENTFNNVFSNEFIATVAGKPEVHWDTASDRIVDSRYILPNRYDNIWF SFGGGGGSGLMNQVFTVTKGKRRHTFFQSTFKTTMLTTSGIDFAKKEVSDLVERAGHL DQDDKRLLPSGRIIDNMMNAQRKNMSYHYGLNTVSNSKRSTLQNTWGFYTSTSNGRSM FSLIRITSTNMTLIRSENISKAPEPEEKCEKANSQDEAYGGQPGRSDCLGQEAVDDPR FFGLVDTAAVMIAHDLGNGRSNLSSESLDNNALTWIRDNADSMESLLTSRAYSVSIDP SLVFISVDKLIVAMSYLQLALSCLALLMAIVLWLALMIFADAHWASSLLANLIHTTTE TGGAKPGYMMRDPSVTLQPMGKRKLLAVKGGQVTLCSPPSVYGEQTTGYVDETKNHMT AEGYPVNYVQPHNGGERLMAGYGQPQA FPSE_06688 MSFKLVELLSDQHNPNLDIVDLILSNLGIAGIFALHATCRRLRW VVRHMTESPYLLHINKKLERYFDDPASFRYQLGKCNGLITGDFVRSFLTFSSPTSSTL DIVAKGGPNIDALMRYLQRDEGYIRDWQGDTPLDDDDNDYDDDDDDDYTLSYGFIILR RREPFDMSVRIKLGSPIFEIINNADTSADLMFISWNKVYCLFPLPTIKRHKFFALRPF DRSVGDTLREYAAAGWTTRDLVRPHEAPELIPREALQQIGGQRSLIIDLTGSPIGKFT PDYVLESGVFSINWTPGNFDGHPILSVGVHQSFTRVALRYIHTTGLSGIAQQSWNEFL QTKLRLWVYIEDLKTYPVDTPTCNHLIVWYKCHMCVRARMPSTWDYADDQISTWFQEW RRRETGLVLLPPAPVMNRRFSFGVSSLL FPSE_06689 MRFSNLFLGAVFAVTSVAAMANPAAEAGSLMERSKHHHDCGKHA SYNEEKKECVCHVSGETYHKKHHKCKKPKEDKKHHSRDVLEERSPKKDKHEHCGKHAS YNEEKKECVCHDKSEVFEKHHKKCKKAKVEKKKDDKKTKSERDVLEERDPKKHKHHDH CGKHASYSEEKKECVCHNKAEVFERKHKKCKKHISLRSIFNHCGRHAYYDEAKKECIC HDAGKDFLKKHKTCACPQGEKWHHIERKCKA FPSE_06690 MRNELASELGTTLPLPMELRRYVAQRLSQEYAVAKKSEKYLSSL AIATVLDAAYVMPKVIYIGEDHLGIRQVILSDSSTSPEIDCISGVWWKAILVQADQIL KGVKIRQLTYFDGSVDQSTAHLPSCVVPQHPEIRYRTRQFCQVPSREPPRLSVFRYNS PNITGFSICCGPAPIALHAHTSDEDLSFYQHVSEYSTWIYMPLEKNERIVRIWMRARN CLNREVALVFETDKGHTKLFGAQTTPNLLACRWILLDAPQGQPGHLFFDDNPDGIRWI SLDSQPPIGTSLLHLPVASCPHPCLPNNDGFIWSSASVQDVVNMRVCRRNTRDRTEVM GLLFYYSDGKQASVGQVRLDKLDELQTIDHSQSLYLGFERSKRWAPYISKVRTSVSQL DNTVNMWFEVSWSGTLEWWLSWRQCQVYQDGRKSLPTRF FPSE_06691 MDHQLREPLPVPLPIVYSNKTVFRHSFLKWVIDEAFSGNPSSFN VIIEEPSEDWLTDNFIDEFVSGCQIVCIDKPKLYDIAAEHWDNDISVPFDYRRKKLFH DFFGPSDTPGPAVIILAYRWISNFDCPWYDQQGYAIESISN FPSE_06692 MTFSLTTKILLVSLVCLLFAGRVSAFGAGEVAGTSSFNGYVWRH GDIAEALRYLPASFVTHYRFTALERRQIYFGNWLRDFSQIIDTTGLETVPEPVLRAIV SILGFMEFGFATDEFDVTRERLGCYTHVEHIDNPKGYPDNAKDVDERLRGPVDPRELE FDPKTGMKNYIANSGHGWDTSADYVTRQLRECIRLGRDKNPKSKKEAFIHLGAALHTL EDFSAHSNFTELCLQDLGESDVFAFVGDACRVQTPGWRGRMVPPIVTGTFGMLDIFHS LLGEADDMAVLQCKGSLENLENEMNIGEIAFQHLYDILRAAIEALSKLASNTTIHDPI LQQLESVGVMFEKAEESKKASTTAESTLDANNLWQTIEPIFYLHDRIKKWIQERTETH DDEPLSEDSSSQLGKYTDQLVFKYLSLMIESSIMQLRNALKAAKERVDAEAAKSTSAE VYLDGEGSDPSHSDISKDHFSNVLNQPAGLVSTVTTNWTTQQVVKCWDDPFRDEEESI RQILTIMHHPAFPGRHKTPIQKYMFTAVEEWWNHNTASEKETIRGMLTKESVRNRQHE NHTLTLKDLEGKRKGVAEFPGSRPKIKQKPAKPSPLSWAVSTAKTDAKWMLGALKRGV RDPVGAVCFVGGGVYMLFVQIFLIITGVFGLWKAKKD FPSE_06693 MQVLTISLLSALLILPAIRLLPSATGHGPLRNDLLSLASTVASA DFDFNRVIPLLRSALVEEPNDVTIWDELYSAVTESTPPSSEIASSIQQLRNTSSFANS SEHRKYVDDVLKEELGAIDVGLPNFHNTYFGSVADLETTSQAFFSHCLVGSEPFFKDG WRGWPKDAKQDDVLSWFADFNDKLMSFAADRKSNPINRYRRPLAKPNELIGGSVGIRK MDIGFMRDPQAGKDSRCHWSQILVPGELKSNPSADKALEAWLDLGRYAREVLAAQDTR RFVLGFTLCGSLMRVWLFDRLGGIASEQFNINEDGLRFVFTILGFLWMDDEELGFDPT IVQKDGEKFIEISQNGSSKRIIIDEVVQRAPCIAGRATTCWKAHPENEPNVLLVIKDS WQYLERDEEGDLLREATQKGVINVARYYHHEVVQVRGLDDDVQSSIRRGLDTRPAAAT GTISNRVHRRVILRDYGTPIYRASSRLALLAAFDHCIEGHESLHKAGFLHRDISVNNL MINEDENNPSWPSFLIDLDLAIREPRDAVSGAKGKTGTRAFMSIGVLLDEQHSFMHDL ESFFWVLFWICIHYDGPDKSRSVQSFDNWNFIDTQELAWSKKGTVSNERDFLRIMNNN FTPYYQPLMPWINRLRRVVFPNGNRWHRQDSELYTWMREVLQEAQRSLEA FPSE_06694 MTTNSFGGALPRFDFPAQPQPTMSRIARINKALPVVLFAATVTA LAIKTRQNFDAPITTSMLFARPQNLVPQGQQASMGKRNGDVGLKPNQ FPSE_06695 MKVAIVGATGATGRSIVNALLESDTQFDITALVRPSSIEKPAAV ALKEKGVKIVAIDLQGNQDELVVALKGIDVVISAIYYQALHDEIPLSNAAKAAGVKRY VPCFFATVAPRGVMKARDTKEEILDHIQRIYLPYTVIDVGWWYQVTLPNVPSGKFEGR LTFANNNVIGGGNNPSALVNLDDIGRYVAAIISDERTINKKVFAYTEAKSQNEIFELV EKVTGEKPERTEMSKEQIEAQLAQIQDPAELSQNRAVLDYWMSWGVRGDNTAENAVYL GYVLLKDLYPSLTGQSLEDHIRDVLDGKTKSVY FPSE_06696 MVSINASIAIQAILLVLTFAVLILRGWARVLSHQPIFTPTDLFA WAGWVFTLGWFICSTLALRILIDNPAYTTELLVDSEEYLKIVFVAQYFFDIGIYLPKI SITMFYWNLIPRISGRLKNALLAVSIYLGCCLTATVLTDTFICQPISDNWSIPNQLKS TWNSYAAFVIQWVLNWSTDLVAFLYPFFLLKHIALRKEQKLALVGVFSLGAITLMVSL SRFIAYNATDFELEDESGNILSTAEMTTAVIVVCLPGLRRFISRGKSPGQSSGPSSDY ANGTANNATPRTRHPPSAYQKWGVRDDEIGLVTEIRGGNSTELTDVERNADGKSVFVT HSITSNT FPSE_06697 MNKIKLHIESENFTDESHRTPVRALPSTWYTSPEMFELERRAIF SKKWLLVSHQNRLPNAGDWLKFNTTGYEFVVARDRKGNLNGFHNVCRHRAFPVVQGGT SGNSSIFACKYHGWSYGLSGNLAKAPNYDVLDGFDKSQNGLFKIHLKIDAYGFIWVNL DSSDNPEPWEKHFDGIDQQERLNKVKFEDYVLDYEYSMEGNYNWKILADNFNECYHCP TTHPDLPTLADLGKMKCDADKEWIKHSSVQTEEQKKAGLQLASTYLYPNASVVVLPHF MMIQRFVPTSATHSSMHYQVFRNSKSSDEDFHLIADMYRRVVSEDKELCIGAQRNLEA GVFISGELHPRLEHGPLSFQDGHRDAIHAHVKLEREAGRQIWPARQKLPDTEGAKKNM EDEELCSSLSCGGLQEVLAW FPSE_06698 MVSNKLIVSAFAVLASMANASPCKPSSTAVSTTETTSAGFTSNT ETASATIVETGTFVTETETAAETTADATSTTEASTTVSEAAATTTTVDGPLITNAGFE DGTTAPWELISEKDDTLVLAGGAYEGAALGKVEFGVKDGAQYGNIIMQKINKKALRAG SYSLEGWTRVDYFSETGDGCSNIIAACLRGSVGGIIPVPGSAVRKSAESAVEDWYQIA TTCTITQDMIDADLPINVVFGFNCAEAGAYLDSVELKLIDGTETPAEGSTTTTQAAPV FTHP FPSE_06699 MLSSKLFFASHLLLGAGAFLVYPTFYVETWMEHGLNEACGKALL TDIDCDSNLNGMGRTGWQGLLRGDDDFTITDSICAPKCGESLQKWTKAVSENCDPRSL GPITLVEGRIQLCDKDEKTGEYCNAIIDPFLDLRNDEVLVPEDLCEPCYVRRIWRFDI SHYSPANSWIEHSRNRMDKFCPKSVLAQKSATMVQDMSFTTTDAASDSSTVPEKVTTT ESAIATPDTTASPTAEILEKVTTSVEPNAGERLGYGKAEIYLSFALVLAVTIF FPSE_06700 MSDRTAIHYTVDPSTNPSTWPGSDPTGSTVIWKVDVIYINMHGD SNFRTLRTGTNEHVNQAVIQVTKHIARGLYDIVSMNVSDYSCVVVMSTEKSKDELLFK NGFPWDRQDDPQPEVVLK FPSE_06701 MAPLGVYGGACKPKTTDSAIISSSMTTVSSEASQSIEPTSTLPS VIETTISSEAPSTTTSSAPCPAWTPITPYPADKVCAKPDSYDHSTNNPYYIKSELRQN INECAKFCGDTEDCVSFYAENYSPGTGAPIFKICFLFKGTEEEIGFSEGQGDSRAFWD QGCFQCVRNTVEPTAP FPSE_06702 MPGITIRWEDPEKWQTTMQKVEQLLEQHTGHKNYPSTKSLPPIM FGVDMPQKGIDELKELDGVMVDVHDDN FPSE_06703 MMNKASLLALALAAVRVQAQEFTNMDFYSEETCNPDPNDLDVQS FQLGVNTQTDDKGNEYSGCNSATIVPTGWPTTANGKYPVWIDTAKFGEGCSMLFFNLP GSQEEGDIWPCRNGLYRKVQKDKTPCGDLELTQKFGYAYCCGSLCNDDVSTWPSKRSL TERAPPAPKAKDVTKLKRAPILKKRQGNDKCIINLKSDLYTTFGKQIQVGPEETCELD QTTCGQTWTYTAGNEITTSESHTDTTEIGFSEYVVFVNSFSDSYEISKSESEQRSVSK SMAPEPGHAGYPTFTPLLYCAEADLSGDCSRENLGIGGGEVCVQKYLPGDVPDGNWQM VTTD FPSE_06704 MHALSTLTTLTMSLFAAQTANAWLLEFWGTQNVCSKPKGSAADS SAGGQPGEGNDCMMAYYDLEAMLVKDWDEGCTVRLYNGKGFNCDGDLILEYTKEKAAE EEKLSDDGTYMCLTDLAGEPGPYQASYTCE FPSE_06705 MEARQDSDNLAWDRSDALWEEAVKHVQLSSTCRKIESFAGQTFG QTATLIPPIIVGGFNALYPIRVEGRSGNVLVRLPCPNQAVFPVEKTLAEAATVAYISQ HTQLRVPSVLYHGDDSEVGPFMIIEDLGSRRGIGQALEAPREDLNDPAVLRPDISEIK LRSLYAEMARCMLQLAQLEFPRIGALVEDSPGSYSVLGRPMTLNMNNMVQLSNIPKSI FPSERTTYDTADEWYTALAEMQMATLIFQHNDMVSSEDDCRNKYVARQLFRRLAKQGR LSNFGFAEDTWSAYSKHAGERLPSPDNSSSFRLWSDDFRPANVLIDDHDQVLGAIDWE FAYVAPTQFVLDSPWWLLLDIPEMWDAGIEDWSKAYEARIDTWISAVQEAEKDISLDS FLLSAYMRESWTTSRFWLNYAARKSWAFDTIYWKYLDEKFFGEKEDGTPAEEQWKTRV HLLSEEEQAAMETLVQAKMAESENRVLVEWDDEDAMEYLSFFLFD FPSE_06706 MRFSSISNLGLVALSTSVIAAKPNDTYDYIVVGGGPSGIITAER LSEANKKVLLLERGQRGPTINTGSNHSLPWDKSLTPIDVPGLSAAVGGLDIWNEYMCT DTAGLAACVLGGGVTVNYMVFVHPPERDFNDKWPKGWKWNDVAPAAERLYARNPGTTV PSKDNKRYDTGLYNTLSSFLSKAGWKSVDMLKQPNEKHQVYSWPSWNVQNSLRAGPVR TYLPLAEKRDNFSLQMGTKVIRVVRSGSRATGVEVETVEGKKQIINLSKNGRVVLSAG AMSTPRLLFNSGIGPKKQILNAKKSGVTLPAQRNWIDLPVGKEIQDHPIFAINVKTDG KWGMLDTDSVLNGTDTKNIKIFEDKASGVLTQGRHRLIFFTSRKGIDGKTRYFQGSTS AAGDGVISIKVYLTHGSTSSGVLGLDQKGNTVFEKTPYLTTKADTEASKAFLEEFIAS ITDKSTGYTLEGNKTIDDLLEKPDQGNHFIGSAKIGSVVDTNTKVFGMDNLFITDASI HPDLATGNIQTIVMVTAEAAVAKILAC FPSE_06707 MSVARFASALLASSVMGLASADVLDLPIFVKGGYKMVEFGVGTP AQTARLLFDTGSASAWMVDAECADTCTHINKGHRFGYNLTASSTGKKTGHDANIEYLG GTIIGPTVEDKFEAGGLKWDSKFIAANESTWSALAADGFMGLGFGSIQDGDATPIFES LMEEKLMDKPRFAIYYAAGEDDSSGDKAGKGVLTLGGSREDKYVDGDLITVSLISNNG DYDLWRTIIHSTTGTQNDKKCKTGKAEKQTEMGGMDIVFDTGASAITFPKSMIDSIYE SIGMNYTAILKGDHIPLCSEFTKDWSLTFEIGFFGSEKNVTIRGDQLKRTGFANRDDA CWPPVQDGPEGYALIGTMFLRNFYTVWDYSMFPGTGGFMDPKLSFGYLKEGF FPSE_06708 MVSDEARYGECLTDHPPGHDSAQPRARKKRIPTSCGSCRQSKVK CDGSRPCSRCQQLQKTCNFIEKPKEPHELRIEALEKEIESLRARPPSDAPNTARPFTN YVPNTERPLQFAPPATFNPPSETFNPPADINYAHASSVPSQLAVSTSTSSPETTSHFP RPPKRPRSSFETETPAAANIFSDDDLSNEEAELYFNAFFSGCDRYVPVFDPRYDSLPA IRSRSDLLFTTICSVGCRVLNGTNPRWRTLALRTQRMLNAAIANPSTGNLETVQALLV QACYAGERAILIAIATRMAMDLGFTEAYDTLVAESVLGDALPNSEQATMENNYTRMRK ARVWLHLLVLSYILHVDAGGVPTFKFRGASRRCRILLQSPFSTGMDHYLFAQVELNVL RAKIYASLPQRANLSDSEIMDLVRDAKLDIDVWFHDWIRISQPHHQAMPWFVPNLSVQ RCWADNMALCRAVRAAGVENVNVMSVTQKTILDMTKASLKQHLDIIIQEPRLYLKSIR YAMDFVWAKNTFCCLLLLKLSALLPDNGDQQSQHDLVAKASILLNELETAVAGGLKGD SRSNTSVLYLQLLKLSIQKYKRGLNLDSDDAAEPEDSGECQAGYTELESFVPDQFVFE WDFPGLTLFSSPINETTWLNEFLTGSQDFGESGGSINWALIDFSM FPSE_06709 MPQRERIPTYWGPDASSTKDSSSPSVHLKCNDSTLPFEFSFEAV RPNVFRTTFTSPTHPTPPHPSVPRAETKLDGAKPNVTSSEKGTKIQIGDVIANVDYSG ETPLLSVGFEGQPPILEDLPNRSYCINGDGVAHYTLYNRKTFHAGLGEKAAPMDLSGR RFQLSATDSFGYDVHRTDPLYKNIPLLINATPQGCVAMFSTSHTRGEYSIGSEMDGMW GFYKVYRQDFGGLEEYIITGKTLKDIVQTYAELAGFPLLVPRWAFGYLSGGMKYSMLD SPPAGEALIELANKMKEHDIPCSAYQMSSGYTVAEHPPKNRHVFTWNNHRFPDPEDWI KQYHKLGMRLIANVKPYLTASHPEYEKLKEAGGLFTDSHTKKAAVTKLWSAGGGERDD GGHIDFTSEAGYNWWYNGIKKLAEEGIDCMWNDNNEYTIPDDEWQCALNVGKDILNVP EGLEKRPQVGLWGRSLHTELNGKASHDALLAVNPDSRPFVLTRSATAGTLRYACSSWS GDNTTSWDSMRGSTALSLTAGMCLMQCYGHDIGGFEGPQPTPELLLRWVQLGIYSPRF AINCFKTGDDNSIGDVIEPWMHPSITHLVRKTIKRRYAMIPYIYSLALESHKSALPPQ RWVGWGYESDPEVWNLLDGEKQYWLGDSMLVGGVFESGASKARIYLPKASDDDEGYLN LNAPYQYLEAGQWVGIDAEWSGAGIPVLGKVGRAIPVGRDVQVLSPGEKENVADLPLD DYRAVEIFPPKKASKGGKWHETIWYEDDGTSAPTKNKISSYALSYTATETEIKVKFSR DESSGFEAPWKTLVVILPPGDQRKVVSEESKEVVSLGVSDEGRMQFELK FPSE_06710 MSFHVTHPLVSSFFSIAGLAGLLSLLARYLSSTRSSKPIDTKFV QNLNATARALPSSWYRTKEIYELERRAIFAKKWIFVSHRLRLSEVGSHVDFHEAGFQF YLVKNKEGVIEGFRGTYKDSQNAKIPIHVRVDDKGFIWVNLDTSKTPEDWSAEFKNID KMARHESFNFDDFQFDHTWGMSGDYNWKTLADNYNECYHCKLAHPDAAAIADLTAYKV EPKGGNIEHFANVNAEAEKSGLKVVSNYYFPNACMTVSPNFFYLMRCVPTSVGHCSME YEVYRHKDASDEGFTTIDEMFKRILAEDKWLCNNAQKNLNAGVFVNGEMHPKLEQGPL YFQHRVRGILTAHHELEKKAGKQI FPSE_06711 MSNVHDYVPSATEKAEKETPTPDNASYHVVHQNGTVHELGNIVD PVLSAKTLLVNEAINEIGWTNFHLKLSCLAGFGYAADSLVVFLQSVAASQAYLEIGNG GYPTGYAMALYAGLLVGALFWGFGADVIGRKIAFNVTLFIAAIATIAAGAGPNWIGFC ILVAILGFGAGGNLVLDPTVMLEFVPARQQWVITAMAAWWGIGQASAGFIAWGFYSRP EWSCTSETESCTWQGNKAWRLIMFTGGALMFLMSALRILIIQLPETPKFLVASGKEEE LVAMLQKLASKYRRPCSLTVEALRACGTTNASEQSGRPDSLKGLGKILFGHIRGLFSN KKLAISTTLIWFSWTLIGLGYPLFFLYLPSLIRSRLPDYVPSFTQTWRDYTITNICAI FGPLIAAGLTEIKFLGRRYTMVLGAIVTAIFFFCYTIIKTPAQNLAISSCISVCINLY YGTLYAYTVEVFPSAHRSTGNGVAVALNRIMGLLSAVISVTADTTTVTPLYISAGLFI VLAIVAFILPFEPYGHNAS FPSE_06712 MSAPRKRQYRRATQACDNCRRKKVRCPGERPECSACSRLHQHCS FIEAASVSDQSTSQLDSAVSSRLEQLEDKLDNLISRVVPQSVQETTPSSTSEGRNRLL SFSTVAPQPLSTPLPADLSAKAMGFYFEHIHRQPLWLFDNPRQDVSEDLIHAIMTLFS TYYASSLDIEGVETPDVYYKAARTSVMLAIAQGNMAIQNSQILCLLAYYNFVIGDVTT AGFDISMAKSMLQLFPDNDRDSNLQAKSRVFWSIQFLSYSCGAPILLPSVLQDIDTPQ MLTVEARDPLTKCIPVPRADTGVHEALPDVWSQSHKLCTLWTDLRLYVARCVEGRAKY PWLPESDYTKLCSQILDIEMYHPVHLSYNRVKFPSISAQDAHTNRVDLLPWVRIQVAY HTIHCVLNHPCLYTSMAESPRGRLGGNTFWRASCEKALRHCTWISRLIRTAKEKGLKI VDPFLAQAAAIASTLHLYWARTSDTRLKASSLENLDLCRKLIKEMATHWPICKAIESA LDHFIESVERPTQVNSERASPAAVKTSLIWILLDVAAPQFPNYRDQTVHSQTVWTGST GAADGDIIPESEMNTPPADMRESTTCYATPPRWMMDSTQAGSQMEVVDQGLDETALAS AAIVNDHHNTYDLAWGAWENLGPIGESLFMNLEWWDMNQF FPSE_06713 MSPNTFNVAVVGLGALGSGAAYHAAIKGASVIGFEQYEFGNVYG SSHDTSRIVRTSYGSPDYVALARAAYKDWAELERRSGLQMLTITGGVAFFPKTLTPES EMNEFEKTMTVGEFARSLDVSGIPYELLGPEEVMKRWPAFNIPDGVQTIYTADSGIVH ASKSVAAMQYQARANGAVLKEKTRVDAVIPNKNGKGVTLETSKGRFYADKVILACDAW INKLLAPLGAEIPLTVMQEQVTYYKPADLKPFDETKFPVWIWAGDRYYYGFPMYGEPA IKAGRDTSNNFMTPENRTFVPSEDLFNELTSFMGNLIPERGEPLRTVTCQYAITPDRQ FVISPLKNHPDIIIGLGGGHAFKFSPAIGRVLAELAIDGSTKEDISNFGIPRSAAESK L FPSE_06714 MSSLGAKVKDILHKDRPDDSTTDPHPPGSFPTEDMEPSETEQGW SKGHEHNKLHKTDDPRGWTENETEASRGHGYKDSGVGMTQSDDRTSYKPTQDPMSERR NDPLSERRDEPLSDRRNEPLSDRHNEPLSDRRDDTLNRTTEAGGLGQKVPLVPSTEEH PYWGDLPSRGGVHNSVIGHGSLEDEQQRHKEIHSRTNDPSRTSGTLESGTFLSRRDRD EASTNTAPYGGRPDERQHPGEGTSGSHFKEGLAGAGAAGGAAYGAHELNKRHNDNEEA RRLGQTTDRTQPEEHKQRAFPLLGRDHKEPHHTEKVKEDKHKDKDHDSKLGGLFGRKS SKDETARVEEQDRDKHHSKTGPALGAAGAAGAYAATRDRDDEKLRDANRQQNPTVQDT HKDDKQHGSKLGALFHRKGSKDETSRTEDEDKHHHSKAGPALAAAGAGGAYAATRNRH DDDNNRRETTTGAHQDPATTQHATKYPAAGLDSTRDYNPQNPSSGGVTQKPYRQEDLD HHRGSGLATGAATGAAAGLGAGALASHYGRRDEDRSATQPLSSYDNQSYDPNATSQSY QQQGFHQQQGSQHPHGLSAPAVAGYTDRGEHQDTHGAQSANFSHKDPVGQTQQHDSHR GAGIAAGTAAAGLGAGAMAARSGRDRDEYSGLDSNRGFENQSAYPTEGSAMNPSSRSY EQQDSNRGTGFGAGAGAALGTGALASRSGRDHDERSGLGANRGFESGDAYSGERSAMH PGSQSYEQQDSHRGAGLAGGAAAGLGAGALASHAAEHRRENDNDQFNTGNSQREFQTG NTGSGLGSTGPGVGGNPGMQNPAMENPSGLDSSNKQSLPGSQHESQPFAERKQEHDHT LMDNANSGKYNKLSSGTPSGIAYD FPSE_06715 MRPSIVIATIASQCLLVAARENNDAQQWEASAFDAHINAKHPHQ DPWQKGPLVERWRQWMRRQDTTATDDKETSTEVKSETRETTKDEETTTEDKATTTEDK PTTTDEPASTTEESTTEATSTEQSSSSSTVSSTETSSDPTSTSTSTSTSTRSTVTSLE QGASCYSTTVSTSVVCHITTDGRTESASCITNRMTSSTCAPGLFCEIHPDSGSTVCME QHNEIGTEGIVVASIFAVCIAGCMAVLVGMCVRDKRAQKKFENLKRVKTLRTAKREEH ANLMAAGGQI FPSE_06716 MSVVAILLVVFQISIQDMIRSVSNLHVGCR FPSE_06717 MSFFLVKPAEAQTVPAARMAASAVISETTPSAAAVKLFINDVLK PNL FPSE_06718 METTSQASTNFKEFQQVLQRARNNTAPEHNATLSKDTVIFPEGT ESLTGPIILLSLRQTIQWDEQLRRNVEHLDIPNQDWTIRETYNHDEKPRAWVCYLTAI DRLGAMVSERLEIRGSASDLRFFYEVDDETYASSSQQSDLDLIFKYEDYEFDGAQSFT VKSKHFKNLFHQSDAISHKIESTSSLTFKQILQAVLSFVDYIFPDAGEIQQEARRTRQ VPFSHVWTLFRPGDIAYEKRTIPPFHHIYEQCFSIYKVEESVSRYDGTKVLCLSLAEI VYSNSMSDTPGPRMAWTTRHIRQYDGSKDITTEDLGIIPFNMIPTEERISIQARLIQR GRRVLQISTMPFSFWNYNGPYGIVHQVVGQGTMEEARLSSRERQWQKHTHENIVIDVA TSTRHYAERFDGRISGLHDVEYDMEEQLPWILPQIDVSDRFAEDGESNSETSLFFCQG YLPGYLLSSRVYAVSLLVSELRQPVWEPWNPLAPAALLMPDMTSWRALVHNFMEGSKG AEVDLGRRQAHGSGLVLALEAPRVIARSMANQISGDLGRPLVVSNPLDGDQSLSEVMK AALRWGAILMVDMRNTPTEQQAAMVT FPSE_06719 MLQDPASAVLPEPPRYGDLSHYAIRDDDEKCLWELEVTRLIDSL GTAQEPLSTSHGNVLTSAVDTSAFNSLGRLSDQMICALSIYGMDDTVATATSPIDSVG GRSYNRLQERLGQRSELAHVVGVRDPLVTRFRHSERHSRIPRPPMQQETQVQGDPVPL ESLNDDDAPTYYALVDYITTILVRLLLKGCDRRHVYWLSIVLTTLAKISTKLKVLSHD LPIFVEQSLDRFSLHNHNYLDALEQTAENPHVDPPLLRQEMKDVTTGIKDNYIVNYVS DMTAVSNWLFRLIWHILTDLNTRNTRGRTTDEFDISASVLTLGSRSLPTLAYQNRPGH ISGSSSIVALEMYQRAFNLMIDTVKGIRWNSVPIEQVTKGQCTLSIGVDRALPNQSSE IKSMTEIVSIMVLVSCMDPSLTEDMVKVCSTVRASNVLPKVSNTVQKYAAFFSFRNVP VESNLQPLQNSRPLSQSFILKSGNVPISVVPDRDNAPSRWEFSEAAQKDLHKSESMRR HVSLSARGWAVDEQAISVSCLKYTVSIMVGCSILVLGGLMAGLFVGSRIDGVDPFNLT MFAWIIAGFIILVSKSLRVGEWNWRDFLKGRVTCRTVHELASVTNLDEQGIIMQLLSS EKEWPLISRGPYNSAFSNTGADGFSVDVKPKIGTLFVSGLLVLEVLMESGPALVCLDI RPHITAGENNEATEGAHRGDIPVQVSRNRMVHAQRVPLYALACKDVPQQDEAEKDIVF RQQWVTWEKIIGLYNNTKQSVR FPSE_06720 MGFIQLDSRGFALVGLLVFVAYVVATLRQWARLRHFKGPALAGF SQLWLISCVGGGRTHLDLWEACKKYGDIARVGPNDLITSDPDLMKHMLNVRTLFQRSN WYDAMRLDPTKDNVLSQRNDDLHATTRSKMVGAYSGKEVDNIETTVDTNVERLIELLD TKYISNNKAFDFGYKAQYFTLDVISSLAFGEQFGDLETDSDVNGYIKAMEESMPTIIV TTVMPWMMKLLQLPVFKPMLPSEKDKVGVGRVMAIAKRVAVDRFGPNPKEHRDMIGSF IARGLLQHEVESEILMQILAGADTTATAIRATVLYILSTPRVIEKMRAEIDQARPSLP VITDNEARAMPYLQAVVKEGLRIHPPVVGLMSKEVPAGGDTFKGKHLPGGTKIGYCAW GIFRRRDIWGEDADEFRPERWLESSEEQLHLMEGTLELVFGYGRWQCLGKTIAQMELN KVFVELIRRFDLSVVNPINPWHSINVGIFLQSEYWIRGYRRMN FPSE_06721 MSSPRDLPRDEGITDHPNHLVADSQDDTDSDADSALALSTTTVF GEFIGIACFEYYETSSRKRSQGYVLPNDETENDRLGFSPRRVLDAGTGTGVWAMDYAE DNPEAQVVGIDLSPTQPDFVPPNLTFYIDDIEDQWTFSYKFDMIYARMMTGSIRDWPK FIQQSYDNLESGGWLELSDILMQLHSDDDTIPEGCAAAKWGDLMLEAADKFGAPLDSC LRYKQQLLDAGFVDVVETIYKWPSNPWPRDPKFKEMVLLYSPALWAGLQSKSRFSW FPSE_06722 MSAKDDQNITLTAQCICKSFTFTAEVSRQKIPLEGFMCHCTSCR NVTGAMYSSDTDWPGSIDEVLGSELKRYEFTSNVSIMFCGTCSTPMFWHEHYQDKPQN IGVFTGPLKDVGVKNFIQFVDQIFVGDTKDGGISPWLWNVNQDGSRTRLWKGRRDVSE QLKEDWPVSSNSPVPEAVSKEGIPIRCRCSGVQFVFRPSNVDFSDTTTNPIPFYIDPK SHKHLATLDPCSYCRLSVGVEVMNWTFALPAQIEFAEDSKEGSFPRNTHELKDAVQSP NRDPRYGTLAMYRSSPDVQRYFCSRCSALVFYTVDDRPDVIDVAVGLLHAPEGARAES VLTWHLGAKTMGGEEHGDSWRDTFARSVNETSEKWRIEKGYPKTWARLAAEDVKKE FPSE_06723 MSEKTKSPFYDYAEPATSSPTPPRFGKAIQNVPTTRSHFATITR SALDRINMINFSETEIAAIHEVVDKNWWKGITAVYPREQSREFKLKGYPWGYDPNGCE DSLLLVLRMIEVLYNMGWIIYSPIEISKRVRTKDALVFRRQYHILPPCEWVNISFHGG DKLKILNSPPSQLVNDVIAAFVTYIQRHEVTSERAKIKFKGFPWRSVGHDDEDETQMK LLTLLEVVERNGFTLYARTTARYSDETSESNVLIFQRRLDWVSGTSVYDR FPSE_06724 MALATSLLSIEPTVCIPVVMAPFIAVLLTVIYLTFALGDSCPVI TQQLSDPPYENYFYSDCNTDAQVVVTSPLPDSNLSIIGPRLIVAWPAGNSGICTFFEP QNEKNGTLAIELVNSTVGSPLGVINRDEKDSEYPFVGVEGVLSFNTSASLTVAILGSI RNIRDFTEGPSILSPVIQNATNITRVKNGGILISRLWLDNITTTNLLLEPWENKQSRI AVEDETVSFGSGFYRFAASFNYPQLKQLSPQQVLNNQSRSLIKNEESQVRSLSFFSYI DKLLAGGWRFLTYFGRDTMIAALLLEPVLSAGNSSALEAVIGAVLERINRTDGSVCHE ETIGDYATFLNLQKGIVSTAPGFTYPMIDTDFFLPILMDRYFSTMPNRVDPLLRTKAG EVDVENRNLTWGNLSYINAQKIMNITEAFEKEQAVKNLIQLKKGELVGQWRDSTYGLA NGRIPFDVNCALVPAALYAISKLAKIPGVYPNNSVTKEWSAIAEKRAKIWEDNTLPLF EYNVTVDEATLRLEDYVDTNTFYDGPTNAKSLTNYSSSGRVVDYAIAINTTKDQERIH ITHTDTAFRLFLLNSTNDEQLTTFMNATANAILRPFPAGLSTPFGIVVANPALADNKE ITAGFTNSAYHGTVVWSWQLALMAKGLERQLARCPTSKAKANDAPVFCTDDDVHKTVR LAYNHLWDIIEDNSERLQSEVWSWSYSTKGDYRFAPLGTLPPPPGLGSGTESNVRQLW SLTFLAVKRNKLFA FPSE_06725 MASSNSSPGMGPFHLLSPEVRSMIFSYLDRGDMTSLRATCSTLE RVVPCNIDRAFISANSLNIQVFRAIADSELHRHKITEIIWDDARLPEVPEYREATSSD DDKGKCYKQDKYHVDYGYDYWNDDDDASLCSSMPEWFTRRCLDGGPDGDQQIPYNNSI INKSWKFYEELMSDQHKNIRLNADIEAFKYGLQRFTHLKRVTITPCTHGRQSQPLFRT PMIRSFPFDFVYPSPRPWAYTGFDIEHVDAPWAPNNGHSVEYGYKDCQCRLLAFTAFH IKYKDVRCSCRRGKMSASKHDDYRADWRGFSLVTRALVECEQDHHVTELIIAGREIWT GVNCHVFDQKCQEYDDLVSLLKRPGFRRLDLDLNTFIIQRDEDGWKSYRSGLLREALA QAKDLRHISLRTTTDTIDGKCLFIDPKDEFKKTFPLEMILPFDQWPNLQHFGISNLLV TNSNLVSLLAALPKSLRSVELSNLGFAGIGGWWELLNEMRSKLDWRMRPVRERPVLHM TVADLYFEDLEMGFYVHIDDAVASFMYGFGGNPFRKQPVEMVEAHGEYTNPLNPDELD KATGVLRHIIKHFEPLSTEKPYNRPRLVRLTYEYARSDQSKSNFLHAFLGAVNVKVDQ VIDLDDETVEEAIRLALNAFADFLIDNFFLPLKAAGSKTPQPSPAASSRITSKHPIIG SIERVASLRRDCLVRDQHRCVISRDFDMKEAERRIEESGYDYASDDQGQLLKEQEPGS FAELEVAHILPHSLMTATGNSELNKNKSKETALAILDMFDHDIVHLIQGPDIDRSRNA LTLKIDIHRQFGNFKVFFESTNQPNNYRVDSTLRQPFRNRIFPINRTFFLTPERTIDP PSARLLAVHHAICQILHLSAAGNYIDSILRDFEDGAVQSDGSTHLASLLQLRLDCW FPSE_06726 MAQQTLTHVPFIYRFVFLWFEPAAAFLGSILLWTDPAMVLNTMS PGSTYAPDNKVMYDQVAATYTLFAFNEAVLLRTTNDLRVWRTVVIGILICDALHVYAS WAALGSVFWSPAAWRWEDWVNLGSLGGQAAVRVAFMAGVGLEGNVRVKQD FPSE_06727 MSEKNPLGNDWAQPRPKSRISKWKVFGAGALTVAALHWFTPRMS MHCPHNHHPHSQHMTYAGENIEWKPCGEISNRPLECSDIDVPMDQFNPHASNKTFNIA IARLRGKDGSPNLLLNPGGPGGSGFEFLHRRGELLTTIVGDGIHLLTFDPRGINSSTP LASCYPTKEARQELGPVHDLDMSKDGPEVFAWAHNYVRACEDTMGEHGKYINTPQTAA DMNSILDAVGQKDMYYWGFSYGTLLGQTYATLFPERSHRVIIDGVVNQFLWYKARLDE EDLVDTENVFDGLLKECFKSGDACPLFSLADSWQGLKKKFVSFVGDLKEQPMNVYVNN SVYGLLDYQKIWYGALFPVLYKPERWYMFAEKMEQLLRGNATPALMEYGLDGQGNSDA LYTISLNDGLAGPEHWPQDLDSLLEIVTPTFNRSVFAPDDLVYLFAKQQWRIPKTHNY VPRNGVQTAHPLLILTTSYDPVCPIVSARSALAAFEDSRLVEVKGYGHCSVAAPSLCL AKHVRGFLYNGTLPSANETQCEVDGPYFKPNGSASVLTFENAEDEAIHLAQVELARDA DWPRPARL FPSE_06728 MSNFETPSSALQAGAEKYSELALLKKPTQSPEGVVFEDVTFIQF RKDVELAARYWKNQLSQVGASDRAVVGVWLRGFGYSDAVHIWGLSWAGYIPQLISLRM TDPTVVYELLENGQAAALVHEPDFESMLQNAPLPTFQGGDDYLKESQEEFSPGDPWKP SKPDDVLFIYHTSGSTSGIPKLVPSTARWVNYVIGKSGTWKDRCNTSRDRMVSLHMGS FCHAAASIILWFSVREGFCVILPSVFPQPISEIQYLLDEQGLSSVCMFPPFVSALIRQ ARNDPSLLASLKKADCIGSGGLDPDPADVAWGRSQGFHMPNGLGSTELGLPMLSDARE NSDYLLPFAGSKYEFIPIGDSVRSGEPLLELVTLPESPDCPVPSLCAADGKFYSGDLF IEPSPGKYLCKGRNDNWIKMQTALRCDTSSIEFNIVETCGNDLVNAVVVVGAGRPCPT IIIEPKDSSIVSSEGGQQESMEKLKEEILKRITPFHKRRYEHERVSDTRYIVVVPQGS LPRTITKGNVRRKEVEKMYGTMLDGLYAT FPSE_06729 MSQSKSPNFLGVMSSEASKSRRERNREAQQQFRRRRQAVETARL QRLKHLEGIIERMSTVIVSFTDRMLHEDVLKQYPALAANAQEVITHVLALAHEAEDPD EGNTTEAASPNSRYEFPPAQDSGFSELSFHSGPMQTDMMNVNQQSAVFMTHPSSQYPQ AMGDPTIAYTNFQDPTLSEFPSLPPSTLLPSLGPVPWNTSKPLSPTSFTYRLTHSCFN VGLLLLNKSQGSPLPLSDETRFFGATLRQDERESMTRKLRWITGIGSQDIKLAALLPW GGRYCGEDFTGDDLSSTCKTTDRTALQFLSAAGIQQQLRALDARVVAQDTLELDLSGA MGNDDTRPLQPDSWSFVNFFPPGILAEKGRSAKIRCVFNDGAWVSKERAQKGYHGLQG FPSE_06730 MPRDFRSGLSVVELDDFKNDVNQRPSGESKQDGKYSPVGDDDYS IATPSVRGRPTAGRYQSTESLIKDSSGTNKQSYWKSFKGPEAPKRVCKNGIWAVFRHL ALFHIPPIGITLALLGLYVHKIRWGDLTEEQLNFLQFAAKAHEILILVSLTDILLQRI CYSLMCQDQGVPLGLLSSPFQLGSPLQYFFSWELWSGIIQPGVKPKKARPWITGMVII VTVLLSVAAAPLSAIVMIPREGWWQVHHTPDDDQARMTYFKPDIYPTDLGERQTDVYL IQQEADSMAHRPDPVLGPIFWDMPTFNMETNLQPLSNITYTNYDKFSASNRVITMTQA IPDAVLGLAVATTPMDTLSSNILHSWHTREDEPKDLLVKSYWRTLESPSLKRWKQPLV AAECAWNLTSGNESYFSFPSNMSSGEVTMTFDDDPEFKDLVTEARKMPKNKLPKARHR AWAPSKDASSRISANFLFLVETPEYFDNGTFNDHGYSDRTETSLGFQFCRVYARWAEV DFWVERGKSLLIQNQFDRSLFDIYNFVGDSAAKYEPIRMHKEWLESVGRRRNETGEVI PEQISIWDNSIDIAHDVRTRVYYQTAAEEGRYLQLALGVHLADILSRMGRESLDRKGV RPDDSIDGEPPEPGDYILEQENFLGGYGYSIESSSAIPLALGVLLLHVAIVLIHAFII IFFRHRWLSSCWAGFGEVLVLALRSDKHDLGNVGGGVDSSQTWSTAATVRIVGEDGRL EMVLNNTRNGDGLIQGEGYKEEGGSTGYSRVEPGVKYR FPSE_06731 MILFKATVLATGILSLVLPTYGQAPKVSDLADAFELSDVSLTDS RWMDNQGRTVNYLLSIDPDRLLYVFRKNHGLDTKGAAKNGGWDAPDFPFRSHVQGHFL SAWSNCYATLGNKECGSRASYFVKELAKCQANNAKVGFTSGYLSGFPESEITKVEDRT LSSGNVPYYAIHKTLAGLLDVYRRVGDNDAKTVMLSLASWVDARTGKLSYAKMQQMMQ TEFGGMNEVLADIAYYTQDNKWLKVAQRFDHAAIFDPLQNNVDKLSGLHANTQVPKWI GALREYKVSGDKKYLDIGRNAWDLTVHKHTYAIGGNSQAEHFREPNAIAKYLTKDTCE ACNTYNMLKLTRELWALNPTDASYFDYYENALMNHLLGQQNPKDSHGHVTYFTPLTPG GRRGVGPAWGGGTWSTDYNSFWCCQGSGIETNTKLMDSIYFHTKDTLYVNLFTPSKLN WSQQGVSIIQTTEYPQKDSSTLQIGGKAGTWTLAVRIPSWTSKASIQVNGQSVNVNTT PGKYALVTRNWNSGDKVTITLPMSLRTIAANDNSQVAAVAFGPVILAANYGDSAVNSM PTIDLASVKRQGTTGLKFEATAGNSKVQLGPFYDAQGFNYNVYWKIQGKLGKRTMQY FPSE_06732 MASVKVFRGAAIHSINLDTLELIKDATLVVTDGRITGFWKAAED VPKDAFPPSAEIHNLIHGEFLIPGFVDTHNHAPQWPMRGLGQGLHILDWLNDITFPVE ARFSDPEYAKRMYVDTVQDFLRQGITTASYYGSRHAEATLILADICHAKGQRAFVGKC NMDRNAPDYICEESAAVSLQETKECIAHIRGLKSDDDTRDGLVKPVVTPRFAICCTDD LLRGLGDMVQADDTLTMQTHFNEAQQEIDATTELFPQFKGSEADLYESYGLLNKRSIL AHCTIVSDYEKERLEALKCGIAHCPIANMTVGGGFMVAPIRDFLRCDIKVGLGTDSGG GWASSMLAVIRQAMIASNAREVLSEGKDRALSLEEVFYLSTLGGARVLCLEDQIGNFE VGKDFDATWVSTTKGLQSAMTPKEPGDSLRHLFEKFVMTGDDRNIAHVFVRGRRVAGC WEELS FPSE_06733 MAWGDLPKEGRTLDPLSDDLRHGLTAVATLAFISFFSASILFFY LVYKLTTWSLFIKSQSDGHLQQQTGPIQRAIDFSLGIDGIFSDNNEESGKKGDGVPEP RKPNQFLVLIINLLLADMHQGVAFFLNAAWLQNNAIMVGTATCYTQGLFVSLGDLASS MFITAIAVHTYLAVVKGRQTPQRVLYGVIIGIWIFVYAISLIPIAITSNGAEYGGFFV RAGSWCWMNRKYENLRLFTHYLYIFIGLATTSILYTIIFLHIRRQARLRSSDDDTESV HLELNRNPAFLIYPVIYVLCTLPLAAGRIATMAGANVPNGYFCFAGAMIASNGSFDCL LFGTTRNTIIFASKYDVSSNNVGLSTFNFLKTPTNRRFGNTISIQGGRQKDEDVATGG WWTWASRGPSSSNRSHRGMTRTTSQESLRGPAIQMDTVTSVVVEVDDTTERDPRYPDT SASSNPSLVSSEKDFTKVAERRLDRY FPSE_06734 MSYPYLTSFKALSFDVYGTLINQEPGMVRGLQPILSRLTDDSPY KTNPLSLMQRFSVFYRSLAANEPTLRFNIILQRSFKDLAEELGVDVSESDIEEIGNTP GTWEPFPDTIAGMQTLKKYYKLIAVTNMDNANAAATMKRLQPAEFDKVYTAEDIGNYK PAKANFDYLFDHVKSDLQINRDQGELLHVARSLIADHVPAKQLGLPSVWICRGGDKKG SYGTGGDYEALKKDVAFEWKFDNIADFAEEVERQFGEKSA FPSE_06735 MGSINSDSLTIPLPQGKLVGVKLSDSLPQPVDAWLGVRYALPPT GDRRFSLPVKVASSPDTVIDASKYGPAGPGKALLPGPKLDQSEDCLTANIFRKTSDKH EKLPVALYIHGGAFNRGSAMMHKTASMVANAPDAFIAVSFNYRIGALGFLPSGLSAKE GALNLGLKDQILMMEWVQENIEAFGGDPSNVTLFGLSAGAHSIGHHIMHYKEGVAPLF HKAIIESGAPTSRAVRPFDAPIHEAQFKDFLREVGVPEDLPENEIFPYLRKQPEKVIT DAQTATFDKYNPSLRWAFQPVIDGEIIARPPLETWRQGKWHKVPIMTGFTTNEGSLYV DKTMSKSSEFRYFWAELLPLLTSEDLDTIEELYKDPAKFEDSEYKETRKDMGAQYKRI EAAYAHYAYVAPARQTAELASPSVPVYLYHWAAQSTVNNGAQHADNMRYEVCDPNVLK VSSTQKDLAKTLNHYITSFITKGDPNAVAGEYPSRPKWEAYDVKDPKVLRFGDGNEEL IGGDVGKTAVFDNDEWGRKQSKFWWSKVDISQQ FPSE_06736 MSKLTTGQSPDTKRPFEAKEAEEMIAMKKLNSLTQGDIVELDAT EAFLREHNFTNEYIAELLNDKDLNKRVVRKVDMILLPLLMGTYTLQYIDKNALSYAAV FDLFKGTGISSDQYSWFASIFYFAYMAAEYPWLFLAQKTLMAKVVAGCVIAWGSVLML TAAGNNFGSLATCRFFLGVFEAPITTCFMMIVSMWYTRDQQPFRAGIFYCCNGVGAML GGLLTYGIGQIKNFPVWKAVFMTCGGMTVVWGFVLLFFLPDSIMTARHFTLEERALLI GRGRLAQTGVLNKTIKWNQIREAFIDPQVWLLVLFMLLNETMNGGIANFGKLIIKGVV KDPLETVALGIPMGAFQVLWILSGTFIASRVKNCRTIIMAVYLIPTIVGVCLLWKMDR EHHKVGVLFGYYIVGGFVCSLVLAMQMPAGNLGGYTKRMTASAMVFIAYCVGNVVGPH AFLGEEAPLYQTGCITILSCSVAQMMVAIMLRVLLVRRNARRDAAAAAVGANGEDVSI TEGSDLTDFENPHFRYVL FPSE_06737 MMQRRMSIADRIKDTFKRAESDYPLHASICNNDVSTLREVLGSS EASFLLSDGDGCWGTPLHVAVYLDNIEAANLILQLGVGVDVAANSSAHEHRLSPLALA ARLGNQRLLWRLWQHFHSQEEESKTNVDSCLFEASINSQTTILRALLSWKEWTTEAKS EALFWTARSWKAYSAQLLTTELSFPQDVLDKALHHAVDFRPLIGDDFKPDYNGDDYLQ QQLLIAHLIDAGANPNAIINGKPPIITAARSISLVGALKVLLDKGANPDATDRRGKSA LHFLGSLTALNRSGPLVRLNETAIRILLSHNASVTLGDDESGANPLHVAAFGSNLNML QLYLSSCPSEQTSQALRSKNNFGETLLHYAAAGAKRDIVEFLLSQGLDVNGINTNGWT PLHCALTPARQGSQLNGFSKSTSEALEIAKILLFHGTNPRAVTAEGWTPLHCLSLFTG KKKENTQLGQFVDSMIHSGVDIHARATFLFWNELGNVEPEYYYWGHQVQESIQYPETS GAIVRFGYTSLHFAAAHGSISMAKALLDLGAGPLSKDARGNTAIKIAANSLLLDDRPS TRNAIVKLLTEAVVT FPSE_06738 MATKHELPGDTSENGNDTVSALIKIQECRVPQQKMRWIVENLEC LGRRQSRKRKLNTDGSEKPERPYWFRKEVNGFTDSDFVALSYTWDASEYETQNPKPEG HLIETRDREDAWKSSVRNSILERVTKYMQCHDVPLLWIDRHSIPQKKCKKTPCVHGEC KQKRHALDAMDWVYSLSDHPVALLGRPIQSEDEITTLSAILRGALVKYQDGKPALAKD AEYTQAKKMLDLLHEITRDKWWRRAWTFQENYKAGLKMTLLIHHGPDLERAKRSTPGG KKAMFGVVPGELSIQSVKFSESATKFCLAFRRHHFATSEDEEKITHILKTAGRYRMLL SHSTSMSGTIISDVNWRGVTEPWDRLAIIANCCQYATRLDAARLQQMEGNVASLDLSI LALRLLNGEILNNEEAYVPNGTVVEQMSDLFFDDFKAPEGAKKLTYNKGCRFFDVEFT KTGIKTKGHLWKLGETIRAPRSSPRLSQPSQEDKHQLSKYERTRLRQLSELIRANHAG DDTGIVDCIKEFLEEDAFVMDDQGSFSLWYRRLMAKEVVRAMDADQTLSLGYLCDPEG EPTAARGIFIHERDAVDSDEPLSQVDKDPAYVFTSLWSDEDVDEEYLANELDHHVSIG VRLSDDRSQESLQLYTHEWVLGLCFFTGVPTSDVVFPWPQLYPG FPSE_06739 MDPLSLAVSVVPVAEQLVKTIKTIKKLISTYKSAAKELETLTSR LGHVEVICESIGTVLETACLSKNLSEARLPTSLYQMIHECYEKVTVIHDVILNVTEKR DRGCRRFSNEGLLFMQHKDKIIAGTKSLDKSLEYLHLLLTTSIFTMSISCPPVREVTM SNEISPPKQSSASIAPSQTIDSNYIDTGPISTDTTTQPKQVETINEGWRRSFLQLAFL QKSCKRSIITETGGSGPSITDVDSVLTVGSTWLNRYIKLSLRKDHLTPLCVTLTLPLV IPVHYWADSLGARVRNAFDDDNVEAVQQLFNERAITPTTLVTWDKYDPDHECNMLGLA AIKRAPRLLDFIRSQMTRLEETAHFGGGDWRWHTVWTQGQLQSAINYINIRKNAISPD ELYMLLLGVWDFRQLKACMDAYLSWRPVISPALNYTILERLLTVSPRVDFADDLKVKG CAALISDLITGGLDIFNDDNLSQSSLKLLIDYTKSPDEAVEDIHRWIDILELAGVDTE QYIRFETPRCVAAWNKPSAYWHTSFRGPNSYINRTLHVGYSRVLRVESQPDDPILAAD ITEEYRIALEWTERAYNLMESRFERKQMWKMQKREKKGKTFTKITLPGAWVD FPSE_06740 MMLPHRDKWDIHHAQIDELVYIGRDVFVMVASTLALYNAIELLT LIYLTFKRKAGLYFWSILIASFGLIPYCLGWLIVYFDLTHDYVGMIIETVGWVLVISG QSVVLYSRLHLILSDRMILRSVLAMIIVNGLVWHTTMTILLFGSEYSPKDNRNGFNNV FNITEKISMSCFYMQELTISGIYIWKSLGILKTAFGSTKTMLYKLFAINIAIVIMDIA MVVIELMDFYVWEQGIKLVTYSIKLKLEFAVLSELIEFVRSRSGTQSRPTKPSQNQST LVPLSAVRRGSTKPTGFTRDRIYSGDTQTNVTIAATHPTQETMTGDRIHVARQVDVQS LNAYPNAERSTEELFEYMPDELPPELMPRAL FPSE_06741 MEGFLKAKRNHKAFVPICAVFTFTCVSMLLLHWHWYWDAPTSIP TPPSVDRDRRFAMVIPATGASPELCKTVMTGLALGYPSPIIVNWGVDHRPLTHWRGGR NLLKVPGIVDYLEAATRPDAHPSERLDDDDIVLIVDGYDIWFQLPAQVMLERYHRINK EANERLQKEWNQHQKGPMPMRQTIVAATGKRCDPKNENRGTKMQCDVWPESPLRKDLY GPHTDEDKSCWEVVDPLDTTRVQGRHCGAIRPRWLNGGLYMGPAGDLRRLFRRCFFTL QTGIGRGIKMRSEQSLAYEAVVEQETFRQWQRVNGRPKPDVSELMNNKLEYHIGLDYA EELSVQTQWAQDRKGLDHGAFVTLGDQDLIDRHSESRGISPTRLRGLPEDIKSAPNPL SALQPRANWTNMPLYADFFTETVSAIVHHNGVGSLKTHRSTWWDRPWYFQHLRQLLHI RLRAKGEAEEPLATVQTPNGRVRYWTASAENTSKYPRRMKKSLKHRLDKMKFGEMCRK KSKAGEETPPEWYDEIFRDNRGSWGG FPSE_06742 MGAEDKTTKTQPEMGHQQGQDSPASIRGIDGNEISNINDANDDI MASKEQHSDEPVQNIFNQGGKNYRTLSKWDTVFVLITNQVGLGVLSLPGCLQVLGVVP GVIAVIGLGCLSAYTAYELLQFYRKYPHVVNVVDMCGIIGGRPLEIVAGIGLMIKVMM TCASASVTLSVAFNTLSNHSMCTVSFVIIAVVACWVLCLPRTVKFVSQSGIPSTISIL AAALVVMISLGFSSPSQAPPGWEREIKVVGNPTFRQGLNACLKICYAYAGNISFVSYM AEMKNPSRDFPMALACLECFSITLYTIVAVAIYCLAGDYTTSPALGSAPRTAAKVAYG LVLPCVFATAMAFGHTGIKYMYVVAMRGLKATHQVTDRSVKSWSVWVICVTLYWIIVF IISNAIPIFDSILSISSATTIAWFTFGLSAIFWFHINKGQYTKNWKKMALCVINGLLI VQSLFMNGGGLWSSITELLNIFENDSSKIRGTFSCGDNSI FPSE_06743 MRANSLLVSGLAACAAAAPEKAKDDFAAKCAGFKSSLKLPNTKV WFTEHVPAGKNLTFPDNHPTCTPKSQVVDVELCRVAMHVTTGPASNLSLEAWLPSNWT GRFLSTGNGGMAGCIQYGDVAYGVGFGFATVGANNGHNGTSALPMKGNSGVVEDYVYR SVHTGTVLGKDIAKQFYGKKHTKSYYLGCSTGGRQGWKEAQSFPDDFDGIVAGAPAMR FNGLQSRSGSFWGITGPPGDPAHLSPEEWDMVHKSVLVQCDVPLDGVDDGIIEDPNLC QYRPEAIVCTKGQTKNCLTAPKIETVRKVFGPLYGNNGTYIYPRIPPGANAGFGFAIG ETPFPYSTEWFQYVIWNNTNWNPAQIGPDDYEKANEVNPFNVQTWEGDLSKFRARGSR IIHWHGLQDGLISSDNSMEYYDHVSRTMGLSNTELDKFYRYFRVSGCGHCSGGDGASR IGNNIANLGGKDAKNNVLLAIIKWVEEGVAPDTITGMRFANGTSNGKFEYERRHCRYP YRNVWDRKGDWKNPDSWKCE FPSE_06744 MRLISSFVIACNAVLGASQLMGTPSYEFLYTVNATLGERWQIGD YHQGSRVVIPITGGTFKGPRMSGTVSNLGADWGLTDTKGIFFPDTRYNLRTDDGVDIY IQTQGPTQPDGRTLLRGTFQTGHPDYDWLNYILAVGVLQRPPAESKGKYVVIDMWQMV LPDCNGAC FPSE_06745 MTQDATVQSLLTVIITTSPTPSAPSTELISTILDSFDRHCPELT KCNVIVVFDSFDQIVPTARLKKGQVTPQQAAAFTAYKKNVKDLILKKYHHDAVVTFTE TDAIAEYGSPKHENAVHYKISQTTDQRVTFIESSRRLGFGLAVRSALRITQTPYVWVQ QHDWAITAHLPIQPLLQIMQSHESDPEAPIKYVCLAAVRMLSYASSAIVSEFPSLRDT SLALTRDYEAPSHAGKIPLTPMYFWHDKPHLASVAHYLERVFPTRLAMLRGDFIEDKI GQRARAQMKDGQWKKWATWLYYPDEGKTLCLRHLKGRTWEGTTRQADRAAMWRKKNEA GKIIETVDLHEADGSHDISLFSDEEVPTS FPSE_06746 MDMATMAAPHQPRYSLEDPGSGFEPRNNARDAREAARAVRNGQS PRYRRTQSPEQLVDDYRFQSEEGSEMRSPTSDVALQDAMLAQAQQQQQRVVPVGQVAR NAGQRRQPPQGQQVPPNININGRGPQGLGPGPGQGQPMPATYNGPGSMDGQQQPNGPR GEAGRYRRQSRRTSDSGQSHGESPTRPGPNTQQSRMSQSMGPEPHQHQHQHRAVSPDR LGVPGHDISRLQSPSIQKSVLQPLEKKIHEYDHLMHEAQTQMAQLDEELRALQERRRA AEDRFIEAKTKHDEYERQHEDVGRALRGELVKEAPPAPMATAPAVRQPPQMQRMDSLD SFDQRPMSQQSSFHQKPKKSGMLRMSLFNKSN FPSE_06747 MAPVQFGILVYDFQALDVIGPMDLINSCSKTSMQMMSPFMKIEQ ETISHAQDFVIHHVGHSRDPITLTCGSLTITPTTTVEECPELDILLLGGPDPSTFELH PKYADFIQRHVAAGKLLFTTCTGAVVAALAGVLDGKNATVNHGAIPFLKEKFTSVNWT DEKKWIVDGNIWTSGGAIAGMDMFAHWVKENYGLGVLIHGSSVLDYEPRDVDGILDVI PKRFGEDGKQLPTHVFK FPSE_06748 MISLKEVAAVMAAFSLAEAYYKHAGKEKYTCSDTCASEMRLIDG YEEDCYQFLAKVVPGSVGHLSKVPKQYEYICSGTIDFVNACYCLAPDEPDYAIDEHVK DINGRYANDEYVNDDSVNNELFTNERFNDERANNGRLSNGRLSNGRLSNGRVNNGRVN NESANNESANGESVNSESVNNEDDPTAAQDTVFIPQTISTTITEPTTTTTTEPTTTTT TESATTTTTESTTSITIEATTSTTTESTTSTTTESTMITTTESTTATTESTTTTTEST TATVTAIIADANCPTCPATCDSRFTCRGTISYCNGGTTCICFRTTEGDTMCALSSDCL SSEQCTSSNDCPADQRCISQNCCGFNVCVAEATNEQCDPASQQNNLSAPPGRRARGNS LSY FPSE_06749 MDSFSRLPPEIRRNIIVHVESYSAIMKLIRASPTMLWQYTIDRD GIAREILKPILALDDTGTILQDALIIAHSRNYDTDVVTDEELLLSRDRPDPLAQKDRN MTMRLYRVISFAICWIEDYLGKATDPFPSRAYMALPEMATGWTGTRFQDEIVDITPVF FSQLRLSERYRLLRAFLSLEIIFRCYVLFPQRRGLLEWTTGASQIQDPSDTEWDDIMC STEYFRVLYKTLFNEAAWGKRRRSVRKANAQTAPGSTLPNRLSLDSPTTNTDSLEQGT SLRFPHSICVDTQLRHSDFFPLYIRHETPSFGFSDLSSMLISGAPNFQDTDFIICMGM YFSIIY FPSE_06750 MPSSKGKPTDPELREELKEEIKQEPNKSGGGQGQWSAWKASKLA KEYEKQGGDYENKAGSKNEPKKGEPQHKSQRKKSRELEED FPSE_06751 MVVSGDGIILPALGQDVQLGMLYNVRTSELFAGISLWDNAVVNS EQTKDESKVQNAEFFYSTSIEDSRKKSALDVEGSLALDLKIIQATGSAKYLSDTKSST HEARLDASCTVTRWTRRIPQETLSSMKYHRTLDNPRFTHFVAEVVEGGSATISLVRST SSEEEAKEIAGDLKATLIGVPVNGSANLEYKDVSDDNREKVKISYSGAIAENVSTWED ARRVANDMPTMLAKQTNTLYYKLLPVDLLDSNANRLIRSLDSGIVNRTAVALKTGITA RLRLDELLQHQVLRNWFPKIKGQIRGLRESFLEGESDFTEAARRLLPELRDGNTDYST KISELRKAISLFEQRVRIAEQFADLKQNELEVLQSTVASMLGQGFQNHLGGLKPESLT TVSAPRVLLSFGGGQINRSRHLLEKKMQSTELCDDSDDDDDSDNEEKEKSEEEWFESS RTVNSVRAACAELIGLRPSAPEEVIFGVASVDKAYRPGEEKLVTTRIGDIILGYNDRF TIVTGMLPKAPTAPTLTIEEQGIALSWETDQSGDLEPAIPTTSYVVRYCPIPNPEKDG VLPRVTANETFIELELNPTESSVIPRKNGTGDSLFDDCDYQVMLSVKTIIGLSGWSVP AVVRTPRWPSVASRMLEFHERNHQTLSNPNRKGTKTWDMNKEAGNRTLFLGHTTIVDR NCSDPRFPDTVAVRIVDVAAEFEAGIPAADLADKNNTIVTVFTGPSGHGQSTQINAFV SYLLGGDFEDSARIMMIDDRGTSPTSSQFVTCYRIRPFSPLFRGKTMLIVDAPGFGDS KGYQRDAFVMAAMSDLFSTVSHVNAVFFTCRAMESRTTFLTPVYTYVSQLFAKDVQDC LGTIYTFADSGKPAAHDTLQRLGWPVQNGEISVNNSAFTATLDVTDINHVRDMWLFST RGQFQIMDTLLKTTPVPTDSSADVMRDRVDLEKKCSLTEHKIFKTATEAWNLMENLDC LADRIGNAPDAEVSITEDRVVTQDLPEGKGSTRCLHCNITCHEICPYSNDEDIRYCET IKDEKCVVCHCHWTEHCNMRYMIKTKRVTRTMVPEDMIKAWSDKTNELETSLLSAIDA YLELQQELRDELLDLSQLTKNLMTKALLHDPSAFIDYMDRLIHTARAYSFPPAKLVQF ATAKNTLLLLQKVKEQGSAAAVEPEALLDILGSVRTEMHRRMQLEMHQRVREEKVPCS LYDTLYERLPPSIKDKAPAPLGEKGMSTQGELYPANLKAVVLLVKTVLKDGGVVAALA VKG FPSE_06752 MAPSITVVPASTRVGTETIRQLLASPEKPSVRGIYRDTAKAPFE YTSNPNFEAVKGDVETGIGLDFTGSDGVLYVPPPIYQKKMDLADWAELTATNVKIALH QSNVEKLVVSSGLGARYKHGVGLARLNHETDRILKDAAREVTVLHCTHFLEEWSYIFQ MPLGDPPSITSWVAPADYKIAMVSIKDIAKVSVKSLLRDSQQPGFHCVKVLGPRLCSS NDLKDAFEEVTGHKVKLELAQGDDLKAMLGHLFPEHCIPDILEVLEASLPGGLIATEY GYDENTFTGKVELVDVIRELHKKYNE FPSE_06753 MDTGVSTLIDKNVFQATRDIFVGEKAKTRKLKGIKRYVREHPGD TTSVVQLTTQYGAGVVHDSILKLLSNGVYESTSMAHEWFPDLFEPSVTRTEAVELLEG VATNIKQTMLEDVHEIHSNDNHESPEEPKAVTILNDINGSIARNEIDNSSEYAVYLPF SAAHLLMEKLQKTLELACYQFGLRALPSLMRKQGWDCPEAGELNKWTKIFGRTESVVW EGSGKPSKELLRSIAAIRHATVHRQRTNSTELERFLADAETLTGVLGEKEFTQAISQL RMDTQETLAELIRNKEMSQAKLERAQEEIARHRAELDQREQEILRHAESEDRKYRDLA GERLKGVLGLVMDVKVVSHGQAVVLANGDDVEDYLISDDDSDSDHNEQFEDCSEA FPSE_06754 MFVHSVFSIAALCALVSSVVATSHGLPKRPHIEAAPYGTGKAFP KSSPRSRKDICYVKPGKGKNSDDAPAILKAFKKCNKGGTIVLDQKYTIGSPLDLTWLA HVDVVITGEVDFKSDPYYWAENSFKFGYQNMSSFWKWGGKDIHIYGDLNKQSVLDGKG QAYWEEMAMNSTLLRPILFSIEGAHGLTMSNLHMRNSPNWFNIIINSTDVLISDLDLQ AKSSNGVKIANSDGWDTYRSDRVVIQNSVIDNTDDCVSFKPNSTNVVVQNLICNGSHG ISVGSLGQYKGETDIVENLYIYNISMSNASDGARIKVWPGVETAFQSLLNGGGGLGRV RNVTYDRFYHENNDNAITITQCYGQKNQTLCNEFPANLTIEDITMKNFWGTVSKKFDP RAGSLVCSAPDRCSNIAAQNITVGVPSRKAPIYDCQNLDTKTLDFTCRDPTSNRDTTN G FPSE_06755 MSISIGQSALSRAEAKEHIEQIRREYSLEGHDKLAQALTNALHI LSTELYDQSTHFIQELLQNADDNHFETSTPTLIFSYSPGRLRVDCNEKGFLPHHIDAL CTIRGSTKTDKDQASYTGEKGIGFKSVFRMADVVWISSNSYQFKFDKHKQFGTVAPEW VEDFPVHSSSEYTTFYLELCPQGDMEELVEKLVDFDADQLLFLRRLRQVKINIEREYQ DLSHMIRRIDETDGCGNLITTLDLGTSKKRFMVRKFQVTNLPEEPRRRNQTCSEMVLA FPLMEELPDGGTAMPVFEAKLFAGLPVGADGGLKFLLQGDFILTASRLHLDTSLRWNQ GLRDGLVNAFLESVLAFNAGIHRYIWPFFLSYDKKVSSFFQSATKSICEILRLMDCLE SMEGIMVKPSALTYVDLEKFGDSSKDFLTLSAKTSPLYLSNKYPAWTIPGILSLGVRR LSATEFLEHFRTLLSEEDSVFIKKSPSWHGDVAKVLLSLISDERIRKDLRNLPIIPLT EGRWVSANNNPKPVFVSKDLELDDFTTHSGLPIVDPEATAHKDRKALYRALGIATIGK DQVCEFICQQHALPDFEPEKWTTEQLIKHAKMLFESHWTPPAQHADLWFATADDKRCR GSSLYFREEAGGPAYQRIFKHLEQESPTLHPDYFKDSSVTESPFDGINLFFDPRQRRS IWSKYRKTDRFRKRWGFDLPDIPGKVEDRSWKEFLVKSVQLSAIPRLAVRTAKGTVVS QEFKSILRNCPVTDWLQLLEDEWHTYSKWLGPGGENAVSYSTYDTAGDLLPTKVKNLE VLTSRGRQRLCTTFVPGLDDLVVDVPIPILDIKGDIGKITRERLRLLGVTVEKDIRYY LSCLRALVDQVSPDVETLTYIYERIQAHYNDEDILIEHAFQKDRLIYVDGWAVKNSDK CRKGWFNAEKCSAKKIRMEELYPRCEALFRSLMITAGLNINGLVEKATKMEPSTPRTD MMETFANINDILKAMSAKKAANVVKPLLDSAVFPVLTQALGGKRKLMKPSNTTWFIAD RKHLRDSFLGKLPLLDFSIEEVDDMLDLIKALNLHKRKLSPLVDCRCHPKGQLEYSIP ASWYFRTRAPFIKALTASSKSEQKSWFKLLERARVSFAAGVERRYVLETDSKLVQGHP EETMASCFVSGGSIQIFLARDFSLDSQSAVAAVVEMVSQNFDFKDPLTIHLLYTLFSE KDHDMALRAFGRQGFQVDASELGSCQFYPRELGAVPSPFESSGFVGDASYVSTEPTRL GVRFTHKKGFPSRKSPEADRRLPIKRYIDFDNDSEEAHKQLLEPDYNLEYLGQRIVSS FFARTLGHTYKPDLHWKSRLRFRGGLDVSDIPEESFPFIIADPDGSKTMTDMLTKSGL IEAKAWEQNWPAYHFELAISEGGKDDQFTWTWEQLERIRLQEEEYDSQTGQENVVVMI RIYNVFKSPSLQFVLDPWEAIWSRRSQILKGSIFKIIMLLDHEEDIATAAAQLKDSST NTDQVPTITITEEADDTDDTDDRTTQQNPQSLGHVNGYQYNYTPTFQQQPFFAPPSYA SYDPSWLTSWAQPTAYWTMDGQLIWNYPIPASHGIPANLYDAASQETSDDSEYKTPLS REISTEKWDKLPKYKYRCLKDKKEIRVFVLLPGKEDDVLRGVIQTCPFKDPIAYNTVS YVWGGNQQPRYKLLTPEGVLNIQASLFLVLKRIRKTDKPMVLWVDAICINQNDKKEKS QQVKLLPRIYQRSECTYAFLAKDPGHDTAIKMLKRAFIDLIGINDKTSESLSDISECP DDLAKFEVPSQNDPIWQELAELLDHTWFKRVWIVQEAVASPSVIFVCDKLSIGWNVMS QALTYLDSDRSLPPDVSVAMEPFTTLDNLREWDARQTRWSILLLLEGFRGLQSGLKRD RFYALLGIACDGNLPDFEPDYEIPFEDVVITFARALVSNGEGIQLLHRAGISTQPDRF PSWIPDWTVPKSPSLDDSLSRGIQYHTCGSDKNVRISCLGKDEILVIGYQVDKIARIT KSSNTPGSWRQYFAEIHSMVESLQCSSGLKQSYIWEVPVAGSRHGRVAESDYIDLVDS YKAFQKFMKKMKWKKGYKIADIFNSASSEESQASEEGNLAQRSRSYAELLTGTLEGWR FVTTEEGRCGVVPPDAHVGDEVLIVGGGNVPFLFRKSTKRQGSYQLQNGSRSITNGRS NESDGNSFNGNGHVRSSTTGALDLTVLGMNSGTAMDGIDCALVRYYQESPDAPLHMEL IKYDEIPVPQWIKKPVLTMLRETKTTPSKMSQLNVQLGQMFGDAVKEFCDKHEISMDS IDLIGSHGQTIWLLSMPEEGETRSAFSRSG FPSE_06756 MEPPYTQRTGVPLQTRPVLSPFDPSALQSLNSILPPKKNGLTIH IQDHYAPKRYRPGSIVMGTAIIKPKKQTPFTSISIKFVCDSSVERPNATLLFETWHRL LDLEMPIEQATLPANKVLQPNETYTVPFYFVLPTTLGTEACSHNVIWQETREMHTHLP PSVTGWESDAMIPRGVRVDYGVIAQVWDSANPEYAKMEAKETVQFLPGHIQHSHLKIP TENRNFKFGSSKHLKSGVFGRSWGEITLYADQPTAIVLDSSGKSFHSTTVVTSLRLKL CNHKTSLPQICSVSAKIESDTWSQDEPMQQLPHIVNARDCYNSSETLLKTTEIGLSWE KSSPKQTDNVVTETPKTFSSHIKIPIPNFASDKKMFLPTFYSCLIARTYQLHLTINVA SATLKLVLPVQLTAEEQKGDVQPDAEDIGLPESSVRYSSSLPSYIESERRHSQPEQ FPSE_06757 MPFTVLSDRNVNQILDTLSPSDVIDLANALEKALTQYSCNNEQQ YQPHRAVVDRDGQVSLFMPGTTDQLLGVKIVGITPSEKLKPSEAGLKSVLTLCDARGH AIGTLNAAALTAFRTALGSMLPYRFRRKTENIVVFGAGAQAMWHIRLALLLKEKDIKS ITIVNRSAERAQQLVESLKGNKQSSWPSHISLQVFDSKNDLEALVVDADVLFFTTPST QPVFPASYLTSEKARTKTRYLAAIGSYRLDMQEIDPELLKTVIDQSGPFADVGYRGSV AVDSRDGCLQEAGELVKAEIPTDKMLEIGQLFQTKNKENPDDLNKWLEDGFVIYKSVG TGVMDLAIGQELLRLAKVKNVGLTAEDF FPSE_06758 MLSNTGSLVFGLMLGVAQLSNAQLSNAAPSDSMVALTSPIYPTA TDVVPPPAVSTATGAPSVSSATGTEPAPPVSTDDCLAKCNKARDDCGTAPNANQATCA AEFATCVGYYPYDTEGNFVPPTACSSATTLASTMKPTEPAKSTEPTKPTETMMPTGEE TKMPEPTETHVIVSGAGHVSGGQALGLLGAVGVAALAFL FPSE_06759 MKITSFLTIIAAHYASAEMVYLVNSVKGNEISSGMAYYADGHSA ANLAQPDTYTDVTHGYNVHWEGNDVKGTFSSGVTFTSKIVADAASKTYNSWVGSGNNG YKDFTCWKTSNPGSSPSFSLLYRVDGWDVYSIYFCRNNN FPSE_06760 MSPNSTENDNGGVVEVASASASNPVQIILTPPTPITPSAPAAID HRSEDTNKEVHRLPDVSEEKEVVAKTDFSDEKQVYNEHDEKDEKEVYTQNTEKVVYDG GIEKAVHNLKLYDGHDEKQIFDPSLGMHDTEKEALYTQNQHDADQRRHSTSTESTETS QSQAKTKPFCYKRHVGKFNEAIDKKKKAWTTFSNNSSTAMSEKIIQMDKGWKQRVDVF EESTMAKMSAFDKSINDGFDRAGKGYNSTVSSWKTGMVNKRNQSISSMKSLGSKCQIG GKGGKDETKEDKLEK FPSE_06761 MADPTPIPIILCGKTEFVGEKVIEALKPEIEVVHFILPGESGKV IIPELLRGNPPPSHPDLSTIGSGNYTRIPEAVVLGGAFEYEDIETLRDAVKAVDGSLG VSWIRQDTNLPAPPVTSPEYPKLMTKRTKEAIIELKRNGKLDRKTDRVEWY FPSE_06762 MAQPYDRQFRPSYPGDNSSSSTFRVQDDANGPKGTHSIETRSLG HEPTMANDDPHNTDGTWKRHVMPGTLFADISLTLLPAGILGLALAVMCLDKTTVEEEV LKKWDNAITVIASVFPILFASVASRMVFQAARWKLEKGATLNLLEQLIGSRTVGSTLV TQISLGRFNILGIALLMLWSLSPLGSQAALRMLRTRLEPVYAPSNLLYYTTDAPSVFS SSTLVSRGEIDQYRSTQAFVQTMYSSLLLAPPSAKSNAMDLWGNVKIPKLEVDSDNNG SWKNISWNSAPDSYSSLVGLPVTNVTQGNVTFSLESSYIDLDCKQFNYNISVMKQKEY EWDYFDGNNNGSIRLRNGTFHGMNGTTLQSVWSVAVDRFVDEYWNANTLCPSRGQERD CQTPKLLVNETDLAVTPARLVFEASFRTSPKQFVPPMRVEAVCDVVQRYVESRVVCSR TDTSSPQNCTVTQQRFSRQPHAPEGVTLLSFPDVWSWVTRGLPEGVKGQSYADTTIRY LNNPELTSFTTGDEVEEGKLLSNVTGKQFGRRLSQLLTTYVLLGQLNQYTSQGSSDIT AKFEPNVTVPVDVSTLKEIYVVHWSWMALFTACCAVLLASGITGVIFAHLAAGPEILG YASSVVRDSKYVTLPPTCGQKEALEVTKMIGEHRFKYGYTSATSEGRPLLGIGLEDQI QGIKGR FPSE_06763 MYSCSITPEYEAANEQYATDFDKGDLALPPSRKVAIVACMDARL DPVQILGIELGSAHVIRNAGGRAADALRSIIISQQLLGTREVVIVHHTDCGMLTFSDL DLKAKVRKDLDQDVDHMAFMPFGDLEQSVRDDVKFLKKSPLVLDVPITGYIYDVKSGK ITVKIR FPSE_06764 MPEESRKSSIEGIAQPEALLRSACTECHRRKQKCNRQWPCNNCQ KRKVADQCRFRDDSKVTSGEDAWAASLEVKRKRDMHGDEEESDDDDVGDVLEAIGYSR LHMLTNLDQETGSTKPSDQYYLDPKDSAQLQRALDVLPPRHIVDTLIQGFLDVVNFYY YIVYPPHFSKEYARWWDDYSKGRPCGVQWTCLLLMVCACSVQHVDSQTGSRIESELDA SVKDLTQKYHDAARELHSAIPVGYGHVYTVQYLLHSCYWFKAEARFAECWQVLGAVVR EAQMINLHKKPVDQLPGFELEMKRRLWCIVDSWDWLVSSLLARPMLIDRTECDVGLPS LALEDYPASPMLHLKLQSELVSLIFKRFGGPKLLSDPADIRDYQTILEDFMKTFPPEY ALDHPNTPTNEDLPWIALHRHYMHTCTLSIALGPFRAFMAKSMKQSTASAVDLEFRLT GIDYALRLIEAVHRFFEYVWTRDTTFHFVPFCIFDTAALLCSVIIHAEDGHVPRRQEV AGAIARAYSTLKKLRTATNTAKAPYDVLRRLIKKLPSSSGMQAAEAHKRRRFDTPPGG QGSMPVVVPMPEPIMDADLIALAPMPIPLPELPLVQAQYEPCIDPIPVAPERIQTGGQ SYVSYPLHDGTDLGFQQYTYTDPSQIQEIATMPSWPVDINNRSHMQNLAVGSPEIDMG FQQLSDAELGDLAMLWNWESLDLGYTSNPIYQQM FPSE_06765 MSRTSSGKLDTDRAEMEHIQPAMSIPLSPEMFEQLYLQPQNRVK GDLRKTFGNPTPVALAGFLLCTTPASMALLNWQGAGGFAAAAVVGVYFGIGGLLLVLG GLGEWILGNTFPSTIFFTFGGFWLAFGTTVVPGSGAYSTYSTTGTAADGLKEPSFYAT FAFFLVAMTILCAVYCVASIRTNVALFTILALLVPCFSCLSASFFAVAQGNTSRALQY QHVGAGLLLAVTFIGWYMLTSILLLSVDFPIMLPLGDLSTIIRGRTETKPISKGV FPSE_06766 MGRTEPSVGRPPAAFRKDVRSKKAKATINKAIPTLLSGNPRAQQ GIDAAELIAFQDAQVSSAKPGESNRDMDQSPKKGKSGRRSPTSKSDGQPIPPATDLKT PRISVRQVDTLTAARDLLEIETPSGTKVDLKNDRARVAILNMGSPLSPGGGFLNGANS QEESLCMRTTLYPSLKDEWYRIPDLASIFTPNVLVFRDEEGEDLDKKDRFYVDCITAA MIRTPEYELDNEGVATYANKKDRELAQSKMRGVMRVAMMKKTKRLVLGAWGCGAHGNP VGEIARLWKAVLLPRQDKSKSNKERWGQLDEVVFAIKDHNMAQAFAEAFGEGLERADD GEEEKGEEEEIDLADVRRQELLGKIAELEKRIETVVNPKVKEGLQSILEGLGKQLPAD EGDSTQDSDKEDV FPSE_06767 MTSRTDISDDETLRQKIQDPKPKQRSLVNLIFDNTRIDQAVENH QYPGCGTFEDPFVVNWIPDDTGNPLNWDKWLKWAITMVSAATCFAVAFSSSAYTGTIM QLMIHFQASQTLVTAGVSLYVLGFAVGPLLWAPLCEIWGRQLIFVISYGMYVVFSAAC TADHGIATLLVLRFFCGTFGSSPLTNAGGVISDVFKPDERAIAMAFFSLAPSLGPTLG PFIGGYLVDAHGWRWVMGLMAIVAGVFWILDMCCAPETYAPYILSNRAAVLSKKTGKV YISIYEHQGKADPPNVVLKKALVRPWLLLFLEPVVLILSTYIAIIFGTLYMLFGAYPV VFQIERGWSAGKGGLAFLGVAVGMIGAIPVIGLVNIWYMKQAAKHKGEPVPPEYRLPG CMIGGICVPAGMFWFAWTSYPDVHWMAPVAAGVPFGLGMALVFNSVFNYLIDSYTIYA ASVLASNAVLRSMFGAAFPLFTKQMYDKLGTQWASSVPAFLSLACLPMPFIFYKYGPA IRQRCKYSAKAAQATAMAAKAQ FPSE_06768 MADPQPDQTGSRKKKRNYFQECAILIGDCGPIFASGKSLCDTKL GAQLGAFRPADEDPWVGIQIKIPLGKDQAANEASGFGVLHAHNSSQDAILANDQYVIQ VRFPRESLKQTFGPVSDKLAALFPDTEALSYIIISLGDSKPTIFGYGRPYANTEDIEM QGWVNENKPIIGQTTLLDVLQFTEYHIVIPIKVAVAEKNIIPDRLPPPFEFPYAIPEA WRPDAFKRDIAANPGHKYKPNYMLPDNDSLITTIAQSIVQDMMWLDDAVQVIKVPGYF IPADTETISRYYVVVALPPEFIKGFDAAWRRLTKNADAFNLLIWGSRNMSTPKADWDC RLMSHPANLEQLADHPISDHEIVLLVRRPQPGEKRNNESRGDKYDVKTFANREWLSLE FDAGNMEAERQVNAALDFRHDAQPSNPGAYDLPMDHETDTVSDNLTRAQRKTYEMVID RMELHREVMRGSGFYHWMTSEPPTSQPPKTQAPSSEPKHTATSLRNLPTINFLDINDD AYANCIVEEALPEDRARFRGYLSNRPLGLGIITAGAGFGKTTAGAAATLAMEAKVGKV LCSGPTNVAIDNFSRRLFSRSQAIADHYNKGKALGDPTRRQYKLVLRAYNPTHEHTAF THLLEDPKAVQNAAPTSAWKFPSKWKLPLSCAFWILLALGSRAAGRELHPDDPVFVHE LRNRIAQRKDLTPLSEFAQGKITCEEFTEAYSQKQCMEAVEGLMSALVRNADFLCVTP AASQYHKSYREWKITAARAVAVDEAACMSRADLCCVWGNTLLPCFMFGDPRQLPPTVL TKRDTLTNTDHFVNRFSSYGEVSAMSALMASGLPVYRLKVQLRMVQGMFDLVSSVIYP DVPFTYHMSRAATNPEFKVGQEIETYLGVKFPELSVPIDGALRPVFIHCEGSRVFQDP KTGSKRSRDQVKVGLDVLQGAVESLEIDPKDIAVISPYAANVKLINSMRRNYPALHAL PDAATIDSFQGQESIITLVIMGTAHPRPGPGFTQNKQRLNVLLTRQKCALIIIGDINV GNPFRKGTKEPVFTVETTTGEMSFVKAPALRAIYRGLKDTGRVVTVDVKAETDNVSDP DTKT FPSE_02267 MQKTHLNLVDDDDDMDSCPPPIPIRSHTPRRQLTMKQSFETQDL GDGKADFDPRLLQQPSKSPSTHGHHRSSHYQNQTYTHNHTQNNNQNHDQNYTHVHATS QTPTTATAPVPVSVPALPTSPARPSSAATSRSAMSYRSHETEVWSQRERDASSISSVY VPTHLPALQAKGAGADGDGLEPLTEEEYDPSSFDLVVPAHAMGKQYTLESQSELLFSA KHLTIIFEDPILLQRFTNFISASRPASVPLLTYYLDTLKAIKAINYANSLTTSLEGID GHDFTLDPVTNTVNAALQKRATDAFQAMANHDLPAYITHTYIQTVSITIKRRITDTLA PQLRELSEGLAEVFCLTDPSRPDNPIVFASEEFHRTTQYGMDYVLGRNCRFLQGPKTN SYSVQRIREKLKAGQDHCETFLNYRRDGSPFMNLLMVSPLYDSRGIVRYHLGAQVDVS GLVTDCAGLDSLSKLLNRENPENERYPGENARKEKEQKTDEFRDLAEMFDLTELRTVR ESGGAFHRTRQQDLRQTDAAPANWSKPRFVFEDDATINRRLSDPILQDTAAMSFGGRL SGVYKHYLLVRPYPSLRILFASPSLRVPGMLQSSFLSRIGGSDRVRETLTQAFAGGNG LTAKIRWLSKTDMNHKNHGEGGQAPPTNPGRNRWIHCTPLLGSNGAVGVWMVVLIDDE SDEAFIRGMRRDAPIVQPAPRPKSRVDQLTGDDDAMSLSSFAAQHRG FPSE_02266 MRLAISASTSYDQHKPQPLHPERFDSVICGLVDKAVDR FPSE_02265 MSESKCPVAHKVPNVAGHGVTHQKWWPESLKTNILRQHSNVTNP YGENFSYAEAFKSLDYNALKEDLRKLMTDSQDWWPADFGHYGGLMGQQRFAPLNSWPD NVSLDKARRLLWPVKQKYGNKISWADLIVLAGNVALEDMGFKTLGFAGGREDTWEADE ATYYGGEDTWLGNDVRYSNGNKGTTKPGATDSDQTEHKDIHTRDLEKPLAAAHHGLIY VNPEGPDGNPDPVAAARDIRETFGRMAMNDEETVALIAGGHTVGKTHGAGSTDHVGPE PEAADLAQQGLGWSNSYKSGKGPDTTTSGIEVTWTSTPVKWSHDYLKYLFQFEWELTK SPAGAHQWVAKDAEATVPHAYDSSKKQRPSMLTTDLSLRFDPAYEKISRRFLENPQEF NDAFAKAWFKLTHRDMGPRDRYLGPEVPKEIFSWQDPVPERDYKVVDDGDISAIKNEI LKSGIDVSKLVSTAWASASTFRGSDLRGGANGARLRLEPQKSWEVNNPSQLGQVLNGL EKIQKNFNDSQSNGKAISLADVIVLAGSAAVEKAAKDAGVNVTVPFIPGRTDATQDQT DVQSVAHLEPAADGFRNYGASTERVKLEHMLIDRAQLLTLSVPEITALVGGLRALNAN WDGSSHGILTKRPGVLSNDFFVNLLDISTEWKPVGNGDLFEGIDRKSGNKKWTGTRVD LIFGSHAELRATAETYAEAGGSEKLVRDFVAAWTKVMNLDRFDVKNGNAANVSPRL FPSE_02264 MSNTLSSSLAEAKLVPGAAASLIPEGFKPSVNLHVSFDGKDVQL GNLFRATECKRSPSILFDQEADAPGDATYMLLLVDPDAPTPDDPKFAFWRHWVLPGLR PLGSADAVAQIQPALTEYLGPGPKDDSKPHRYLLLLYRQPSNLDLTKDDVGGEEFTQR RSFDTAKFVEKYDLQLVGVNWFLGAGDGWKE FPSE_02263 MRSATLLALLPFALAAPSASVSRRTEPAPVIRPRGVKLVDGKYI VKMKAGVRAASVDSAVSTIQADADYTYTKSFSGFAASLKDEEIETLKHDPNVEYIEQD AVITIKATVDQDNAPWGIARLSSSKPGSKTYTYDESAGEGTCSYVIDTGIDVEHPDFD GRAKFLKNFAGGRDGDGQGHGTHVAGTIGSTTYGVAKKTTLYAVKVLGDDGSGTNSAV IAGMDFVAGHADDENCPNGAVVNMSLGGEASDAVNSAAKSIVDAGLFLAVAAGNEAVD ASGSSPASEASACTVGATTRNDTLSYFSNFGDLVDVLAPGTDILSTWPGGKTNTISGT SMASPHVAGLGAYFLGLGKKAEGLCEYIASQALEGVVAQVPRDTVNKLINNGVSK FPSE_02262 MKFFSSKRQEHAAEGEHLERRKTPDTDGRITFLACFMGAVASLG GMIFGYSSGQVSGYFLMRDYGERFGEPAGNGTYEFSAARQGTITGLLSIGCLFGSLIA GNLCDTIGRRTTISVSALWTCVGTVIEVASQHAWYQHAIGRLVTGLGVGALSVAVPMY QSESAPAIIRGIIVSCYQLFVTLGIWCAEMVNWGTNHYDGSASWRIPNGLNFLWALLL GVGILFLPESPRFAYRKGKEEEARKTIANLAGLDINSPSVNRQIDEIREKLDEERSLP ETRWVEIFTGPRMMYRTLLGITLQAGQQLTGINFFFYFGTTVFASTGLKDSYVTQLIL GSVNCACTFGGLYVVQKCGRRISLMIGAAWMMMCFFIYAFVGHFALDRANPLNTPAAG TVLVTFSCLAIVAFATTWGPLVWAVVAEMYPSQYRSRCMAIATATNWLFNFLIGFFTR FITDAIDYYYGLVYAGCCAALVAIVFFFVIESKDRTLEEIDTMYVQKVNPITSSKWVG HNHASKAAREGVTDEEVSS FPSE_02261 MKYATILALAGVSSAAVTKTLPKSAGVTSFPTAVPVKGSFDGGM KRFERSTNVCQGQKETGEKDAMFILEAGATLSNVIIGASQAEGVHCKGTCTLNNVWWA DVCEDAITLKQTSGTSFINGGGAFHASDKIVQFNGRGTVQIKNFYAEDYGKLVRNCGN CKDNGGPRNIIIQDSVAVNGGVLCGINTNYGDTCKITNSCQNNGKYCDRYQGNSDGSE PPKIGSGPDGKFCITSGATKTC FPSE_02260 MIHQPLPNLISHIHHGRIQQIAMKLSRLTLYMALTAGCRGAYVQ FQDCSEAHDSSSLIPESFRASVERGRDTFDWKFDFTAGLTNRNSCEVDLSNIVPRFSI VDHGNDTPYIPGQIVNISCLTSQRIGSRSKFTIVTSFNRSTLLETYKTTFELTNSNNT TLSCVRAVLTPAVPATIRIIGLWFPIITFALACVAACWPVRRSSRPNTTKNSLVARAI DVLAYIQFIFFSGAMSLQYPGFFQPLVGLSGWSTLMLPAGVVESRSPYARQGVNDGIY EHNGTITGAPGLELLTQMTGSAVKPQSWMNTFVLSLLVFVSLYIFSFIIHRLGNTNSS PRSASANLESSFRTQYWAVVRLFLSCFMLPLSAWATYQFLDGTIFGYQNSVMAILVLS LLLAGFYWSWSQDPEMASLVIHGPNRARRKDKTDQKYCALIVFFLMLLRGCILGGLQT YNSVQVGLLLACEAMHLMSMTYWIGFSHFTSLPGILSMARIALSSINIGFLPGVTGHS GKILVAYIALCGHVIILICIFLIPTLFDLGKLAFGREPAVLSDIENDMQSTTADTLFG ENNELVKSSSSQSSASNKTLLDMILSRETKIFGDKTVSRIQPEVLSLFMRKVQGEDEH TNVCEISRGLNGSSNTLVGSTQSDEEIKSAVDVSPDDALTSYLVCKGNLAIRNTPIYQ DMDHPINEYFISTSHNTYLLGRQVATRSKLEGYIEALSKGCRSVEIDCWDGRNGQPVV KHGYSLTTSVSFRSVINTIKEHAFVSSDLPLWLSLEVHCCSSQRDIMAQVMIEVFGSS LITEPLQGCLDTLPSPNQLRGKILIKAKMPQDMNTKDSDEEGRDAIKLDQTHNGVDTK PERGDESTAVTNQLQRKHLQEDSLQRLAIYGAGKRLPQPDAIDSHRNFIYSMSETKLS KRISKEKPLGIIGTQHMVRVYPDPNRVDSSNFDPIKCWQHGVQMAALNYQTNDFNMRL NDAMFAGSLGYVLKAQPEPKQIRIAVDVLMVGGIHGLVVDSPVQRVRTTAASTHGTGA VFDQNLDISMGTKYPHLAFLHWRIKSLSNSRPISTESGIAKVSNLKKGYRMLPLGVME EKGGFILCTVTKTAVAVTTFTRDCREARSDLTSINGELSQLQLVLELLRDDTAVSDGQ ILPESLQEQILSIIDNCSAVVSKINLVLDNHSGKVGALKWATIGKSEVVGLRMSLEAH RGSLNLALDLVSISLSKAIKSDTAAIRTDVHDIKQDTSQIPQIMDELNRLRAIVAGGD VPAATIGQNYILQQYLDDLTSYAETVCNDVEWETDSSVHALSRSSSPSGPVIQLETSA DARSQVASLPDTDTRVCDKGDKTYLQPTKIVSTLDTSKTTSQAKKKIVLVGDSACGKT ALCRRFLEGTFLATEFQTVFETYAAHIDGVDLSLWDTSGMDDNDRLRPLSYPDADAVL ICFSFDYPDSLENVVEKWIGEVMHFLPGVPCILVGLKKDLRYDEGNIKELKKYDQQPV TWDQGNDTAKRINAFRYVECSAKTGDGVKEVFDILVGPFFPAVKKRSNGLKSMFKRWS SRGNLAVAEITSSTTKEVHELWNSREVVRCMGSTPVAEFLSDIPIVRLALKTKRLRQT G FPSE_02259 MMGGKAPMAMAVMWSLVALTWIFVFLRLYTRAFIIKSVGLDDHT YWLSGIAAYHGFGQSMPGPDASNIAFDHAAYAIKNQMIGQTFAVIGMSIAKFSLGFFL LRIVVEKWQRIAIWFAMVTLSFFSGLCAIMFWLQCTPVTKIFDPIRVEGVCNISVTPY AVALGGKWPWFSAPVTNTDITVCCVIVDFFFATFPWIFIWKLNMKYNEKITIAGSMSL GFLAGICGIVRTYEVATGFTTNFLLDTVPLIIWSAAEMTLTLMCIGIPILCPLWRRVV RGSKISSEGYYRRHGEGYGEGFNLGSVLLGSDKANNRGFPNAPAKLGIRGPGTITRIA GDNYSDESILGSEYRRGRSSPVGGICVKQDIQIDWSDAKDIRQ FPSE_02258 MSKHNLYETPILESVRNIFSLVSPSVFLLLIVPASTLSLWTLAS YFTSPLKKYPGPFLAKFTRLWYMYQASTGDSHLVLERLHKRYGPIVRITPDIIDVDIP EIIKTIFNTKGDWLKTPFYHGSSALVNGHIVLNLFSQTDPVKHQKGRQPIAKLYSSAG VSTLEPHMNKVINQLCDELEKRFTGHNAGQVCNLGQWILFYAWDVVGAITFSQPIGYL KKGCDFDGTLKNADKAMDYFTVVGTMPFLDRIFDKNPVFHMGPPGFNTSAEISVKHLI DRYQGNDKENHDPAHPDFLDKFIQIKNSKPDEADDAQIISWLMVNMIAGADTTAITIR SVLYFSLKHPSVWKRLTEEILQAGFQQVPAYKDVKALPYTDAVCREALRMLPGVAMTM ERFVPKEGFVLPNGDFLPGGTIVGMNPYIVARNKSVYGEDADDFRPERWLCSGDETEE QYQSRLLAMNQADLSFGGGSRICIGKYIGLFQTYKVIAILLTRFEIELADPKKDWKVT NSWFPRQEGLEVRVRKRTGSRLPKSSY FPSE_02257 MASAPVPVFFELSWSILSTICMGNVLLGCLVCGITNWTLLAAVP MFSSAAGAIANGLCYVVYYQKHPPMVTAIASVFADIFWLLQEAGLLFYSYIILKRVLR GLRWRVFASLFWSGIAGIVMVRIVIITFRVRSILAENNDLLMTINHIHIGYFGLIALL ECLSAYFLMVLFTSAKASSAEIARSGGLFQYLARSTEMRVALLALQGVLRAVTHSFKT AGQTAENIATQLDRFFYAVFCLYSMVLYIDLLSSKLKFSAGSQDYPSSRDRYHQNSRH QSHFPSSQRDEFGQTRSRHTVVINGGKNKTRSDSTDQIIECNSSTGDASIKLDDMDIK GIVVSCCIGVVAQPETYLKRVKYDDNHQRSQVRS FPSE_02256 MSLKTPHEDQQQTTKWSNIFLDFSQSSSFTDIKSEISFKAYRAD MSSPKSHKSQSSRGRQSPIGLDQIAAEQPAIEVGPKFALIPGRTGITDTGSLRSSILS YKWENGRRYHAYQDGSYWAPNDDRQQEAEDLMWVTSFVRCTALTLIDRHEVYKIILNG ELHEAPIKDNPQRVLDLGCGTGIWAIEFADANPSADVIGVDLSPIQPKFVPPNCRFEV DDITQEWTYPDNHFDFIHVRAMIGSIPDWTGLYKKAYAHMKPGGWLESVELWGNAKSD DNTLKPDSPCHKWVEVFKEIEKITGKSFFWDKMAQSISDAGFINVKGRRIKLPVGTWP KDKTLKQWGAWNRHFLLQGLEGFSIRGLTELLGWKVEDVQLFLADMRKELTNPDLHSY LEVTKQLYTTIDNDCSILAMYPFNSKKYFHATFDRRADVQNSEPEAQDYHLLSSRYLV TFSPYFMCLELTARSANNDIRADGGILANLMTRSGLYETWKTGGRIVLDDNPEPSFKW TFDQQEW FPSE_02255 MDEIRYIKTVYRKRGSGSGHMYSLMRFLDNTGDKYVWRVSRNDE VLMISERLGEDMHMTRQEYDMKRAVEASGLSEHFDALFSATATDPISIPYVNPEKHLE HKDYYQHTITNTDTSTITNTNTSTFNTKEFSMGNKEHVVVVMTRKSNESSSNDGEIKK LDKSYEKKGVVIAITPTQLQLVFKNGPNKAVEAEAAPNLYKRMHDKKLIGAWKFVYKL FPSE_02254 MSGIQNNFQPVMAEDTTGPQINGQRRRSGPLKDIVAQQDSLYKY ISWEDPARTIGSYFAALGFLLAVHHLRLTQLALKTSAIGLGVMSVAEFAGRSFGPNNF VSRMRPKQYKTFPESTLNATLKDIHDFIQYAAVKAQKVIFAEDLEKTFSAFLIATSLY FLTQFMTPFGITILALTTVYIIPLVRSPQGRGIARDGIARAQEIGNVAVDQASTMAQD SKAAVSNMASRAQDTASNLTSSAQDTAGTLRQRAVNMVPANMVPGVMKGNTASDITSS VPHSSSEPTQARDVSSKYPKDGMSFNESKEMASKAAHDMSHSFEKSPKSSFNTSDKPS YSSSDKPNFGTPVNPSFNTTDKPSFSSFDNASHAPGVSQSAVQGFPEPSKDSFPSHGP SDDFGAVTSNKSQAPSSLTSNISNVPSFTATGSNMSSNQAPAGKRPSIDDGDYAIQNK RDQGSDYQRFVPREAPNRGNLGTGIDTSMGKMPLGDVIDQKNEAGDKAPPLSAGGINA MR FPSE_02253 MTPTLSKVLFGLPAFAVSAFAEPISKCSADCISIPNAIVDSVSH HTLGEAIPLPNTVPSCGGPSLKVNITSSLCRVVVNVTTSASSSTRIEAWLPDDWNSRL LATGTGGIGGCIDYRFVQNGAQLGFASFGTNTGHDGEQGFDFFLNQPEVINDFGHRGI HVEAQVAKQIVEQYYGKKASKSYYQGCSTGGRQGLQNAQLYPEDFDGILAGAPGIDWL HIVASKGILARRIGWPDLESDAYVRPEQWQAIVAKQIEMFDPLDGVKDGVIDNPAAHA FDPAIMACGTDVLNSSLCLKPRQVASVRAAYEPLADSEGRIVYPGFNIGSDTSVFSAN QVNGTADLAYKVLQDFWRGAVYNDSSWTPHNFTVKDMDFAVKTNPGGVNAAEGKLDKF YAKGGKIISYHGQADQTITPKLIAEYYAKVQSNLNATLEDMHSFYRHFFIPGMYHCSG GPGAFDVGQAYPMDEDRLNAKENVLLALTKWVEDGEEPATLVGAKYDTAGKATAYRKY CPYPYESKWDGSGNTTKADSWDCVMPGA FPSE_02252 MSYNNIYSRNPLFMGPPRTLTTHPGQTQSVHTQGLGFPAQPQVQ PAYYPGMAFAPPAGQFQSPPPPPPAGLYQPQVQPNYHLDFNFDLQPVDIQAGHMHAGQ AQPAYSSGLAYPVQPGQAQACAPAAAPLDQPHSSSRITDGDGPPSDDAVRRMARQLQR FYESPKTLGNKDLVSEDDIKLAKSLDPRTSTYDGGRWPAHWMRVDGRESFIEELGAFR IFGSGLTVPLVDPELGQGPAPVAPADHPHNDRGVAANAIIIPDDEEMANDMLAYGQEM PDLPAEILFDEDYMPAKTFVNGVDDEMPAEDEEMADAGEVAGNGEMTDDIVGSDENLA APAPAEDFAEEVLDEQDLFLKAALLKALGRTE FPSE_02251 MTKFTSRYSNEIQHMVYVAGETQDVSAETLTLVEQIVHQQIHHL LSAASELAARRRKRVISIYDIIFQIRHDTARVARIQKLLRWRAIRREAKKSNKEADGD VDIDEDDLSEDPLESPPNEEAATKKTETPATILPWDIESFFSVVPPGGDTNESLLDDP SDVSLKTLRWADEITKNMSKKEYDRWFTHRKASFTTRKPKRFREWAGIGVVFQVLKKD DTLEIIGFLAAEMVKRLTDIALTIQAQDLTTHRKQNGQSAAALGTRRYGMFVPIDTGR PPIDIVHIRRAFDETQMKPKRKRVRLSRIPGKRTLELI FPSE_02250 MAQQETGRVVGDLACQSDSYLRSIETKIISCEKSAQKSKKQAQP EWLIECSNSVLFPEGGGQPCDHGTITPLSGEDLALIPITNVQREGLRCVIHSPKPIAP GTEVRQEIDWGRRWDHMQQHTGQHLLSAVMMKNHELKTLGWGMGTDGGFNYVDLQRKP TDEEMQAIQMECAEKIRDNLSIKVDTPDDANHNKLPGDYDKCCGTHLSQTSHISLIIL GSTQSVHGKNCRLSFIAGDRAINLASSSVSAINTIAKMMSSSSNPTEVVTRATALSDS VTDLKRAERKLLLEVAKFESEHAIRSIVQNKMNAYIHRYEGSTDFINKIVVETRDFLE GTGFVVVIAIGEPKGSGPVVIVGDKVAVDAMAKKVKSVVKDIKGGGTGGKWQGKVKEW TNAELVALKDVVES FPSE_02249 MEPIIFRPSLCETILSDPGNPQTDSPLFSIVPAEVRDKIFSYAL TDHPDPRPHMQYSKQTYYTRPSCEADQSTDTRLLRTCRAVYRETCFKPFYLREHMRWA TAPDRAPPGRSGFFDLRHMLSRIVTQLPVQDKLEIERLRVYVQMYKLEEGVVSCIVPS LLAPRIHTLTFRHTDWWFWEDDEPLRFAGDWIEDVGRSIHWCTKQFCIELESLERKKD QVDKIADHMVKKWYFKRLDGPVLYADASGGGRKESRWSGDSTWDNKRWVRDETEPGRI DYYIVSVTFRSRIDIERSGGTVDRDVIEASQKDWFDPDELKIHLKDESRIEDPSPFEY VGEPPDDTDDSDSEFSDGEIARLHQRWYDSFVAGQE FPSE_02248 MATSTTHHQESGKETSEFAHVNSVLEDEKPTQMDEERDWTGTAK KTDPEEIRLVKKLDLWIMPCLCLMYFLNYVDRNAIAQARLNNLEEDLNMTGTEFNTTV SILFVGYVLMQVPSNMLITKVKPGMYMSCWMLVWAAVSACTALVKSYAGLVVCRFFLG ITEAPFYPGATYMLSIFYTRKEVAARIAVLYCAQILATGFSGLIAAGIFAGMDGLAGL AGWRWLFIVEGAVTALVAVIGFFMLPNTPTTTWWLNDREKQLAHDRMEKDKVSDSEEG GSSWEGLKQACKDKRTWLFCLMQNFHLSACSFNSFFPTVVKTLGFNTTITLVMTCPPF IFAGAAGIFFGWNSGRMHERTWHITAGLSVAVVGFALAAATLNTAARYVACFIFPMGA YAVNSVIIGWASSTLSQTKEKKAVVLAMTNVGGQIGYIYGAYLWPKSDSPRYGIGFGA SAGFALCSIACAWAIRILLVRENRRIRASTSEHVNLYGY FPSE_02247 MRAISSSLHLCARRPATLGSRLATPVTGLLQKQWTSNQCCSKKN SPKTPAPVSIASTEFWSSRAVWKRASVNTTRCLIGCTVGDFSAMWMLQAYYPELSMGI VMPISMASGISTSILLETVMLRVGRDGLTWLTAVKTAIGMSLISMLTMEAAENAVDYY LTGGRVALDDPSFWIAALVSIAAGFLAPLPYNYARLRKYGKACH FPSE_02246 MCNNLFPVQPLRSGWLYNNWMYALAGKIIEEVTKCSFGTVLASH VLDKLELKNTSLISSSIPSGLTAHPYLVFDDKTLMRSPDLGMTDEDIMSSAGGVRSNI PDMLTWGNALLSPFRNDNDGPLNYIDAAMYGHSFMNQSFKSDEAYGLGFAKVDLPAQF GKIGFNPGLVEKGMPVLNPGLAHQVFYHNGAITGYNNCFMLIPELDAVIIVLTNSISQ SDVADWVAQTLLQAVIDSPSPVDMRPLARRAAAKWRAQYKTMVDSLEDARTPHTQEPK RCSLTGKYWHPTRALYLEVFEDDKVLSFSINGKPSQSHVLSHYNYDTYMFLPSADDRV RRGLFHYGVQSWLLHFKENADGRSDSLVWNLDAKAVEGEVFTKDPQ FPSE_02245 MPNPMSIFGFGFGFASVAAAIQIPMFSSHSPTTSIHDRINHVLP ALEDIMEIGGTAGVSIGVMAEGQVLIERHLGYADVEARKRADSGTRYPLGSLTKAFVA TTVAKLVDDGLLKWDDPITTYIPGLSLKSDDTLATD FPSE_02244 MEPQSDTKITHLLFFKSLSGKTHTLNISISEGEDPEAFALSLAK AFKLHFNGFKRVLYRGILLKKPVVSLVKFSQASITDTHPIDGGENRIFIQEEVLNHTL TAALRKPSILRGVERGRFYQGYSHTIVGTDGTVPEAAILIHFVTDPSALTMVRIIATV ISVGIGLGGDFGLSL FPSE_02243 MPCLTLQLSDGHEENPPLLDVFLRVRTVAERCIDVGADRWSEAQ FERIAVERVNEFTDRFNGRSHCHERTIEELIGKPRSIAIHPAASPKTTQGSPKSTQQL VRRSAARASSIDPCPSLESDHSSVDNGPTTQPQNMSSVNSEAQVPQPAAADPPIFSVI RQVLAHDSLYAEDVITGIRNLPANRRSILILHEDECIDGPSSRPQKASNKPSKKRQRS KENSTAGGGTGGNQQGNEEGAGGAGQDGADETGDGESNGNSPPKKKRNKERNQDKIRR WICPYRLAYPEIHDNRDFSYCSANMTAVHKWRNHLFDHHSQEAKSKDTDGEAHARFYM SNGQKDNVQETVAKYGEHRPRPPPEWLAYCKKLFIDVWLILFPKDQFPHFNEPLSPFY ADDDEIPDLCQYLVQKVGILIEPLLQARAEQAVRDKAIASTTDFVLSAEGMKAVMSET IAIALRSSPAATGATQWAVRATSEMLQNAAGQIRDENRGESQGEGRGKGRGDGDGDGE GEGDGEDEDEDESDNHGSSTPSDSATAPRTPGPTEDTVPKEATPQPTIHVRLFPEGTR VTIDLVPDTPLDSETRSIQLSHPSIVYVAKMSQSRIPSASAPAPVPSTPPVMDDFGAE LMNPMDWFIT FPSE_02242 MCLARIDTANGSYQCTSSFEKKVFIPVIVWLSDIMPVCRNRGQF LELLSWDNRGHPHSIIVDMRFSNSALASAVNAAKMQSIQKSCEICGIFDKLFVEKDVK RNLSRERAIEKRCAVHTPLLNWVENKYVERNQLEREVGYKSRNYEASGFHFARKKGDI PSVAVTDYKGRPQGPFQIIQHQLKTQDNTQLDDHTSTMNPDWIDLGIARSWMDKCIAE HGSECQGTLNLQTVSPAWLIDTQKDCLVPGTTSKEYIALSYRWGPSAAPQMDNETFKQ MGVPGGLSKQRSFLTPTVRDAIHTVRELGERYLWVDAICLPPDDIEQVSEQLQLMGSI YASAKLTIVALDGDANTGFQGLEGQSSPRKLSNLFHWRKDTNLLLRDQPKLSAMDVQA SEYFTRGWTYQEYMLSQRRLIFGNQQIHWKCSCAEWHEDLPTLQEEQRGKSVRPKSLG FPNIKRGLSDVREFGILINEYNARQLKYPEDAYPAADGLLNYLGKLAFPGGFLFGLPR IYFGIALMWSIEFGMANPNRPQRPGLARRMPSERSHSILPNTHLPSWSWVGWSGYGVH MLDREAQFRWADLLLAETGWSPVQLIKTSSVTIPITEWFSHSSKGFNITQALPHSATV YEEGSEISYDKSVWTRKEFDPVQHGIKAEGFNPPFGFSGKHIYEHINLPSKYFWWPLP PSSSTPLDEPDNTSYSPLISCQAKRAWFGAAKMVRWSRDVNMDAHLRLLDKKGKLCGW LQLPNDDEIDRFPEPVVEEGMGKHVDTLGLEGVFLNPSPARAQSLELVAICRCKFADI ETIDDKIQYKEFYGVLWVEWVDGIAYRKGCGYVKKELWEEQDLEDVHLILG FPSE_02241 MAAYLDPINRKFADAAASGPPLYTKTYEEAREVLESIQSYKLAS DIKSEEVQIPVKGENVTAVIFRPANAQGTLNMIFYTHGGGWILGSPTTHGALMEDLAR QTGAAVVFPYYTPAPEAQYPVQFEQVYGVLEHFVTNGDKYNIKAKQFGLAGDSVGGHM AIAMTQLAQSRSLASKIGQIVLFYPVTDTNSKSETYTTFKDGPYLSEKTMDWMIPAFL PNQEDRKLPLTSPLQFAPDEVLSRFPPTTIFVSGADPLIGEGEAFGHRLQGLGVDASV IKADGQVHDFVMLAPIRESPTARAVVELAALKLLKAFSDN FPSE_02240 MSAANVPNVETKTPEKDLDRVSLSQEIAVDARNGIQLPVGFEAL DPAFPVIELLQSSNIDASPTSTPKRTPHFQSPFSWSHKKKITILSGAFMASTLASYSS GAYAMASEPLRNEWNINDTQFNAGITLFVTGFAITPMVLAPVSEVHGRYWVFVGSGIV FFLGSLGCAVTESFAGMMVARLITGNGAAVFATLTGGVVSDLYRKEDRNTPMALYSLS IMIGTGLGPLVSGAIVDRLGWRWIFYLQLITIGTATTIIFFLFQETRSNVLLRRRCIA LNNMQFKTSDGTPVNFCPSVEENLHVDISLIWRSLAFPLRLLATESILFWFSLWVSFA WAILYMQFSSIGLVFRGVYVFDNTQVGAVYAAIVVGSILSIVMAVIQEPITRWIFPHK TLSSTPEQRLLSPCIQSILLPIGLFWFFMSARSDVHWISPTLAIGSCTMGIYSIYLAV FNYLADTYHGYASSALAAQSLCRNLIGGIFPLLTARMITSLTLKGTGGLLGGLGLLLT TIPWLLYFYGRGIRARSPFAKEME FPSE_02239 MIFHTFAVTVVAVALAGSVKASPCKPASRSTAIHLGTSSIETTT IESLPTTIEALSSVTTALSNEASRSEAAISSTTLTSETSNTVDDTTATISSTIEQTTQ DTTTTVNDLSSETSAATDTLTTVEVSVTTTAYTTTDQSAIETATSSSAPHCTFTGEYT NYVQNPSFDNKVNGNWVTTSPWVFLQTPWLAPNSGRDGSNAIAIKYPDGAWSSSILQS LEGVVAGRDYIVRYYWSLQGHPLQSDECRIGASAGPDGQSVHFVYTDGEEPVQQGQFY MQEFRITAEQDDQRLSIGFFCKAGYKGDEVRVNIDDVAVYDYYEGCDSPDVAGAKYNR FPSE_02238 MGIKTDITLYTEGTPNGLKISIALEELGLDYKVVTLDFSKHEQK EPWFQDINPNGRIPAITDKDESGNEVKIFESGAILEYLVARYDENHKISYPYKSKEHW ETTSWLMWQVSGLGPMQGQANHFTRYAPEKLKYPIDRYISESNRLYRTLDRQLAKNGT GYIVGDKLTVADISVWPWVAAHNFSGLPDVMKYIHIKKWFDNLLERPGFEAGRNVPRP HFHITLNDLPEEELGKFAEPGIKWQNEAREREAAL FPSE_02237 MAVLRWGVLGTSFISDLVAKAILSSKNSTISAVFGRNEQRLATF ANNHNIAERYTSMDELLDKAPVDVVYVGLPNHMHSSAVLAATKRGKAILSEKSLAATM EDAKTIEKAVKDANVFFLEGLMYLTHPVMKKMQQLLLEDRIGTVRSVEGHYSMNVSKI VNPYNMGTIYNLGCYPVSLLQFVIETAFGKDAFAARQSHGVGNVVDEKTVHVRDAALT VRFNNGVLATLQSSDSHGGDNSFTILGDKGKLSFVTNPWFPLPGDNIIEVKDYDGEAE KIVVPGEIDVFGYQVKTVEDCLSRGVKEAERPSPNIEKSVEIMGMLTEWENSIQSQHK FPSE_02236 MWKFVVTGMLAMASAVSAAYPNPGPVTGATRVHDPTVVKMPQGG YLMAHTGDYVALKTSTDRTAWKEAGAAFPNGAPWTTQYTKGEKNLWAPDISYHNGQYY MYYSASSFGQRTSAIFLATSKTGASGSWTNQGVVIESNNNNDYNAIDGNLFVDGDGKW WLSFGSFWSGIKMIQLDPKTGKRTGSAFYSLAKRDSSVGGAVEAPFITKKGNFYYLWV SFDKCCQGAASTYRVMVGRSSSITGPFVDKAGKQMMQGGGTEIMASHGNIHGPGHNAV FTDVDADVLVYHYYDNAGTALLGINLLRYDNGWPVAY FPSE_02235 MRFFSIPSQALVAFLALGSVSARVLTKRDSPVLPGLWADPNIAI VNNTYYLFVTSDGFEGWGGNSFYWWKSPDLVSWTKGEKPFLVLDGKNGNVPWATGNAW APGFAARGGKYYFYHSGNNPSVSDGHKSIGVAVADHPEGPWKAQSKPMIKGTSDEPIV SNQAIDPAAFQDPKTGKWYIYWGNGVPVVAELNDDMVSLKSGTWKRITGLQDFREGLF VNYRKGTYHLTYSIDDTGSVNYRVGYATADNPLGPWTYRGVILKKDESKGILGTGHNS VINIPGTDEWYIAYHRFHIPDGGGFRRETTIDVLPIKKDTGLFGEVTPTLESVKPRPL R FPSE_02234 MFLCNVCEAIPWDNLPPTPTEEILIVSSDNAYLQEFWEWPRDCI GYSHYQSLEALNSSAKDLGCGLCRLIYKQVELCRLKLEELQSRREDSEFKHAWPLWEF WITKRPDGDGIWVLSYTDSDKEGLVRLLAAIGISVRDEDPLSSVITGRPVEHDGESPT AISRAIGWTDECNRHVACCPHNTLLPSRVIDVGDNRSSPYVRLWETGGIETGAYIALS YCWGKSPQYTTTKSTLDYRKRQIPISDLPQMHQDAIKLTREFGIRYLWIDSMCICQDD YDDWERESARMLSVYANAYLTINASNGKDSSVGLFAKVSTREYFEFEQTYQGVSGHAL ACAVPFEEAMQHVYIQLKDDPVSHRGWTLQERVLSRRTLFYSGGQMMFECNHGFRKED GWAIDERFPSISSRPQTGSNGQTKDKDLSVSTSELLRSWYQLLATYGGRKLTKASDKL PAVSGLASIMSERLNDEYVAGHWRSLLITELPWQPGDCKRVPEYRAPSWSWASVDGGI GIRLKGSGYSPLAEVLDVKIKLKGTNPYGEVTEGRLLIQGPMQRLYLSTDGWDPDRPR LSSEGSPVLCTTSANLETRPQYDFDAFADDRPQQERRFVKGLNGLELYALILLSCSHG EDHYIALMIVREPGSDEYRRVGILTLTDETLGWKPGEQPKDERPFITLI FPSE_02233 MEEIERLRSPSFSSQPVFPSRHQLDYVRSLLHPVSSEIGLRNSE RDVVENAVQKLMEATHNDSSLRSHLGLNGTVTFESHTNLGITDDSLSESTGSRPPSRT TRRKARGKGNRGDQFCIHRTSDDQNIPVVAIEYKAPHKLRRDEIVTGLVSEIQPDRDV INQEGEGYEFADATFYYRRIRFGYIDTGEAYVFLHIPDDPSCVYYSVCVPSLDVQDDD ENRLHRTAVAQAFAFVLQAIRSPPPCQAWYDAAEHLDTWTVEYEDVLIACRSIYLDHG DPMATHLWRKDHLEDLQGNFVPVCLGVVHLIKPYYFDSGVYEDFMFLSYGGRPVLKEL RDVNADIANEILTALARLHQHGVLHRDAEPRNVLYDKRTGRYMIVDLMLARFHTRQPL GPVNVNVNSRNRKRKWAPGKHKTDVFAAEAQSLRASLTK FPSE_02232 MELDPNIVAIFGPPPKDLDLSRHQITTYNIVTCIILGIAFLVVA LRFYVRNMKSAQLEMDDWAVLVSLICAAATVTMTILAGEHGSGAHVWSIKITTLVQVF KLVYAEPFVYALAVTSVKISILLLYRRLFPLGIDKSRAYTIMFYIATFLTTCYPFILW ITMAFACKPISFFWNQYIGAKGKCIDVKLFFLVLGIMNMVNDIIILSVPIPRIWTLQM NNKTKVSVICIMLLGSFVCVASIARIYYLWGFFQNLDATWWMGPSFAWSSLEPSVAII SACLPTLAPLFRLGRMNATSRGTPSGGNELSKSGSKHFQLFSVNRTKGTGFQSDDEVE LTYDVGRGGTSDSAPWNNRENKESSAEQGIVVSTQVSVTRHERKSLNDRV FPSE_02231 MAAVPSQISVVDQPQEVSLKTTMTEQVTEVVDLAPPLLPTEWIG PMGWVRLVYHFELPQSYDAEELTTIFKKSYTAFKDRVPIAGCEFVPTPTYLEDGKLQL RHYGDEIEHDFKVKDLRNEDFPSFEELKTKGFPASALDTNVVCQRGLGGEWPAAGVDR LNTTMLQLNFVKGGLLLNMLFLHAAVDGACMYKFTELLAEEVRKAQGIPIIEPAEVPM ADRAKIAKSSGAKPGNPEDHAEYLEIPFTPTGPPEKLAMPIAHGNVFYFSPEKIEALK ELASPSNAKHFKSNEDNAPFISTNDAITALVWRCTMSAQHKHKEGETKPTGPSMLGLA LDARRRYAGQDVHKHTIGNILGFAPAIMDIDTLMNEDEVTLADIALIVRGAVNKSKDS YLDSITARVERVDNVSRLIPTIFLDMPGNHALLSSWREFPFYDIKWGAALGDTILAVR PPKAGVTHSMHVLLPQRPAAGPGIEVFVNTENNAMETLLNDPLWRQYAEGPESI FPSE_02230 MSLTFSALAQHEQYMASAYMSPPSTPELDDDTSSECSYYSLPDL QYDRWYRNIPASQVREQASQVPCLPAPPRAAYPRKFHNKERLGLQSRGPWTEYPFCLN KRYTHGNPGPARLIVNPTNPSEVDVVYHPEQTNRRVCLANYRPRGYSKGACLKPSPFN PLPANNTFGVPEGMAYRGQEVYQGVGAYYHPQTVPLSPEAAMFSPVYTGSASPVPAWG YGEAGYYAHAYGNQFFY FPSE_02229 MAYLPIYTHWISKDVVSNQSRLEAACEAIFPRDGSGKRTCELIV DPAERPGYVKVNSLSREPSNLMVELRARGGDNGLEPEIKVEPQA FPSE_02228 MALLSIVNVALLGVAYFVACAVWQVVKYRFLHPLAKFPGNFWGS VTRLWITYHNVEADECETFQELHKKHGPIIRITPTMLLVSDATELPKIYNRHANKSKH YITGSFGKDESLFNMQDSVMHAKYRKVAAPLYSLTNIKKMEPLIDNNMSAWMSRLQRD FAATNKPFDFAPHSVYLAYDVISEVGFGAPFGFVKEGKDVEGLIKGFHDGLTPFGIMA RLYPFTNWVKSTFLGKYMVASPEQDSGIGILMRFRDRLIEQRFKDIENGSTGGRIDLL QTFIEARDEDDKPLDINYIKAEILLVLLAGADTTGTAFQAMMVHILTNPQVYKKLLAE IDEATAAGNLSEMPQYDEVVEHLPYYIACVKEAMRLTPSAPNIFPRIVPPGGLEICGH FVPEGTEVTCNPWLVHRDPNIYGDDAEVFKPERWLDADKAKIYNKYSMGFGYGPRVCL GQDIARMELYKGPLLFLRSFNVEWVDETKRGKYVINGGVSYFEDMNIKISRRDSAA FPSE_02227 MESRGLTVNSVAIVFAVISFLAVVLRLWARAFIVRSVGKDDYLI CVGALLSWSFIACTIASVNHGLGSHFEDVNKLGIDNLIAYSQIVWLSSIFYNACLGFI KISVLALYTRLGDKVLRKAAFFMMVVVGCQAGGNVLACIFQCTPISGAFDVTIPASEK KCVNINAFYLANAAVNIFTDILTYSLPIPLIIRLRVPRRQKISLAIILGLGLFACISS IIRITYIPPMLTSTDPTWVISNAMYWSVIETNIGILAASIPSYKAIAKKYAPRLLGST GISSNKLSGFKQMPVELRGNSVPGNGAPKSNFETNIKNGLDSNSSEEVLVMPSGQIGV KTDIVFRYEQNLEDGQGHGGRI FPSE_02226 MTTRRSLRIAGEPPAAGSNQGYADPPRLGKRKIRGSDDEEDPKA KKKAPINKAESEKVQDQTKIPDLVNDEQQLVPPAPKDALSSLSTEIQLQILANMDPKR LISFACTSKHNYALVMPMVNKNIAIHADRSKLVCKVISRLEPLLSITQQRKLWKKGRS KAQRPKFHRLLDPNVIPLGAQYVRQMTVGVIRPAVKYRPNVMRKLEKVLKNLSNLEVL DTTELSPSIVSSIVALKSLKALRIQYHYAGSTRKCQNIARLSQLRDLKHLSISTRQCL CITPVRRDETLQSIILNSSSTLESLEVYPLRWDSNIHADREDQVPVRNEDLIDDGFSA LKSLILYGQSAGPMNRTISQRNDGTYFSSIANKSLEKSFGSAERRDIQLRQLTLDMYP YQQDRDAYETEMNAMYRFIASFDILTSLEIYDHNRFPGCRHSNPGLSPRLKKAIIVHS RLESLRLRYSQTGEEVPLFSAPVIETFTKNLPLLRVLEVSVGDNDIVGMAQAFSYARN LEYLTCGYPGTQIHDKDMFARMFCGRLMVAFLGLAEDNKEFVWEKTYRLKQISVENYH ASVGSELKPGITMFRRISSDDKSVCVRDNYIPKPREWEGPSEWACQIMKSV FPSE_02225 MADITASSPKQSPRSPTSQKSNASREVVEAAGLHDADHWANLAQ EPHDEDTDSSLGSDAGSSTASMNSSILNYRTIKGRTYHSERGNAEYWASNDDQQNEAI DIIHHYLLLALDGKLHLAPVGDDAKSVLDVGTGTGIWAIDFADEHPNAEQVIGTDISP IQPSWVPPNVKFEIEDCTQPWTFSPNTFDFIHMRYLYGSIGDWGALFQEAYRACKPGG WVESFEASPRLESDDGSVQEGSAMGEWGKFFIEGGRKMGRTFTIIDDDLQKLGMEEAG FVDIVTWDYKAPVGAWPKDPKLQEVGKFGHAAMDQDLEGFVLYMASFVLGWSKEQVTV YCSQLRRELRNLRHHPYCRLRIVYGRKPE FPSE_02224 MKLFNLFAIVLASNTVNCQNKDSSLTIKTATGSFTGIIDPSFPR TRQWRSIPFAEPPVSSLRWLPPQKLPPDSKQHRYSAKFPPSCPQFVSGVESYWNSPLT RGNLIYNGAQNDTSGLVGEATSEDCLYLAIWSPKSEPPEGGFPVAFFMTGGGFVQGGV DLPWQNPESWVERSQLHIVVSINYRVDIFGFPNARGLVDGEQNLGILDQRAALEWVHE NIAAFGGNPERITQWGRSAGGMSADIHSFAWYEDPIAQAYYAESGVALNIDGALEPLN DLTYSNFSFVARHVGCGAPCGDSCEDEDGLAELDCMRQVSMAQIVNFVGQYGDKGETP PLTFSLMFDERVIFSDYHARAEAGKIARRPVMLSMTSNEYSSLMPWPPKNLTEGPDQD VVNSATIPFSVCSLLNTTTYRNKLDIPVFRFQYAAEFPNLNTYPWLGAYHNSETPLLF GTYGLLDHIANTTQYEVDVSRSMQDHVLAFFEDPYNGPQKLEWEPMVTSEKDGGFMLR FGGSSGKVVEGIRGVDVDGVCSGVGEYEPFP FPSE_02223 MAPNMVQRLYALTALVSTAAAQSGRIQTGLQYGENWAPTIKDSE LVSANFPDVNITLRSPAFLNPEKVPARFAEGTEGPTDLNELDYFIRNLAKKHDWMTYE SAAFQSEEGRAIPYVFLSLPNTNSSSNKLRVYLQAAIHGNEPAADESVLAFLGKMDAE PEWAASILEKMDIKILPRYNVDGVAYFQRQLASNLDPNREHLKLMRGQSREIKKLVGE WNPHMALDMHEFTVPTIYGGNYQHGSDALLSGGINPNIHPAIREQLLDFFIPAVGEEL ESHGLRWEPYVTGASNRTKGSRIAFTEAVTEARTGRNAVGLTQTISFLLEMRGIRIAN QHFQRRVATALIKIQTILELARDNADKVKSIVENAREEFINSDEDIVITDSYVPEKRT FTMIDQRNGSVVQVPIDFKRTTPSVANLTRARPEAYIIPRTWVDVAERLEILGLKVEK LDYEFRQTLETLVIESSVVKPKLYEGTYLNTVTTNTTTREVVLPVGSFYVSTRQQNAA LAFIALEPENIDSYVKFNIIPVQAGMEYPIFRIPRK FPSE_02222 MRITFVQALFFNLGLSMSLDSVSPRTKPDIGPFKNPHLTAFLQI DLAVGRETNITGPDTQWGAAPNVQGGKVSGAFEANILPLGTSYERYVKNEQGENSFYY NRYILKTADNETISLEVDTIVNYRNNALHGFGFGKFVTAIPHLLYLNYNVYLIEVTGD FETGKAASQLFALKSGGRQDGEPIRALLPIG FPSE_02221 MADFKAYLDELEQDFLIDTAKLKHVTNHFVQELEKGLSIKGGSI PMNPTWVTNYPDGNEKGKYLVLDMGGTNLRVYSIQLTSEKGGFKVKQESHKLPEELKT ATADELWDFVAGCLESFLQSADFDLSTETDLSFIFSFPTTQRTIDEGILQRWTKGFNI SNTEGKDAAESLRQAIKKKNLPLKVCVVTNDTTATMMASAYLNPDTKIGCVFGTGCNG AYFEKVGAIPKLANDNLDGESFMAINCEWGAFDNEHAVLPLTSYDIAIDEDSPRKGQQ AFEKMVAGLYLGELFRRIILDIHQRDPHTFLEGQNMERLIDTYCLDSSFLSAIEEDAS DGLREAYETCVSSLGISPTLAELQFMKAVATLITTRAARLSATGVAAICQKRDLQQCH VGVEGSLFEKHPHFQLELSKAVGEILAPVAEPDSRKSNIEFMLSPGSGVGAAVIASTL KKR FPSE_02220 MSTTTAVQLEPLGNGTSYEASSSNLRPRGGHAEAASSHQNDSDD PALEASRIADSTVPDGGYGWVVIGACAMVAWWVIGLSYTWGVYQRALVQRGVGSPLAL SFCGSISPALMATVGMLVSRMIRSFGTRILSCVGISLMGLSFVFASFVTGHLVGLIFL PGVPLGLGMSGCFMSFSVVPAQYFIKRRGIANGIVYAGGGFGGAIMSIATDSLIEKYS VEWAFRITGLLILGTGLPAAYLIKERTPLARAGIVDWNLFRQLNFAILFFAAGIGIFP LLVPPYFLPLYSSSMGLSTGTGAGLLAGFSFASAVGRIISGYLCDILGPINTLWVFFL GNSITMLALWPASTSLAPLAVFAVLNGLMNGGFFSSMPTVVSNVFGSARVSTAMAMMI VGWVLGYLLGSPIAGFLLERHGGGADGGLSDYRPAMYFAGSLSSIATVLTAVLRWRIS RKVFDKV FPSE_02219 MTSLQYPSVLTQTFHYETSTHVYDVKWGMLGDEALPPLIFIHGT PWSSRVWIDFALALSRRFCVYLFDRPGFGESPLELLKQGLLPSIHPIEQFDSNLARQT EVFAALYQEWESGWSYPTAHVVAHDNGGLVSLRGYLLHELSYESLCLIDVVAIGPFGQ PLFKSMAENPHIFEQLPNTVFEGILESYIRDAAFHELPSETMQMLKEPWTREGGKQGF IRELCQANWRSTEEVEGRYGEVGSKLRVKIIWGADDKWLPVEAAYRLGNALKAVEIVV IDKAGHLSMIDQSAQMGIELATWLNEASHEQLS FPSE_02218 MVSAWSKIAMAASLAASVTAQTYTSCNPMKKSCDPDVGLAASSY MVDFTKGADDDNWEGTGHGDVKYTSDGAEFTIDKQGQSPTIQTKWHMFFGRLEVHLKA APGQGIVSSIVFLSDVLDEIDWEFLGGRPNEAQSNWYAKSSSDNTQSLTFPVDNAQAD FHNYTVHWTQESIEWYIDSTKVRTLAYPSGGVNYPQTPMRIKLGIWAGGDTKQNKEGT IEWAGGPTDFSKAPFTMTVQKVMVQNLNPSDSYSYGDQTGSYKSIKFDKKDNGSDDED KDEDKDDTESTSASATASKTDSKTTESATETATATDSDSDSTTMSTAAKSSETGSSDF KNNKAEETSGSETGTSTGSSASSTASSDESSAAGNMPNMWLSLGVLCGAMLLL FPSE_02217 MTQSKYDIVALRAQFPALQQKQVFLDNAGGSQILGAAADSIRDY LITSNVQMGGSYDASQIAAKKVNKGYEAGSRYINALPDEIVYGASSTQLLRNLALGLT FAEGDEIIVSTLDHESNISPWLDLAERQGLGIKFWNPEGQGNNPKLTVETLKPLLSNR TKLLAFTHTTNLLGSIHDVKAITAAAHEYPDVLVCVDGVAYAPHRSVDVKDLGVDFYC LSWYKVFGPHISMLYASREAQKQLRPLCHYFNPTQTLSDKASFAAGSYEFIASIPTVV DHISEHGWEGSVKQETQIQTMLLDYLSKRQDITIYGEPSSDAESRVPIVSFTVEGWNS RSVVAAIEANSNIGLKHGHFYSHRLIKEVLDLDATDGVVRVSMAHYNTREEIETVIST LEDVIVKRS FPSE_02216 MINRKIPLASYLFTRLKQAGTRRIYGVPGDFTLRALDHVPRCGL QFVGCCNELNAGYAADGYARAQRHRLQSGLGALITTYGVGELSAANAVAGSYAEHLPV VHIVGTPSQKSRQVSTTGIGGRRPHLHIHHTLADSRIGVFREIAEKFTVAQLDLAGVD AEDVPTKVDDVLAKALYHSRPVYVELPSDAVETVVSSTPLERPIDERRATNDESSHLK TREMTDDLLQRICAAKRPLILVDRGDSIETLRPDINEFVQKSGIPTLSLPSGASMVDN SLPNYFGVYSGSIGIVDLTSAVKSADLVLAFGCQFSDTQTLGWGVVPERDNMIVIGRN HIEDHQTDTGGVLRMMTTNIEKRSLAEQDTSSWGNFRHLPSQTLEPASHINQDEFYIH LNKHLKDNDTILLGNATPIIGGRDFVLPPSSQLIASGMWFSIGHMLPAAMGISQAKAD QGSSGRTILLDGDGSFQMTAQELSTIIHKRVNMVVFIINNSGYTYERYIHGMDEEYND VAPWNYSLGPELFGSAPDGYPIQSCRVKTWKELDNVLNSEGFSTGKGLTLVDIAIDKY DISERAKSLFELVNKQL FPSE_02215 MQVGQENFPKRVKVKHDGVFHPKESSLGKFVVGVWEQIHSGLIL EPHVLTEQVQLTSCSSGNSPSVDLVSTAPSIRPDQTWESFSRSNIFCQRVTQASRTCR SIEVIVQARWVELFDSYVEYLASTNPNLSTTKCRMRAIAEACTDFGWTEKELRNKMGI WRGYKEIKDVLGWVALVFSGMGLYRLCKYRVGFDKEKFSRARTLGKRMEVAADTLHTN WRQLLAIVGEPTEPRFAGHPHDWVVHQDGSNPVPLRATYMRYDSKFTFEHLDESVIDT SSWGADDPRWTPPSTALCVSGVNICDLCNEVQSNESTVNACKCFPNLFGVPRLPIAVQ VFRTSNGRNNGLQALVAFERGTAIGEFVGLITKDIEEQDVMDSQVGGTRYQIWQGKQG NFTRFANHSCKPNAQFEKFVWLGTQHILMVSKGIEAGAEITVDYTESYWRGLDKKCLC GEPCCRYNKTDNGRTDL FPSE_02214 MSNGIQPTDIEPGDSSGGATLTQQKTNLSYSYDNRKGWRRWRIF HPGRGMYHDVKRRLPYYWSDITDAFTYRVVASTIRMYFVNLLPAIAYTLDMYRRTGQF YGINEALFSSALAAIVFSLLSTQPLTIVGVTGLISLFNFTIYDIISIYDVSIYPQFMA WTGIWAAIFHWLVAIFNTCDYMRYVTDFSSEAFGMYVGIIYIIKGVEELVNEFDQQGP ASGFLACIIAILYFGTVYGLEKLGSSTVWKPGVRTVLADYAYVFGTLFWVGFSHFPGR LEATHIERVPVTKAFYPTQPRDWLIDFWNLDTKWVFVALPFGFLTMLLFYYDHNVSSI TAQARQYPLKKPGGFHWDFFLLGCTTFVSGILGLPMPNGLVPQAPVHTDSLTVYETDL KIIPTSEDEDTEIRRPVVRAAAVVEQRVSHFLMGLGLVGTMTGPLLVVLHTMPSAVFA GVFFVVGWGSIESNGILQKFIYLQSEERFIQRDEPLLRVRRRKIILFISIQLIGVFAC VAVSHTLAAIGFPVLIILLIPLRIVIVPRWFSLQELQILDDFTATNKAVLASLGGQPA LPEHSKEEDWGVERRHSESQHGVARQRAGSIHR FPSE_02213 MEPQGLDEMSNSVQKSVQKMPGPQYGLPRTLYRPCVMKVNGTLF GGKSLGFATDVDTHLLALRTRDMDSHLGFVMQFPLAASAEDKGFGKVYTMCAHTRKPD PCNFRQLKVKFPRDIEIKYREASQEDTAMCPKGVPRMVWIDVTMNQGVIYVQGFGMPY YNPDHPAHSWLQNLSPVVGNLKFLDFVQQKRFTFLVSYPEILARNQWSVASLAPKFDY GYGTDHHCLVQDFDWLIKASKQMADEKLPAYFVQMPAKARIGRSYFVIVRPSRDFWKR YNKVWARFTKSAELTIHLHGEKTEVWQARVRDRPSLLELERKVEAGCRFLPTSAPTNG HFGFPSMDKTLVMTLHRDLLRGEGFYNSMVLSASTTGVDSETNEVGSTKRICLQTLPS VDFLDGCDSEWVDSLMIEALEEDRHRLRKHLSHSPLGLVVVTAGPGFGKTTATAVVVL AMHSSMGKVLASGPSNVSVDNLCSRIHVMSTRVTDRYNGDRATRQRRPLVLRGFRLDH EYAAFNRLLQNPDLGDDAASYGLWGVQSKWKLQCSATYWLLVCLGSCYVSTLADDDSK ALHSLRDDLAKRNDMESLRKLVADFETLVNRIIEAADILCTIPTLAEPEERFSDCKVK TARAIAIDEAGSMSRADLYSVWGNTLLPCLLAGDEKQLTPVVITMHDLYSDGSSINRF GPDGKISPLEFVKTSGWSVYRLRTQLRMAQGQFEICRTTAYSDINSAYGPGSDISLPA HRIGRVLEEYIRTRFPDVKPPEDRTLEPLFVSCKNSWCMVDPVTGSKRNLDQIRVALD FCADFVRTKQVSPADILIISPDITMVKTISRWLGKAQYAVLNGMRPPLTIHSTQGQES DMVVIITGTNSSVGTGYMSDERRLNVMLSRHKSALVIFSDIDTVDYTGKNKKAKLTEG PTGEMTFSQATMLKRVHSMVVRNGRVATVNCI FPSE_02212 MGNFPTRHEYPGTALTFMAHLDELRQVPAWKSDKSKQLLERFQR GWTAIQTDKAPALHYVDCVAYHVEQYLKDVTCISTARVSDVSVYSEVMKDNPDTWSAR SLPTK FPSE_02211 MVHSSAASAISAIERACSIPSLLGSLFIIATYCSSKLFHKPISR MLFYASFGNMMCNVATLISNSFVDHPNSAGCQTQAFLIETFMFADVFWALAMATNVYL TFYHRFDGVKLRKLEPLHISLCYGIPFIIAITFLFVKNGDGDRAYGNANLWCWLTVQW DAWQLATYGLIWLIILIAFTLYVRVGVTIYKWRNRLHDFSYSNDRTNLSQVPPSSDLS GIAKTTDITITTFDSPPPAPGQSLRPATRPDPVHSADIYAGPAPPVAPSEQPNTRPKN PERSKRSPSDEAAMSYAKTALLFFTAMLITWIPASANRLYILVDGKASVQLGYVSAFV LPLQGFWNALIYYYTSRAACKQVIARLRTGRRRLEESDPNGSSFADGMNGANESSFQL EQVKSSKTKYDVGDTESMASLTQRV FPSE_02210 MGRTEENSAWTDSVVSTRKNSEEWQPLARNSALPGRLSVKVDTR HASVDHGRHSYNRSITPAVEKGHDPFRDSDEDEVDDLKKHHHDMFDPNRPKMALKDRL HHFTFAWFTFPMSTGGLSLLIFAQPHQFPGLRQIGLAVYIINILLVVAICSTMLLRFF FNPGDMSKSITHEREGFFFPTFFLTLATLITSTQRYAIPDNDVTLAWAIQVAYWGYVI LTLVLAVGQYSYVFAAHNFGLQTMMPTWILPIFPIMLSGTIASVIADTQPEIAAIPII VGGLTCQGLGLSVAVLMYAHMIGRLMSAGLPNREHRPGLYMCVGPPSFTALALIGMAN GLPDNIEPGMNGIKIDPGMIRTMALISAIFLWALAAWWWGIATVAVIQSPPVYFHLGF YAMVFPNTGWILATITIGNALGSEHVKWMGTGMSIVLVITYFYVVYRHVKAFIAQDIM YTGRDEDFNDH FPSE_02209 MSQPSRRKRSASQDEAHAIQESNPPKAAKTVPSAAQPDSSQQAT TERRGRSAKNLYDDTLKMIDRNVRVMDRKVSGETDHDPLDYTTDDYAKLVCRHSKTVK ALAEVDNTLAFNLVLSMADASHADLDTTLEMCGEDPAETARYFQSLDNLLLPLIKARQ QPAELCWQLLEVPVRWSRRRTRSQDAESDEDPDEWSEPDYERQYWEKCNYEHNRRLAR RRRREIVDDWVTVALSDLKEERDYLDEYGIEGFFPKSIDELEMIRMQISTIV FPSE_02208 MSSNVTLVDDYLAKGTWKTAENANSTYSHQGLMQYVSNQIISQY WLEKIYTPEIRQFDAENRFHIHDLGFLSAYCSGWSIEDILLQGFGGVENKIQCRPAKH LNTALNQIVNFLFTLQGELAGAQALSSFDTYLAPFIRSDNLSYTEVFKCVQSFVYSLN VPTRSGFQAPFTNLSLDLICPARLGDQCAIIGGELRTEWIYKDFQEEMDMLNKAFAEV MMQGDGNGNIFSFPIPTYNISDGIDWDSPRWQSIWKMTAKYGVPYFANFINSDLDPED FRSMCCRLRLDLSKLHCRVGGQYGASPLTGSIGVVTLNLPNLAYRSKGSKETFMSELA TTLRVAKDSLEIKRKLVDANSTLYPYAAHYLSATKHRTGSFWTNHFSTIGVNGMNEAL VDLLGEGIGERKDFALEVLDFIKDQLQEFQKETGNLYNMEASPAESTCFKFAKRDKEL FPDRDIPTFYTNSTMLPVDTTEDLFEAMSHQEELQCSYTGGTVFHAFLGEQLPNWKLA RDLIKTLTTRYRIPYITLTPTFSICPVHGYRVGEQPECTACGELTLVYSRIVGYFRPT RDWNRGKSKEFVQRKVYKYETGLLPDTNSESVQLENQVAAIHDLPVAGFIKSTLSDYP GKAQASIMFTSRCNLACPWCHNGPLVQGECDDVTLLDVFKHLNSTSHKCLVVSGGEPT IHKGLLQFLRILKNAGISVKLDSNGTSPDVLKQVFAEKLVDFVAMDIKCALENYKRVT GKKIKPKLLETSIELIKMSGVPHEFRTTVVPELVDVEDLFEAKRLSGEKLTVQRFRNG DTVLDQSFKGLREHTDGEFDRLVSQVA FPSE_02207 MKFSFTAAALLAVSPLTEATKPPVTAKKLQKLITEKGLMKNLET LNKIAYANGGNRAFGLPGYAASVDFIYKEISKLKGFKTWKQDFPANFTQTLAAEVTVD DETFRTVALTYTPSTSEEGVTAELIHAPEGEDACDVANYEGLDVKGKIVLVERGLCPD QTTFAGKVKPAAAAGAAVVVIYNSDEAKLTAGTLSAISPDYVPTALIDQAPGQALKAK LEAGETIEAFAKIIQTIETRITQNVFAETKAGDGENVVMLGAHLDSVQAGPGINDDGS GTTLILETAKALQHFSTNLKVRFGWWGAEENGLVGSRYYVNNMKKDEVDNLLAYVNFD MVSRGFFGVFDGTGEKAGPGGPPGSDVIEGLFREYFEAEEIEVTPVGLTGGTDYVAFR EVIKKPVGGLFTGTGLEQDACYHQACDNITNPVPETLHTNAHAAAHVLSKLAIDGVEL LSKTPANTTVGFIKRVRDEASLHFHEVNNAEGKPCDHDII FPSE_02206 MGWFGDDSDQAQAYEQVTERPHEAKWSHELIGGAAAYEAAKAYE DHVARNGQPDSHAQAKEILAGFVGAFVDREVETRGLDFVDREKAKRHGREHVEEQLTI DSNSSW FPSE_02205 MRLTTLIGSALTVLPDLVSGQTDQKGTVCGNQRFGNLNDSLSYY PNAWNKKSPKNGFVCLKVDNSTPAFDATWNWDKNIQDVHSFPYVRFNHPDLPIRLNNL ESIRLSTDWIYTPGNPSQPPRDFSSSKWAANKDQLNSKGVQANAAWDFFLDDDRNRTL YPQVAAVEIMVWLGSVGDPWWLGRANNSIISTVTLGKTDFSLFYGRNSGGTHVFTAVT KDNTDILSFDENFYPLFKFVLEQAHKHIDEPNDLPKDPWLGIIEFGTETWLSNGNATF TAANFAMDLKSNVTENDRNSTGSGNGGNKTSGGDGDKGDGASKGNEGDKGSGNDNGDN STSKGDEEDDARRLMNNSVLGYVVTAALVISAVLS FPSE_02204 MSKCEDCDGHGLRGDGICAGCNGSGSRDSSSISRNTATRLTVPE IYPEGHVLNNRDFSTGDDVTDILSQPPIPSKVSGIYLTQQY FPSE_02203 MPFFPYIDCHGICPACSGGFSTIDTFLCYKKCTGRQFSNLKAAV RRRVNYKFQRAMGESPVIEERQYSPEPELPSKKPTQAHHLSPQVYAEPSRDSLINLSR SLTITSNAVHPADGGLIDDFQGDLFNTLEHDNLLI FPSE_02202 MRHVMSKSPKQHPIINTIQMSSPTIARTWRKRLADLYEQDCGPL PDPVPTFGADLITAPSTPVLSASMAKPDPDFLKKLDQGITVALATLSPDYDNDFNSSS DDEDCAPWSPRAHAALFFEMAPAPRVTRKDQKRKCSDGRKDKHSLQDTQPHKRARRIA KMGKTSFSQPKQLSRSAKEHHSPYSPPQTPSPTTQASPKDGTNSAQSKESLVSQPPPS MQRPPSEADESLLQRELRQKSVVFDYPYRNNVSRCTGPEDTDTFIGRDDVLFVSLFTK SANAIPIASFEAYADHLKYSSMRNKHTDIAHRVLNPWLTLLIYKIMSSQYVKRFRRNS SHVIFFSKFCIKSTDCTSLAEAQAMQFISKVTTIPIPRVYCAFEHKSRVYILMERIQG QSLWNGWCQRSPESRARILDQLRSMIAELRCLQPENSMEISNVVGGPIYDQRLPKMSI WGPFRTIGEFHQELRNSIKPEDVSHESTPTDLQRLVSFHEKDFGRPIFTHGDLSNSLT PLTRIRASNKLWEVASKQLKDTGCMAEHISFEQGGWVISDARILFEREKKRSYYYTYV ESPVLEKWEHKAKASGKYNEEDMKLQPPASRIPSDVAKLGVQVRQIKVIESKARSVA FPSE_02201 MDSPDDAPYFCMADKRCRLCQFDLRENDRVIAHIGDRRCSMVFN LRMTDTIHDRYEWINLHTCARRRCMMDSPRVPFFHRDCYRFRLYYISDTLVAAGNYTF DPPGLEKSRRSHRIIRLLAPKLRDQLQIRLPDELLMPIAGLLVHKCAAITAEEQSLGT NASESTVELAHDLYVSYTTVDGVRYVKSLDNAVSKLCDQDCLMLLNKQGEPIHKIWIA EDYRGIRAVKLCSADASFAGPTPIAKSWWRAISVPCGIENITIKSDASTIYPSGVPC FPSE_02200 MHSLASTRPHIISVVTTTLLQKLYRDAAQDIEYQSSTFWQVWLQ RAFHEDEYMVSCESSPDDSRRRVDAVVKRYDEPNDILSAMLWIEFKHPTGNVKQVEAQ ALDVAGRYIRTNSLQFVYVMTTVGVSFRVWTVRAFDQLSDQLSDQLSLIPFNGGPADA ARSQYIDANSPGAEALTRFVGTVKNFPPLRITPVIPSQAFP FPSE_02199 MASSMKRFGNGEIFIPQDFVRSILGWDGRLEQLYNNNEWLAQVD KETSDSQFSILRHAFLTDGHNFISILTEYLNTIKWQEYVVHICTQRITHVPVLMHLIY EASVQSRGADVQGSVGNEPANPVFDFEISNLCDSTLLRKGCIEAIRNRYCESGRPVNG GLAFYIKCSSLMDRLNGAWGENKFDEVTSLTQLHEAVTKERDHFTGLKFETSTHPEVL IADIDVDIKRLDRTHANDMTFGYVDAMNAIEPYFLLQSRRWKIIGKNLYDLGFRVGAN MTRWPMNPQQWTIVKALKTVISNEGPGINIMLARLENYQVQLQKQQQVITALQFRHLL EHLPAGVRDRWLAGPSTKSDQVIKASNWDRMKEVDKWQEFWSESVRQIFRVYKENGQA AWEGIDGHEPSPFAHLLKPYLGQALKKSNEHDAVTFLTSETDVGERAKRLYNTLSQII HQYADSKFQVNRLNFSPLDAHILAALVPKNTNQKHNGQRATKGEPWIPFDEKTEVAAW KTEFRRYVWEKPAEAIQNTSETSDKGPLDRVEDMWKCIRSKSPFSGIFPKDLAVSSAV FDAEACTATIAAKFLLTKKHINSRNMIHNAVLAAFFDAIGRMTLIAAGRRVTSDRVGL SVESSFLAHPGDQVEVTGTMMRDEQDQCFSVTIRIKSSDDTEVASGYFRARGVRETNV SEAYDGMI FPSE_02198 MPERAVFLADSRLRRALYALPFLAITAFMTRAFGMAEPIGPVIE EMVKTSVFTGSGVNIPIIKGFYGIPVLDDIFNVVTVAFANLQFYFDEKAYWQSLVFLT DFAGMYAVVQLEAYRPGNTFIISKYPVVFLFVSQMIAIGCTAPIFFLLAYVFTPAYKL TTPSLGRLSTGPCKAISVAIILGYYVPHFPSYFNASLERRNWWNWIWQLFPIWCCSIV FVLSKVFARVNKSNGKLNPERDALRILMGGCSFISMGAWWYTVASMKDSIFEVFVPQY LITYPEEPSDGLRTVIQFDYICCYAAGYMWLAYHFRDLEKVGVCSISWVRAISVTVVL GFLVGPGTLFPILWLLREELLMAANGEVKEARD FPSE_02197 MPPPILQASNALHYTTAITAVGCYLFSTVANAGRTKPAKTSSAR LFDRLAIGFLLLGYAAEGVVTILERTGHSKEAQTVHLVLLTTVWLLIWIQHDAIRSLI LSTSLATLTFEIPLLVFSSLGNLSTTLSVARLATQALRILPLFSLAVYHLTCRGNDFD ELFDNEETQPFLQAGSAAEYTSYGTHPPRDTDYDSDTFTQGSEEDDDVIDIKRERAKR LNEKGGWWGYLADFSIFVPFLVPKKDRKVQLCILLCLTCIVATRAFNILVPRQLGIVA DKLLAKENPFHALLVWLGLSMMSHDILIGFVVDLTKIPIKQFSYRSLTNAAFNHVLSL PMEFHSQRDSAEVMKAIEQGEALTNVLDTLLIELVPTFVDLIIAFAFLYWKFNSYVAL AMAGAATTFITFEVYATSWNLDNRRESSKSKRDEVRVMHQAVQGWQTVTYFNMFSFER RRFGDAVDKQLHAAKTWERRDACIQVLLNGVVPCTFFLLASLVIYDIFQGGSTPGDFV FFIQYWEYLIWPLKFLSHQYRYLMSDLVDAERLLFLLQTKPSITDKEGAKELEKVQGR VAFNNVTFSYDPRKTTIQDLSLSVEQGQTVALVGETGAGKSSIMKLLLRFYDIDEGSI TIDGHDIRDITLSSLRDALGVVPQDPLLFNASVLENMRYARPSATDEEIYDACRSAAI HDKILSFVDGYNTEVGEQGVKLSGGEIQRLAIARVFLKNPPILILDEATSAIDTNTES TIQGALDELKRQRSTFIIAHRLSTIVSADKILVIHDGKVVESGTHSELISIEGRYKQL WNKQVANK FPSE_02196 MEKSEDLHSPSREGPRRHSSTSNTSDEESSISSQSHPSRTPTNN NNRANSDTYSLGDNGWESIRAPANGMSMMRTVSRRESALSRIRSRPVPQFTHPLAHVK TTEDQIVDFDGPDDPYRPMNWPMKKKVVTTVLYGFVTMSATWASSSYSSGTRQVAEQF SVGTQVATLGTTLFLVGFGIGPLLWAPLSEVYGRRQAVLIPMFIAMCFSFGSAVAKDL QTLMITRFFCAFFCSAPVTNTGGVLGDLYAPTERGIAMAGYAMAVVGGPVLGPIVSSA FVQDPSLGWRWTEYLTGIIQIIFLTLAVIFVDESYPPKLLIYKARRLRHETGNWALHA KFEEWDVSISELARKFLVRPIQLIMTPICFLVALYASFCYGILYMQLGAIPIIFREIR GWGTLVSSLPFLCILLGAILGCSANVYNQLLYNKAYHAAGNRAVPEKRLPPMMVGSVI FSGGQFVIAWTGGNTDIHWIVPCIGLVLLGTGFFTIFQAALNYLVDTFTMYAASAIAA NTFLRSMFAAAFPLVVGPLYHNIGVGPGSSITGGFAALLIPVPFVFYIYGKRIRARSK WSKASVYD FPSE_02195 MRLSVFFSIVAVLSDPVLGSLIPPGFIGTEQSHNPFIGKRDATS TEYDYVVVGSGAGGGPVAANLAIAGYKVLLIDAGGDSGEDLVEKVPALNLLSTEFEKT RWNYFVNHYPDMEQQKRDSKMTYEKADGSFYVGRDPPKDAKPLGILYPRAGTLGGCTR HNALITIGAHDSDWSNIATITGDTSWNPKNMQSYFKKLEKNMYLPSSIIGHGFKGWLG TSLTSLTLVLEDLKFLSLVISAASAMGKGLVGFLVHTLGGLGQILLSDINAPGQTSKA GLYQVPLSMTNSVRGGPRDLILNTANAVNKDGSRKYHLDIKLDTLVSKIRFDKSGSKL RAVGVDYLEGQSLYNADPRSTSTKPSDSGSVNAKREVIISAGSFNTPQLLKLSGIGPK KELGSFDIPVLVDLPGVGTNLQDRYEATVIGKTAKDFQITSKCTFMETDPDPCLEDWK KGLTAIDKGVYATNGIALAAVMKSSVAENEPDVLVSGAPAKFKGYFPGYALDSLADAR HWAWIILKAHSRNNAGTVTLKSKDPRDMPAINFNYYDTGVNKNGEGDKDLQATYEGFQ FARKAFDSLIPLDGDFDEVWPGNNVTKKEDAKQFLKDEAWGHHASCTCPIGSNDDPMA VLDSEFKVRGTEGLRVVDASVFPKIPGFYIALPLYMVAEKASDVIIKAAKST FPSE_02194 MRFSVCTALTLAAGALATKEKVTVSKLSIHSVGSPVGTSIKSVS FVLNGDDADNIKCSAKNVAFPEPDDILSCGDSNYAFTLWKGEKGAKFRVMVYHDVGDS RADLRGGADIRTKCDNSQGSGPADEICTQVKPVSFTIDGPVGSSPGN FPSE_02193 MPSYLITGTSRGLGFEFVRQLSADPNNTVIGFARNKQATEEKIE RELPGRSNIHTIQGEIEKYESVKNLIDETSKITGGSLDYIIANAALQSEWSGYNPIGA LGSDPEKLEQELLDNFKINTVGNVHLFNLAMPLIRKGQAKKIIGISSGHADPDFITNF SIVDAAPYSMSKGALNIAIAKFDAQYRKEGILFMAISPGVVATKDMSNATEEQLKGFA DMMAAFQVYAPNFTGPITPEESAQRVLSVVYKASIESGSGGQFVSHFGTKQWL FPSE_02192 MPSLGCGTCRVNASSRDMELHHMISKLAQKYSVGVEAVVDTPIK LIEDTILARQARQATPFILLNSPATGVLAELSPANKNLFQYFVERASYSISTFGKDAQ RVPKIIIRMALSGSTPSSTAVLKSSLALASFHRDNSFYATARSKVAALRALAKSLQGF IGVSDSACHIAAGIILSTLEIQQSSTTSSHWLWYACGAAKLVKTSGLDEITTDRDMTS LVGWVHYFNTMAKFSLRHWKPNFVLDYSSLVDAGFNTFHPAVCSNGQPANLTGYPHEI LYLLTEVFNSVTVASDPRYNTAEYVHRLQSLDSSLDLDDDQPEFNMAVELYRLSTLIY LRRASAGILDLDKNFIDWVDQAFVLLAHLPTCQWTFPLFIFGCEARDDGRRLVLLDLI QRTTDDGRYRSLASIKRLIEIMWVQQDLLDDDLDYISKLGVILSSSQKSVPAFL FPSE_02191 MAPSLDHVFTMRMYTSPAKAVVIPEAKGKNHRIIAFLTHGNIKG SGFEAELQPGGADWILRDPETNTGHLDVRVQFRNSEGHSFYIYFQGVLQINDKWMEVF TGGPKARTLEFGENYWLSAPVLETSHPDFKWVERSEFVGELRWFIDEDGSVAVENAIY KVLPSIFKCQQGLKSSQKTPAANCLVRLTDDSCALRSTILLAGMHFCFQNGNLASFES TFLYHKVEVMRYINKWIASNNHKHDTTIFRQMVTLAFTEVCTGEVLGAEAHASGLLAI IENAAARDKKENPDISNFKTPEQELANRYFIMAYTYICGLKSLLGGVCRLGGFDDARI EDFSAKELVEMSYIWHKGEASQSWALKLQALRLLPFFLTPLPEGAILTYADGREIIQT LREFTTATGIDPSSTCVCTDPPDKIFDSFWRRGPASRLLGECVLAHVETISVKKKRSQ GLGRPGTSFEGPWCALVTSAIIYGQAILGALEPVDKRMHKYTITLFHHDMLSYLDESR GSTDPGFILWLLILGLIGCHTYPKGEKDQTPHPSFLFFQMAVGRQAKEMDISSWSEAN SVLAKVVWPSKNDGFEFVEDLWNEAFSLRPGI FPSE_02190 MSTQQTARTQYVQSPSGAKFAYRQIGAAFGTPLVALTHFRGTMD KWDPLVINNLAANRRIITVDYLGVGLSTGEVANSIRQSAADISQFIELIKEPEVDLLG FSIGGYVAQMVALNSDPTKVKIRKLILAGTGTSYGKDLAQSTNDDVGAVAGVKDVNID VFKTLFFHKNEQGDAAAEAWWGRIHERKESSCGEEVSQWLSSGYKDQGKGIKGQATQG HDFTMTAETSSGEDGAYDRLSEIKIPVLVANGNNDYMIPTSNSYLVYQKVPKGQLILY PNSGHGFLFQYATTFVKHVELFLEG FPSE_02189 MMPLYIPESSSGESSRVEDGGDAAPAPKRGRRPVETPAMGSVSN RRRMQNRMAQRAYRQRKESAIDVLKKKVEELEKVKEDIGNEFLSFTSVILEKEGVGNC PDIVEHIKKSTINILTSTREVDETSETEDHDMDPVPSTSEAPAGPSTAYTLTADQVNS LGFPMVDYVPELEFDNMFDQNIDAQPTTQYHDYNLSTPSMHDKGSYFQPSIYQTPGYN ISPLPGATYDTSLSCPRSYSSHEATFGRRYHRATQEAAFLLASMKHSPPTWYQKVFGF CLHFETRDEITQRIGDCLRKSREATLNNWKFPFTNVGGAGLFYPNQGYDGSELPIGNR SLSNETFRPSELSGFSMGPFGPTIEQVRDLRLSPQLRIIDPNYDGDFFDADEIEISLR GYGVTIPASKDYVTAYIDMAMFERAEAREARETSGSESEDPVTPPDVSTDTGNHFEDA SVSCDNEAAPLHCPVGLMGMATGEMPPYPKSQPQEQWKTPIRRETRVKVDVERLIMCL TNFTVCLGRTPAVRPKDIRMALKMSLIDED FPSE_02188 MPQQLIGSEYTVYRSGDGNGGFTPTTVKVPTLGPHDILVRITHS GVCHTDITFCQMGAPIALGHEGVGIVEAVGSLVTQFNVGDRAGGGFHRDACGHCKYCL SGRDIYCYNRVIFGEGDFDNGTFGKYYIGKETYLHKIPEGLASEHAAPLQCAGATVYA ALKATVTPEKRVGILGIGGLGHLAIQYANKMGADVVIYSTSTSKEAEARQLGAKEFHL LDNMFDDVKAPIDVLVICGTKYPDWDRVMAKEFLARDGVIVPLAAPVHGPLSLPAGAM FFQGYHVFSSLVGSRNVHDEMLEFSARHGIKPMVQIFKHDGAETIRQVFELVQMNKMR YRAVLEM FPSE_02187 MSSPFKNILIVGATGSIGSIMLGALTKEPSFQVSVLQRSSSKGK LPANVKIITIDDSYPSDALVSAFSGQDAIVNCMTSLAVSDQLRFVDAAVDAKVRRYVA SEYGLNNNNPDARALNSVFREKGEVQDYLRSKESTGLEWMAIGCGMWLKWSALHDFLG MHIKEKKFVFWDDGNGWFSTTTEDNTALAMVNALSKKWEETKNRVVWLSDFAITQNML LEAIERISGEKMTVERVESSQLIKEKQAAVAAGDPYAIYSLIETGFVTGKFGSHLEKE GEIMNDILGLPKKDFDEVVKAALQAVAGA FPSE_02186 MADHTSPQLQTRRGQWRRDVTGKREDLQNSTNDNVPEPDLLPNN QRPAFENQGSGSNSALSGSDQSPFTPGTLRAALDAETTFGTDSSGQFDLFMRTFDQTT NLTKNHDPIEMGLISRPSAQILFEGIFQPQSHKTIRDHSEALLGRALLQCDSAIENIW AIICMYYWKDKNDKRGAILIGFASQMAAYAEWNMMYQNAPGRGQTLDSSELQSRQERD RKRGLTILHNTERIYRLGDCTSVKSQEMTQIARDVYESMAKFGEHHDSYPTTVADFES FQNVMNSFHSRINEWRDQWCTMYSNFPYLEPLQRPITLLHRDYMCLYFNTIHLHMLLE SDDRPLLGDQIAQTACICFSSAFGILQHAVHFGEINVIYYLWDNAHNMVAYAAMLIPK LLEQGIDEPTMLKQQAVSILDQVNTTYLIASRSMVSQESQTPDCQNENECHLSTQSRL LSAILGIINSSTTYVEESPEARGLSNISFNPDLPWLEEDQTSFNYFSEDRTNHLESRN IGFDAHTFAQSDYFNSRVSQEYEELNLLEKKGFLESMYLNAGLLPLQKSGILSRDL FPSE_02185 MTVSFEDAEKPKRTRTNVKQSKYGCYTCKARRIKCDEAKPTCQR CRSSKRECKGYPRGALVDSPLGIQGTASLVPLSASPSSSISSSTLSRTASPLTVLSFD PYHLLSPFVDIACGVMVQSPRRGRSDIEQSFWTRTVPQLVHSNPSVRAAAEAFGSSYS EYVLQVDNSCSGIETTKRYTQALRLVQKDLATVQHEPVPCVIACLFLAFSEAIQQKLD EGLLHLLGAFSVMLSRTDKKLLGDVDTESLSLLLQKLDLHVATYGICHPPEMPPISPV TTNMVTSLPPDEALFKILHSCYHFNAQAFTYKYTTRRAIPPEMLMEQGRQLATLKLWL SQNKLPLTWDANLHESLVVLRSQCLTALIHTATILDPRETGYDCYGPEFQEIITTIEA LFLSRGFQTDPLSSALGRLPSFIPETGVIHPLYYTAKKYRNAFWRRRALNLILRSGKE GPWCSKTEGAMIKMIIRMEEGDFDKASFDLSRGEDAVLHSTCNVPEKSRINACWPIDP GAQTREASNFTKQKFSKGILYKCIDVDRLLADAEGQKPRSWAWMKSAWWEEWIEPLGE IA FPSE_02184 MAQETPICVHISKECPIEYTVYGYRPSLWVNILLVSLFTIALFA NIWLGIRFRIRAYAIVLALASLAQIVGYGARIGMYFLPFNAIPFQAQVCCLIIGPAFN SAAVYLMLKYIVTLFGPEWSLLKPKLYTIIFIGADVVSLVLQAAGGGIAATTAIGDDD MLNLGNNIMMAGIAFQVVTLSVFALLATAFCIRRVRAVKFNPLNGNALKTWQGPRFRW FICGLFTAFLTIYVRCVYRIAEMRGGWGNKLMKEQIPFILLEGLMILIATLSQTILHP GPFFPAFTNKECRLDILVDVMQ FPSE_02183 MVASILVVKPVDQLLIEAYFESMSILTTHINVKFGPAFKRVVTN KQSLIAAGSAALAVDLSRQWAIGKLRNEKTFNHWFTNYYCLAHAAHLPSVRKVTVLML P FPSE_02182 MKFSAVIAPLLSLPLVFASPGGDYGHPEKPKTVTKTVTHTVTKP IYKPPVTKTETVTKKYPVTETVTKYKWKPPVTKTEYVTKWKPPIVKYKTVTETDTVTK YKWKPPITKTETKWKPPVTKTETKWKPPVTKTETKTTTETKYIHKPPVTKYKTETVTK TITVKPKPTYHKPGYENPGYGDGGHKKEYKA FPSE_02181 MRDFNANPKLTLSAILNTQILYRIAVVVFALYLSFYTLSLFSDI ELGAPVWKPYGSSQPNVPVDKDRRFTLVVPITDPGPNVCKTIFTALALGYPSPVIVNW GVDYHDVSHWEFGKNLPKIPGFVHYLDSVMHPNATDLEKLEEDDLVLMVDARDVWFQL PAEVLLSRYHEVNKRANERLRKQWTGSGPMPMKQTIVAAAEKKCYPDDPELFGIDLRC NMWPESPLKPDLYGPNTEKNASDFYYNRPRWINGGMYLGPAGDMRRLFRRAMEDMEAG IGQGFPLRSEQGQLGNVVGKQEVWRQWQRKNRINNDDLRGLVDQNLEYHFGLDYSQEI VAQVKFTAINRSLDLYDADFVPLGDKESIERHTMALGLSPVRLHGVPDDLNKSRYPLE EFDKSATWSNIPLYADFAIGQVPAIVHHNGYKGRRQTWWHKPWYHQRLRQLVKPRLKP YPIDQPLATVQDGADQFRYWGALAEARDRYPRKANATADGRFDKMEFEELCRWNEKPL KDARETWWEEVFRDGKGPFK FPSE_02180 MTIVTTLRSSIPKRSILVTGGAGFIGSHLVEALLADGYWKVVVI DNFDEFYSPEIKRANLAGLLQHPNLTVYEADIRDVPALSAIFADNNFSVVVHLAARAG VLPSLQVPDLYFDVNVTGTMNLLQCCKDFGVKQFVFGSSSSVYGLGAKAPFSESQKTT QPISPYAASKSAGELLCHTWSHLYQIRCVCLRFFTVYGPRQRPDLAIHKFTRLIHQGK PIPLFGDGSSIRDYTYIDDIIDGIFGAIDYEGSLFEAINLGESQTITLIDLISAIEGT LGRKALIDWRGDQPGDMPLTYADISKAGSIIGYKPKTQVQQGIVKFVAWYLNHNKV FPSE_02179 MVSWNNIFTVALGLISSARAYTNPIRNPGGGDPQITYTGGYYYL ISTEWTNLQLSRATTIEGLKTATPKVIFTDSNPSRSSNVWAPELHYLGGKWYIYYTAG KAEDLTGQRSHVIKGGATPWDSWSYGAKLSDDWGIDGTILRTNQFGNYFVYSCMTGVQ YQSTCVRKLGSDFMSTGALSIISQPDQAWEKSGTPVQEGPNALYFGGKTYIAYSANYC WTPDYCVATLEWDGKTDPAKASAWKKSNGCVLKSANGSYGTGHNSFFQSPDGKQTFIT FHATSNKNGACDDTRYAMTQPLTANADGTPNFGKVQPFSFQFAEPSK FPSE_02178 MHPFVFPSILSTITLVALAQTTTSEEDISFFGCAPLGAYYPLPT IDVSSKPIQGLVSDFTKKFDDVIQNGGSDKYGPVNVNTTSFSVVIFGGDEKLRDDPIV FEYHYTSPEDRISNENVTVTTKFPVGDVTMVFTVYAWLAKMGEQWETPITKYLPELAD VESPYAPSWADITIGALAGQVSGLSRESNACVVGEPCDWEHFKGAFATKSPYFLPDTT PVVSYAAWQLLVFAMQREADSDWSSILEDTLLSPLNLTSSALLSHDSKDIFAIKSLNT SMIGEPGALSFVSSMEDLARAGHSMLASDLLTPAVSRRWLKSHADTSNLRNGVGRPWE VYRAGQNIRPIMDALTKAGSIGKYASYFGLTTDFNAGFAILAHDSTVEDRKLDLNVHA DIVSEVIGYLSQFAAAETQARYAGQFKGEDSMASFNTTDDGHGLVVQKLVIDGVNVKD RTAQKLGIKSSDLDFRVYPTNVQDETHHQFIAVFQDRSALIDQGTPTCITWQEIGADV EIFVRFNMRAGKVIGLEIPQLNTKMERAD FPSE_02177 MSPSNDRRSPMPLLKTCQTCFHLKIRCEKTQDSDLCDRCLRLGK TCIFNQARRRQNVNRQRERLGAQSVSDRSSKSPANRQQQARSSEGDQDILNKDASLDP FEQGILTFEKGQNLLEIFTRRFIPYFPFVLFLSDSSIEELNSQHPCACLAILAVASYT DVGTQVALGNLFKQIVAVRMVDGDFNQLDLLQGLLIHVAWAHYQPKPKRYIQHLHLIT SIISDLRLDRPRKPKLWSAEGGRYQNKPDWQPDEMRALAGAYYLSSSSSIILQKSRQV FNTTYLLACCEHLASLNQYPTDKFLPYITRVQALIENIEDLVCKTATADNGLQFFTEC QEITQKCLDIKSTLPFPLSESPPLLLQIHILELMLSQSSPRGTAFGLDKFQNPFQDET TLNEWLSTSMSATRSLIGVILVMPQGEEVAMSNMGWIMMNCALNLAVRLDLIAARGSL SGFTQHLRRFLDMGHTLRQLVLRFEAVPGPDASADHPFHGIVKRIRRLENWYLSQVAQ QTADSTSTISPSSGSQPSISLSDHTSGMPVSMPLPYQNWNMGGGSEWYQNLDLDISTF LFADPIDFPMNFAWAS FPSE_02176 MLRQAVHSFLLAALAGQAIAVPSNSSSAAKVHWLGEKPNFTSGT TFGVPWPQSKHFPKNTKFTASGASGQDVDLQSWVTGYWADGSVKWTGHAIPALEDVLD EYTIRAVAGQNGVNSTGPAGSGKDTPSISVTQTSSDIKVDTGKVTAIFPKKGSVIVKE ITTNGKRIAQNGKLVLQSQSGVSHPSDEDSQSFKTLRFQSNIDNVTVSDQNSARALVT VRGKHEAADKSGHDSWLPFVLRFYLYSGSESIKIIHSLVFDGDAEKDFISGVGLRFDV PLEGEELYNRHVRIAGVNGGLLSEAVQGITGLRRDPGANVRADQFDGKELPDKSTWDV RVSSRMHWIPPWNDYSLRQLSSDGFTLKKRTKSGQSWVTIPGGTRAEGLAYLGGATAG GLAVGLRDFWKRFPTGFDISSAASDTGSITLWLYSPSADPLDLRPYHDGLGQKNYTDQ LDALEITYEDWEEGFDTPYGIARTSELYLVAFDKTPSQDTLASYVSQINNPPVLVPEG EYISKTKALGSYWAPADTSSAASKKLEDNINFLAKFYQDEVEHRRWYGFLDYGDFMHT YDPDRHEWRYDIGGYAWDNSELSPDLFFWQYFLRTGREDIYRFAEALTRHTGEVDVYH IGNWKGLGTRHGVQHFSDSAKQARISQPQYRKYFYYLSGGDERIGELFEELLDTDKTY GVLDPQRKVRKDGWVPTPNATVAFSLGTDWGALAGGWLIDWERRGPRWEESKAKLTST VESIAKLKNGFVTGNGLYNLQNWTLGPPPADPDNKGHVDVSHLSAVFGLPEVVSELIE YAGDDLPKGFKQAWLDYCYYFEATAAEQQARYGKDFGKLNLYQGHSRLTAYAANQQKN VTMARLVWEKFFNSDGFKAPNATFETTLIPDHEGLIPGKEAPWVSTNDVAQYGLAVIQ NLALVRDALEDFKPKA FPSE_02175 MNHQRRIERVSRVIKGSRTSSSPVNGQRLQLTKPLTFTHYSQAK PQPAQVENAYPQRVEYVYLYTVEMKREVASIAAETQIIKEDYRFRVARLERDIAQMQR RLEQMERSKAQTMTANSYGEFQFDDSFLSGNMESKCWCINGPEDRRIAETAA FPSE_02174 MNSPVTKENNRTPINQSSPAASSLRNRRSEIEQELCKIKLYIRG FKTRAREILDEIKIYQKDVPREYWKDMDQLRSALKEIKRELEKLRTLKRRLRYEAKEL RKEGNRLVR FPSE_02173 MRFAAIVSFLLPLVAAKPAQKGKTFSNVEIFDPPTNYRDPQVLY ARPLELSDGTLLGTWENYSPEPPNVWFPIVKSKDGGKTWKEISKVKDTQNNWGLRYQP QLYELPRAFGKYPKGTVLCSGSSIPSDLSETLIEVYASRDKGYTWEFVSHVALGGEAL PNPGLTPVWEPFLMTYKEKLILYYSDQRDNATHSQKLVHQTTTDLKKWSKVVDDTKYA DYYARPGMPTVAKLPNNEYIYVYEYGGGPNPPAGSDYWFPVYYRLSKDPQKFLNKAHH QIVSNDGTTPAGSPYVVWTPYGGKNGTIVVSCGTRSEIFTNQALGDASAWKKWDVPQP TAYTRSLLSFQKDPDLLMIMGAGILPPAGGKNTVSTSVVRLSEVMKA FPSE_02172 MSESHGLMRDPEPGQAGYPGNPGFPGFPDYTRYSGATQFEGHSF EPERPSQSDKDSTRNGSIAPNSTQELDWDGEKDPENPMNWPMWKKVIHTAIPAIYTFG LTTGISTLVAGLPGIMQQFGQTSRNVALLPITLYTMGFIFGPCIAAPISDLYGRLVVY KVNIIILIICNAIAVSSDNFAALVIFRFFASLGGSGVMAVGAGTMSDLWLPSQVSRVG IAYLLAPFLGPSMGPLIGSYAIEQYGDWKWGIWVVLCILTPVAIAIFFSSETSKKQIL AERAKRLGRHPKCQGIATTMQKIGKAMLKPWHMCIFEPVSLVLGLYTGFSFAMIFSFF GSYSYVYSTVYQFDARQIGLCYIGLIIGILLGLVTFGVFDATIYQKQVARTGDRVAPE HRLYAALLGSLLVPIGLFWYAWAPDPSVHWIVPVMAGVPFAWGTMASFLSCLAYLSSA FKPTDMSSAVAANGIFRFLLGAAFPNFVFQMYQEMGINWAGSVFAFVSLAFIPVPWLL FWKGKVLRGKSSYELSKY FPSE_02171 MSTDTAHSAKAKPLSYMEKLSDEVFIYRPSTGDSATSGPMPPKL VIVASWTNALDAHIAKYVDKHKRLYPTAQILLVKSFNRNLFDPKALARVVNPMVPVIR AAVPAGGSTSDPSILIHLFSNGGSSSISALYDEYSISAHGDDDPYLPPHAMIFDSAPG AQRASTTYAFFVVGFSKLQLFLLSPLIYIFSSFWQLTRNLSITRDWLLYWGKTHNEVE GKKERELRRSYIYSDKDDLVLPADVEMQASQAVELGFDVQMEKFHGSKHVAHARHDEE RYWDIVQRTWDGF FPSE_02170 MANSTVNHANVIFPDEENENDRDSSIGSINASTSESLRSSILDY RKENGRTYHRYKDSNLQHNLFILTLDNRLGLAPPNDPGANVKHVLDIGTGTGIWAIDY ADEHLNSKVIGIDLSPIQPDFVPPNVEFFIDDIEEPWTFSEPFDYIHSRMMTFSIKSW PELASNIYNNLVPGGYVELLEIDLFAKSDDNTLNEDHNLSKLIHLLGEASTKIGRPFQ DNKKNKDILRDTGFVDVVETVFKWPSNAWPKDTKHKEIGQWQNMNLDHFKGLEALSIA VLTRVLGWSQEEVTVFLAKVRKDVNNKAIHAYWPVYSTYD FPSE_02169 MTDAVYSTPEWYLKAQDKDVSSDIGRLTIHHLGFKQAAEGTLVF APLDFASAPRAVLDVGTADGLWMCDVQSSIPEAVRGDHTFLGTDINTSFFPTTATKGI TYVKQDIKDPPPQIWHESFDLINLRMILIAAGSGDAQRSVVKQHIQLLKPGGWIQIGD CDRVCPTSSEENPCYNDMFACIRVVCEASGLDPLEAPKMKSWLEDAGLEDVQERTALR AVGKRNTDNELGSLGVKADLVIAKGFAAGAKALDPSLKPLTDGRLDTLVQDLEAELTS TGAYFPMRFVWGRKPL FPSE_02168 MVRLSNSFVLIGILASGVAVATPQFDQEAELRRSKCDNGCFDDS FPGGSCTNDPACMCTQNKYREAYFCCMAKNCEALVLTRSVERQHDECQARNLDFTFDA EKVCGIKLATTTSTASSTKATDVTSSASEISSTATANTAFDVETKTKSDKTAEDTAQA SPTESAAPVTDDVPQLKPSLGGLVLVMASAFFFF FPSE_02167 MGIFRGDDDNDTPVTCPTHSLAQDAKQNFVGALSFYEFAMILGG SCSALAMIIMFTCKQLHATHLSNPSEQVKIMRVGNLISAFALISFFCICFPSAAVYLQ PWLHVFEGFALGSFFLLLCDYVSPHRDQRDVFFATKRKNGMKWFKTRWIMIFQMPVVA IGVAVATDITQAAGVFCQESNSREFANIYLRVIMTISLVVSVASILQMYMLLKKDLAH HSPMLKLTAFKIVVGLTFLQEIIFWILGDQGILEPTDTLTYADVHISLPNLVTCAIMV PLSIFFYIAYPWKVYVHGHGRGTFAKLEENDRPEQSYQGGPFGIYAWLSMINPSDSLK AILFIFQGTERRTSPATPVAMTGYNSDDALMSRPYNADSYSATAQGQRQ FPSE_02166 MTTIDSLPVEILFQIFHYSSPQELWCLSLTCKRLGLIASTCLWS DIELHEKGYHESSAEIVHPPPYRTTPRPYNTSGRHGWHSDIYKRAEKLFTLLHNLHTN DEARLAQLAGRVRSLCTVIDPIWQSELDQRANTIWNILPYFTRLESLELHGIPSTVHN GNVGIRLSVVQPLANLKFAKLFAYIPRDVATYVLRSNASLERLELGMLDDPKPGDIGI ESVDNLNFTRQQTYRGGVIPRSLGGFFPEYSPSFQKLKYIHFCQPCNSRGDYFNQMNA WSAYADKAALGSWKNILVASCQTLETLILDQRPGAGVEDNEGLSEEEFLNTGSSGLGN KGIIESLGSMLTSESELPRLSQVYLYGFVVRSPLRRRPSEETPGDILLHGLKRRGANC EARRGKWCLFDQETGKTSWAKWDGDGCTNLHDEYMGVKWYTVMAKV FPSE_02165 MPRLLFPTFKSLVTKPLRKTPTTIHRSYYSTMMRAARYYGKEDI RVEQVPQPSVKAGQVKVAPAFVGICGTDLHEYLGGPNFCPTTPHPITKESIPVTLGHE FSGIITEVGPDVEGFEVGQPCAIQPTLFCGHCAACHNHAENVCHSGGFIGLSGSGGGL SESVCVNATHVHPLPRDLPLEIGALVEPLSVSWHAMTAAPEINPSSKVVILGGGPIGL AMILCLKAKGVSEIILSEVATSRQNFARELGATRVVNPIEENLNEIVLGLTDGKGADV VFDCAGVPMSIKSACEVVRPKGTVVNLAIWEKEIPFNPNWITMKESSYKSVLGYAHAD FQAVIANLASGAIKPSQMITRKIKLENIVEDGIKALIHDKNNQVKVLVDMSDK FPSE_02164 MGITMRQANSHEARDGEIESRPSFEPTGPRFQSNDLPFAGRLGA NQAYVVDGTTSEDEKLLEHQPDATPHMSFRELVDVRPITNIDLWKAAMIEGIGTLLSV FVTIWASSSPDVIPAQPTRQLGNFDNAAFIGPLVGGIINVILITLFITCFGAVSGAHF NPLITFATFCARLCSLPRMILYIPAQVGGAALAGLLVRASWGGRDFKTGGCWLFTEVV PAREAFVIELVFSTVLLFLAFGVGLDPRQAKIIGPALGPFLVGLSVGTMSFGSAFTRY GYGGAGMNPARCMGAFVGSRFPSWHWIHWVANFIACIAHGICYYFIPPWTQVKG FPSE_02163 MASSKITPVTEWPDYSVDPTGGDPFTKDLNAPYDKGDLAWMLVC TILCWQITPAIGFLYAGMHRRKAALTMVLQSLFCACAVGIQYWIYGYSLYQSRTTNPI LGDLSLAAFRNVLAQPSLANGDIPELLYAVFGVTFVTATAMILAGAMLERGRLWPSMV FLLCWTTFVYYILAYWEWNPSGWLYKLGLYDFAGSGPVHIASGFGALAWSMMLGPRIP DATVTDRKKAVHYKPHNPLLMCFGTVLIWFGWFAFNGGSTANLSLRSIYVVVNTNMSA CAGGFGWVLLGYLHTRKFSLVGFCSGIIAGLVGITPCAGYTPIYVAPVIGLVTTVGCF YTVKYKYLLSIDDGLDIFAIHGVGGFIGDILTGLFAAKFVPALDGVSGDSYAGGWWDG NYKQMGLQLAGATTCAVWSFAISCLLLFVINRIPGLHLRASEEHEIRGLDFKYLSDVE WEDHYMNGGITPFMEGTPVRAVTPQEVIEPKSEERKMD FPSE_02162 MAEHLHARNDALKTNGFTFNGISTQINITPRGSDWYFTVCAVMT VSSIIFVGMGFRKPRTHRVFHYITASITMVAAIAYFTMGANLGWAPTEVEFHRRDHEV AGNYREIFYVRYIDWFITTPLLLMDLLLTAGMPWPTVLYVILVDEIMIVTGLVGALVT TSYKWGYFTIGCVALVYIVYQLAWEARIHANHVGPDVGRVFLWCGSLTAVVWILYPIA WGVCEGGNLISPDSEAVFYGILDIIAKPVFGAILLFGHRNIDPARLGLRIRDVNERIV PEGPNVKPGQQRNAGNVNAPEGSTSA FPSE_02161 MSDIKQQQKSVIVIGAGVGGVSTAARLAKAGFKVTIVEKNDFTG GRCSLIHKDGYRFDQGPSLLLLPRFFHEIFQDLGTSLTAEGVELLKCEPNYNIWFGDG SSFEMSTDLTKMKKAIEAVEGIDGFERYLGFLQESHRHYEVSVESVLRRNFPSIWSLA RPEVLLNLFNIHPLESIWTRASKYFWTERLRRVFTFGSMYMGMSPFDAPGTYSLLQYT ELAEGILYPRGGFHKVVEALVNVGQRLGVEYRLSTGVKSISIDQATGKANGVVLENGT HLPSDIVISNADLVYTYNNLLPKTSYADSLSKRETSCSSISFYWSASKIIPELNAHNI FLADEYQESFDSIFKEHLIPTQPSFYVNVPSRIDPSAAPEGKDAVVVLVPVGHLLSDS AGTHRGASKSGSSNITGGGGQDWEKMISLARDTVIKTMQARIGVDLAPLIENEIINTP YTWQEKFNLDKGAILGLSHSIMNVLAFRPGTQHSKYKNLYFAGASTHPGTGVPVCIAG SKIVAEQIMKDSGFKKHQIPWAQETSKSPKSGLDKLHDSSLTLFQGFLGALVAMLLAY YYMFIAAN FPSE_02160 MGWEYAQVHLKYTIPFGAVLFAVYRPLMSRLDIFKLIFMITTAV VSTIPWDSYLIRNRIWTYPPGVVVGWTAWDIPVEELFFFVIQTLNTSLLYMLLSKPTF HPVYLSKASSSGKIAGQILFASAIIFGLVSVRSGGEGMYMGLILIWACPFLLFLWTIS YQFIVNLPWTNTLLPIALPTVYLWVVDTFALRRGTWSITSGTKYGIVLWDGLDIEEAV FFLLTNTLIVFGLIANDNTLAILDTFPEHFPKNKGFPTLGLVIRALLLPEDKYDQERI SGLVSAVDLLRKKSRSFYLASGAFEGRLRIDLIRLYAFCRAADDLVDEAPSVDDSRAS IEKLREFLNIAYKENEDEEISPRLREFVTSNFPEMFHMALLQLPTFYLPKQPLDDLLK GFDTDLLFDRKSGKFPIESTEDLDIYGSRVAGTVAELCNHLILYHTPESVSPEVQREV VASGQEMGIALQYVNIARDIKVDAEIDRIYLPLSWLKEAQLTPEGVMQQPYGPKIESL RQKLLDRAFEKYDAAKGAIDKLPSEGKGPIRVAVESYMEIGRVLRETGPVMKKGRATV PKSRRIRVAWSALNR FPSE_02159 MDSFQKTVPETVSMSHPIEQSPPQPLRHPYLIGNFAPIHKTLNL TPCTYSGCIPPELTGGQYVRNGGNPVSHEDLGRDAHWFDGDGMLSGVLFRKGTFDGQI VPEFVNQYILTDLYLSRKTTSVMSPIMPSITTLVNPLSSLLKIMLATFRTMFLVFLSN LPGSQQALKRTSVANTAILYHDGRALATCESGPPMRIQLPSLDTVGWYNGAHAEGEPE QALSETKIEPFGGDGIFKSMREWTTGHPKVDPISGEMILYHNTFIQPYVHYSVLPKTN VQAPTTGRLVNQAVPGVSGARMMHDFGASRAHTIIMDLPLTLDPLNLAKNKEVVSYDP SKPSRFGVFPRHEPSKVRWFQTAPCCIFHTANSWDTKSVNGTSSVNLLACRMTSSTVV YTAGNIKPPAKPRRSNPRSHHATEDVGQWDEKDIRRFEAAPMLESPSEKVHGEDYFSP SDEADDYSQCRLYYYEFDMSATPTNRVVNQWALSTIPFEFPSVRPDREMQDARYIYGC STSTSCFGVALGRADKVDLLVKMDAKTLIERGKRMNTRPVTGCVDRRSAREILDSTDN NDPIKIFRLPPRHFAQEPRFVPRAGATEEDAGYLLFYVFDESQILPNGDCPFSSASEL WILDAQNMRDVVAKVRLPQRVPYGLHGTWFSAKDIQEQRAVETLRSLEAVQRKKEDWV NSGGSIARSWMAFKEKLERAVG FPSE_02158 MAPSSSHARKSSGTTESVGRGAGGRVSKRSDNAFILDLQQRAAS NQPNGAPTEYVTPGSLDTNINVGGLSDQVDALPHVSSPGGNISVSLPPDDCRLDSGSS TNSGSRGLDPIASALVNPLSAGQSKFMASAQGREFYLGTSSNWSFTRRVLSLTHEYVH SSPIAADNLLFDGLAYDLGWNGSRIAPVPEPPVMPSLDHALFLVNTVKFHCGQLFHLF DDETFMGYLHEFYNDPTSHIEAADLRYVHLLLILAFGKAFAENNNYQSKRPPGADYFV TALRILPSIHILVYEPLISTEILCCIALYFESLDYRHSSHGFIGQAMRVALGQGMHTD MPVDQLGERIVERSRRIWWTVYVLDREMTSLMGLPQSVHDDDIHPALPHFDGSTQRAA ALHMQIRLCRSIASVGRGVYGANGRLNKKFLLSTKKVLENIAGLADELQQQFPLGVDK AINGVSRVAGYLHTLYHQCIVLATRPLLLCFLKIRFETPDAITEALNSSQTVWNLIKM CIDSSYQMINILGCLQSQGLLEAFLPFDLESLFVSSFNLLIAPVLDPRFSEHDATFRH KTSIIFSEMVNKGNLIAVFRRSELQQLDDMLSSLSRDHDDTTPIPIDGQIIGGTMPEE GDGVLLDPNDDNETMASLGPGMDDLGMDAWFTTAQMIDMANSIANSDTEWVSHTMMEH DIW FPSE_02157 MGSLNPEPSQQPHVPSPGVWCPSVTFFNHADDTVDHDAQAKYYA HLANSGLNGLIVMGSNAEAFLLTREERYDLIALARKTIGPDFPLMAGVGAHSTKQTLQ LAEDAHKAGANYLLVLPPAYFGKATTMNVVKRFFAEVAAKSPLPIVIYNFPGVTNGVD LDSETIVQIYEESKKSHPSGKSNIVGVKLTCGSVGKITRLAAQIPSDEFSTYGGQSDF LIGGLAAGSVGCVAAFANVFPTLTSKIYALYKEGKFDEALKLQQLAALAERPCKAGVA STKYAVAVYSAKLAGVEGAEEKLAPRHPYEPVGDAVKQGVKSIMKEAGEKEEELLKTW TDRVKGRLN FPSE_02156 MDTESLLLALQLQQQDMQLWGQSRKGKQREGEITDADLAFESCR HELDAMTAQISDHILALSIARAVESDGQVIREAQLAEEQAARDHEFALMLSNDPSAGL RPATVDINEDELTDVTEDDMIDILRSLNLGDFPYSMSGQPESSSWALSRNESQTAECI ACNDQFPPLALFRTSCSHEYCRACLVGLVRSSLQDESLFPPKCCGQTIPIKQGRWFSP QLIGQFQAKKLELDTSNRVYCSEPFCSTFIPPVFIAGETATCPKCDRKTCIHCNGPRH TGVCPNDTASRQVLQLADQNGWQQCYSCHRVVELETGCYHMTCHCRAEFCYLCGGRWK SCTCPQWEEARLIRRANVVVDRDDNAGRMNAALRQARVEEERVNLMENHECTHANWEV RAGNHQCVVALTGSKGLPLATSVYQPLSYLDQY FPSE_02155 MTPQKIEEPQGPQDNGTSASTTVPHGCIFSSGPMFEEPDLMIDD EDLMIEERLDSGDSQYEKALGELEAESKAKKAGEIDEEQGWRDFVDDLTVTWRNAIEV ETRAFEVEEKAYVQEKQAIGEEKKVAEAMTKLAEKARKIAMEEKQKALEELKAAWEHF DSLREKKQALRDASSSGTARGRCQLMDEVY FPSE_02154 MSVPKSEPILIVGGGAFGLSTVVHLLRAGYRDITVLEKDVEIPS RWSAANDLNKIVRAEYEDPLYQDLTVKAIKGWQTPLFAPHFHQVGFIHCVSGNAPKEA RDTLNRFRAAAERDPRLKPHVTPLNSQEDIASVAWQYNDSSFPGWSGYFNKFDGYAHS GNALRSIFEATKAQGVKYILGTAGAVSEIVYDNTSTGRKAKGVRTTGGLFYPSNLVII SVGAAGAKLVPEIGTQLVAKSWSVAHLHLSDDETSALRGIPVTYARDLGFFFEPDPKT NLLKLCPMGGGFINTDPKTGVSHAPADPKQSAFLPEDDDRQLRELVRQTLPQFSDRPF VKKSLCWFADTADSDFIIDYVPNTSSSVLLLSGDSGHGFKFFPIFGEWVKDLLESDKG EQPVTRWRWKDTEVGEKQGDWGGAVSWRLGNSKEFVDIQPVGDPRL FPSE_02153 MYITSSRGLVLALAALPTAFGKSYSHHAEVPKGWQVDETARVAS TGKQQVFSIALTMQNVDQLESKLLDLSSPDSKNYGQWMSQKDVTTAFLPSKEAVSSVT KWLKSKGVKHYNVNDGFIDFALDVKGANALLDSDYQYYTKEGQTKLRTLSYSIPDDVA EHVQFVDPSTNFGGTLAFAPVTHPSRTLTERKNKPTKSTVDASCQTSITPSCLKQMYN IGDYTPKVESGSTIGFSSFLGQSAIYSDVFLFEEKFGIPKQNFTTVLISNGTDDQNPA HNNFGEADLDAENIVGIAHPLPFTQYITGGSPPFLPNIDQPTAADNQNEPYVPFFRYL LSQKEVPAVVSTSYGDEEDSVPREYATMTCNLIGLLGLRGISVIFSSGDLGVGAGCLG PDHKTVEFNAIFPATCPYVTSVGGTVDVTPEIAWEGSSGGFSKYFPRPSYQDKAVKTY MKTVSKQTKKYYGPYTNWEGRGFPDVAGHSVSPNYEVIYAGKQSGSGGTSAAAPVWAA IVGLLNDARFRAGKPSLGWLNPLVYKYGPKVLTDITGGYAIGCDGNNTQSGKPEPAGS GVVPGARWNATAGWDPVTGYGTPDFGKLKDLVLSF FPSE_02152 MASSIRALTSKGRLTPLCRVRASAPAISLQRRGNATTVPFRLPA ARNEPNPTYTKNSPERAKVEAALKKLRSQLPVKSEIIFNGVSQQIHANEDQVLPAEHA TVFTNYPLASKEQVNAAIESALKAKEDWQNTPFVDRAAIFQRAAELATTKYRYELIAS TMLGQGKNVWQGEIDAAAELADFFRLAGHYAAEIMSKQPERGTDGIWTRIDYRPLEGF IYAVSPFNFSAIGGNLIAPAILGNVVLWKPSQYNIHPSTIIYKILQEAGLPKDVIQFV PGDAAEITETVLQHREFAGLNFVGSSEVFRSIYGKIGQGIAEKRYRDFPRVVGETSGK NFHLVHPSADINNVVNHTIRGAFEYQGQKCSATSRAYVPESRAKEFFSLIQQKMKDIT IGNPDKDFEAFMGPVIHGRSFEKIKKIIDESNKDPQVKLIAGGKYDGSVGYFVNPTVY QVDSPDHRLFNEEIFGPVLAVHVYKDSEYTPLLKNIDQNGGGLALTGAVFAQDRAAIR QAEDALRYSAGNFYLNCKTTAALIGQQSFGGARSSGTNDRAGSPDMLRRFVSPRLIKE EFFEQTGFLYPSNTQ FPSE_02151 MEKHQDVVETIFSRNHLWKPILGDVAAAAISATLITPVITVIDQ SMVEKVSFNRPLSEGMKSNSLHALKNPGRFTFGRPFGYVWVLYAATYAVSNSSDTLAR EFHKAAVGTITFINTMIINVPLGVLKDVRFAQMFSASPVLTPKVAPVAKPGVPRAATA AFLMRDAVTIFGSFTMAPWLSSLIPDSLSSSPHSKAVISQLTVPIFSQFFATPIHLLG LDLYSRPRGIPWIERSAQIRRDLFSATILRSLRIIPAFGVGCVANMEARSRFHKLFRT S FPSE_02150 MTGSGNATLTILGTGNITKPIVKNLLPAIKNGKTDLPFTRIIAC VRSEASEKKLNQQFSEYSTALTVSRGDNVTAVQQGHVIILGVDPADIESVLTQDGIRE ALSGKLLISVAAGWTKEKIEATIYGSETTKDNWSGRAWVIRTLPNIACMVSEGLIAIE KSPSEPEVPQEHRDLAKAIFTTIGETVEVPPRLMNATTAVGGSTPAFWAIICDAFIDA SVAVGVPRADAQKMIYQSMKGSAAMLQSGLQPGDLRDQGTSPEGCTIGGIMVLEEAGV RGHLGRALREAVTTARLMETTAHVNDTRPTS FPSE_02149 MTTALSGNITLKSRAARNLTLTLTAPGPRVRRESIVPLIRCTST ATSNAAAALEATRTNTPVVNGAETPLSELPPLILYRSLFVNAVSSRPWLLIPSLHLLQ KLSHAGNPYLFNVSRNPLLRTILKHTFYKQFCAGETGAEAQATMKELQDMGFKGTILT FAKETVFDSKTGKEQGHGIETSTTNECQWCDNIEAWRDGTLKTVELLREGDQLATKLT GAGPGVCEALHNDAQLPKQFVDACYEISQKVKDRGAYILIDAESQHYQWGIFRLGLEL QQNFNTDGNVVLYNTYQAYLKSTQESLQKHLQIASDKGFTLGVKVVRGAYMSSDPRSL IHDTKQDTDDNYNQIAQGVLRQDFLGFGGSNAKPFPSAQLLLASHNKESLVKAHEMHQ ERTKAKLPTVPVKFAQLHGMSDEVSFGLLKLKDDNGVAPEVYKCTTWGTLGECMAYLT RRAIENRDAASRTLDEYTALKNEAWRRLAFWR FPSE_02148 MSTHQHSAFASAQYISPDPIFEVTKRFNADQDPQKVNLGQGTYR DENAKPWVLPSVREAEKLIANSGHEYLPIEGLQSFRDAASKLLFHDTTAYKEGRIATC QSISGTGSLLLAGLVLKKANSGIENIIITDPTWSNHDLLFHEVGFNVVKAPYYKDRSF DFEGYLGTLKAADKRSAVVLHACAHNPTGCDPTRDQWKQIAEVIKENGIFPIIDSAYL GFNSGNYDEDAWAVKYIIEELGLEAAICLSFAKNMGLYGERVGLTAIVTKSEETKKTV FSLLQQSQRQTVSNPPVHGARIAAAVLSNPDTLKQWRQDLVTMSSRIKSMRKKLFDEL VRLETPGDWSHIVNQTGMFGYTGISKPQIECLEAKYHIYMADTSRISLAGLNEHNVEY VAKSMDEVVRTIN FPSE_02147 MSEHHYPLVEPNYRTDEARHDREEQDQEWHTDFHDWANRAQNEF SQAVSTYLKGSTRKALRYKPSKPRLSKPC FPSE_02146 MLAKVFFAIAFATLGLAMPQRGGNNNNNNGNAQQARVDDLRNKG LTCNDRGDGIFVCDDGFGGNCFVNEAGSGNCLI FPSE_02145 MSLPTTSPFNPDGTQRGRRHSIFADFIPDDLAFPPPFIDPAPNV EEILNRDIDECSSGDEHRDEEAVEDPRYVDESDLQLAFHPNGIAYGSGFSTVPPTSID IPAPNPHDFEQSYRAEVSLLRDNDVIPSKTPPTKWHATFWGRLYRHIFSTRVRDHDRP LFAAEDSGETTPLLRDRIVRFDGIPPTPGPDEVHKRWEEALAAKKLETTWQRETKTLI QYAAPLIVTFLLHYSVTVASVLTVGRLGMEELAAVNLATMTASITYYVPVQGLATCLD TLCAQAYGSGHKHLVGLQAQRMTWLLWILMLPIAVLWWFSEPILAAAVGPGRTTALAA MYMRILIIGMPGVSAFESAKRFVQSQGLFHATTYTLLVGAPLSFLQNWLFVFKFGWGF NGAAIAMAVTHNLLPLLLILYVRLFEGSECWKGFNRKAFSNWGPMIKLALPGMIMIEA QFSVLEILTIAAGRFGTAQLAAQGVLVTITSTSFNIPFPLAIATSTRVANLIGANLSG AARVTAKVAIFAGLFVGLFNLTMFITLRKQLPNIFTDDAQVIDIASNVILVCAVMQIF DALAAVSHGLLRGIGRQAIGGYANLFSYYVVALPIALGTSFGLGWNLAGLWVGLTAGL AVVSGLEAIYLYFTDWEAAVAQAEARMRTETHRRRSSISARSQ FPSE_02144 MTTAAATQTVPDTLHYDSGYQDQDSDFSSDDGQEFHVEERTGRS WPTKMLHAMIKPIKPRLVKTGQVSSADPTRCKPSRGARRRCDVEERRVEGVWTYELTP KASSDEPRKGYARRILYYAGGGWQAPPTGQHWTFCAEMVQQLQDTRLTIISYPIAPKD RVQDSFPAVIKTYNALLQESSELGERVIVAGDSSGGNIALCSVLWTMRDQDVQVIRPP QAILAINPTTDLSHKNADIKEVDKVDPILSEAAIHDTAGKWAPGVSESSHTPDDSISK GHPNERLDWSVDDPRVSPIHADLSMCVKHNVKIHGITASHDVLGPEAVVFREKCRNEG IDGEWLAWEQQMHCFPLAYKYGLKESKEGLEWIIDVLKRS FPSE_02143 MADAPDISRDHPPPVNCAGRPNNNDRTIADLTIRTAMNTNHNEK TGDELYAENKQQKQEPRSQGTDVLSEIKDLESASEVIDSKSRQFIAKIKEIVLENEKA FENEEICLQIEELYLEIEKEFDSLDIRVKAVGRFLAETSFLVKEKCDDVSLLEKYLDS FKQLDEANDGVIGEQEKSQLYRLSQALASELADVKRLQQLLVGKPAERAKEHAEGDTN PVER FPSE_02142 MTGTMERLPGFNVANHFEKRQLLIAINSVAALSIFFFGYDQGMM GGVNNSKHYIDLMGFGYVDEKTGEPVVTDSLLQGGIVSVYYLGTLFGCLFGGWIGDKL GRIKTIAVGAAWAILGAALQCSAQNHEWMICSRAVNGIGTGILNAIVPVWATETAEHT SRGQFIAIEFTLNIFGVVVAYWLEFGLSYIDNNGSQFGWRFPIAFQIIPLLVLLGCVW FFPESPRWLVKVGRDDEAQYILQRLRGSSEFDCARAHAEYTDIKNIVQLENKESSKNS YFHMFFGIGSGELHTGRRVQLVIWLQIMQEWVGIAGVTVYAPTIFRIAGFDTKKSQWI SGLNNIFYMFATLICVYTLDRIGRRWTLYWGAVGQGIAMFLAAAFSRLGQDARDAGDI DKANSYGAAAAAFVFIFTFVFGATWLTVPWLYPAEIFPLQVRAKGNAFGVFGWSIGNG WLTLLCPVMFSKIGENTLHIFGAANLLAIPMVWALYPESNQRTLEEMDLLFAADTPWV WDAEKKFKELKEQRPGLISSSAHTRDVMDVEPGAKSASGDEVNMST FPSE_02141 MASDETTPLLPSKGEGKKSNWLRNVCHVENRILLAGFLITLAFS FTQVPLFYVFHLMSCDSFYDLHPPYDGPGDRCSRDEIASMTATQFSILGMSTTFCGTL NLFLAGWIAKKFGPRAALLMQIFIPAIRVVTQILGVLTGKREGMLIIQMTQLITIFGG PSGYILVINIIAGEVVEPLRRTAVFGRLQGAIMLGQGIGYLTGGMLGDIDIKAPFGTS FCCFILSGIYAWSALPYISPESMSSGGRPATGFLTPLKVLMPQKLRLADGKTRKHLGV IFLCAGIFLGVLATDYAPLLIQMYATAAFSFTQGDNGWLMSEFAIMRSFFLIFLFPRI ITWGRGWYVNSRTTSSDEVDETITQHIPTTAGEFDATVGEQADHEPYQAVNSNKEDEG VRFDLVFLRWSLVVDGLLTTVSGFATQRWHIYLAAFLLPFGSGSAPAAKGCITQMCPD SQRADALNAVTLVENIARLATQGLFGLIFATLAQAGKAYATFFCNAAIAIVAMGVLLF SNFPPLGSTLIDKNDGDNEEQSGDQENEPLLNERE FPSE_02140 MRASRFSLALLGLVATTFVHAQLVVGPTAQQVLDAALDALGGTG PISQLKGITFHAPRIYRSRSLMQSYQLMKADTSVMTSGSQNISYKLDAEDFQQRIDRH ATPSNSWSWGSPDLKPVDFSLVVHDGVDGFACYVNGNNMIHLPENVTFGYTDDKEHHF SILQDIQRGITVIMDSKSNLPYIVRTIESHPIYGNATKDLYLSNYKEVQGIKFPHFIQ TIYNSTTQRLSAVLEDFLIEEVTLNPDFPAGYFDGIPENQSLGPKTPPAKSSIFSNGL VTDYSSSMLGSGVAPQPLKVVRTENPVRGLSQVHWLVLNDRDDLGFKMVIIEFKKEVI LCDAPPGWSETIMQWVSDNLKKPITFVAPSHHHRDHSGGVPDFVKAGVKLIIPEIAVK YWSSVLGAEFVTFNETHPYMHNDDKIAAWFNWEDQPSHASDWTYVVVTERCASADSPV VAFEADSWEAGLDAELSSQSQMRQWLDQIVSDGLPRHTIVFPSHGKIAPLEQLLEITA YPYPDFDVTNWRDGAAICGK FPSE_02139 MIFPTLSLDSVESLAILFFLGVFSHVFALRKGEWDMWTMTFICT WITYQAITPCALNQLRHMAYFDAMILANKWLFSFLLGMTSSILIYRGFFHRLNRFPGP FVARLSNVYASWLAIKEEHMYLEVQKLHQKYGDIVRIGPQELSIATPSAFRILHANNS PIVKGPFYNVARPCVNLLADRNKKSHAQRRKTWDKAFTAKALRDYEPRVVRYTKQLTD QIEKTKGNPINIGAWINFYTFDIVGDLAFGTSFNYLVNGVKDKFLRDSHDSQVLMGYF RQCTWLFEVFKETPLLNNSWLSFQSWLKQKVETRRQNKPSEPDVLSWILEEYDSIENP TKDDYQRLCGDAHLIAVAGSDTTSAATSVLLHQLTLHPNVLKKLQAEIDEYQANYEKP DHFSMTKLQYLQACIDESLRLHPVIMSGLQRMTPPEGMQIDDVFIPGDTIFHAPSYTI YRDERCFVRPLEFIPERWTTQPELIIDSTVYAPFSTGRGACAGKQLGLMEMRYVLTEI LSQYNMSFTPGANPEAFIDGLRDCFTLELPELNMIFTPRGEKEHRTIPS FPSE_02138 MAGLQPDIYAAISITWIIAFLALSMRIKARGMMKTKLWFDDYFA IVALFFITSYCSVIVYWTHSFKLGLSLSTISDPAEAIHIQDRSRLLLWICELLYASSI AVCKLSILCFYWRVFQHTSIRYAIIGLLVAVSIWITIRTFMVIFHCVPVQAYWNKDLQ GAKCPFNEANFFFATILAHTTMDCIILILPVIEVMKMTLPLSQKLAVVGLFTSGTIVC IASIFVLVHSKLYNPRTDDIPKDMAANMMWSTVETNMAVFSACLPMLRPIFRHFLPGL STTEESVRAPISLSNVGPHPIVRTQSQLKKQEFRRSGRSGLYDPELGAFHGFWDISSI RSVGSRSESGTT FPSE_02137 MTSILDHIVILVSYQTLQKLPKLLEHDLNVIDGGTHADGRTVNK LVIFSDGVYIELIAFQEGLDPEERKKHRWGELEENTIVDWAYTLPDEKDFGTIQDRVK QSTSEVTYHDPVPGGRLRPDGVQLKWSVASAYTVSGQALQPGKVPFWCLDRTERKLRV PYQNDNGSQPSYTNHPSGAIGVSTVSVLVPQTERDTIAQVYNGIHGSKAEEETWHFTV HSGSTQGKHLLKLDNSQDGQRRIHLTLLGHHGSPSSIEIVPGLVVGIDSSI FPSE_02136 MSDIPDEPDRNEAAPLLADSEGGNTGEWPTNNWWTELSLIARYT IPLVATYLLQYSFSVITTTAAGHLSPDDLAASAIGVTTMTIGGLALYEGMATALDTLC AQAYGSGNKTGVGLHVQRMLLLMTIVTVPVAIFWISSPAFLTLVLRQDELAAKAGSFL RVSIIGIPGYASFEALKRFLQAQGDFNTAMLVLVVCAPVNALLSWLFAFRLDMGLEGA ALGAAVANTLRPILLLLCIFFKKSTHQCWPGFTTRAFQDWGPMVRLSVAGSAVTLAEW AAFEIITVSTSYMGTIHLAAQTILTTTSIVMWHIPFSLGVAVSTRIGHLIGGGHVLVA RRVTILYGILFVALGTLNGTILLSLRNYIGPFYTDDDAVRRVVADTMFAVAAYQVVDS VICGCNGVLRGLAKQSVAAWVVFIVNYMAAVPFAVWLELGPLHFGLKGVWSGIIGGCA IIATIEIVYMIRVDWRKSAEVVKSRED FPSE_02135 MGDVSQAAPPFYPLTDSNHAALVVVTAIIFFIYAILGIVGKLII RLNITSMKDFDIWLLVSALIYFIQTACVVAACNHGLGEHRDNISDDDFVRFSKLMYTS RILAILVHATTKISLSLLIRQIDSQGGLHLANMILGGVVIVWAISGIFTTAFTCPLPE PWLADNKIQCPAQGPIFVYNGIMVIVTDIALCLLPVAMMWEVQTSIRRKLIVISLFGV RLLVPIMTIPELTHAKYMFGGSDDVTWRAVSTMIWGQIALGLSVLTVCIPSLKGVIDS LLGSTAVAALNTPYELKYSDNETGLEMTALSESKSRRTSKQGSGLSSGLRKTSKARHS HQTMWRHDITSEVRTEIGSGSDSVRNLTEGVMVNTDFEVSYDDKHTSRADSMGSTEGA YRLQGS FPSE_02134 MSHDGNSIELEPSGKQQAIPVSVDASARPAEESGIYSVTRTRKV FSFSQLFAFSLTYMALWEGMCSNMYFALFNGGPQTFIFSFIIVFFGAIAQAASLGEMA SIQPVAGAQYHWTYYMAPPSVKRFATWIQGWATWFGYISLLAAIANVTIILLESMISI NHPNYVAGGWHTSVLVIAMCLVHGLMNVYAFKLIPWIELVAGVLHVCLFVIFVVVLVV MGPRNPSSFWLERSISSGWENNEYVSWNLGMLTCVWSFTGFDSAIHMSEETRKAKSAV PRAMFWSIFMNGVLGFIMVNVLISAMGSVEDMLSQPSQIQAILLNATGSNKGTTAMIA GLFIISFSVNLANIASVSRLTWAWARDGGMPGYFAYVSPNHRVPVRSVILTVFLVCAL NLLNIGNTSYVAFGAITSLSSLALYISYAIAIASIIYVRLSGSTLKLGEWNLGRFGLA INAFALVYTLYVIIFLPFPSTLPVTGTNMNYCGPVMVAVLAIAVGLWSTHARKNWTGP NLTILDFVVANASE FPSE_02133 MSKVNEDTIRQFANSQARSIEAKDPKLVSSTLADNCRRIMAPAS FMKSMGLPDELAKQGSSNETYEQQFAMQMPFVQSTSCDIHDISLDQQNSKATVHLTHQ IKLLGMEEDFFIENMILLDFDKQGERIEKIVEFTDVVESVKYMQALQGLAAAKQDSF FPSE_02132 MTSPQPPYWQNPQGQPGYSGQGQPGQGYYQPPQGQQQQQQPYGY PQQGHPGAPPNQQYGYSAPPGQQQYPPPGQQGQYQQPPQGYPQQAPYGQPPAPQSHYG QQPPQAPYGQGQYPQSHYPPQPHGAPQQVQPPHPDQQWHHQAGQQQYGAPAFNAQPTP ASPGYDPAQKAWVQPVDTSADAETLRKAMKGMGCDERALIRVLVNPKYANPWTMAQLV RDYNSRFMRDLAKDIESETRGDFETCLLALIRGPLENDVHNLSKALNRAGTDEDALND VLLCRSNADIRAICAEYRRVRGKELHVDVKDDVDDTLYRLYSMVLSATRAEDSAPVIP AEIDHKVTEIQKATEGMIGANAVAVAQIFTSSNDAQLRAINEAYQFKYHRSLETVIEK EFRGDMEDALLRMLYHALDRARSDAGRLQRPLNKSFGKSRLFINRLVSLYWDQGRLHQ TNMAYKPVTGATLAGNIKSELSGDYEDFALAIIGEK FPSE_02131 MSSFSPQLQGAHAMVTGGSKGIGRVIVETLLNEGANVSYCSRNI HGKEFAGFKGANGEVTAVGTSVDISNGDAIKDWVETSAKKFGRVDLVVACACPMLFDT TIETWEKSFQADILGLINLINASAPHLEKQKGSIVVISSIAGFQTKHPAIGSPYTTMK RAQATLAKDFGRWLAPRGVRINSVVPGAIDPPPVMQPDGTLEPSSFHKAMEADPAWKK EALDSIPLNVIGEAEDIANAVVFLGSRLSKYTTGTNLIVDGGMNSAL FPSE_02130 MKLPSSCLLALVAGSSALNIPSHHDAPLTIKTTSGELTGFINET APSVRQFLGVPFAEPPVKSLRFQPPRRLHGKGSISAKKYAPSCKQALSNAPTVYNQYM TQFLINGGQSEDCLYLNVYAPLNPTSKRLPVMIYIPGGGFTGGGADSLYKIPDKWIQK TQAHIVVTMNYRVNVFGFPNAKDAHQNAGLLDQRMVVEWVRDNVAGFGGDPNQMVLWG QSAGAGSVGMYGYAYPKDLIVKGLISDSGAPGMLVKPYGNYTDFDTIAAKLGCKTGKK QLECMQKVDASELQKVYSETAGVSFTPVGDNTTAFSNTTDRLARGLVTKVPWIFGNNA NEGAGFGTFNKSGVSASQLAIGRAAIVCPVAAEVENREKFGYPTYRYYYTGNFSNISP LPWIGATHSAELPLIFGTHYEYRGNSIEYEWQVADGMQSLWLSFAKNPTKNGIKGDGV TWPLYKPDQKKMAVLAETGKKWFQLGPESLTDDQCSKT FPSE_02129 MKFFTIVSTLLASGTALAAYVPQANSARGLSSGAAELVNRNAEV HARVADSGNPLDTVFDELKKVVPSAEDPTDKLDSRDLVGDMFNLVSPNAVDFNDKIGH GFNVTASYVGSIDFNAQVSAGIGYVSKKISSSETNIPGATIIVTFLSGLKVLVAKFNL NSAAQGCLSVVGQIIASIDFNALVAGGVKLYKTVSSSLTDAMQS FPSE_02128 MSPLPFTFVNVSNAPGLGPKERREMRGHVTQTNFAKRRQRLAKA RDDDKSDTVERNLALSRSYNQRFQPGQMSGDKATVLDPRCDRLLTNAVNSAWSPIAYR MVAMLYTFRPFIFPAGTAGPGSSREAEWIDLLQSEPALVEASISIALSHCTGQNDDVT FRQAVLHKGRAIKRINQKLSSPSGLTDGVLSAVFTLTFAALLESDSKARDAHIEGLAQ MIRYDTIPTHDVARDLTDPVSDSLGQALLTSNYSNQPLIQALQNQNDPNATDITTISN RINGLCLLIDAYHESPNPGPDSALTIGLEVERLQLEIDILLGSLDNYVHSLHDALQLY LLLLWPVGEPDRLHGLAEGLRHALLQPFMRLCASMGLFVWQLFVGATAAEPSSEVRSW FITRLREVSCSMTGLGQGVILKHALYLFGRQHAVQGFAYFSQLIQVVLDLKNKSEHLR LFSPWTVCFIELFRGLYGTQSPHAHWSISRAFIVSDDQNQSGDLFNSCPPSTPTNSHK MAVETDSTLITTENAPLTPIYQLNRELACKVQRNMAIIFETVHVTETANPESNTVRRR KVTKDLYYLVHLRNSPSRDNLLKYYITAASPEALGNIQLDVIDTQEEGFRAMLNADKS AADILLFNRDTQQLLFDIKPKWQGGQYQWIDVNGVKVASEFGTSEEPRLHIEVQLQQQ KRDALVALWILRLWYSIAENRTATREVEAPEELIESVCP FPSE_02127 MIDTVYYELSWSILSTIGIANVLFGLMVAGITSFGPVSIVPIVT SAAGAIANGLCYYAFYDKDTSATSAAIASIFADILWLIQEAGLSFYSYIILSRVLRGR QWIIFATLYWSMILSVAAIRVVIAAVRARRILQGLDDDQSLINHLHMGYFILIALLEC VSSFFLLRVFGSAKSTSLSAAIKAGLFRYLMRSTEVRLALLAVLGVMRAITYSFQNSQ QMATNLASQIDRIDILASKVVFHNQVYGSSGHSRNHPGGYPRQRFGGNGLDIILTTQQ GENIVEVRGGESSGDRTSSQERIISRAHRNTSSDIDMEEMDTKQIGISKTVEFEVRTS SDPAR FPSE_02126 MQSLLQYRRAGAAAQAQIDRDVGKAREHMPPDTTRDVEASKPPV RRHPRKKDENGLRRGLSRVIEEQYVDEEGHEEPVPIQPSVTAETIRQCMSGGVALGQV LTGIQVRPHKNAKGEEGKVFVVKWEGPGDPLDPHNWSVGRRIGVTLQISVIALFVGAA SGIDATVLPQASKSLGVSEVAESLATGLYLVGMGLGSLVAGPFSETFGRNAVYIVSMA IFMVWIMASALAPNFGAQIVFRFLAGCSASTPLVCSGGSIADMFNSLEKTWSFPLYAV AGFGGPMIGAVMGAYIGPSNAVSWRWTEWTMLIASGLVLVLVLLLMPETYGPLLLQWK ANHYRRITGDDRFKCEHEIADASLFSRLKVSMTRPFLMLTEPIIIAMTLYLSVLYIVL FTFLVGWPYIFEQTYGISQGLSNIIFVAMFLGTQINFLFVPIVYRKTLRAAQKGDHFK PEIRLWYGMLGASPAIPISLFWLGWTNYANISIWSAIFAVVIFGYGVTGIFICTYMYI IDSYEIYSASALTFVALTRYIVAGGMTVVDSGKE FPSE_02125 MAEQTTPQYEFMRLDTKPSGQLSYSFTPSTVPGDANPTLVVFVN GMIAPQTSWIKTISKLQELSPQGLPAILTFDRFGQGQTTDRDPNDEGAADPLHAHDSM DVVHDIRQLITQVLKNKLNIEDPDSTRLFLIGNSIGCAFSRLYAGEYPGTVSAILFLD SVLTDTDFVSVFPDPDAKDFNPDDLPSGVSADNIRVAREETRKRFHPSIGSKEGLTRK NLKQLLSKADSPALPKTDGKEPYVTVLGHDFDYFAKRTGTDFNTPPEVVNAYMNPYWH HYNEGLAKLTIKENSDGPMQVPDSGHFIQVDNPDFVAGKAHEILQKLAKK FPSE_02124 MTGLLQLIRPREICPLLFNKRIQDKSNRPQASLNCRTGTSPIVI WHDDDNDESSTISGSLTLEVTDDMVEVQSLYAILRVHIVNRKPFKKGCKGCKHNFTEL KHCNIITTATTLNKGIYTYDLSYRVPSYLPPSMETSIVSVSYEFEAIASLRKLGQSPT SLQTLALHRVLPVARSIPVMDTQTYSNRIYQMAGIEVGCAFDTVMNPRIKNRATFTMS GLRSSPGNSEDIHFWRVCSGTWILEETVKSTASACLSHCRQNKGDNTRERRKRTILGH SAFYDGWTTDDDAGTLSMDFYYSIQKSLGHYTQDTGDVGDTSVSHALVMEVQMMKEIY PNGRQDSARRTGIGRILRSEHRVVLSDYTTLSNQISGESLPRYQDVCTGPPVYEE FPSE_02123 MPDEPIQSPGRTASGKPRKPRNKSFSGCWTCRAKHVKCDEAKPS CNRCRNAGIDCEGYGVRLSWASVRNPTTFKRGGKRRSTRLTSERLRRSSDLPAVGETA SEPSEHGASSASDLGDEDLGEGPSDNGPPDLHSEPSSTPRTSNHTEQPGLAQDLYTNQ LNTRIFHSYELLRQGLTTGPPYASLPTVQPHLPLLQVEQNFDQSPIVQAETNTSTPVG TNDVGTGSLPLPSVPESASHASSPSNTQTIPQKITRHIDILTNPGLQCELMQHWTLNL CDSLNPVPGIYNPMRSAMMPIAWEGARTDSKTSTGATSLFHFICSASAFHLAKKRETP ESRRSLENVALEHHNLGITHLAQNIRHSNDGAQCVALLAAVIICIMNEAVTLPTSFWR LHFRGAVEWVNHIDPQVWHRNEAASSIYYMFRGMNTVVQTQLLYDIHGTSYWDFTNDL GPQPEPYSLYTAFGLPQPIFHGLRAMNTLAMRRKSPSDSNPTPDELDRLELELYLSVP SKPDISATKEYSDLIYHHGFTFYYSALIHMKRTLKDMPLVEVQPLVEKALPHLEALHT CTTQRFSPMIWPVAMIAFEIADDAMQQRMLKCLNLLWERSELAIWTQITHLVKELWAL RKREGANIKWYHTALGSMTDSFMLV FPSE_02122 MGRVVIHAVRHAQGYHNLGEEFFNIVDPALTPLGEQQCEERRKA SFQDQSKFRFIAASPMTRTIHTTCLIFNSALQTNDILAIPEAQEISDHNCDIGSPPAV LAERCIQNDWPVDLSLVSDGWNDKDLYGPNSPITGACAQRARTVRRILRERTNELSRD TDEDVHIALVAHGSFLHYFSNDWEHSTLGCGTGWKNCETRRYVFQNDESDEDAWVVET DESRRARGLQGPAPSAEEQQKLYEITMVGWVEQGLPDIRYYATALAHPRHEDQAKL FPSE_02121 MRLQLRDDKDDGPVHPFVNPYTELNIGLWLLFAGASVFLAARLW VKITRRHGMWYDDHILIFSWFLLLANNSLIVYEFGNGYVLEDSSKQWDDRMHILINIS SCGTLIGQALTKTAFAVTLLRMSNRWQKWILWFCIVSMNVYMAFKVVFQWAKVCGKAT YDNWYRLDFCLDPTFRTDFKEGGNSEYSLYNIIMDFVFACFPWLIVRTLEMKKAEKVG LCLTMSLGMIVAIVSAIRVSWKDQGNGRDAYYIWRNGMSQIWYSSEIAGTIMVQCIPI LRPIIRNIHNSFTSRKLESSAGERKGSGWTWTRSSKHISTGPLPVLVHNNNNENVELR NIPEENEPQEFDFWDKNRVPTPDIEQARPVDHKFTRDDSWPLGPASESNKSTKSFDMV HPGVGLAVEQEGYEQGLSPPPPRRN FPSE_02120 MPSFNQDSFGAGFAAGLVSTLVVAAVITFLVMRMSDIYGLGHWK LNITSRPASMWMNVGYWKTPQGAPIEIFPDACAALLDQCVRAAGLLDDDDDDDSGAVS GSRGSLAVLDLGFGCGDQTWQLAKLAQTQGWRDFRYVGLTLNDAQVQTSRRRIYREIA TAGDSIDINVDSFSLFCANAAKPETWNPQVAVAVRSLADEKYTERWLLALDCLYHFSP SRKPVLKHAAKELDANFMAFDLLLNEKASATDIWKARLIGKMMGCPINTFLAEADYRD QLVECGYDRNEITVKEITDDVFSGLVKFLDRQDQLITEYGISMGGFKLAGRLFNWFDK SRVVRAVVVVARTKSKIG FPSE_02119 MEASNSTPNQHDDSASASAKTRCAIIGSGLAGLVTSYLLQGDDR YNVTVFEQADRLSFDSASVTVKNDTTNDAERIDLPMRASAGGYYHHLMRMYQHLEIPL HPIKFLFVFAKATPTADGTVSHKGSDNESYFIHASNLHQTPPPWPGNRGLIAHITEIL YLIVCQFWFTIACFLVAPLDATTSSTGYGESLSEYFDRIRLPRRYISHYLLPLLSGVA TCTHEEMLQFPASDVVNYKKLSHGQQHYAVCGGVSQVQSRLTKGLHDIRLNCRVVEAV PRPDGTVVVRWQSTTDPSGRILEQVFDRVVLAVSPDVAGRIFKPLRSTLERFPTRQVE SSVLKPGATGIHVVKNDEARQAFACMHHTRDPSAAQTMTLRTLFPDTGSPHTEALHTM PSGVVVSTCPLREAAQPDAIKKARFTRTLRSVESQALVQMLMGGTSVNKKQADYDDHK AGWVNGQDNVWITGAWCWDGMVLLEGCVTASMRVASDFGVAIPWEQ FPSE_02118 MDVSNARPIAILAGYLIACATCAGISIRTIYRQVATSKAASRRP YMIIIFSALAALSLATTWYHMFSFFKWSYQQWESTRLEKLDDELHLGEWLRDTKLFRQ AWVSTLERPPRAIWSLQIFAFCANWSVMLAWQDTKRRIPHLWIFMLLGQIVAISFAAN LSFLAFIVFEDTDTSKSDKDKKTISYSTESHALLRTSWLAVLALTVGCALAIPSNLDH PKFMYLLLAPHVLAFVPLLLNNFIGSQEPVMMDEQPSRRSRASVRALIFATGVWQLFG SGGDWGDAMSALYEHPAVSSVGWDVICCWISFSMWFLVRFADEYEKRHGYRPFFF FPSE_02117 MANPSAATPLLHPPQSSYNSTSPHDDGETAGDIHPSRDVGDDIF PETSTIGRNLSWQSAFFIVISRVVGSGIFATPGTIVQSVGSPGLSLSLWMLGAFIAAC GLSVSLEFGCMLPRSGGDKVYLEFAYRWPRLLASTLFAMYAVFLGFTASNCIVFSQYA LFALGVDDPSDGLRKSLAVGLLTFVCVTHSVFPKAGIKIQNVLGWVKIGIIVFMILSG FYVVIIRPDVSAAPTGQLAWEHLWDDSNWSWGVIVTSLFKVFYSFAGLDNAANVMNEV KHPVRTLRSVALTALAMSCGMYMLINVAYLLVVPIGEIRESGKLIAALFFERLFGDSF GRVVLLTAVALSAVGNVMVVAFAMARTKQEIARQGFIPYSSILSSSRPFNSPMGGFFV HYIPSFLVMVLPPSNTIYSFILDIEGYAGQLVGLAVAIGLVKLRLQRPDLKRPFKAWM IAVGLRIAMSCALIVAPFFPPLDYEGDMFYATYAVFSWVILVAGVLYWYVWTVGIPHW RGTRLEEQTYVLDDGTTITRLRYRS FPSE_02116 MPQTASPNALTTVYLLQFRFKDNSYRQSPILNLATIYDRLVIKC HASNRLIDEGYILPSKLNQKKHKDRIAPYPSYFEPKRKAIALDCEMAGVRNGNDEIIS ICVIDFFTGQVLINCLVEPHEPILEWRTDIHGIGPETLAIAASQGQVLRGWEAARQEL FKHINTETILVGQSLYHDLKVLRISHEKIFDTAIHTAEMVFGTDAGFGRRWSLQSLCV DLLGLRIRQNSSTHEALEDTMAAREVALWCLSYPGKLEQWAKIAREKYVAEGLKRAKA RQQKKGNVQRSPRVWDGHNVDCGCHYHYRYGGDEVLRWEDVVDWETWPKSP FPSE_02115 MLPLQTSSALSLLLALSSFSGVLAAPASGNVADIDLTYGRDGIL DNTEKGIKAKISVDNDFKVASGSSPKYHVLNADTSFMELGVDPIFCDGKDNDTEDEDD DDSNSNDKRSIFSNLFSRGITSDQKEALNRHNAARKAVKVAALKWDTKLEADALAYAK KIAKAGKMVHSEGKTRPGQGENLAYAWSSSGYKNPMAAGTQSWLNEKMKYKGETIPKG SFSSYGHYTQCVWEKSTKVGIAAAQNSKTGAWYTVARYTAAGNVVGKKPY FPSE_02114 MRVTNILISGASGLGVLAQVPNHLNQRAACNRDNLFRCFIDQRY SSQASEFCADLAPFTATVATSIATITTTLETVVTAIAAQVTEVHTTTVFTETVPSSTA VVTADTAGAVKRQVAANPPKCMTNGVTYPASRITSACSCIDVPASTISVTHVVSTETV TEINTIVTTPLATVTSWETIPTVTTTGTSTVTVLPPGMNRLVNGDFETGDMTGWEVSP DSWKAEIYNMVTPQTWVCVVDGPVESLGSLRQVKPVYLEAGNYEVGLYAPLAPFPMAT TGWEEVVVFKLNNRLRQMTITPKFAPTRQVAKSGRLVVPLQARFLVPEAIDGYYEVAL AYLRRPPQVPRKANSGVDELYLKRV FPSE_02113 MAGGGGPSSGTVEPPLSQAYGYGIVLGIGFLFALGMIFTTYVLK KYNHEKQTSEMFNTAGRTVKSGLVASAVVSSWTWAATLLQSSGVAYRYGVSGPFWYAS GATVQIILFATIAIELKRRAPNAHTFLEVIRARYGRITHCVYICFCLFTNILVTAMLL TGGSAVVTSLTGMHTAAACFLLPLGVVIYTMFGGIKATFLTDYVHTVIILVIILIFAL TAYATGTELGSPSAVYDALSKAAKDHPVEGNAEGSYLTMRSREGIIFFVINIVGNFGT VFCDNGYYNKAIAAHPVAALPGYIIGGLSWFAIPWLCATTMGLSALALETSPSFPSYP NRMDPADVSAGLVLPYAAVALLGKSGAIITLIMIFMAVTSAASAQLISVSSIFTYDIY QTYVNPQASGKRLIAISHTTVVMYGLIMASFSVGLHYIGISMGWLYVWMGVMISAAVI PATLTLLWSRQNWIAAAVSPILGLICALIAWTVTCSREFDGVLSVDNLGSNNPMLAGN VVALLSPVIFVPVLTFGFGADKYDWASMASIKQADDATDSNGDSETAVATSFAVAPEE DMAKLNKASKIAKTMTVCMTVALLILWPMPMYGSAYVFSKPFFTGWVTVGIIWLFCSS IAVGLFPLWEGRQSIVRVTKGMFGMGGKHDTEGIQGQSVKEEKIEEFDKQ FPSE_02112 MVIINLAFTAPINPAGVSPVLTEAQVWKGLQRKVRKAHEFVAPI LKCEVISEEETETGTKVTRQVTFTDGARGDTDAVVKEIVHEFAPTRVDFRQPDGSNIY NIISVDEDGNLLMNYAFEWRHPELDTESEKAKEQREKYSKMAKVAVHGSIDTIRKLVK DGEL FPSE_02111 MRKDKVKNVPTIEAIRSKLGVGVPGDEESKMFRRVVKEFHQDYK TAYGTPGTVFTKWTNPSHQDALRRMAEEFLEVRGWAKRFWPDTAGSGTVKKLKWSENR TQIMRHMRQLFFRHNQNRKEPGSTPIKRKASRTTSCPSRTISPGSTRTTTTRTRDVTM NDAIGRQHQDDQDDDIFGAPNPYRFPSSPISISDQLSTTSDRSCTIFDIPQDSNPLLD LPNESTGPTSRTLAPVARMSERAVHHSSPFGTSDSEPGINSPRWGSPALSKRKKPEWR CSPDLGTPRPRKTISPGNTGSVRHSSRPSSTSEDVARLSTEDRILSGETDEPTITMPP PPRPRPVLQTNNPQRRVNIKYEVEISPGTFRRWDHRGAFNHMSMEEFQDIHDFHDIDS VQFILKRRGMSWDDVVSRDNEDGFKDMRTRLKDRIKEDLAEIGFSQDVVLYSIVIVPI WRTSETNEDFIRSQTIFL FPSE_02110 MHFRQLSVLTALVAGASACQRDLVLSKRHTHRQPLTRRSDTAWP PVLTDQETILVNAFDNSSIDEWSDYYGHQNKLAGQGKEAAQWTADRWTESGFESHLAE YHVFLRYPVSSSLHVTSANGTTSEINIKEDVLPEDDVTNRTEISQQTFLAYAPGGNAS AEYVYAGRGSIDDFDRLVELGVEVEGKIALIKYGGLFRGLKVKNAQDHGAIGAVIFVD PGDDGNMTTAKGVEAYPDGPARNPSAVQKGSTLFLSTRTGDPTTPGYPSKKDSPRADI SEVIAKIPAIPISYSAAEPLLKALNGHGVTAEKVNRTAWVGGLDADYSSGPAPGVKLS LSTETRDTIEPVHNVIGVINGTNADETVIIGNHRDTWMVGGNGDPNSGSAILIELARA FKKLTDSGWKPKRNIVLASWDAEEWGIIGSTEWVEEHVNWLTDTAVTYLNIDVAVSGP RPNLGASPELNTFATETMKKVIHPNFGGFNKSLYDAWHDASEGDIEVLGSGSDYTAFF HRGISSLDTGSSGGPTDPIWHYHSNYDSYHWMSTLGDPGFHSHVSQGQYLSLLGYHLA TDDILPFDTQNYAKELRAYYEDLVEFTEGKDADLDLEELDEAIETFKKAADEVKALEE RARESDDEDLKKVVNHKYRDFQRGFISQDGLPNRDFYKHVVNAPGLDTGYAAVTFPGI TEGVLYADKGNFTLAQEWVGKTARGIVLAAKILKT FPSE_02109 MTITTDSSVTPPNEREKPIAVDLENVASEKVSPTAALDAERNAL LANLPDPDAGKSDEERREIDKKLMWKVDLWLIPWLSLLYLLSFLDRTNIGNARLAFME EDLGMGPGDYNLALTIFFISYAFFEPITNGLLKRLTPRIFFTGIIIAWGAVMTLMGLC HNFSGLLAARFFLGVAEAGLYPGVNYYLGCWYKSSEIGARAAIFFSAAALAGSFGGLL AAAIAKMDNIGGRPGWAWIFILEGLATVVVGAFCWWMVFDWPDTARFLTPEERVRVQR RLIMDRQGRTAEDFDKRHIWAALKDWKTYGYMVIYMGCLMPLYAFSLFLPTILRGMGH VGTKAQLLSVPPYACAAAATVVVGFVGDRTKWRGYCNIATVAVGIVGFAMLLGSADPH VQYGAVFLGAMGIYPTVSNTVSWVNNNIEGSLKRAIVLGMVVGWGNMNGVVSSNIYRE TQKPRYFTGHGMVLAYQVIFLLGGSIFMHFALRMENRRRERGSLDAKYAAMTEEERWI SGDVRPDFRYTL FPSE_02108 MKLSVLVAVLALSSEAFSACANTWQKCKPGDANMCECSGSHIMK CLPSFPHHGKKKLVFAYRKLYVCPKNWHLCTQITVIIPLISTVY FPSE_02107 MANPTLVIVPGSFAPAKMYDGFVETLKGHDIKTVVVSTPSVGRK EGRPPATMSDDAQEISNVVSKLLDEGQDVVLMTHSYGGIPGTESLKNISKKAREAEGK KGGIEKLVYLTSMVLQPGTSNLEAFGGALPDFLTMEDDYMSLDAEVNASLTFSDLPAD QALELAKKMEDHSTPSFKEKLTYPGYNDVEVHYIVCEQDHIIPPAFQRLMIEGIKVST QRDVTIHTLESGHVPITSQPENMAKIVEKVFKA FPSE_02106 MSRLNNAIKAGQTVIELGQTANTFAGHANQFRAADKKEIGRQAG RHAFNEGAETGKTMGKTWLKTFEVMPRLICRGLQFIFAIIACGFYGHRVDKADDGDYG FSPEWYFALTIAGLSAATSLIFVAITPLGALPLVGSKLKLLKTYRAFAWDLILFITWL VAFGIFAGIFLGRSSDDKYKGASTGPMKTAVWIDLVNSILWLTSGVYGSIKTFLGDKA DEMTDKVGQKLFTKKQKPAKEADYAESV FPSE_02105 MSGMAMQDVLLKDLMELQLGQIDLLMAMYASDNAISMDSASADT IEKLRSWCENGDEVSPKIHQTSIDLVLRLDDFGDDDSSAHLLQLTLSVPLTCPRGDPL IDPPSIRTRIQQPDWMSKGDIAKLNSELPDEDILSVIEHIKEAATQHLAGLKQDEVAN APIQDTTIVRVWFYFPSISTRAKRDDLVNYAPTYGLTGFLLAGKPGVLCLEGGSVAVD DFMKFIKTESWGDIPAHHKKVSERYREEATDLKRAFADMQEITDMIEKRGARGNRGDM KALEAWLVECGLGEAFSKILM FPSE_02104 MGIFSRKEKTPHGDAHGSKMGRRQKVHHDPLPMSRKPSFGQWIK HTWLDIVTMAVMGAIGLGVYFAKPAPARSFPVTFSDGEIVWPEYGYPLRGEIIPIWAA AMLAALVPIFIFLVMQIRVRSFWDFNNATIGLLYSLITAAVFQVFIKWLIGGFRPHFL EVCKPDMAKARTMGGYNNKGYLQLYYTPDICTGDKSEINDALESMPSGHTTAAFAGFV YLYLYLNAKLKVFSNYHPSMWKLIATYAPILGAVLIGGALTIDKYHHFHDTLAGAIIG TVFAFSSYRMTYASVWDFRFNHIPLNRHSPFQYHMGGAELADAVFTHKAGWGTHGGSS HGLGHGMKGERAGHGQMHGNGFNDGSEHMHGAGHHNGSIPRRPVGEGVGHGHRGEEMV FPSE_02103 MKFTLCLSALTALLTSVTAQKVSGSAQGFAQGVTGGGSAAAVTP KNIKELVTYLTDKSPRVIVLDRTYDFIGSEGTVTEKGCAPWKTGPGCQTAINAAGNWC GNNPKVDVTYSKAGTSGINVASDKTIIGVGNKGIIKGKGLRFVNVKNIIVQNIHITNL NPQYVWGGDAFTFSGTSKIWVDHCTTSLLGRQHYVFGRDKSTAITLSNNHIDGRTQWS AGCDGYHYWTIEMVGEGDQITLQNNLIEHTAGRGPALSATTFLHAVNNVWRDINGHAI EGDTAGKGLFEGNVFQNVKQVVVPDFKGQLNSCPDNAAASATQQYLGRVCQGNIFISS GAFNRKDTGFMSEFKGLPIVRSTQAITAQSKVPGNAGFGKI FPSE_02102 MDKSSEKLESFQSQPALEHGSSTEYHELERKLESRHVQFIALGG AIGTGLFLGIGRALTQAGPLSLLLGYSISGAAIFCMMQSLGEMCTWLPLPGAIPQFAD RWVDPALGFAIGWNSWYYCVITLCAEIAAVSVVIQYWEGAQGVNVAVWITTLIVLVAC INLFAVSVYGEVEFYFAGIKVIAIIGLILLAFLIDVGATGQGSIGFRYWKNPGAMKDY VATGDLGRFLGFFSTLVNAAFSFGGIETVAVAGGEAKNPRRNIPKAVRRVFWRILFFY VLGALAVGVLVPSNDENLLSAQANGSKGAAASPWVIAITHAKIKILPSIINAVILSSA SSAANAMTFNGSRYLYALSKNGQAPKIFQKCNKSGTPWVAVLLVTAISLLSYLSCSAS SSVAFTWFQNLATLSSLFTWFGICLIYVRFHSALKAQGIDRSSLVFKAPFQPYLAWFS MFYFGVIIVFSGFWTFTPWNANSFVTTYIGIPLIAILFCFWKFFKKTKWVLSTEADLY RDKADIDAIIWEERKPTTTWGKVWDWLC FPSE_02101 MKFSAAFAGLVGLAVASPFTEHDTSNGLVARGGKCDIPSKYLQY PSTPKPKKYYGYGRTIHVKRGQKIQHAIKNAFPGDRIVVAAGDYREQLVIDKDGIQLE GHGANLIQPDAKDLKTNACTGLTQDPQKNKLQTGICIVGYNVKTDAFITEHKRVKSVQ RPVKGVSVTGFTVKGFSGINIAILGAKNTYITNNNLVDGGAYGGLTLGSINTVFYDNV VTTTKGGFIGICMDNKSDVFATKNQISNHLIGLCVQTNGAVMEYNKLTSNCFGVFVDP GVREAKIAHNYIGPTPAPCDKNAAGVVLGSSIGVLVSDNTIEGQKASDGSGAGIRIYD DPCVATPEMPISLSCVVLGKAIKARDNVVVRNTLNDNNSDIQNLSKGSGNIIKCNTCK NEASIKAGQCKKP FPSE_02100 MRLSLLPLVAFVGCAFASGDTISTAIDNISNATLALDKSITNWP QTLVGILPITTKSTLLLTAIHDGTLAARDSEHLSVDETLQVAKATSELTTDVTQVIDT IIAAKPKFDKLMVSPVILLNLKLQRALSADFSEAILAKVPKDLQGNAKALVQGIDDSF AKAIKKYSSLRG FPSE_02099 MGAIIPTSIALNRVLALGLTLVVILGIVINQLQLSDDPYRCKAL LNDGNWLDTPTENGSRAPFTNWQPSGCMLHKYKKEEIEQCMEGRHMLFVGDSTTRQVF YGMASLLDAEKAQTVRAKSQKHESHDEEFGGIRLKSIWDPYGNESDIVRDELSLYHQE KLDRVPVEEQKGPAVAFVGMGVWFAARFEKEESLPKFESAFANISELVSHKDFAPFGN GPIDPRDGFGNEMFFAPVAPPFYDMLPEYRKTGIASQPGEVEAIDEFLQTQEQESGIP MLWSYPALSYEQKDAIVDHENGFHVTDEVAEMKAQILLNLRCNAKLDMQNSYPYDRTC CTNYGQKSFVQIILVALGMLYVGGAAITEIIALRSGEAPKWSFFNLDVATFVTGLLAC YWADRTQSFAKGSKQYSMFDFNLMSALCFIVGFALMTKSKPPPPRPGAQAATAAAPAA PATLEDAKPLSRDQTDEWKGWMQALILVYHWTGASRDLNIYVGIRLLVAAYLFQTGFG HGVFFSSKKDFSFKRVAAVLLRLNLLSVALPFFMNTDYMFYYFAPLVSFWFLIIYALF AICPKYNDNTWALMGKIAISAAICPGAMLWTPVLQWVFDALNIVFRIEWDLHEWQFRL GLDGLIVYVGIIMGVASVRTKLYNKILTQSYGLAGIAGILSIPLYWWVAVSKAEKKQD YTALHPIFSFIPIMGFIAARNMFPAARTWYSRSFAWIGRCSLETFTLQFHILLAADTK GLLLLDIFKGDGSLLCDRWRSLIIIVPVFLWISSRVADATGGMVKLLTIDWASEESDE YDVEAKGDAEPLMGGSMLSPFTRHMPSKSSWANNLKLRMLGLLVLLWAMNLFY FPSE_02098 MVHNIRTKDEFQDALKNYSVVVVDFYSTHAAESKNIAPTFAHAN ELDKFKDFRFLKIDIDDLKGLAEELGVSKPATFQMYKNGEKINDLQSDQMEDLIQFLE TGL FPSE_02097 MAQVLVVYPSGPSFDMDYYLKTHMPLVASKWGPLGLKNYKILNF QEGAPYQVQATLEWESVEVFDKAAANEVAKEIFGDIKNFYNGEPMLLKGPVVAHESVS SS FPSE_02096 MSSATKAPELELTQFLKTVLPQGNRSSLRESVIPQLLDAVSAIG DELRRSYDVSIVGTENAFGDEQLNVDVLAENIIRDRCAKSPAIKTASSEEDPVEKPAR EGETVQPISDDEQYTIGFDPLDGSSIIGPNWSVGSIIGIWDGVTAIEQPTEKQIGSIL GVFGPRTLAIVALRVPGSDPVCFEVSLNNSHKFTVARPELRFAEPPFKTRYFAPANLR AAAENDKYMSLVTKFITDKYTLRYSGGLIPDIYHALVKGHGVYVSPVTSVSKAKLRKY YELLPVALVIECAGGQAIDPATGSRIFDITLKGCDDRAGLVCGTSEEVEAVKKALLD FPSE_02095 MVQLHTYEDGAIDVEAAFTAEILITERVGLQDIWHSQYAGSGVM GLRKGMYIKYLPTKYHPINGNMLTGGAYLFDTLENAKAYEDWTTNEFKVGEPPTTYWK QPLFKSVDSWIWNVIGAHNFTDVDEHHIGRWQRWTYHHVGVGDILKQLYPVLKDAAEK RGAGSFWLLHRPEDKMIGVQMSFPKPEELDDESLLQEIEAVARKKSLADVFPDALEAE VLMDRTSAYHAIWQPLLDGPNGLKVACPNFPHLAGEKA FPSE_02094 MFFKTFIPFFAVGASAQLIVGLGSSYAEGPGLDQRIGDLLRQKL ADNDGGEWSFTNHAVSGSVLPDIAEKQAPELDGSNPRIAYIVSGGNDLSYATCLSDPS NSACQNSISEDEWKKRYKDVLDSVIEHTSGDLTVFCVTYIRALGADTACPSDDCPMQP DEKEANDNLYNRVVDYTVRAVDEWKAAGDNKQHDVRLIPMRQHSEEHYVGTGEPWING AQVPDGAGGITWHPNNAGANAVAEFLLQSFTN FPSE_02093 MLSKTFFMTLLALGASAAPIEGEVQKDNIGKRCDWNDLHGQISC GYFSVVMSFDDITIRGDGWTQKYNIDCSREWSSLRGQLPWTVEFHPGNACAGTNYDNM WIKYADTTLDIPSDTRCGAVGPNNSLRRCIIADKK FPSE_02092 MHVSKLLVFGTMFLAADAFRCAVGQQGSCTFVESNSKQHRCAIK CDYKGIYAQCNCPKYYPRNNKKWPYGGKHACISTPQYVDRFMHGAGNLEATWSDGVVD DVAEQEEPQDLLEKLKEEACR FPSE_02091 MVFIKLTPAILLAFLAGANAKDIFVSPKGTGSGTLASPYGSIQS AIDAAKAGDIIYLRQGTYAPSKNIQVKTSGAKGSPIVVKPYQNEKVIVDGENMPGTPK AVGESLPNAERGVFHIQNAEWWSFYNLEIINGPYGIYARDASNNYYEGLSTHDNYETG FQLEGASSNNQVINLDSYRNRDPRKNGESADGFACKEGKGEGNVLRNARLWDNVDDGL DLYMFGSAVTLDEVYAWGNGFNRWDFSSFEGDGNGFKLGITNNPPANHIVKNCMAFQN AQKGFIDNGNPGSLTFDRNTAWKNGNLGFHLRSSSSKVTKNVASNNTGKQVDLNSKVS ASGNSWDSSDTWNDAAFKSTDASTLKGARGSDGRVKASDFLVPASGAAIGATTKAKVQ KLRRFAY FPSE_02090 MDNYQRIEKVGEGTYGVVYKARDLGHSGRIVALKKIRLETEDEG VPSTAIREISVLRELNHANVVSLLNIVHADGHKLYLVMEFLDLDLKKYMDSLPVTDGG RGKPLPTGTATVIRNLGMSDKVVEKFMLDLCQGIKYCHSRRILHRDLKPQNLLIDKEG NLKLADFGLARAFGVPLRSYTHEVVTLWYRAPEVLLGGRQYSTGVDMWSVGTIFAEMC SRKPLFPGDSEIDEIFKIFRTLGTPDEDAWPGVTAYPDFKPSFPKWQRDFSTPLCPNL NEQGLELLDYLLICDPVTRISAKAALNHPYFDDILGRKEEE FPSE_02089 MAVLTEKATAEHASSKGAESMIDQSSEDSVATKKLLRKLDMRLL PPLMAIYFLSFMDRTNIGNAKIQGMTETLNMTGNDYNMALFVFFIPYIIFEVPSNIII KKISPSLWLASITVLWGISTIGMGLVRNVEGLIACRVLLGFFEAGIVPGCIYLIGMYY KRYEVQWRMSIFFSASIVAGAFSGLLAFAIAKMHDVGGLEAWRWIFILEGLLTVIVGI IAKWWIPDWPETASFLNEEERAKLVGRLADDSGDARMDHLNKTAWKRILSDWKIYLGT VAYFGIVNNGYAGSFFIPTILREMGYAAERAQLLTIPVYIVAAIGCLTAAFLADRLRH RYSFTMIGVVLTTIGYILLLCQQNIPTGARYLALFMLVSGGYITQPVLLGWLSNTMSG HYKRSISSAVQIGLGNIGGIVASNVFFAQEAPQYWTGLGVSLGMVWVCGISCTLFYFL AIRENKRRDRGERDHRLEGPDAMNLGDDHPHWRYTT FPSE_02088 MYVSQAIQPRALVEAGVKNCFVNLGSDHPSILEAMVRGQKEMPD TFPKIITCPNEMVALSMADGYARLSGEAQCVIVHVDVGTSALAAAIHNAAMGRAPVLI FAGLSPYTIEGEVIGSRTEFIHWIQDVPDQKQIVSQYCRYTGEIKTGKNVKQMVRRAI QLATSHPQGPAYLMGAREVMEETIEPYSINPAYWKPVAPSALPQDAVQEIADALVNAS DPLVIVGFTGRNHAAVEPLVSLANTIKGLRVLDTGCSDMCFPADHPGWLGMKYGVDSA IENADVFLILDCDVPWINKLCKPKSSARIFHLDVDPLKESMLVFYIDAEQRYRVDAEI ALRQITEYLQSNLTEKLSSVEFDRRAQALSESYKERIRTLDERSKLPDSGKLTTDYVC ATLRQKLPQDTIWAVEAITNAIFVSDQVRATIPGQWIHCGGGGLGWSGGGALGIKLAA DASDALIPGKRKRYVVQIVGDGSYMFSVPSSVYWISARYGIPILTIVLNNLGWNAPRR SMLLVHPDGPASRATNEDLNISFAPSPDYAGIAKASSNGLIFGARAADSTEFLEALQG AMESLDRGVSAVLDVAI FPSE_02087 MLKSSILPLTRQARRPVTSAISFLPVRLINGFHSSRTNQQKESQ GRNHHERQSRKPILLASTLSATATTIAGGILLSLLGFNNNSKDKDDSNYATREQMELA VEEIRQALGEDAVSIEDEILHSHGYSDWSTINIDRLPVAVAFPASTEEVAIIARICHK RRVPMIPYSGGSSVEGHFSAPFGGISVDFVNMNQILQVHADDLNVVVQPSVPWMDLNE KIKDTGLFFPIDPGPSAQIGGMIGTNCSGTNAVKYGTMKDWVVNLTVVLADGTILKTR RRPRKSSAGYNLNSMFVGSEGTLGFVTEATLKLAPIPENTGIAVVTFPTVKAAASMAI EVIRRGVPISAVEILDEVLMSVINKMGATSRDWSEVPTLFFKFSGSDAVVKDSIARVQ DISKKHQVQSVLFESDPDKQKALWSARKEALWSMMALRETDGHVWSTDVAVPLSRVSE LIDVSKKDLVKLGLFGSILGHIGDGNFHETILFEEKQRNEVEKCVDKMVYRALEMEGT CTGEHGIGLGKKDFLREEVGEAPIQVMRAIKTSLDPHWLMNPGKIFDRE FPSE_02086 MPSDVVEVTTDPDTSVQDADNTISASASASCRPTKRKRVALACD SCRERKIKCDGSKPICSPCGKREEPAARCTYNVIAGTAKHLSEQEYILSLQKQVTDMQ EIIDQLRREAGVNAASASAAAAINTNARESRSPRDRPPTATGAIGIGSEITRAATGSR AGVSIRVAEAGGPSPVSAMGATTLTQNCQEDDFFGQSSVHSLLREVSHNQSRSLDPSR DRTQASASVSVCGFSTAALCSPDYALPPRHVADRILDLYFNSGHIFYPWTHSVSFRRR YESLWESSGYPGPQTGESGDIGLGGERCSESSFFCALNAMFALGCEFSDFPQKETASA TFSSRMRSLLQMEILDKGDLSHVQALLLAAQFAISSEHPTRCYNIVGLACRIAVGLGL HSERHADKRSNLENEVRRRVWYGCLQMEMTICMTLGRPPVLEMTDEVLIPSAVDDDFI TSEASSCSQPEGTISQNLFMVENIRLAKVLGKILSSIYWQSSPSDFSTLVRLEGVLED FRRSLVDTLRWWNRESESQSALTRRDHVLRRQRNVLHARFLHLKILLYRPSFSSYCST LRRAFQGKDTEAGPASGEDRPEVNTLQAAFQSQCATTCAKAAYDLSVSLLSARQDDAT GAWWFSLFYLMTCGGIIILAECAQTAGSKHFNQAQLDATWENTTMLLRLIGRENARVQ RYLDQLLQLKDQARSTNFCKLLFLFTPAALDQARLTDHVQQYGTQGKQRGWLLVGHLS VLARLIMETRMESSLYLRTTKLYKGSL FPSE_02085 MTPSQATTDLVSKLTVEEKVSLLAAVDWWRTPTIKRENVFIPHI KTSDGPNGARGESYVSGITAACFPCSTALGASFDQEKAYRMGEEIAKETITKSANVLL APTMNIIRSPLGGRNYETYSEDPYLIGTLASAFVRGCQSQGIAATPKHFAANDSEKSR TKMTSNIDMQTLREIYLLPFQLVLRDSDPWCFMTSYNRLNEEYCADSHWLLEEILRKE WGFPGLVISDWMGTYSTAKSLNAGMDLEMPGPTRWRGKKLIAEIESGNVSMKVLDKSV GRVIDLAKKTGRFEDPVEKPERSVEDPARIEYITSVAADGMVLLKNDNNVLPLSAHGS VAVIGHFASNPSIGGGGSAKVLAQHIVSPLQGLKSRGVTPRFAPGVPVFGALPHAVPD SISEVQLRWFNGSVVGENLAHEQTVALPEYMIKEAWPDYLSKDYCSSMSFTLIPKTSG DHIFSVITTGKADVIVNGENVFHRPQESVLLPESFYFYKAKIERRFTFNMIAGREYKV ELRSWATDEDVLAKIGGTMFQGASLRFMEHIDIPRAISDAADAAGSSDTAVVFVGTTN EMESEGYDRDTMDLTSDQYDLIAAVVARNPKTVVVNLSGSPVTVSPFIDRVPTFLQAW FAGQECGHAIARVLLGDVNPSGRLPMSWPQSNEDNPAYPNFPCNDDLVLNYEERLKVG YRFYDDKSAPTPQFHFGQGLSYTTFALKGQPSFTSCFSSVEETAVSVSTQVENTGNRD GKQVIQLYITLPSCNGNHRPVKELKAYHKVLVPAGQAKGVMFKLDKYAFSYFDAEEGK WRIPEGEFLVHLAFSSAEVLQTFSVTNATPHFWMGL FPSE_02084 MDRKSLDAKTDVAMAENSGHIAVNDIVDLDQRIQDLVAAAPPFY KNKSLFRLYLLIIPTCLCAAITLGFDASMMSGLQAVPSWDEYFGHPRGALLGIMSAIL PLGCVVATPFISLVGDRYGRRMGIFVGAVIMIIGAVIQGASVHFAMFLVSRFIIGFGL VFANAYAPMLIGELAHPKERQVITSLYQTSWYIGAILAAWVTFGTFSIPSEWSWRIPS LLQAAPAVLPISFVFCLPESPRWLIANGRSEEAKAMLVKWHANGNEDDELVKLEFIQM RNVIEAEVSNETGWKELFKSPGNRKRVFILVCLGCFSQWSGNGLVSYYLVRVLETVGV TDARERNILNGCLMIFNWLTSVASAFLTAYMKRRTQFLISVGGMFGIFAAQTLCAGLF NEDHNTAAGKAVIAMLFLFYFFYNLAFNALLYSYPVEILPYPIRAKGFSLLMFFGKAS NFINTMVNPIGLQALAWKFYFVYVAWLAVELAIVWRFFIETKGPSLEAIAAVFDGHDA SVLSEDSDLKKVESEKH FPSE_02083 MADQDTLRQFQEIKSVVAHYDATFANITPPQVVYKEEEARLRRQ IHDEAVRLRTAQSDDETAMREDTIIELGGQMADLKRHYTANREKYAREHEVRLKQTLD MLRGRLGQLLGLSSTTQIQTTNGQEARDQGPPDQDQNQIPPAQENEAVGGESAQGSSQ ASQNAQTGTTANKSARRNAPNNENTEATSEPEGVINEGPTFNDQDDDHVHNHDKNDAS NHEKNDNVVNGDESADIEMGDAEPIETEPIRHREQLAVRMSIDITPVSRNLRTVPLSP ASTCDDSTTVRRDSQHLRQSQGPTNVSPRNIPPPTPRETRSKKRKALNSASTTQKRHR TGPTGSTESPLESAAEQSRQSTTRTSRRTTREHSNKSSQHASEPPAKVLPRRSNRHPE ESAGSGKFEGIVDPKPGNVYATYWKKTKEWLAVVLLPMGDFSTVGIPGSIISCDLVDS LPPCYRKTSKKGPYVWAKGYRNGEEHEKERMFPVMFFDGRPFPAKSAIMWIEARELRA FDLKREPKLVPHTKAIRGYLKSRDWSEDEEDTDEESEEDPQGDVEGDAENDAEGDAEG DTGNDAEEDAEGNAEGDTGEDMEQDVNQDVENDAEEEQTRVDSQLQAEPGSEPPQQTD AEEAHETQPPEEETETLEQATEGEEHPNMAPQSPQAQTDQSNIDQDLSSRGSDGPPLP NRQETLSTVAQIREPRPESQLEEPASQGNGNLYQPTIQQEPQPQDQDQTQSTSLASDP SQHQTSHPPRGGASSLYWGTVTAVEPPNVDASRSGPATTEHNRATIYEVEPRGTERGS DPNYIERRNDFVERNYGSHLPVFQAPSVQNSQSAVSGPHVAANTQGQGQLIAPPHQSY SGQDSRQAAFTSQSKSSQPNSGQHWNQRQHAPLPPAQTDSSNYPSQGAHRIDNGQLQN VQTQQTRPPQANGNGLQNDSNTRSSHNPFHYQPMPLHANPQPYNCPRSTDTHDTNTRQ LSLTLNSGNWVATEVTPPQQPPQRHAPLSTQNHATHAVPVSQQFGHPRLEEARPRPDA STAHQPPYPLQPQEPRLVSANPYAWPQAVRQHQTIDQPTTRTSDEVSQVQRPSYPHVQ PAPLNTMPTYNSHEMPPSTDDYSGETDDSVDVPAPVKREVNTHDVLRESNALDEEIYA QRGHLAPGHPCFHVSANITGETSPYSQTNSDNYFPNGLRRYLQNLMSTNGLSPGQSGF GFETSDGKGYWCPFCDGHQRDGGFRSYLYRSEPYTSLMHFKQHLIKHWMRDAGPSRGI FPSE_02082 MSKSDVSRTHVHMTSDEEKRAGSGASRDSFQAVETVPISNDLHR TLTPREIHIISLGSSVGSGLFIATGKALANGGPGTMFIAYLIVCSGVWANLQTLGEMT IAFPVSGNYIDYAARWVDPALAFGAGFAEWLGWTAVFAAEAEFFVVLINYWAEGSVPH GALLTIFLAISLVVFLLPNKAFAWLQCFGSLVKVALFVFVVIFSIVLFAGAGPERSHE WGASWHNGLAFQNGFGGFASCALLAIWAVGDQVFVGVMVGEAASPRYSMGHASTLVPI RVGVMYMTCVVLIGLLISSDNPDLLGASGSAASPFVIAAKGIKVIPDLINACIIIGIV AIALESIYLPSRILRTMALQGLILGKFAECDERGRPRWALAFTAVVAVVMSYMSLNAG GTEALNWFVSITSASFFSNWAIIAFTSFFFHRALKAQGDEIFSGRYAWRSFAWPSSPA YLMLVCVMLLVCLLYMAISPIGGGGFTAYNFFQNIIGMLLIVVFTLGYKVFMRTKWQS AKTADLQTGRNELRPDEIEFLDQYYSRPWWKRLGTYLSLY FPSE_02081 MKCLSWAMLLSMVFAQAEFSYLTRMADTMIAKGVSPDRGYQDAV LYLGFEKAYELSGDGKYLDWYIGQIAGPVVLEDGTIKGLNTSKYILDEYRMGHNYLYL YNETGHEKYKIAANTIRRMLDKYPRTPLGGFWHQQFFRNQMWLDGIYMADTFYAKWTS LYDRDNETAWNDILLQYELIHEHTGNETTGLHVHGWVDGTAAWANPKTGRAPNVWGRA LGWYFMSLVEVLQFFPTSHAGYDQLLGYLESVALGLKESRDPASGSWWQVMNEPYPRR KGNFIESSGSSMFTWGLLKAIDLGYLDRSEYLDTARDAFTSIVSNFIEADEDGPIILN STVAECGLLSSNVTFAYYVGQPTLENGQNGVGPFMLAAYEWESWAKDAEVETECV FPSE_02080 MGIKEIMGLGADEKTQRLRDEAPKFEKVTWYRDPALRKLTFYAC VLCASSMGTGWDGMYMNNVQNFDSWKEFFDKPEGERLGLLIALYQIGSVASIPLVPLI TDRWGRRPSIALGFIIMAIGAGLQAGAPNYATFSGGRVLLGFGNSFAQIASPMLLAEL CHPQHRARFTTVYNCLWNLGSFLVSWTCFGTSFWGNDWSWRFPAILQGAPGLLQLVVL FWIPESPRFLMAKDRHDEALTVLAKYHANGDVNHPTVQFEYREIRETIKAEQLADNTS SYIDFFKTKGNRYRLVVLFSLGIFSQWSGNGVVSNYSAILYEQAGLESEQDRLIITAG KTILDMIVSIGCALFVDRLNRRFSFLFATGGMFVVLIFWTLTCGLYEQHQAAGANYGM IFLVWMHGIFYSTCWSGLLIGYAVEILPYSLRAKGLMILNISVQIALLLNNYLNPLAF KAWQAGDNQIFGGNTWRLYLIYTIWVFGEVAFIYFMYVETRGPTLEEVAKVIDGDNAA VGHVTMEKVEKEALNEEVDNVSSEGQQVHEVAPTKV FPSE_02079 MSSDTITLFDIPTKPPQVCWSMNIWRTRLLLNFKGLNYKTEWLE YPQIKERLSQHVSPNEGDPEFTLPAIQMPDGTYIMDSYKIVDYIEEKYPEPSMNLNNP MQLRLRASMVKFMTPMTSIYVPGVAKNILGDKSIDFFLATRQEDVGMPLYEYGEKNSP GALDRAEPFAREITELLKENNSGPYFLGDTVSYTDFIWAGILLFFQCLGEKEYQEVLQ KTGDADVHRKFLEGLADWTKRDD FPSE_02078 MPRLNYSKAAVARQPRDFPSTGFERIDPSQLVEEERLPYYRHQD YYPMRIGQVIQGNYQVVAKLGYGTTSTVWLSRDLRDDTFWVLKVHINTLKHNQELLVS KHLSDLDFDHAGKKHVRQFQQTFKLNGPHGEHGVFIMRPLGMSLRTLQELQKDKVFQQ DLVIGALHQTLLGLDYLHDANVIHTDIHSDNLLIALTNDSILSKVEDNELHRPSARKC VGDTVIHVSQYILGGAGDLTICDLGQARIGDVHRGNAMPVPYRAPEVILDMTWKDAVD IWSVGLLAWDLLHQEGLFRIYDQSQELNDAHHLAAMTALLGPPPDIFLRRSDETSKYW DAKGK FPSE_02077 MADISTCPPLTRDSVIEAHRLIEPHVHLTPVMTSSYINKLASTP RDPASFAGQNSPLQPAKPQFRLWFKCENFQRAGAFKARGAFHAIERLKLEPGWAENGG KEKGVATHSSGIFHFNCYFEGHFADMLILGNHAQALALAARENGMPAHVVMPSITIPS KVAATKGYGANVIFSTLTDRLAVAEQVVAETGARLVPPYDHPDIILGQGTMGLELQQQ VKGLNGIMTPCSGGGMLSGVALSCENTGIKVFGAEPSFQGADDAKRGMEQDERITYVD SMSIADGLRSYLGVYPWSILYERKLVHGMYSVSEDEIKAAMKLILERMKLFVEPSAAV PLAVVLFNEDFRSMVEKEAKGDVWDLGIVLSGGNTTTDSITKLFPSDVHT FPSE_02076 MDTDRDYLLSLRAVRIHANKVFEAAKRGELKHFDYDESRMSEVA DYVSKVIDRDFGPDKYDTIPPHGRWQHFDVGGVARVDSLIKQWSSEADIDKTEITRRL IDLFFVSVLLDAGAGDVWRYKESDSGQEVVRSEGIAVASLHMFQAGAFSSDDSAKTRV DGKGLVQLQESDFNTHFQVSADNLMVGVPSRVQLLQAVGSSLLKQPDVFGESGRPGNL VDYLMTKSSDSKTLGYEQLWSCLQSLLIPSWPGNRTVFNGQPIGDAWPLEVLASIADK EGNSQEKSKIQPFHKLTQWLAYSLSVVFERQLGYTWENMELGTGLPEYRNGGLYVDLG VLKLKNDDLQVGKENSGQDLPQFDASSDVIVEWRAMTVALLDELHQMLQDNYKPRGVN LSLLQMLEAGTWKSGRELAAELRPATKCSPILVISDGTLY FPSE_02075 MASFKSNCQSLSWTRGGFWISTDPSLFPLSQLTEIFDSSAFYWA KSLTPDAFRETLDNSLSFGVYEKSQSDTPSDTRLVGIARLVTDFVTFAYLTDVWVDPK LQGKGLGSWLIRCVQEVLDKMPHLRRTMLLTGDWERSVPFYEKLMDMSLVEPKRGEGL AVMESKGRGHPSYGKAGTSYT FPSE_02074 MQGAIQSSDPLPLTVEELTASWFTKILEKPVKEVALVEAIHGTA SKILLKLTFEDDTITPVCVKGGFNPALVASLPFMFPIYRLEAEFYYYLAPKLKIPLPR TIYSGTDTVNGQGLMVLEDLRAQGYSFGNPLETWPVSRVEASVKQLATLHASTWGSRG DDIPSLSKTLSLRDAVVGLLAPEEWGKRFAPDARPPVPVQMENRELILATFKALWDSK TKMNCLVHGDAHIGNTFIAPSGEPGFLDWQVIHPGSAMHDVAYFIIGAFSIDDRRQHE KKLLQSYLDALHAAGGPEMLLEDIWDEYLKQAFHGFAWVLAGPMMQKREIVDVMSERH CTAIEDHKIIELLGA FPSE_02073 MSQDVGGMPPPEGVTPDFDGSSPLQKSIVVIYSCTFAVATILLM LRLYTGIVIVRKLDWDIPLIILSWGVSMGFFIGVMLAIPSGFGKHLWNVRASSLTGYF QLLLVLGLTYVWPPTLAKLAILVLYYRLIPNRGFRWAIYGTAAGLIIYTIVFTILLAW PCHPLKPGTTACVVNLTVSQGVLNIVSDAIVIVLPIPLIHRLNMPLRQRITAGLLLAL GSAVVIVSCIRFGYVKKMENNPDVTWTQASTSQWSCIEMNTGIICNCLAHLKPFVRKH LPCLSKFVTRGSSNMSHPTDRSQGRSHKWRGDKASHKYELHSVGRAQRPYHEDNEPGI VVVDEVQVEFTSSKDNGDTSSTDDILRNTR FPSE_02072 MVHHRKRKTPMCPAQTPISLPPDCTVEEVMFECTRPTEFSAIKR ITTPLPFSSSISENLAWAAAFIDRAGHLTEDYELLEKRLHAAIDTIGNDAIEMTLLRQ QLRDQSHRVESLESKVSAQAHEQQELEQRLANTRSAATRTERDLTGKLRNVERALQQS KAAEGRLKTKLDKTLLEDHERWEREAEHDRADHASLDAAYDALEKAQQEKAVLQAALD NNSQELAATKQELSIAQQAMSTCKANCEEKSSQITTLTQQLATANRTNTELSASIDSA TAELTSKNQEIAGLTESLGQVNTQLATANQTVTQQASTIATLEASLAGVQEERDQKLK LLEDLQQSTQATQKALQDKIDELSSHDLEDHQRLEAATEAKRLSDESIKDLQAKVDAA NAHDADVQARLQAEEEAKRAAEAELERVRLQLEEANKPKLPNTVTLSNPIPIVGSLGG ATNDFDDIFYPIVSSFPITIYGHSSTDVFVSINGLMSLDTGDRTYTHQPLPFRNGGLP AYTLLPFWCDLFIYKDTPQGIYYEIVGQAPSRSLCVEWYVSRYGDKDQYYHFLVLFEE ARPNIVTYKYFEALDKGVKCTIGAQGPNSAQQWSYNEAKALPGVQVVIDTGSGSMTES TFPIAN FPSE_02071 MESVRQNIKQSIAGIGKDKHPKDVAVNVNEMEVSDGESESTTVA SNQTSQDDVDNKSSLTITANNANTSKLTVVTKLHDYERLTKVTRTVRKLIVRFDDYDD EFVNEMDIRSYLQFISDERLIHMPRRGSDWDRVLSTAQFFGLQITTFASKIESFASGA HSSASAALASCQVLLEIGHNQAKALMPTFIAFYELAMLLSTVSRIPDLEYMSTSIKES AAHILCELVHLVGRIASYYKQKIDSLRPNESITISFDAAFGQLIDSIWKAKDDLCDRV WRYSLGYKSFSISLKSVRQRLEPSSGASVRNTLYDEVNEHLDRSEDTCHWIKGQLSSF LNSKDKSLNLWGEAGVGKSVLAEWVQERLARPYDYRSYTVLSYNFPWDSPKEATSLAC AKSFVFQLLERSVGDVELYGRLVEAFEVYSKTSDAGKLEATLWDTLRTGILSAERQST SFILLTDGCDEMLGGAKSAVGFHKLLSDCIADCSRTRVITFSRRLDGLKDCSKIFQIK QQQVQEDIRAHLKQVLSNSRHYHEVIHHDREQVINDLVAKSKGSFLWAFYAGRLLVQE QSCDGFLGKARSISGDVNDVLRLSLDRLDLKKNEMAKYLLSFMLVTNTSFSVPEIAEL LSVDLQKRAMLPSASNVSKFVTEKCSDFLIIRSGRIHFRNAVVQAYFRGLLGKSLLSE KDAHSQLTLRMLLYARLNLDEDQELLTDELDDTSVEIILSRHHLMGYVMRNWVVHFRH AGFVASDGKISLPQGFRDIFPESVMFTLLERPCWGRHDVRQDTIELHELTLRIRESCF GEKHVSVLQSLIALGHIHVKFSTSSDAHACGARYYYRAAKLGEIILSKTSTFVGSCTH LFLTWTETIIITKRTEIVECREEMIRFWIEICKHKHGKSSDEVIHWYEKLAKLYICIH EEWRATVVYKELYEIIVIRYGKKSPEAGRIGAFFGTLDIVLKGEEAERGICEMEEFIF ETTEELDIHSHLCIAMMIKLAWSYHSCGKFYLAERLFISIWRRISISCRLNASIEVHI AKIQIAIEYCKYLREVRRHEEATTILICLWAEYEHHKCETETLVIWIRELGTMCRSFG LLEITVTILTKVWGWFKGCGKGDDEEARKTTVLITEVVEEVTETTTTTKTTTTTTTEV TETVVKEIYETHYTRCKKSGFDHAFYSACMALIGIYFEHHRWAEAEVIIKRTLEITWK AILTTDVTITLSEHSVRECVHVARRLARCYHHQGHFEKAEFIYLRIYRACLASCSLED ELLCGSITVLIEFYEEHHRHEKVIEIYIEILERYKKTLGHTHRLTIWTLYQLAHHCKL IGHKDVYKYYLEIVTVLNKGIKHCHHDAFEAALFLCRHYHGRSMWVELRELCAFVWET VCHHRGEHKWSEEIICEIYEKYTYVLEVHVKVEFSVLYQISVEYKEVIEKTCGGESYA YILALIAFAKMCEKHENHVHESVTVYEEVIKRITTTKTVTTTVVETTVHTVKKRLSKL YVTIITTGGKKEGHAHVPIDRAIQICVETYEHLKFTLGCWHEDTLKQLEYVIVLYHSC NTKEYHLKMVKLLEVHITEVITTCKVTTTLFKVAITMASIYVKVSLIQQGYEVLRQLR HLIVFRGSIPCNDLTIKLDVHLSKLVFVFLSAFEQGLCPNDCATTYSEIMATIIYESI LYEEYSRVVEVETELEVVLECGAKLRGFWVEHKCSQLLLVLDKRLFQMFKTKYASYFK DVADEHIRVFYLAILHELGKDRGATKIDFTLLAVKAGSARVKELLQAKDWHCAEELGH CVFHFAQSQKLYHRQDYVQYGYKLAEYLAGIDVPHPTDAKDEKIRNAMLKTSREIMSE VLAAFKQSNIDYLCLRFEDVSGLIRLLGAQQNWDELEVLLHRLWSARERLQRSGWSST AVLDIGKKLVHAQYARKDVTCAIETAELISYNLRRSRGRLDVETLEFSRLLAALYADS GRKASAMSIHETILREMISCSSGNEFGEAYPDRQRFTSEARTHLELLKASHHQLQGWA KPVDEYKDVHSRLNSSLGLELPAFDKWSAGAVDKNIEGGGNAYPSDLRDRFQLLESQV KGIYELLEPRTETVSRSSPNTSARPISVDSYESVASMSPEIISETIPEATFSPDNPVP SPDALDHLIEVYRIRHHLQPLLLFKLPDLKLYLHSAPRYLLDSFLALTVRHSRHAFYR GQQAQAVEFYASSAQQTVTRLASQGIPRLEIIQSLCLLVLVDVAACKPGQAWMTIGTL SRLEALRKISQPALIESLSDLEASLGCHWTVFLLEQTFTPLERSSTQDDNEPRYPTSA PIPPSLPPVSDGEYPPDLFSEDASEDLGITAYYVKILGIWGNLSSYLHQIRIGKVGNA WSPGSMHYTVCAQLYEYDSKTPHIHLLRSVHFTKRQSHDLIERREYWIPWVLQQVTSH ATNAILNHPFIPLVAMRDRMNGLPPRQFLQQTVDLTLYHSAWVFKFLRFCEDNDIGIY DPLVGHLVAVVATIPWLFQRAIDQKIALKANDDFAWCKEFLRTLSITWPHIGHKLELL KSLDSIAENNPQSPTAKGISIVFHPHVFWSLVDPKLSQITPSLSYSQGINLSQDGTIR VSTHYIQPLVDIQAQQSSIVGDTYDPFPLDLGALEQINLDDVFASFLPTLDEKDL FPSE_02070 MKPSPFTFDPRADTLLILKDPNTVQQAEFDAQADSEPEYERLGH SEAGLGETNKARRDSPMTLDNDQSYPRGSLPDGSSTQIEFRVSSRHLSLASPVFRAML ESKFKESHLNEQGLYEVQASEWDAEALVILLDIIHGHHRDVPRRISAETLSHVAIIVD YYGCHEIMELVLTAWLTYLGEPKDFVKQDPMRWLFISWVFKQEVLFTVATEMLLMYDN GKYVVDLPIPQPILDKVDDIRQYVLNTLFGHLYSYQQDLLEGKAGCSETCSSMLLGSL MRQMRGRGLAAAKPAASSFEGWRVDEARNTILGLTAPPWRVPGRSMDHPCTLNKSLAP RVNQVLQHAKGLDLKDFEGTPCAMFMPMSS FPSE_02069 MVSFKSILLFAGLAMAAPTPDSEKSLTKRFNGGWCGVHIHLFNG ENPKGSHEMNVFVYDGKQQLVWSKESIWGDGILMAESENLPAVLNINTYGGGALATFT YGDQDWDSNEKPRCSVGSWDGPPFGSTTQTLEMDCGFSC FPSE_02068 MVNFVTSITLLLTAVSTVVALPSTLEKRAVTCRDDRPNSELANA NEAAACISYLASLGNQACVATVSGQSFCRRGDTQITGLARGAATRTSTCQEVARGAGL ILDKCTRGDGKVRGANEAWGNGNLLVDIRRVVQ FPSE_02067 MTQPRTYDKDFISRPTAASKPKRIIICCDGTWQSSTTIDPKKGC PSNVTRISRVLAKAGLDRDNNERQQLVYYDAGVGTGDITGAEAKRQGSQGLGLLENVL EAYNFIVANYNPGDELYFFGFSRGAFTVRSTAGLVQEVGIIKSHLMAHFLEHYGDFIR GEDFSKPFVETGHWAKFQAHSPSAVACPGKDTVIQVIGVWDTVGALGIPDMGHLIKIK NDWLRKAYQFHNTDLSANVKHAYHALALDERRGPFSPCLWCVKGDNKTTKLVQCWFPG AHINVGGGSSDNAQTDKEKKDGKQPTGDNERLSSVAYAWMLDRIRPHLALDEEALQMQ LADIDRVIHSPGDPKLKAWENQEWLMGNIDDSYTKEYKAMGSAVIRTPMDYYKREEGY TVERVHPSVHFRQEYHRNLNKQLKEPITVYEPLAMVGWKREYESDGLGKDLKPRKGWT WTKYKKGATPENKKTMIEKRMWEFEIGNLPDDTSVERWLIKKSWNVESYFKTVEEGW FPSE_02066 MATPVTSTPLSEASTCTNTGTSRSKRILPLSPDVSRESENRRKA SKVSRACDHCKLKKLKCSGTIPCDGCTKRNVNCQYDSVYRRGRPPTPPTVGRQRHSMS SAASTVRPINPVEENPEVITTRSSSQGPSGLETAEIEGQFFDPTSNLTFIHRAWKRLA QQNQQTDSGLLTGSENLQPLMSAGDIPFTTKGDQSLLFVSQPAALELFTYYFENCVVT YRVLNQQYCRSWFDAVINNWHNGQPLETDIGHAKAAIVLSILAIASFRQHRITEQRSI PGMSLLSQQSEQYFLAASDLTAQETGLPRLESAQGRVLQVLYLLQTSRMNQAWYVFGN TVPIVTALGLHRKSNHYRNGGRQPTDYIISECRKRTFWVLYTLDKYLAVVFGRPRFYH DNDTDQDFPDRVNDEDMTPQGPSLAEPAMDCHVDAVIFHARIAIIIENVSRQVYSLKK MRSEERLAAAQDFIKQLHEWRQALPPHFGTRNNESVESDNTLLFALAEKCQSHLARTI SAESASRRYSIIIEELRQEARQGPQHASRTLQMLNCNEESLLSSEHESGTSMDELGSM FEDQESSSSGLMSIMNPLSQWQPTDWLDLDSSSIGNTQVPLSFLSEAIELLNAI FPSE_02065 MSFDLKGLVPAPVTPFTKDGKVDFEAIQRLGSWLGSIDGIKGLV VLGHAGEGTFLTQQEQVDTIKAFVKSVDNKIPIIAGITGEGTEVAALEAVRAKEAGAQ AGLLYPSHGWLRFGYQPGAPQDRYKVVYEVSKLPLILFQYPDNTKATYNLQTLLDIAA QPGVIAMKNGVRNMRRWDTEIPVFRREHPNVPVLTCHDEYLLHTAFDVDGMLVGYGGI APEPLLELIKAGKAKDYAKAREIHDQLLPVTKAVYHRGSHMEGTVALKHALVARGILD HATVRSPLLPLPEGAEGEIHSAISMASLKKVA FPSE_02064 MATQNLDIERELSRDEKSGTMHSEHMGGGLSQEDADFVNNFPEE RKKAVVRKVDMRLIPMLVLLYLIAYLDKTNIGNAKIEGMTVDLHLKGIEYNIVTAIFF IPFVLCEVPSNMILHKFRRPSIYMGGIVFCWGVIMTLTALVQNYAGLLAIRFLLGIFE AGFLPGAILIISNWYLPNETQTRIAILYTSAATGGAFSGLLAFAIAKMDGVAGLEGWR WIFLIEGLFTVLVAVMCFFLLCDSPALSTRWLDADDIRYLELRQLARRSSVPSDYKEN DHFNLRLFKDILCDYKIWLLFFANWSNAVPNYAMKFTMPTILRGMGYTSSDAQLMTIP PYAIGAISAYAFAIFADKYSWRAPFILGPQCCLVTAFIILFVKSGDIDNNIAVCYFAV CLACFGMYPILPGVNAWNVANTPDPAKRSVNIGILVCVGNIGGLIGSYIYLEREAPRY PVGYGTSLAFGLAGIVAVVLLETLLKRGNAKKAKMTEQEIRQRYSEEELVRMGEKSPL FKYAL FPSE_02063 MSSSPFTNDPLLEQPAFTRTRKMRVVCVGAGFGGLLVAHKVQHE LKLEDEVDLTIYEKNADIGGTWFENTYPGAACDFPAHAYVFPFEGNPDWSKFYVGQEE IQRYIKRTAEKYNLTKYVQLNTTMKETIWDEESGKWKIKIEQGGEIKEDEADFIINAS GFLNKWKWPEIPGLFDFKGKLMHSANWDNTYDWARKKVAVIGNGASGIQLVTAMQPKA DKLVNYMRQPTWISVNFLVEKAPDGVNREYTEEQRQLWRENPQALFDYRRELEKSINA FFFVMCLDHPAQPYYEGLCNERMHASMKDDPELEKRMAPKFRPGCRRITPGEGYLEAL QEDNCRDCWDSIKSITENGIETDQGVEEFDLIVCATGFDSSWLPQWKLVGRNGVTLEE QWKDDPEAFYASMVSNMPNYAMINGPNAVISHGSVIQQMSWTTDFILKWVKYMNRHQI KTLCVKEDAVKDYNAYSQEFLKRTVWSGDCRTLYKNGRSQGRITGVYGGSVVHFQKGL EEVGGENFDMTWRYKNRFLCLGNGTAETDEMGAGDLAPYFAAYAPPSEK FPSE_02062 MSSSGDWGPAPAGIDLAENQDGEILRPVVALMTLGILAVAIRLV ARCKSGTGIAIDDYLILLALIFALGTAALCITSIPFGGGKHLWVVTFSEFTVLWKLAY SFVLIYATTVTLTKASILLFYRRVFGINLAHRICMSLVLGYWVAITIAWVSGCRPASY FWEQFTDPDAKGTCINTSLFYFVNGICAMLIDIAILCVPIPTILKLRMPNSQKVAVAG ILLVGAFVCIASVVRIVYMDKLVKAQDFTWAMAQVFIWSCCEPLVGIVCACLPTFGPL LRRWFRTVASTAEINSNSNSWDPNKPRSQWKPYHGGFRIRQDDELELTVDVSHGNAQF EGQGGTGIHVQNEFTWADANGSVGSDKHP FPSE_02061 MPSFTTIVAAIAGCSAIVNGAAIPTESTGLQTTTLHRRQVTKEH HLSKREPVTAAILTAAGTAAVTAVVTQAVNAAVSFIGDISNFDAGREAFTVQTTEAMM ANNPDPARFQAAACYNQGFTVADPANIDGQSSVEFKLGLLNTDYECMYIAAPNQFFTE GDGGLINLSFTHTDRCTFDPETADLTLSNNKIVPLTIIKGAGHEHIPIPEGECAIIAD FHSVKSQSAHSTPYLTTGFYRVVPGPTRYGEYDYEETKYVLKGQIDITDEATGKTHHL VAGDWAFFHVGSKAQFSTKTEGVAFYAVTRPMNDGHPNLVGREESTSKL FPSE_02060 MVITDKIHPVYTLWFLWVDPVLTLMGMWVNFFDHNLAMQAFFVD YPLDAHFSPYLYQIGGMGTSYLILQCTLLRYSHDVNIWKMFQGCLLPADFTMLTAIYL GLKMEGNLAFSNWRWEDWFSGVVTGICTVLRFGFVLGVGVRDTKGRAKKA FPSE_02059 MAQSRQNSMATVDHEKDEIKKAMGHEKAGVEALEIAGRGGREVD DLIDDMERQLDEAGGLDTGFFQIQFTNPKHFTWLLVAFASMGGLLSGLDQSLISGANL FLPDDLGLNERQNSLVNSGMPLGAVGGALLLSPANEYFGRKGAIIISIILYTIGAAIE AGSMNFGMIVSSRVILGLGVGLEGGTVPVYVAETVERRIRGNLVSLYQFNIALGEVLG YAVGAMFLKVPGNWRYILGSSLVFSTIMFFGMLFLPESPRFLIHQKRHLAAYKVWKRI RGIEDRESREEFYVMSASVIQEENAVVEGAKNRRFPWMDLFTEPRARRALVYSNIMIL LGQLTGVNAIMYYMSVLMNQIGFNKEEANYMSLVGGGSLLIGTIPAIFLMERFGRRFW AITMLPGFFIGLVLIGISYQFDVATQLMTVEGLYLTGLILYMGFFGSYACLTWVIPSE SFPTHLRSYGMTSSDALLFLASFIVTYNFAAMQEAMGKTGLALGFYGGIAFVGEIYQI FFMPETKNKTLEEIDLVFSRPTMDIVRENWAGVKETTYDLCTGKWYKVFVEQAMTDPK DQVQISHA FPSE_02058 MMAVRPEMAGAIRKYSEPVTGELVIHHQVQYLGTDRRLTYSMLS QGKHLQTLFVPDAVSETVAPQSLFHYLSQRRRWGSNAYFNKYFYLSGKKMIPITRIAA SIEVIRLSLVYYRILNTALFITSCVRHITALKLVPMLVVGQLPSLWFFCSILLEPVLR KRGHKLLIGYLMNKFATNLGSQVWGVSGVTASSAAPPAAAPGNEEGENKIATDALDAA ERGEVVPSMIQDTGGLTKPGRARLRDECVDVEAVPRVKAATPFGPIYFLLRIFFPMPL TRQCFSRCAIFVVVGCFVIVVVACGTYFGLAKRQHHSFDWTTIVSDRGDVLPDFSFAG YHNSTMILRNGSSANITLIFNSSIDDVKPLIQEAIDSIAASGGGTVILPEGRWSITAG INITNGVVVAGAGENKTVLVLQDRPSQPVFTLGTPANNTRPRYGFRSNITNQYLPIGS SSVDVISSDGFAVDQLVYVSRNATEAWIRGNGMNSLVRDDGQQTWLPVDKRMLSPNQI SSISGSNITLRIPLTDNFDSNYVQPELLVYTPPYANKEIGIQDLGVEVPDTCSGAPFN DKTCNSAAVYFPSWTVDSWASGLSLKGFNKFFQVDQDASRITIQNCTMDRDQDIQGAA LPFDIMIQGSQILVQDCSQNGIPSARCFTVGTGSLTPGPNAVLRHKTKSDVQTIYPHE RWAHGLLVEDSSVKTLFVDRGIKGSGHGWSINGGVGWNLDGNVDFESPPLGINWCVGC GGNGHETKGNATFVESGKRVEPRSLFMAQLEERGIHRYDQGDEETDE FPSE_02057 MSPERINPGRTKPPMRSIPKVISGLNNVIPGSLDAVVHLYGRVF NSIIPVSKPKVAEICKLYENCQQMICIAFANEMANACHSLSVNPFKVCDAAATKPFGY MPYTPSLGVGGHCIPVNPYYLLSNCEFPLLEACADKMNKRPANLAKRIVNELMGGSRL QRRDSGIDMAKRVLVVGMGFKAGQDHLVNSPDLQLARELQKLEVDVQFADSLVEQVAV PDIPRLDDPDWVKEELEQFDMIVVSFRQWCMDFSVLDQITVPVQMWCQ FPSE_02056 MVLEAYTYVFAIGSCFALLEAYNNGANDVANAWATSVSSRSVTY RGAMVLCCIFEMLGALTVGARTASTIKNGIIPMEAFRDNAGVQLLAFACASAGASTWV MWCTKHNAHVSSTYSLISSLAGVGIATVGASKVQWGWNGGQGLGAIFAGLGMAPTIAG VFASVIFLLVKYVVHVRKNPVQWAVWTSPFFFLIAGTVCTLSIVYKGSPRLGLTEKPA WYIASLTLGVGWGLFFMSALFFVPFVHAVVIKKDYTLRWWDAWRGPLLFSRPAPPDAE NARVPNYAVVQHGSDMEVVSHETEEHNPKKASDDEIVPATAASSENNEKRHLNLSEST QEDYQRMVKDAEEIHHSNLRKGKGPLGWAMRTLHANPIGAGSIHETHNMIAVLKRIPA QIVVAFLYGAHYDIHTAQMGIEATPEGRRMARVYEHAPKYPNEVEYLYSFVQIITACT ASFAHGANDVGNAVGVWAGMYAAWSTSRPAEKKAEVPLWQIGVVAAMICIGFITYGYN IMKVMGNKITYHSPSRGSSMEMGAAITVLVFSQYKLPVSTSMCITGATVGVGLCNGSI KAVNWKRVFLLVFSWIMTIPIAGLIGGCLMALALNAPHF FPSE_02055 MFDNFQPFTIQTLSDPNVTINGLKIGDASSPKPALLLIHGFPQT LHIWHRVVPQIVDKYNIVLIDIRGYGKSSKPAGVASYAKSAMARDCIDVMDALGHSGS FFVCAHDRGARVAHKLAVDYPDRIRKFILLDICPTLAMYTKTDFDFATAYFHWFFLIQ KEPLPETLITAKPRELAEMFMGGRQGDGVAIFEPDCFNIYAESLEDYDTVHGMCNDYR ASATLDLEEARQDLKNGRKIKSPLLILWGNHGVIEKCFDAIKEWKDVTEDGVSVQGHN VDSGHYIPEQAPDEVVASIKEFLV FPSE_02054 MSKTLKIAVIAGDGIGKEVMPYGVRCLQAAAKKYNLSLEFTEFD FASCDYYEKHGKMMPDDWKDTLTAFDAIYFGAVGMPDQVPDNVSLWGSLLKFRREFDQ YINLRPCRLMPGIPCPLAGRKPGEIDFWIVRENTEGEYSSVGGIMFEGTERETVIQDT VMTRVGVDRVLRYAFDLAQSRPRKKLTSATKSNGIGITMPWWDKRVVEMSKNYSDVAV EKYHIDILTAHFVQRPQIFDVVVGSNLFGDILSDLGPACTGTIGIAPSANINPAANFP SLFEPVHGSAPDIYGKGIANPVGMIWAGQMMLSHFGYTDAANGMMAAIENVLARSDPA VMTADVGGKGTTQSLGEAIEKEILSS FPSE_02053 MKEAIVQKDASVEIIDSPIPKPGPGQVLIKVVVAGSNPKDWKMP TVFGMVSNIGDDIAGLVEAVGENVVGFHKGDRVAAFHELFTPHGAFAEYAIAPYNTTF HIPDSISYEEAATVPLAAYTSVCALFQKLQLPEPWSPLAKLDEKRPLLVYGASTATAA FAIKLAAAANVHPIIAVGSQRSEFIRPFLDASKGDVSVDYTLHDTEDKLVAAIKDAIK NSGAPDNRCWTAYDSVSEDSTVRVVSKAIGGPPDANGRKPRMTNLLKKDDVEGIGPSV ENFHTGVNQVHEKNEKDHMLGLTWAAAFSSGLREGWLTAHPYIVGKNGLHGLSEGLIA LRDGKTRANKFLTIISETPGVTA FPSE_02052 MVILTSIRKSPAYLYAKTYCLCALVSLVQATPISSRFRPANLTR PTRFRNGKALTSRIAPDNEPDKVSSSKTTRIIDRWYARVADLASVKTSLKRDETDIAT YRELQWDAKVRHGSSLHPKEQEFIQLRKAMISSQGKNSLHNFLGLPPDEIVDPRDVPL LSLGGSGGGYRVMYGFTGFIVAAKKAGLWDCITWTAGVSGSCWTIAAYYTIARHDISR LVDHYMTVASELAHPMSMYALDKVARSKRGIYFLIGPLVSKAQKSIIGLSVMDLYSTL TATYQLLSREPKGRLSRATFQWSRIWQRSGIDKALEPMPILAAVRRVPRYSYKPKTIS TKATAIQNDNIAGNMDPMRNGSENKSKDSSKKLFQYFEISPLEIGSPDLNRYVPTWSW GRTFVSGHSVDRRPEQSFSLLLGQCTSAPAGPLTECIKALLVSIPKDTVMARLVAMLN RFLQSKHLEGFWGNPIRAGHDPNPFYGLEKPAMAREHTSEHPSYRPRATEPEVTERRS LMTMTNSPAVDLPPWEAQGRTRLMDCGMANNLPNHVLARPERGVDIFISFDSSSDVQT GAAVQRLHHFAADFDFELQEETKVFHSPRRNVELASDHGLEVESRYLHQYGRVFRGTR ESGKTIYIIYCPLLPNGINPNFNPSTASFSTSTNLMWTPDQVQSVLTTAEANITNYAF DTIKRVVRKVYEDKKAHRQTLETMS FPSE_06002 MTAATTCSTATSQESRFIDYPKPRQGPETTIKQRKLDNPVLSGS ILVFIAFIINLIRFIREIVWKNAGFGSLRNIREALEVYEARYDPAVIPLPNTTTQAAI ESQQTATPPESVVEESPSETPYYSVADYRKLYLSGKATPTDVAHALLPLIRRDISPKG KHSTAWISTRVGLVLKAAEESTIRYREGRPLGPLDGIPAAIKDDFDMDGYEMTMGSKN NYAGKAVSTGSITNWCVGKLQDAGVIVLGKLNMHEFGMDTTGNNPNHGTPLNPFNPKY YSGGSSSGAGYAVGAGLIPIALGGDAGGSIRIPASYCSVFGLKPTHGRLSSFPTPNPA PTCCVQGPIAADMYSLATVYDIIADPHPTSRFPRLYREPSRPLAKLLGIYEPWFDLAA PGVQTITRRMIDKLCTEYNYEIVPITIPFTEEGQIAHAMTVLADAASLLPESANTTAA NKLLLAMGRTTPAGDYVLAQKLRNLLMQHLAHLWQMHPGMMIITPTTACGGAPIRRGA SELKHGLSDGDLTIESMTYVWLANFCGMPSLSIPAGFVVPVGQERAGEEADENTVGKV PIGLMATGEWCSEKTLLEFGVEAEAVGSEKRCRPPIWEDILSQAKTVAQSQEKNYSSD SV FPSE_06001 MLLSTFLSVSTLAGLAAASPPFFRRNPPPAEAVCEPVTETVHLP AETVHETETVHLPAETVHVTETVHLPAETVHETVHVTVTEHAVVTETQHAVVTEIQHA TVTEVQHVTVPVEHLVTSVVEVEVEKPVTVVETVHQTIEQPVTLIHTSVVEVEKEVKV TETSVVHQTIEQPVTVVETVHQTVEQPVTLIHTSVVEVEKQVMVTETSVVHQTVELPV TLVHTAVVEVDRPVTVIETSVHEVERPVTVVHTSVVENVVVHTSVVEVPVEKPVEVPV TLIHTQIMEVPVEKQVEVPVTVVHTSVVEVPVEKPVEVPVTLVHTVEVPVQVVHTQTV EQPVEVIHTVEVEKPVEVVHTVEVEKPVHVTVIHTVTEQAPPAHVVAPPTHPAVVEPP VEHTVVAPPAEHTVVVPPAEHTAVAPPAEHTVVVPPAEHTVVAPPAEHPVQPPAEHPV VEEPATITTAITPMQPAHETAIAEAPVATEHPVVAPPAEHPAQPPAEHPVVEEPAAAP PTMAQHPTAPTHEAPAAEVPAAEHPTAPEHTVMEEHSGEMAIGEAMKHPPSSKYSAVP EPVAEAPPHPAANDIPVLLPSGGVSGKNATVAPTYPGRRAVVRRW FPSE_06000 MALIPRPYELGLTWTVEFVLKHTHKFSSALIDRGVISFCIGSHM LKDSTMDLVTSVPSTQATSFLGKVVEICIVTSDCKKTISELTKLGIGPFRIFDFSANT VSDRYFRGQPVEFELLVAFADTGDMVWEIMQPVSGPSLMQEFLDRGGQGIHHVAFDCN HVPQQQRKEEFKRRGFEIAQSGIWHGKKGTFSKSEIRLVTFKTSGSDGQQLEASIKNV ELDPDHPITYTALSYCWGIAVERIEVPCDGEMLSITSSLHEALVEITKSRQNEALWID QICINQEDMDEKSEQVSKMNLIYDKAETVLAWLGPAVHNTELAIDFVKKVGDVALPTA TDLFRWDSYDEAHEETKLERAEELTNEQSGELGLPFDDDDSWTAFVDFFDRPWYQRMW TVQEIIQARKAIVQCGPYSLPWEHVSAAARWYIYKAGAIRQDYPREVDGARLVTQMTT IAWRMKTGSEYNRELFNQEARPTCRWGMRDLLENLRPRLATDPRDKVFALLGISDIDR ELWDDRGMTVDYSKSVVDVFTQATDEIIKLDTSDLNVIWSARQRNDEAEWPSWVPDWR LETGTGCAWGIGAPLKTEGKPNGEHDYIPTTEPRSLAVKGKVIGRVTYKNEYRHFGEV FRDSRLWEVYNACMERLSVYPTGEDVETAFGLTLIGGLPFPATLQKKETSVETYAAMY MQFYDNTQMPMGTAEEKAKRDEALAETYKVGLDMSWLQGVLAAYCERRFIVTDSGHMG LAHHEVLEGDIIAVVMGLEWPCVLRPKSNGEKHDGGYEFIGDAYCHGVMNGEAMEGIV SGSDEGEIFTLR FPSE_05999 MSQAFVQRPAPEFTATTLFPGGEFKDIKLSDYQGQWVVLLFYPM DFTFVCPTEIIQYNNALDRFKEINTTVFGVSTDSHFTHLAWVEKPRKQGGLGPDLELP LIADKSTKISRNYGVLIEDEGIALRGLFIIDPKGVLRQITVNDLPVGRDVEETIRLVK AFQFTDEYGEVCPAGWQEGGKTMKADPKGSLEYFSAQGENGESESRKRPRTD FPSE_05998 MNSLHQPDELEAVCTAIQSIVHRLGSTTQDVKDDPIIKVAEPND VPYLRKIGTPGAAHSIDQVLDEAFAAFDHRMRVNHPRFMGFIPSPTSPIAWLGDIVAS AFNALGASKLQASGPVVIEKTLIEWLASQVGFPSTAGGICVSGGSMANLMGIVLARDR FVPHGESHKGVVYLSDQTHYSVAKALRLLGFDKKQIRHLPADGSFRFDTSLLEQTIRD DREAGLVPFLVVGTCGTTNTGAIDPLEQISEICKREQLWLHVDGAYGASATLSTTRHQ EVDGLKYADSMSWDAHKWLFQTYSCGLLLVKDKSNLVRSFANEGDYLRDGVAIEDEDI PNFWNYSMELTRPASRAMKLWFTLRVIGVERLGKMIDHGFDLAERAEEELRRLDDWEI VSSASLGVVTFRYARKGLTEDELEVINTGVSKSLISSNMAGILTTKVRGKVALRICAL SPQLALDDMSEIIREADALAKRGTQNGNENGVNGVNGVSH FPSE_05997 MHVYVHSANPWLDQHIETCIKRHVKDAICYNDCSSIPQDAETVF QFLNGWELNHHFAVLNRAKHGLLNAYPNSDALARKDHMATVVDYWVTKRPESILKGHS PATVKLSLDYSEYVEDALAAADDLTLMYSLEDNETKNASERDWWILKPAMIDCGHGIR IFSTIDELADNLELAADISEEDEDTEEAEVEVQVVKCKDESDSDSDGFHPSLSTPGLN SLDALITASGKLSINSLENETMSDAEKIQNIVIGENERIPSALLREFVAQQYIVSIPP VDGRKWHVRAYVLSVGRLKVHVFDEMLTLLALDDYEPPWKNPSLKSSLTNTALQDEEE FMSRESMRDFWKMDDDLLPGDWKKSVFDQACEISGELLRAAAHTMADKFTPLDKCYEL FAIDFLVDTKGTAWLLEVNETPAFYEQGYAGELAQRLMESVICVTLEHMGRADLSDEK NAKARGRMVEVLDASKELAKSNITEILPGAI FPSE_05996 MSTTSSTIPKFHVDRTTSGSRVPHNNYRWRIEQDISDIFAALDD LSVDLDSLNNNNPTKECYYTSKPLPPLPALPSSTCFDSTKSDTSSTSSASFIFASGQA YKQIKAYDKSLRPQDVVRETVQQKVHSWLSQLPFRPEIAECYRRQTMHSWQKWPTKHK RNQKSEPPIITNSPRMSEDTTIAATDDGDTQNGERDTLEAFKKPHIGHDRRRSLDSYH SALLHMEKPFANYTSKFCPTLRRKYPRGLEDEARLGIGVAFVTAKCEA FPSE_05995 MSAQTGSEDLFPALESLSRVIAPHFKTIIFIALVFNQTPIFPDV QSLIISQLSNPLVLIVALYFGAIWSLPNGQENSDSTWSSLLGPFYALKTVSTSVGTYL KVGSVLALAYHLSVYYDVSLPFEPLEQYLFIAISAVVSIFAYLFAPISKIYGPLRFCY LEHAQTYWLLLNWWSLTAVLPFFSPALLPIVVFGLISVTVGTWVHMYSSYREKVRTIT WTVSENAARAKAAADEARDYGIMARKYEEQMINAAGEARRDAVQANTVKISDFYDCAA RAWAALGEATAPAEDAIIKARRVIDSAVACEVAEKPDDKKKAENERLSTYLRQSAENA HDRAREMVGFLRAAQASVRGSENAARQDAAARHAAEYTAEMASNTAKSLSDTVAGIQD AERRASWAGGAASRRAEEAVSMATNGEIEEAKKAVAASEAAYQVAEESAKVVREGMDV AQRVMQEWLQSSRKGL FPSE_05994 MKFFAVAFISLISVASAAPTPVVRGPGGRLVQPGATCSDVQGVS ICQDGFGNTF FPSE_05993 MSKLVDDVKSGLKGIRGAGDALRGEVLSATDQAFDTDPNHPKTL SKETDNKVIAEKGKQDMKGVDNMLARREWEHKGVEPPAHVGHRESERPNESLNREPGT ILPGETTTYGNGRTEEDTAREAGAHVPGEQRLA FPSE_05992 MTQDALAFQCAVVTGGGGGIGRALAEYLISKGKKVLIAGRTESN LQSAAKDIGAAGYYVLDTGKTSDIPAFVKKITSEHPELDCLINNAGVQRPIEVLKNDD FLEKADQEIDINIRGPMHLALNLIPHFKEKPNALIMNVSSVLGFIPFSIINPVYNGTK AWLHFWSMNLRTQLRDTNIRVVELAPPMVATDLHRERENPDDNKKEYSPQTLTVKEFM DEITPKFERGDETISAGMGAGAVNKWFETFGEKYEGAEKGYNK FPSE_05991 MAQANKDTWSADQYSKFLKDRTRPSIDLLAHVPNLSPKRVVDIG CGPGNSTAVLVDQYPNAKISGFDTSPDMIRKAKETLPNVDFEVADLQSFKPDAPVDVL FSNAVFQWLPNGKRIEIVTRLMDHLAPGGSLAFQIPYNLNEPSHASMREVAGMPNKPW SETLKRANISRDQFPSPTEIWDGLKPLCADLDIWQTTYMHVMENHEGIVEWVKGTGLR PYVDPLDENAKKEYIETYLEKLKKAYRAQNDGKVLLPFPRLFVVATKA FPSE_05990 MRSFVAFAALALSAADLATAGPCKPRPTTTDAASTVDTSATLDP TATLNPTATLDTSATLDASATLDPTATLDPTATLDPTATSDATETTAGADATTLPDPP TNKPIENSCTGGGMTDLSVFNKVGDVKPNGQDGFTEDGGRDTSCAQLTAKSTGPTRKR QDAGDVAALGQSLSDLNTRTKYTVQFWYRIATPPIRNNDCTLEAYIGNLRFFNTAIFA NDASDSYIQVLTSTSVPASQGELNFKTTCANGDSASVLIDSIFMSNQVTPENIDNYRL DFGDGQIREPTNSPATTAGTQLPPTTQPAAATTTSAAGNGGSGNTSAQPTSAAQSDAT TQGNSESTETGAIKPTTASQDGEETSQPTQGSGSQSTSVADGGSSATTSAAGSDGDAT TQTQGSQPTTVSEGSDVTSATQGSGSQPTSATQASESDATTETQGSGSQPTSATQGSG SDVTTANSDSAETQTASSQGTQSSAPASEQTEATSVPTTTVASENESSQSTSAPVVDE AVTTTTAATGSESSSVAIGEDATTTTVAVGSESTSAASIESSSAAGSESTTASVDEPA TTAADSESTTVSADSASTTAATGSESTTAAVDEAVTTTTAGADNESTTVATGSESTTA AVVDEAVSTTTAAAGTDSTTVPAGNESTTVAADNESTSASASESVATTTTSGVESTSV AVGDESAITTTAPADNESTSAPVSESVATTTTAGAESTSATVGDESATTTTTPVDTES TSAPVSESTAATTTATSGTESVITTTTAAAGTESASDTVSESIAATTTATASDSATTT DASATSDSTTTTDASVASESATTTDASVASESATTTDASAASETATTTDASVASESLI TTTTAAGTESTSAPTTTEASATSSGTPDETTSTSSSEPTQAFVARAEPARTKCLSTSN SVANGDFDNAAADWTFSGSATAVDDKGHGTKAYTIGSYGIGFIGPATGSFSQTISDLE VGENYYLSYAYYVDSDASLPDNECTVKVTFDSAEVETFDPFSESEARDGFRNRVFQVA PDAASSELSFELSCNNNPDAFNLMMDDVYLVRCDE FPSE_05989 MPASSPKSQRSAPRSPTSSKSVPHATQTIEVDTVVEEDEEDEID PGLGADAESSTASITSSILHYRTINGRTYHSERGNAAYWGSNDERQSEAMDIAHHMLT LAQEGELHLAPLDKDIQKVLDIGCGTGIWAIDFADKFPGCEVIGTDISPIQPSWVPPN LKFEIEDCNQDWTFAPESFDYVHIRYLVGCIPDWNQLLEQAYKVLKPGGWVETYEASP TIESDDDSVKLDSAMGQWGPTFIKASKVIGNTFTVIADDLQKKAVENAGFTEINQWNS KLPLNPFPKDPHLKEIGQFGELFSTQDTEGLVNFVANTLGWSPQEVHVYIAQFRKEIR DRKNHHPWIKLKTVWARKPTSG FPSE_05988 MSYCIGYAYFLNMYEIPDYRKLTPALDAIYGEEKVEWDIRGQSL VMVSESQDLVGLRDKLRMVGVTPTWEEYCYNVLD FPSE_05987 MSGNPVPLTVIKGAGFEHIPLPNGVNATTADFHSIRTKTDSPAH ITSGFYKIEAGPSRPAQYNFEESKYVLSGQVDVLDEATGITHHLTAGDFAFFHVGSKV QFSTKSEGFAFYVVTRPVRDAHPNLKGREEETKSRL FPSE_05986 MFSALTALAGSLVLYIICTSIYYITFHPLASIPGPKICGITRIP YWLVALKGEDVRWMKALHDKYGPVVRFGPTDVSHAATQAWNDIHGPKDSEKAQEFSVQ PVNGVPSMLTTDVENHTRMRRLFSPAFSERALKKQEPLFKKYSELLTYKISEVGDNGA KPVEMCQLLNFTTFDVMAELCFGDHLDLLAKNEYSPWVRSIFESLKMLPIASMINYYP ILNALFTRFEPKSVTQQRVTHCKHSEERVNRRLKNGSDQPDVWNLVMEAKEGKGITVK EMHSNAELFMLAGSETTATLLSGCLYYLLSCPDKMEILLKEIRGKFNKVDDITFERLA ELKYMNVCIKEALRIYPPVPIGSPRVVSPGGQQILGKYIPAETRVSVHHWSTYRSESN FKDADKFVPERWLKTEARYAGDALEAHQPFGFGPRNCLGQNMAMHEMRLILTTLVFSF DFELCEESKNWADQKSFALWIKNPLMIRATPVTTHARLNI FPSE_05985 MAASMTGEQIQALVEALPPLEPKDLGPAVQGFALAFGITSVVVL CLRLYVRAGLSDVSPRLLGIEDYLAALATLLFIPAIVFSILTTRYGVGSHDVNIPSPL YLVKATQYQTYWEVLYFISSTIIKCAIGLTCVRIDVRRRITIPIYINMGIMIIVTILA LAYVFANCTPFAATWNPALGSCQKVISLQLVSYIVSAIQMATDWACAIIPCFIVAELQ MSRRRKISAIAILGLGVFASVATCVRMPYLKYYNIAKYPKETAYHLAVISITSNVECC LGMIACSLPPLRKLFKFYYGSSHEGNYQITGESDRGLGNSNSGIRLDSVGGRRGTFHA SAKPDTKRTRDTETDDDNSSSKGIIRKTEITVSSTSDFHV FPSE_05984 MSSKEPQYGPLSEDEALYLWMQNRCSVFWPELLPPKLYQPPFPS LRPAPPKQSTVVQNSYLLSLPLECLQQIILLLDIESVFQFRRTAIECQQKVESLFEFR RMAKNHAHDLLDAVFRHKVAAHINLSEFYYRTWKRVCLPCANAKGRSGYQTHSTDETQ YTYHIFTWIRLGIEEKILLGSNPDPRKFRFPFGNNTELHDQILINVPPLDPKTGRFEC GVCCDLCLMDWGVPERVYDREGFLEHFRQCEDAQREWRQELSQRTTTLEEEKP FPSE_05983 MTKLGFVLLAALAGSAAAAPSAPAITQAPEVHAYGKRATSCTFS GSDGAAKASKSQASCATIVLNNVAVPSGVTLDLSKLKDNTKVIFKGTTTWGYKEWKGP LMKISGNKITVEGSGAILNAGGEKYWDGKGGNSGITKPKFFAAHKLTDSKINNLYVKN TPVQAVSINGVNGLEINKFTLDNKDGDTKGGHNTDAFDIGSSTGVTIDGAKVYNQDDC VAVNSGKNIIFKNGYCHGGHGLSIGSVGGRDDNVVDNVQFLNSEVANSANGIRVKAFK NHTGKINKVTYSDITLTKIQKYGILVEQNYDGGDLHGDPTSGLPITNLTLKNIKGKNA VDAKGKNAAIVCGKGACTNWTWSNVQVSGGKKYDSCQNVPSVASC FPSE_05982 MTSKAVTLPRSLSDLTQWDDLIDKYRSFRLSSLELSPKSFSSTY AREVELTKANWETRLRNPLAINTVVVANPDTSSLDDDLSLILNSPWLASLVICGPFDA KTATETWEKLQNFGPGCLDFGPLEPDIEWTYVLNAIYVPPSQRRKGLANKLIEYAKQL VAGKHSEKKVMLVLIVDFESIAAMKCYEKSGFELVHDYWFDEPDSTKGHAAVMRLDVT VKNFSF FPSE_05981 MKATLILALPAIAMAAATPPTVEPRQLPLPLDTQCLRKITGIAD CLTNIGTVTGNPFNIGDIIACTASLPLNVVNALVAAATQCVRLPLPLPVKE FPSE_05980 MPSLQSFLSLSSPQASASSGANVSKSTPIPSTNIGPAAQHLQHE LLEMYWQGVERELPYLLLTYRHWQSDKETSGLLPDEQDHHIHGYDTFIDQVSDISRQF HERNKSTQEPKKQKKGEKKNKNEPPSYDEVLRGRGWDIFADMKSQSVKGALRTALSER KIPDPARRRARMLLQSAQFLDIDKLIEYRDDEEDPPVRKMPASAQMKVSCYDALNQPV FVPQRCSECQTIIRGCMFVDTSSEGVIICEACYRTTHYGQERFSKQYKHSCLPTALTP EMSRGLCYCSTVRRRDAHGRSRSLWPIDPVADEGNHIQGGLGRVGCGLYKVTDLLAEA KYSSTRPLSGKNESLLKLRQKDLASEEVPRPAGALRNDAPRPEHDETSRPEYGYSDGS RTSQPKGIPSYLRSKIGDNPLGNVHMAVRFGPLIIENGSTNLDGVLITNRDPPSLQVA RDPPEDITKCLLLTGETDRLLCSQNRPRYPKRYRAFMKQVVGGAFCDFFDSDVEEQLI DAFMHECDHLDYDNLGSAAQEAVLNKSSERLLLLVKEYLGSRAQAHIISITNRLLDPD VQLQWNRQSNSCQAFCDNLIDRGLFGSLFTSEAFDNKLQPFYLMSFVCRPGCDVPVRA LSKYEVPNGLTEEYLLKFRYGRQGDSDIIDSLTEYWTDWGGFEGPIYPYQDLFPWDCT EAYDRYPGNCGDCNISKHVLAFPFDSWSIASLHLARGRELYPRSPTLTDQPTEKRRAG GLMSDTDWFKNRLNILLGQDTLLAAAVAMSNNPQFRASTNWLHGGTDKTMDRLKLGGI HRAQPFSHHFEKGAYHRYFVANWSLYTIPLRIAEYEKLRDWRATKLDVGATKADHSGA GGCGVGVFVCGAVGAGCAVGSPGMAGDTCGSGCVTDTSGDGDGDGDGGGCGGCGG FPSE_05979 MCPPDRRVEAFSPLYLPISEFVEMALEHRLTKLSLHQRGKDMVT GPNRPNNPSGKPYELAYKAQDIPVIPDPSVFNFQDIPNGNSTGNPSTTDLALPNLAEC ATHLEFLETLFVLRQKILVSKDLDEVMQTEPDREEKTGVQGDTKTFKDENLWERRQVK WPLYVEFATIRFLAWREEFNKSGITEITQDNLPPLDILMVWHSFFLNPRLFYNTCSDE PIFSIRFPWKHIHEAINSDEWSFTLCPGAAAHYENIHGFASDLYHDMASWKTLTYATR REVPRMGIKLLLYPPTIYESPCKEYAILFETFRTTLAGQLRDAVIRQASFVDKMNSYM WIRSPALEGTIGRGISRYLNFCKLIKMSKSTVVPTLDIDLIWHTHQCTAKHYGQAMKV LAGKFVNHDDTIEKPQLGVSCLWVLGLSSLVNGA FPSE_05978 MANDSKVDVLIVGAGPAGLMVAAWMAHCGVNARIIDKRNTKIFC GQADGLQCRSLEIFDSLGFADRVWKEANHMIEICMWNPGPDGIIRRSDRIPDTIVGLS RFQQVVVQQGRIERFFLDNIKKYSKDQIKVERAVLPEAIEIDQSNLDSTEDYPVTIKI RHLSEEEATPAQQATASKTQASDGLFRSNLVFDDEVDNDSALRKAQDRDAVTETIRAK YVIGCDGARSWVRGQIGLELQGEASDFIWGVMDIIPKTDFPDIRMRCAIHSAENGSLM VIPRENKLVRLYIQLKEVTPDASGRADRSKISPDVIFKAAQKIIHPYKLDYEYCDWWT AYQIGQRVGTAFDSHERIFLAGDAVHTHSPKAGQGMNVSMQDSYNLGWKIALVVKGIA KPDILKTYQSERRRVAQDLIEFDHRFSRLFSGRPAKDVMDEEGVSMEEFKDAFEKGNM FASGLSVNYGPSNIVAKAGEPLAQADGTKVVSPPGASVTEETFVKKQALAIGIPVGMR FNSFKVLNQACARPYHFQERLKADGRFRIVLFAGDILDPAQKARVEEFCSRLDQPNSF LHRVTPKGQKVDSVIEVLTIHSSKRWDTDIIQDFPSILRPWDDQTGYDYEKVHVDDAS YHEGYGNAYENYGVDKTRGCVVAVRPDQYVGWLGDLEDFDDLSDYFHGCLLMKD FPSE_05977 MATQAEQQAAANAFIQEVWGLQGAAYFIVGLRYYSQFSSFGRRI QWDDILMLLATIVYTAESVAAYFVVAYWKGLANNGITPAQRQALRDDPDSPEWALRVN GSKTHVIGLLLYMTLLWLLKGYWVIYYLRLTEGVASAKRYARWGTIIIPVTYVSCFLV AFLKCIPFEKQWQVDPEPPNSCLPAITYIQTIYVMAMNTATDFFLMSIPLPMIWKARM PWRKKVVIMFMFSGALLEMVFGILRAVSILTKGNTDPAQSGYWSVRESFVSFVVTNLP MVYPLLKRVVEKTVSASKSGTRTPGLGDSQGYRLGDYKNKANSRSRPDETDLGDTVWG SKDHIVPVDRQASGDDTSIQSAGKAHRDSHIGIRSQNIAKAVGGLQPSKSHGKRQGLE AGLGEIMVTSEYVVRVDE FPSE_05976 MPPRRACDVCYKRKIQCLIKTQGEPCDWCESQGLTCTFERVIQK DPNKRTTSDVVQELSHRVESLEEALQTALSAPARSASISLSDRPLAFPSPPSLRLGGG SPVTTTIARHPSVISPSDLPVNNIALSKPCYKLSPCQLGTNWYFKGIGILSERGRQWI TYGSGERVFLENFNIFANPIGVIPPLDCWPISDQTRILPPKEAVRYIVDAFFKSKTSV FFPILERSLFQDTIDRAYDEVDLGRRAPAEACLWAITALVMRTTDLQQFGLGLEIRDC LYEATRLLTLNNGNTNLDSLQGTLLLWACQKMRGRCREASVTFANACRMVCDLGGHFP LSRLAILPQHSPTFDHQTKLHIRRLFWICYCFDKDVSLRTDKPPLLSSDYCDVNDSEE PPWFNQSRDMNLAKIKENAVRMLCSPRAFKYTEGELLARVRELDDELEEWRVSVDLRY RPRLSIVSDLSASLSSNMSLEDHTYLINLQLDYLFTIINIHTLVRKCGDLEENLPDDL HSVVHSSADLSIEASRSIFRILDTVVDFWKEDAVYIVSHFAPMAAMPLFMNILIHPLG NTADSDLQILSSISNITRKIPAENLPMEEIEGIREISEFVMELVRLSHSAAWKVKRGE REHDLDIIHS FPSE_05975 MSGNFLDPKAMLDPRQRSLSEAGTVSSVGDNSTVVPSLNQQSPD EKGIKFPPVPVPVSNNAPFSHTPDDLNELLNPKSLDKLRSFGGLQGLAQSLNVDVNAG LSVDELQTQNASSNERIRIYGRNQLPAKKPKSIWRLAWITFQEAVLVLLTVAGTISLA LGLYETFGTTHAPDDPTPVDWVEGVAILAAVAIVVVVASHNDWQKEKAFVKLNTKKDD REVKVLRSGKSMLINVADIVVGDVIYLEPGDLIPVDGIFIDGHNVKCDESTATGESDA LKKTPGAKAFTPDPNSTKEADPFIISGAKVLEGMGTFMCTSVGVNSSFGKIMMSVRTD IESTPLQKKLEKLAVAIAQLGGGASVLMFFILLFRFCANLPGDDRPAEEKASTFVDLL VVAIAIIAVAVPEGLPLAVTLALAFATTRLLKENNLVRVLRACETMGNATCICSDKTG TLTTNKMTVTAGRFGSSTFTSDIPSWASSLPADSKKLITQSVAINSTAFEGEEEGVAT FIGSKTETALLQLAKDHLGMQSLAEARANETIVVIEPFDSARKYMTAVIKTPTGCRLL IKGASEIVLGYCKTQFDPSNGNVDALDRKAAENAINAFAEKSLRTIGMAYKDFAETPD LENLSDLTLLGIVGIQDPVRPGVPEAVQNARRAGVVTRMVTGDNIVTARAIATECGIF TDGIVMEGPEFRKLSEEELDRVIPRLQVLARSSPDDKRILVTRLKVLGETVAVTGDGT NDAPALKAADIGFSMGISGTEVAKEASEIILMDDNFASIITALKWGRAVNDAVQKFLQ FQITVNITAVILSFVTSMYNPDMEPVLKAVQLLWINLIMDTMAALALATDPPTDDILD RPPQPKSAPLITMNMWKMIIGQSIFQLVVVLVLYFAGGAILNYDTSLEAEKLQLDTII FNVFVWMQIFNELNCRRLDNKFNVFVGIHRNLFFVFINCIMIGLQIAIVFVGNRVFDI DPNGLDGVQWAISIIIAAFSLPWGVLVRIFPDEWFAKIVYFVAPPFVASYGWMVNVWD KFARLFKKSKKEDSENDGSSMEGGKEKSTTSGPMIVEPHRG FPSE_05974 MPLMQNIISQVPSHFTKVLYFPRHNEEVSHFPIYEISEQYFDKI GKLPMGSRDYKVELCLLRKPNGEFLGDNARFLVDVGDDSTSMSVRERILGQDPVEAQV LLPNSEETEYSIHTDTSTTSAHSSGHLVFPLPEKQTKRQLVRYPYFAITSTIFGDRDA NIGRYEWQVHPNEKGPLRYELVDVGENDSGEVDSSILAIYHHHGFENELPASYSHGVL LLPSTSTSEFEIAVVSSLLAVLSAVRQQPTSRKQSRIRSLMACL FPSE_05973 MWLGDHTSLTENAARWQYKEETIHSWSPFYVADQIGKSVPNGKH YEWPFELFIRGDQEETFKGCTRCSITYLLEASTIRSDSSKNASSFTPIRIIRTPAFSS YELMDPTSAHGKWSTISEYNISIRHRAIALGGLIPIEAQVAQLCSTSNISKARFYLRE THTVEDKSDSETMSYEGQRIVTEWPLNLNQSVQLQSWQQCLHLPLAVRNCSPDVSMHG ITISHTLHFEVTMVTNGVTTEEEVSLPIHLFISPELPVNGWGVFVRNNNIASKEVKDL LSEGIRVPPRYCREEFDVEEYGIPVGAPPPAYSEC FPSE_05972 MDQLGPSSTGSSNRVQPPRRRPRKPLNCDPCRHSKLKCDRQLPC NTCLKRGWQDSCAYGSNFTGPKGRRKTRTSNIEPTVQVAEPQFDTIIPQARPQIQVQH QEAASSPNRTPEPIQDRWDNILQRPRVERCPTGPDAQRPTVTLSLGPTVPIEELLALL PPASITEYLVVRYFGTLSSIFHIIHGPTFQKQFLAFIEAPEKTSLSWLALLFAILSLT LETLRPDDAGLNLLWKDPNVPRDLRALSQKFRNAAMMSLSQDQFLVRHDLDTLEALLI LVYMISHNEGPEYAWALLGTALNIATALRCHAGAPISNRIERERHRRCWAGILIIHTD QALCFRDIDLTYLLKMTAAMPAWVNDSDIQEHGIKDSPVTPGSEFTDMTLIKFQVQLF QLSTQICSHISSNERFDETTLLRYDTAVAKEQKEWDAIYLVDGNRSILNTEGYVHWCT LQTYAHQLYLLLHRPFHSSRSNHFRAESREKCIRSGLALLDIHHQFFELPRMKCYRWL VKGAISCNSLHGAVALTSCMLDMPDSSDLAEHINAIDAALTRMEALKMKSPACSNVYR VLRCLRSYLKKREPELTVLPEDLELRFEDWANNVDWFRPDAIDWAVWDQYITPQSDYP ENIMS FPSE_05971 MTDRYVAIHGAANGPGDARPTAEQIIKDENLEGKLNDHVVLLTG CSAGIGIDTAKALYLTGATLYLTARNLDKAKTALGDLVQDDRVHLLELDLESLDSVRS CAAKFLSESKKLNILICNAGVMCTPEGRTKEGFETQFATNHLAHFLLFNLLRPALQKG LKPDRAARVIMLSSLGHRFGEPDFNNINMEGCYDPHKAYVQSKTANLWTANEIERRYG SEGIHAWSVHPGAVLTDLGRHLSEEQKSSLGGDPYLNSVWKFSPQGAATTVWAATAEA LEGQGGRYLEDCQIIGKWDPSTSLYEPGYGDHAYDEGKAKTLWEKSVEWVEL FPSE_05970 MSYLSVYLLEFLGVPLNHHSIFIKDADGETGFVFHVIGNIQMGC VFEVRQQETDPRLSATFEKMTQIGVIEANDTPRSRAVCESNPPPEKQFNGIKRIDPKK PLRRCQEWASETIDILREQGVLLNAN FPSE_05969 MESITVEPAQYDVLFKDQDFPGPLPTPNDIESGEPLPENRTFCD KLVRIRGDFIMKYGPEVQPIEAHNMLYVAKNTSLVVPKVYAIYQGKETPRNFTYILMQ HIQGKTLKDLWPELDGTRKTSIAKTLRASFQQLRQLQHPGYFGDINGGPPLDDMFTHT TAGVDNVTSPIATEEELINLFVRIFDIETGTWSENLAKYYQHVLPTVLHSNKAPVFTH NDFQRKNIMVQDDGTIVIIDWEFSSWLPVYWEYSTAMFANGGWRDDWGEYLRIILDEY PNEAVWICQIKTQMWS FPSE_05968 MPRPSAPKITKACMPCRARKIKCDAASIGLPCSSCVSRETTGDC VLSTRKRRTLQGRSTESRGDLPEDHGLIPTPVSHNRLSYTHSNATDHSNTPPTDRSIH ASEGGSSHAHNSPGPLEIHLPRRPQPDLLYMNILQDTVNKTAAGPGEAGNVAGTDNDN CLQTQMRGWNPLLQLDDVDNEYLAKKGVFKLPAPQHMDAFVKVYFDHVYPFAPILNRV EFMESYRSGDCSLFLLHAISTAACSYVPIEVIQECGYTDRSAAHVAFFLKAKLFHDFH CQGGSLPMLQGCMILGDFVPDHPSDRDFHYWFYNSVRWASRLGLHNTNVRPRNEESQK LYRRIWWVLHNIDVFYFFVNTQNLRLLATAPPIEPLTNDDWEEEDLRLLSHLLSPITH QQKASLVAHSELAQIFGTLTKTISSEPQKDMQRVMQPLDRWRMSLPAKMNPNDSSTND EMFECVVCRSLRRGRWGIRSEDVRKWARERFRDATLELDSILKKVLLSGIIKKIPTTF ITTIAALLALHIESALDSSQSDIARSMARVSIQFTMLALNQIQDTPAIKRALPAFEMV LSKNKLYPSSLHDVAQVETHGLQEGNVEDESTHVAIQSDPSFPQDINDQISFLGGDIT GFEFFDRWQMEQLDFTGIMR FPSE_05967 MASDTPSLSSDTVANDTTMELQQKTRTLYQRVSGVLGHRQIDTK DLLSQKYPGSGTDESPYVITFQPNDPENAMAFPKWKKWSFTGLQAIATLATSFASSAY SGGMKQVIQYFNISSEVATLGISLYVLGFALGPLVWAPLSEEFGRRKIFFVSFMAGTA FAAGSAGADSIEALLVFRFLTGSIGSAPLSNAPAVIADMFDKSERGMAMCMFSGAPFL GPAIGPIIGGFLAQTEGWRWLLGLLAIFIGAIWIVASIIIPETYAPYILRKRAERLSK ATGKAYISGYDVDRPARSAAHHLKATLTRPWVLLFKEPIILILSIYISIIYGTMYMCF AAFPIVFQGGRGWNQGVGGLAFLGIIVGVALAILTFMYEDKRYAKAASTRDAPLEPED RLPPAMMGCGLIPLGLFWFAWTSFDSIHWIVPIIGTVFFAWGLVLVFMALMNYLIDSY VVFAASVMAANSVIRSLFGAAFPLFTKQMYQNLGIHWASSVPAFLALACVPFPFLFFK YGRKIRMKCEYAAEAANVLEKTRTQDAAITEEDAMEEAEEMWRASRRNSRASRA FPSE_05966 MKLLTNLGTLLALSPVQQVSATPHTHGEHYNLVKLEGYGSVSGT TVNSTLTKKDLPATVDAWMGIDYALQPTGHRRFKAAEWPKPFKGVKRADSYGKTCIQE IASKTPLESQSEACLNFNVFRTQGVPLSKKLPVLVWIHGGAFFSGSWASFDGAAFAAS SKEPIVVVNFHYRVNSLGFLPSKLFKDEGLSNLGVRDQRLLFEFVQKHIGAFGGDKNA VTIGGRSAGGHSVGIHYFHNYGKDNKALFAQAIHQSGSVTSRAFPNDTYPLYKTQYDE YTAYLGCDNKKTNKATLKCLREADIDSIRNISTKIFYDYNDVLTWPFQPVQGGPLFEK PGSQSGYDGTFYHVPTITSNVNDEGKFYTPGNLETDSEFLDYLHNISPALTKKDLSEL SALYPDPAKYDNSPFANSPNSTQYNRISAAWSDYAYICPGQETAYRASTAGVPTWKVR FNTNNSFPAWQGIPHTADTAYTWNGESTQYPEISHIYHGYLSSFVTAGNPNSHRYPGS PEWPAYHGDDESPLQIVVQPGDTKVEKDEIRKEACLWWRDPERAPRLNK FPSE_05965 MSTKSKLWQPLKLGNVNLSHRIALAPMTRLRNDDDHLPLDSVIQ YYSDRASIPRTLVISEATGISKAAEAAPSTPGISSRNQMQRWKKVFDAVHDKGSYMFM QIWDLGRAGDPNYLKSRGYKYSSSSNIPMEGYPVAPEALTEGEIWQKIDEFRKAARNV IDVGGDGVEIHACHGYLIDQFISEGVNNRTDKWGGSVENRARFLLEVVKAVAEEVGPQ RTAIRLSPFATFQAAYTANPWDQFGHIVAELKKAQYKLAYISFVEPRGNPAQTDMTIH TLPGDNVNPWGDKKPSLDHFLEMWDNQSPVIVGGGYLPENVSTAVDGSYKGWDVVVAF GRWFTSNPDLVYRVKNGIPLTPYKREYFYAAKSELGYNDYSFSQGFVEATKA FPSE_05964 MHFTAIMTGAVALLASATSAQPTTRQAPVAYANVMVVNANDHSQ QPVRIPLAQLTTLKYSVTELRLISLSVNVPEIPSPDVKDVVCQRYQDKYGIQFGSTEF TEGKPALISTNPVDFGWVLCYHKRSD FPSE_05963 MLSLKSLSASLVGVLSLSAGAAAASNSELVASTYFAGFHANRGF PVSAMPWDKFTDAKYSFAETTEDGGLDLSKSQPEELSCFVNAAKKNGVKALVSIGGWT GARYFSTNFGNEKNRTAFVKTCVDFAKEHSLDGLDFDWEYPNRQGLGCNTINENDTAN FLEFLKELRKDPVGKDLYLTAAGSLFPWNDKAGAPSKDLGGFADVLDYIMIMNYDLYG AWANVAGPNAPLYRSCDERNNMGSGDEAVTKWSDAGIPLSQIVLGMPNYAHGFKVNAT SAYNKNHKLNLYPAQNSTDRFQGSSWDNDPLIDACGNPSPPGGTYPFWSLIKEAKFLD ASGNPAPGIAYTWDKCSKTPFLYDEEKQIYVSYDNARSFAEKGKFVKAKGLGGFATYE AGGDYNNILIDAVRAAVGLS FPSE_05962 MAALNVDTLDMSLFFGTPDQKKDFCDSLLRLLKKRGGVKLVNHS IPSEDIHELFTQTKRFFELPLETKMIAKHPPQANPNRGYSFVGQENVANISGYEKGLG PQTTRDIKETVDFGSATDELVDNIWVPEEKLPGFRKFMEGFYEKAFKTEMQLIEALAI ALGVSSDHLKSLHNRAENEFRILHYPAVPASELADGSATRIAEHTDFGTITMLFQDSV GGLQVEDQENLGHFNNVESAAPTDIILNIGDSLQRLTNDTFKAACHRVTYPPSIKASD GEQVIPERYSIAYFAKPNRSASLFPLKEFIQEGMPCKYEDVTAWEWNNRRIEKLFSSD AKA FPSE_05961 MGTSSRSRRTHSFGGCKTCRKRHLKCDQSLPKCNRCIQAGLTCE GFTPALRWLISTGREVYDRQPTQAAEVGAEQYSRRHLFTEADRELMSNALLKNVPYTV TAALNQIDEESKGLDVHDDAASICEVGPFQVFKFESSRSTTTAISDHVSFNEMDLDTL SEPQTQDFSEPIDNDPDQIVDETVVPNDFSTTSLDFLHWGDLFTWDVSVLDNPPQLPY ENFDALPMNINWPEESFSESLDAMIPFEPVTDDVAWPQLDLKTDAPLLLKHFNDDVIS QMGSLPINEKSAWRTLHYPSAIMTLSELTILEVNKDQIKRANLSTFYALIAVSAFHLS LNPITFPSLARPGDHWESVSSRTYEAAKQHLRVSLEKESQPGPNKAKYKEQLMGISAV LATALLSGNETGTRWCLTEMERLISWRGLTKPSISRRARLLHNIYAWMRIVSESLNVF HDENHAIETPFTRSNGNGNASTGKPAAVPVQSINPDMTLDNFLHLEPRKLHGQKAKRD IKDIHLADVSQDHENMYMQIYGVPETWLSLVSQITRLANVMDRLSAQKKPDAEALMAL QPRASYLENAVCLFRSRHGKGSPSMSASPNSAPGGTPHMHMVRALASALVIFFYRRIR KVNPLVLQDSVNDVVDSLHAFDEALERNNLLGPGTAWPAFIAGAEARGRQRIDIAEWL DKGFSRSGFESYKSSKEVLSEVWRRRDAAGGGMPNGDVCTWMEVCRDLRRWPLVS FPSE_05960 MASPPDAALTISSTSVCAALIIARCVYRLVFRCHIHPTCHRRWR SDDFYMTIAILPLIGRALCILYSFYLNPNHTYHPATQAEAEGWGVDVQDLNGDRELSH KLLLPARIFYAMFLWCLKLGLLAFYSRFIDVFRWGKMVTDALWWFIMATFVAVFITIL AECRPMSLYVLFAQQQVTLTDRLYIRMWTLDYDDSKVRCNRAVGNLILMAVCNIILNV ALIILPFPMLRHLRLDLKEKLQLGFLFSVGAVLVAITILRLPLILNQSVSQRSRSMWA SIEILCSCIVANTPFFYALVKDLKRQHDDRPERSPSNATNPSDFYDLQSLPSSAGAPI PIPPTISPATSKCSIKYCENV FPSE_05959 MVSRTLFAGALAVFSSRAVIAGPCRVVSSTEASYSTTLVVSSST EVQSTGTLETSLTVPTTDVTTSTVIEEATTVTTDGLTTTGSATTATTIAESATTTTMA YAVPTSFKLLADGSDADGLAVKSNGNPGGSLVFGELPNYEEVVFSFEEETGSVQYDSK DLCVYYGDSDPAAQIITCTTTLSGRQFPLSCEKPDSNQLKCTTPGKTCFTAGSSPIAE CAPTDEDWVQFFVRPYISGSFLLYLSNRNAIADELDDMDLKAVDLRLRGVTDT FPSE_05958 MTHRRGASASMALLHSFTLWTLLVLYTSSVSATSLPGFGLKLYD PLCAESCVRSFSSLKLSCSIVSQGVHKRATNSQVFTPPKCKANNEAFLASVASCFNTK CSEEKMSKLEAYWEESVTGTNKVPAKWTYNEALAEAQEHPLKYQLKPTDFSLNETSIA EPTTYLKQWNQLGGYRNEMEIESRYSIILVVIALGLPIVITWLGYMPYIPTVYDKLKP FMLYPSTIGTYSVRPLPFKLGNVPNMGQAAFIVIFVSLTVVFTSIDYDVRQPNSRFES TKREQLAYLIYRTGSFAYALLPVIVLFPSRNNILLSLSNWSRSTFILLHRWVGRIFTL LAVLHAIFALAASKDRGSAPWRSWGDSTVILSVITTVGSGLYFRKAHYELFLFMHVIL AVFIVVGCWYHLIKRYEVIGLDFPRHSYGQEIWLYLTCAIWFFERFVRVIRVVRLGIL RSKVKDIGSGYVRIDIPHVRWGLDPGKHVFVYFPTLAPLRPWENHPFSVIPTVALRSH GSASSSTTPVSPSGSSGLEDMEKSVTQIGSRTVLPSAENSAGVTLFIKKSAGITKHLQ SHDRLFTFLEGPYPGNDTRQILRCDRLLLIAGGVGITSVFALAHNHWNVKLAWAVKED ARCLVNELEPAMDAISTAQVDVRVGSRFNVTALIEEESSRGWERVGIVVSGPGSLCDE VRAVVAGIARNSQTVFELEVDAYSW FPSE_05957 MGLWSRLTLVTLTAAQLVAVVYCDKPKCASLAQSTCLTGHNATI LSTTYHGVGALKISGTQNGVPFCQVSASVEYRNNNSLVFDVWLPDAKHYSSRFMAIGN GGQGGEINRADMMSELNNDLGFAIAGGDAGHLASDNMAGDIPGIGAPGIYQPFLHDES QVKAWMHDAISLFTPASKQLIEVFYGKEADYSYFRGCSAGGTQGFSLAELRPGLFDGI IAGCPANWFTHTMLSFLWNAQHTKANATTLSPQHLKFIQASVMEECDLLDGVKDNVIQ NPLDCHFNISSLSCQEAAGAENTTCLTQDQLAAAQALYKGPVHSGASASSLFPGLALG SEAGWSLPQVQGALSNAFTVPILQNLVYKNLTYDCDEFNWESDVEFLNTKAGPLVNAV ETNLTSFRNSGGKMIVYAGWADPNISPQWSMEHVEAITRGTIGKDMAIAENDFVKLVM VPGGGHCGSMNAKYPYVPAQYNFASAMIDWVEKGTEPVSGIKSWGPENGENRTRRLCT SPQIAKLKDGGDVDDWESYICA FPSE_05956 MATLSSAICTRPKAVVYRGPASSPGCPEAIGNLLESSSYHFKVV YAGPNEPVDVTDETLQGAAIYAHGGGPNWEKAYRQTKKYQRTIQKFVGNGGHYMGFCL GAYLAGPNDGYDLLPRGVETDQEIERRGAQVKEEGDTVIQVDWTFSSGKTDKKRWLYF QDGVVIKGLSAKTPGHVIGRYSFNGDVASSVTPYGKGWVGLVGPHPEADKTWYEDAEI ENPEGIRRDVGHDFIQSTMNGGSAKAKGTTG FPSE_05955 MDLPTRAGRQSAFAPKARTGCLTCKKRHKKCGEEKPTCLRCKSG GYVCDGYETKKPAPSVKSRTGPLPLLAAKRPLVANTIRRPLIQANTMECNYYTYFFSD IIGHLEISPSLNREFWNKTILAPSQSSICIRHAVLALGATYHQYAAGTVQPSTVSIDR FILWHYNESISKLASSHDEEADIYTILTCCILFIILECLRGDFEEAIRHLESGIKILS NHTPKMYLPNGQVQELATIFHAISSQVAIFAEDRIFPDVTHLLMPPKRIKKGIQGEFR DLDEAEDVMNKFDDVINEISWDMEQDWDNEDSECVIRWVKLREEVRDWKTQFDVLINK PARKGQKEVHVERILNLRIQHKLWEVLLDGEYNEDEADIDPTECNLLLDQVEQLWCNP ANPRFGLKIDLTAALYQLYVYCTDDQVRKRIIGLLRSQKRREIIWDSGDLADFLEKDM WQRAIGLQTERWPDIGPSKDEGALLVLRPRIQKLV FPSE_05954 MIVSAPVQLLWLHAVLSDTPLSLSASTRYLRSITAMQWLQFIAT ILSFCLVEETIELAIDESLKLFIGHEDLSGDINTSEARSVVRIIMAHYAVSKAVKLVL WVPATVAAVQAAPDIHRGGRGQVTNEFCASMVDCMKSIPLSLWVRCGIYYSVAAAIGV LTKVVDGAFVVHVKLGGEKTSQLSDLESSK FPSE_05953 MSQGKTFTLNTGAKIPLLGYGTWQASPGEVGQGVYEALKVGYRH LDLAKVYGNQPEIGEALKKSFAEIPGLKREDVFITSKLWNSQHDPKVVAAALDDCLQE LGLEYLDLYLVHFPVSFKGSQKHVGQDLFPLTGGNEPDGDVEIDDSISIVDTWKAMTE LPKSKARAVGVSNHTKEHIEALIKGTGVTPAANQIERHPLLIQPELIAYCKEKNIHVT AYSAFGNNMVDAPLLFTVPEVKAVSERLTKEKGTEVTPTQVLLAWAQVGGHSVIPKSV TPKRIAQNFQEIDLSEADIKEVEEVGKQQRRYNVPYVANKPRWNVNIFGDETEKPATH QVIV FPSE_05952 MSQTKASVHEHLLGLYREYRQTQDIAAKAIFFSPQCHQICRTDP SYAAKDSETIIKYLFEAGPVLEDIYRKAGWLQEQTHGPPRSFYSARPLNSTEMEDFGT IKELAPAGFESVEEVKNKSKGEKWEGLRVNMWTQDENDRGILVKVQYWWRMEPLGSED GTWKQILHDIMYLGPKDGTEKDGGGEIIEEK FPSE_05951 MWFLFSVSYVFGVFFISILGSKLLHLWTHFFTVPASAFFLYLPT FFLFDLLTICIGRMLLAQSKTPWAWITSLIGSIATLICLGGTSSQLGFFLKTGGELDW RDAGAYATSKEGLKVLFSGSEAVIACGVGFMIIAMPIHGFVYRAFGAFLGAIGDNILS VYSYFRSFRMRIPGMQRGKYSALKNADTDLESTDTYSMDEDFRSSADNSFRRGGKNEA PTTIKGKIVRFFSRIPFFSWLWKITLALFLGLTLILRPAKPYDFLSITLPVSMLDVFA PEPDFCGAQRDLILNDFPFPALLNKTTWKQPEGDFRGWAPLADKKMSPLGEAYRNHNV DWLPEESTTLPRGFFRYDPKRFKGGYHGSLSAPGAIVSDHKCPQVSIHEKDPYYNPVA DPLKITNLDNDILPTLKQALGDGNVKIKHVVFILMESLRQDFWPLQQGSHLHSLIMDL NKSDQEKEEANERLSWMMPHIEKITGLKQGFTDKNGKPYAAPNYTWHDQAEEGFGGIN VATAYTAATMSTKSYCANHCGAYPMPVEKFAEADTDSYQPCIPQILSMLNKVKKNVSS SDSDFRDLQWKTALFEAEIEEYDRQEKFDAKLGFEHIITKKQLENDWRYNKSDVLYHK INYFAYPEPILMPYLKEFISNTTANNQRMWLSHFTSTTHHAWGLPEGYPYYDYVSHTG MNKKHDNFNRYMNTVRYHDGWMASLMNLLDEQGIANETLVVFAGDHGHSFKDDAGKEG TYENQFISNYRVGLTFRHPHLPRVQYDANTTTISILPTILDLLINSGSLNENDSHIAS DLVHDYEGQSLIRPYKKSDGDRRAWAFSVVNSGAGMLGITSADVNWRLVIPLNKVIEY RITDAVNDPMELKPVAAWSPEELITAAQSSLGDEAAQWVSEAIPIAQWWVKERQRLWR YHGLSS FPSE_05950 MTPLPLPNLVSFTKTWHNEPYPFISPTRPELSARGKNVVITGGS HGIGRAIGVAFAQADAKSVAIIGRSRERLETAATAIRAANPLTTVLLEVADTTQRESI TKALNSIVDQAGKIDIFAANAGILPVYGLVMGYPEEELLRSLMINTVGAFNSIQAFLP LAAPGAKLFNTSSGIGHWQPNDAVTGDFAYSIAKAAAIKMFDYVAFENLGLHVVSFQP GIIATGINPDITLGFDTVELPAHFVVWLASKEAEFLKGKFVWANWDVQELMARQDEIK NPMLLKLSLNGVDM FPSE_05949 MGRKHVKRQATPQAPVSETAMRQVLGCYETFYLICTFMDMPTLL HAQLVSKHWHDMISTSPLLQQNLFLKPRPSVNIEEPSILNPLLLKHFEKILKGMDYHH DTIYYNTTAIFDMGIANMKDGRKVHKAFIRRDASWRRMLIAQPPITSIGYVEKVNNDD ENWRLLPFPEGLCMGDLYDMVFQAIWGKPDEAHGSAYVDFAPFERHYYNMSDHMLTKW KEMPPMVVGCAALQKQCQYPTSRRGGAHRAVISERRRRLSKKNNDRPGSGTTQISTDA TIPELDVELMHCTDIALPDEDMIPGARLLNESYNTLEGGGLGNDPLILSYYKNFHVFH PFVLPLPQLIKLCEGPSASPFDFTPLTTVMRLIGNIYDAQEWSISLQEDAESRISQLS SSDPVQVQCRLLYSIALFWSSYTTKASEEKDIATNVALELGMHKGEFASASGAGDAVL MESWRRTWWMLYIVDAYYAGTLGTMNFKTFHVEATVSLPCEEDEYESCVSVSLSNWVP WLIMFKYIPEPRTVEDFDSREFAENDIPFSSFAYLIGAVRAAALAISVTPKKVKRQDS ERMIQVADAVIDAWLLLLPKERKVMRNDGSIDELMFQANLLVHV FPSE_05948 MKAVQILGDVSSPKITINSSMPKPTPIDSEILIHVHAAGITGDE TTWPEVYQTPSRIPGHDISGTIDSFGPAYKGSLKIGQDVFAMIAAERGEGQAEYVVCT AEEVAPKPSSLSHAEAAVLPIPALTAWEAVEDFVKIRPDMRVLVTGASGAVGKQFVQL VKHLTQAHVIALASTRNHDILRDLGADEVIDYASPRWESSIAPVSFVFDTVGGEVLSK TWETVEQGGTIITIGDPAPAWAFGKGEAPESFKYPSVKYKHFILSPNAKRLADVASML DKGLLRPLYVRSFPFDQGVAAWECAKQRGRDSKVVIEF FPSE_05947 MTSHTEETRYAPPMIQRRKLTKPSNRPRETVKLLYDHEITNAPG MSIVGLEVHYGPNGWTPPHTHSGATVVATVTKGRLLSGMNGNPPKVYGVGESFREFPG CHHTVSDNASDTEDCTFVAVVVIETEVLKKGYQILTVLDAGWE FPSE_05946 MKRALRASSRLGDGVRYQQLGISSTSDLETQSPPNERSGFEFGT NHEGLSFFGQIRSTVVASRLNWLLVFVPIGLAAHTFEINPLLTFMTNAVAIVPLSVML TEATERIAADAGDTIGALLNITLGNLVELIILVALVNNHIRIVQASILGSVLVNLLLI LGSALFASSMSGIDAQSSMEESELLAALLFVSVFVILIPTAFDYTFHMKGKTSEAALS MSRASSLVVLVIYIVYFAYEMRPKHVEVPSIPLQTLHIGHSDHHHRRNQHSASQNSRL IRFADQDVPTRARSTTLESRVPRETTEEECGKREATSLANLSHRSRGNSRSHSRSGSR TEFHGSEARDLSVSSMHSRVLPELPEIPNHTPHTSGTGSMVAAVTVLVVSSALMSMNA EFLVKTIDDVTHEGGLSEALIGLIILPIVGNIAEYVTVVTVAMRNKLELAISVAVGSA IQIALCVAPLTVLIAWMLGRDLEMAFNVFETTTLVGSGLLINLLILSRAGTAIRAIGL KGALMLACYTIIGLGAYFEPHATK FPSE_05945 MHNDTSPSTAEARRLKKRELDRKAQRLARERTKSRIAQLESMVD NLRQSDSNAQVSTLIDELEKVTKERDNLLQVLDSLGSTIRRHLGDSNTSEASNDIKSE ASSHTTPRADGQAEPSSSTVPIDVSSETSGSSIMELPMDAPPTNPFAYNSWSYPVSNG PYPTPMAFDSSILPPPGHDFMTIQPLLPSLPTPAPEDNDVIVPKASVLCHCSSPTNCT SNYHGVKPNIWRAINETLQKPTKLSPEEMAVEEYNAEDIPIRAIVEGWDSLERAGRLT PTWRKLRVADEMCFANCGNTERLASLRICHMLIMYHGDPTLARRSTLPRWYLNRPSQA LPHTYGIDFFVWPGLRERLIFSQHHYCANTFWDVLQPNFKILWPDTFQDTFFHNTQTG KYHISPIFEERIRDINAWTMSTDFFTHFPELVEDIPAFMGIPASMSRAETAIVPSRQR QRRDDDEDKAYRGQRAPIC FPSE_05944 MATQTETLLMESTTATPLAATAEATIHTPSSAASDRVSIASRLE KIAVTFQLSGVNFACSATNGLIIVGLPKMTADLHIPAALAFWPSSVQGLATASTLLLA GALADVVGARSVELVGCILSGVFMLACGFVQRGEELIALRALQGVALALHLSSSVALV TKTLARGRGRNFSFACLGLSQPLGFSFGLVLGGVLVETIGWRSGWYLYGGIVLFLSAV GFWSLPKAEPLGTLSEVLASVAIKVDWLGTLLASAFMALLCYFLAVISTDVYRIREAG SIVLLCLSLLALPLFVAWMHFQVKKGKPALIPNSFWRNHAFTSICVTIALSNSVINSL ELFSSLFFQEIQHLSALNAAIRILPSVVVGIALNFTTGLVVHRIPAIWLIVTTSVLTA VSPLLMAVTDPAWTYWTTAFLAQVLMPVSADVLFTVGLIVVTETFPEDKQAIAGSVFN AASQFGNAMGLAIMQVVSALVTKQNSGMKGNDALLEGYKASFWAMFGFMILCASVGGV GLRHAGRVGLKRE FPSE_05943 MRTRNGTETHEENATSPEPREPEAKQAKTEKDSNGQAKPPTTDE QPEKQKPTLTEKDLEFDFDHSQIRDPRRTPGRVKRPRYEERDLSEEWLSKFHIPNHKS PHTDPLYTFYDLHKCHKKGPNGSPTYDSAGFQLDYKKVQDWMKPRQYNKKTMMNSMDR SLKRGEEEKRVAFETFFVDGKGPEGDDLSVMDLIKDQMSKDMNVPFHQIDVKEIKKWG EKGFEKVKADEWWSKPNEVERDRFMKMHGGSALRKKL FPSE_05942 MTTHQEIVRIITDSEWGIKVLGTSVLQPDTGTILRQTISETWWT FSQLDKDPIPSRAKPVTLIHGACPGRDTFSSETNCTQVCTNPDSLFASWKTLWQCLSL AALTIGNATFSDSLKQPHKELGALSPSVQINDALWEFGILYDSDFDGREVLNLTYQCA AASCREMSMGECSIGQLDAGFFQSENLEWIEMSKSLQFLCRGLESDINIDIAGPGVLI TYITQTAMAVFAWLFFLVLAASNLIQNSISIFSRVFGKKNLGSDVLARRESVLEFLGR TNLAHATSTFLAEFHEAQCFFVAAIEIALINANSRPAIFTGADNWQSLLWNRDSVRFL AGMGVWPIILAQISLRRAQLNSMYYLLLSTIAVVLAGVAADTASRPDPDSIYKMFKNL NNLEECGGHPSLRTFCVDQRDGIYWVVFPAEIIYAFLGLLAILWWIKLWDLIVGSSWF IQRHKSLSNEQHEHWERLKRIAFGFSLALIHAAEVGVFVCMCIGLVAIRGPLLNLLLR GESGTWSVGQLVAVLIWAPVISKYAHLVILGVEKGFRLRLSRAFEIVKKPEIGVMNNH DL FPSE_07614 MDTGSIGPITTMSSFKNTFGDFSATIHGVIVSTILIPGALTALV AGALAHRFGHVRLIAIGSIIFGIGAAIECGAPYLGVFIFGRLIKGIGEGLFLSNVYVQ VSEMSPSRVRGIMTALPQFLITSGIVTGYFTCYGTSRLGESSVTWRLPLAIVAFLGVL FSMVAFIVPPSPRWLLSKGRMEEARLVCRQLGIDEVEEKELLAQDYDAPVGLNGETTL WQDIQNTFKDFRCAFSAPYRARTGFACFLMGMQQFSGIDGVLYYAPILFTQAGLSGEK ASFLASGISAVVILAATLPATIFADRWGRRMQSILGGVLIVGLMVIMGSLYAAGQVHP DHGPGRWVVIVCIYLFAIAFSFTWAIGFRTWVVESMPRRTRSSASSLAQSSNWYPVPE TSLPSNMLHHTGQIVKMVHARGSRKETPPELVAGHSEYMG FPSE_07615 MSSNGTIASNDIDITPNHALIDSITVIGRAYLHRQLTMSFTGCV TTPRFTVSSITQQPQTSLLESMAQDATTRDPSDDLDGDILTFSELDFARLGLVNKESS QQFSPDFSLSTQEYPFPTHNDVFAPEQNFFTDDFPLDLTANTHNELWLDPELSLQSWQ TTPPMQPDNGRDISPLNTTHGTIGTPSLASPSFDQAFAGLSPLESQHNSLPRRRSQYF RSQLQGTPSEPVSIPRSSVRRDSNRNPMQRWQNSPPEAEPASLSAIADALEKTPLRKR SSAGSLGSRRVASRAGSITSFGSVTSCSTASVGSPHSTARRGRVAKSKRVSANKGKSK YDWARHERSLHLDLQGWRCTPFGGTVISPDTGRSHCAYCSQLDPSADHLETHNHAGCQ NDDKPHIFRRKDHLVQHLRLVHHVKTLPIIDAWKVEGPPIKSRCGICDIRMETWQERV EHLAKHFRRGDTMEAWRGEHDFEPHVSARVTNALAPYIIAAEERAPVPFSATDPSSRD HFHQIVKNAGAEVEAAKQVDGEMPVSPETSPLPQQPVAADISSMSFPEFLVHHLGRYA QQQMSLGIMPTDEMFQIEARRLVYNTMDPWDQTLADNKDWLSYFRNCYLGGSSSG FPSE_07616 MSTRDVTEELSGLQLSSPDSTIAWHRLETQVQNVKLTGRLIIVG DVHGHLPELKNLLQKVSYDKKNGDQLIFVGDLINKGPDSPGVVQLAMDYDALAIRGNN EDRVLAAYSAIKRGQDSKLVEKWKQLALEAEEGQKVEKSDAEPSSTTAPKDELRSVSR EDLKPYMAASDFTTAANLSEEQITWLVSRPLILRIKLPREAAKSPWNAGTLIVAHGGL VPHVSLDEQDPWAVMNMRSLVYPDAEGSVSGAVKADMIKGAKSRVRRYAAFQEASDEE VQAELAKYADIVKNGECFSGEQKDGVIGLPLECRDADWWIDAWNRWQNSIEDHEQRSI VVYGHDARVGLQVGSGDPQVARYTFGLDSGCVYGRNLTAMVVDKSTDGGLSHEIIQVD AVKKAEDKVEGSV FPSE_07617 MTLLGRLQEGKKQIRLIITLCFLFYIISFLTYTYNLPAFTYTQE FTSPSLRQTSPTKPENVTISALVFYGRRSRVETMKCYIERNMVDNGGWLDEVLWVVNT DKNDDLRYLEELMASNPRYKKIHPDEMVATYTYKHIWKLLDRGKYYVKIDDDVVWIDD DAIPNMVTRKIQNPNDFVVSGNIINNPPLGFFHMRMGAIHPYFPERFEPLDINITSEG DYWKPSGHPAWEGPKKFKWELNKEPPPWENHRWLRVPDDNVLYQTPAAELKYDIWGDS YKNWAIASQMHMSLFENIEKNQLDLYKFEKPWVMYDDRIRINFMCIYSDDILDTDPEN WPKDKGDEDMIVLELPKKLRRRK FPSE_07618 MSAVQMGPVDSSVQVPMPILGFVKAELAINCFIVLLVLAVVGLR VVGRLAGPGLGWDDGFVIFATPLGVAMLCCQGLFAPAGNGYSLPENPELIANVPFILK LTFCMQVIYVTLLAAVKASMLSFFIRVFPTPFMQKASKAALGFVAMWLIAFLGSCIFL CIPVQNQWESPLQCQGAYMPMIQSLITTNAVGDLIIMALPMHSVWGLKTRRAEKIGIT SCFALGLACVVCAVFRLIYISTVDLNTNITGTMPTTVFLFILEPNLAILCVSIPMLRP FYAKYKKRMGGSRLDEYSNSRSTGFRDMSRTGASSAVAPEAVRDPNLSTWEMDDYRPQ DKIQHGYSVSGFPDESGSEKNLTVGSSEAPKGEISVETKWTVTHSTRK FPSE_07619 MAKIYPLRTRLLTLPGKSLLGIPPAPADAPSPTTSKGSNDQRGS GNEIAAKMRSLGTKWPFTQHQDQG FPSE_07620 MSSSVSTKQWVLANKPTGEPVLSGPDATWKLQTVTLPELQDGQI LVKIQYFSNDTGLRNFMHSTVAPERFYVPTVPVGSPMRSGIIAEVVESRSDKFKVGDL CMDFHLGIWSEYAILEAENSQPLAPLPNGLSTTHFLGAFGASGLAAYTGLYYAGEAKP EHTIVISAAAGATGSMAVQIASKILKAKRVIGIAGSDEKCEWVKSIGAHECINYKSPT FLEDLKAVTPDEVDVYFDNVGGFVLDAMLTRVKRHGHIAVCGSVSVYNSDEPMALKNW FELISSRINIRGFIMLDYMDKVPAILGELIGATADGRIKLENAETVIEARIEQQPETW MKLFSGVNQGKLLTKLII FPSE_07621 MTNSAKCISIEPGYRPGLLARCLEMHIIHYHPVNSWGLAFETSV AAAWADLIQRLETNPRNQVFAAVQDTDDPAAFTQRIVGTILVDAENMKQPGTAQIRGF IVDERARGLGVGKKLLNAAMEFVKEQGFDRVSLYTSRTQETSLFLYNKAGFQIVEDVE KDLWGWKTNELQLQWTRPDLLGRTVEGDSRKIESNIEKQQ FPSE_07622 MPTLLIDNEEVSMKRRRRHPMKTKGGKKRRHGKINTECTHRNSR RATPNIQEVEPQHLLTENDAFLDIDNLGEDNIAYCFMTKDEINPKLDGQSKLVISFCH PGAISELQSNSPELSPEKVCLIDDRTCEGPNSKAFGVCEPLNVYEMFNKLQRKRFFIK EPSIHRRLILPYYSWRFTRKSKLGPRHGKYRNRLRKMLDMSFLQGKTIPQGQETDYLC ESQVSVLITAINSKIWTGYCFVDTYHEPIEKRKTVENYCKNGLDPDQPQMDPCVDHES ENPILDPGESTISHTKPKVYLGDLVKLGKNLSNGSVRRDVFSQP FPSE_07623 MTVPRSNRAVILAAVVFITIFLGLAHFHLKTPSETAIPSNKSSN DNNGEIDEPAPFIPEKEAAAVAPTFVPAVDEGIGGAPAVETVTEVVEVEPTPTPTPPT AADAEAEAEAEHDHDHDHDHEHEHEHKVTPQKPDFDPEEAPSDPEELAAAEAANTPTP VPAPSPADEEESFATPDELSEAPALPETVSSEFNLDAFTPEKWIRPAVKVNDPEYYPY GHWPSKLPSDKPIWNEPLGKKLCIVDLESRRFDKPGYLWSNEMTWNKSREVHGPSGGT LNHWVYAKIHGYQYYHIKINSYPDRRDSWKKPSVLAQVLKKHDVCVFIDSDALFNRLD LPLEWLMNYWSITPQNNSMALPFDPDTQHNRDRRGNLFLNTGFMIMQNKKKTYEIFKE WDDCANDGGRFPGCTEFRNRKGWQPTDQGGFGTFIRYAYDSEILSLPCTEANGFPESN SGCDGKFIKHVWIGKEDRLVQAVGAVFPGVLLETFHKQFLKESESFKTSEDELIAANG RPW FPSE_07624 MPSLLSLVTAAALLVEGVVSQQTPTVKVKNGTLEGRYLPGYNQD LFLGIPFAQPPVGQLRFQNPQSLNETFDTLKVKKYGDSCVGYGNTADQGPATFSENCL TLNVVRPAGTSKNEKLPVGLFIHGGGWTMDFAANGAYNMTFMVEEATKAGKPFVAVSI AYRLAFWGFMASKDIMDAGVANLGLKDQRLAMHWVKENIGAFGGDASKVTIFGESAGG GNVGYHATAYGGRDDKLFRGIIAESGADGTDMKNLTQPERRYNIIAEAAGCGDASDKL ACLRKVPFKKLNATSTKVPGNFFPVVDNDFIPDYPSILLENGKFVKVPLMAGTNADEG SFFALPGVDTDEQVAAAIMAGGVDANTTETLMALYPNIDALGIPSGYRRKAGDPVKAQ FKRMAAFQGDNLFVSWRRRRSDAWSKYGVPVYSYLFESPNKNMPAYIGTPHFVEVAYV FFNKLGLGYGKNQGPLYNASKEVLDLAQLVSRMWISFITEGNPNEHGLPGWPKWPVNK NGGGYGQNFYFNPNGSSVQPDTFRLAGTAYMNSLASEQFGR FPSE_07625 MDQQLILSSTPADGVRVLALNRPSKRNALSQELITVFLEQLKTA SQDDGVRVIVITGSSTFFCGMNSLHLSLPNPKNRAGADIGEISRLDAEGARDCRYLSD LCTGMQAVRKPLIAAVEGMALGGGFELALMCDLIFAAHDSRFGLPEVSIGLIPGAGGT QRLTNAVGKFKAMQMILLGRPIQAEEAQSAGLVAQLYESGSVLDNVVKDTASTLAALS PMALGLAKEAICKSDDLGVDHEFERSLYYFAFGTKDKEEGVRAFLEKRKPEWTSK FPSE_07626 MASPVLAVPQGTAAPMSFTQGTCSRPSFSTITEAFFHYAATQPS VTAARDLSAEPPVEISYGELAQQSIILARRLQSLGVLPGDRVPLVVKRGVGMLVGIIS ILSCGAQYVPLDGGVVADETLRFVLKQTGGKVVLASKSTAHRLSNTGVSHVVTIEESH ECDDKTEFTPFSQPEAGCYVIYTSGTTGTPKGVDVSHRNVTNLLCQAPGNLGIGPGTC VGQVLNVSFDMAAWETLGCLSNGGTLVLRGSNWSKALKELDVLICTPSILSKQNPKDY PKLKTVATAGEPSCQQLADMWASHVSYFNCYGPTETTIVNTTHLHKTGQPLSIGTPTP GNTVYILDEFLKPVPVGGVGNVWAGGAGVARGYVDLPDKTAERFRLDPFANDGSNMYN TGDLCQWNSDGTLHILGRIDDQVKIKGFRVELDGVVACIKSCPSVQSATALLINEEIH AFITPSHCPVPVVEAHLKTLQPYYAMPTHYHQLEILPMTANGKVDKHALKMSVSMAVS TEVRTPLPAVLAHARMDSNASSATQFSSFSDASDTTLIPEHQYDLEKALPEKDLPKHA RGVRHRLLIVYRRLFSLVGLFNIGAAVALLLTSINREWMGIITAINLATAVLVRQEFV INALYTITCSVPKSWPLDIRSRCAKIYHLGGVHSGAAASAGAWLLATNIADIACAFGN CPDWGNLSIASQVISWILSAMFVGMIGMAWPSVRKRYHDQFERTHRFAGWTMLALFWV QVVLSANDSTAAGTTLGESCVKSPAFWLLAVATMSVASSWCFLRKVPVEAEKLSDHAI RLHFDYTVPVNGSFTRLSRQPLKEWHSFATIPAPEPVNGRSKGYSLVVSNAGDWTKAT IQEGPSYIWTRGVPTCGVMRIATLFNRVVLIATGSGIGPVLGHIQNPSCPTQLIWSTK NPEETFGEEICQTISKNIPGAVIHDTKKLGRPDLVKMGYNLVKSFKAEAVIIIANEKI TKKIVYGLETRGVPAYGAIWDS FPSE_07627 MTMTSISGPSMAEEEANSTLSNDDGSSGDDSRLAGAGPSKKRRR EKHQKISCEMCKTRKVKCDRAEPACSWCARHNKACVYLERQRPGAGRNGFSIELEAKV NRIDALLQALGRRVEEHIVQEHSSVVSPGVSSTNVNGYNASQLDSRLTPATLPRHSSF SQAKSPAITSPWQANNSNNQNTSHPSVQTQQGASAPTPTHTNISIPTSIGRNPSVQSY TIDLPPHDIIYSLVDLYFKHCGTWCPILERKTIFATFFGSTSMEEPDRILLYAIVATT LRFLKDPRLSPEMSAYYHSVAKHTVQLYAMEHTTVPALRALVIITLDELGSSNGPRGW NLLSLLAQNARQLGLCEESSVFLSAEASEVTHTASSHRVAAGKPESWIEDEGRRRLYW MIYLLDRYATIATPTFDFILNDTKIYRFLPCSYDLFSKNVPVETRSLSSCNNKQSPIM TYLVNKPENLGSFAYHCEILVILSRIHEFLKTPVDVTSPSDMAEWRNTYRNLDRTLDG WLQSLPSEYSKISALCHSDPASRVANWFMLHSAYVTAAVRLHSSAAYPTVRSHIFVPS HYAMQRCLSAVQSLGDITRDVLEADGLNLLGPSFAFSLWVAARLLLVHAATVGCAVDS QIDFFIETLRDVGQHWEVANNYSKILQRVVQRARQGDMGFSSMRRSAYDLVTLTSTAR HSGLEATSTQATSLSEFDNIDVFEFFNCPRTSACSNAQSQLQLQPIRTPALGPESSRG NGVPDPEADWLAFGSPYT FPSE_07628 MPLLNGKANTAFIGAIDQGTTSSRFLIFDPSGDVVATHQIEFKQ YYPHPGWHEHDPEELISSVEQCIDGAVETFESQGHSREQIKAVGITNQRETTVVWDKT TGKALHNAIVWTDTRAKDLVRRLKRRLGSSELIGRCGIPLSTYPSVSKLLWLLDNIPE VKDAYERGVLAFGTVDTWLTYKLNGATDRDVYVSDPSNASRTMFMNLETLKYDEDILD WFRIDDSKITLPRIVRSSDNKAYGSLAGTSLKGVKITGCLGDQSAALVGQKAFTPGLA KNTYGTGCFLLYNVGKKPVISSHGLLTTVAFDFGEGNTMYALEGSIAVAGSSVKFLVD NFGFIESSAKLSALAETVEDNGGCTFVTAFSGLFAPYWIDDARGTIFGITSYTQRGHI ARATLEATCFQTKAILDSMEKDSGHALTELAVDGGMCNSDLIMQTQSDLIGIPVNRPG MRETTALGAAIAAGFAVGIWKSFDDLKEVNTQGRTIFKPQIAEEEATKRFGRWTKAVE MSKGWANE FPSE_07629 MPISTINDSISESSVHKSSIPTKIEMSQNEKYSEAPSEAPTIPP PPEQYAWSRIRENCQDAFSEFFGTFVLLLFGDGVVAQVVLSRGTKGDYQSISWGWGLG VMLGVYVGGKSGGHLNPAVTLANCLFRGHPWRKFPVYAIAQVLGAMAAAAVVYGNYKS AIDAYEGGPGIRTVIGENATAGVFCTYPAEFMTRTGMFFSEFIASTILQFVIFAMADS ANIGAGPLMPLGLFFLIFGIGACFGWETGYAINLARDFGPRLVSYMLGYGSEVWSAGG YYFWIPMVAPFFGCAFGGFLYDVFIYTGPSPINTPGMGFGRLVSPRRSTWSNTYNANS PV FPSE_07630 MQQRLRSMKRPLLLAAAATTTLAVGGGYYATRNRTHVVDKALVP LKRDQTGRIVPPTFSATKARAEALAELRRSGQPNKDTEYDLLVIGGGATGTGIAIDAI TRGLKVALVERDDFSSGTSSKSTKLVHGGVRYLEKAVWNLDYGQLQLVMEALRERKTF LNIAPHLSSSLPILLPLQKWWEAPYFWAGTKAYDLLAGSQGLESSYYMSKNKAMEAFP KLRRENMVGALVYYDGQHNDSRMNVALAMTAAQYGATVLNHVEVTGLEKDANGKISGA QVRDVLASKNGDSANAEPFKVRAKGVVNATGPFTDAIHQMDDPSRKPIVAPASGVHVM LPKDICPNGIGLLDAATSDGRVIFVLPWQGFTLAGTTDNPCEVERAPVAQQNDVDFIL REVSKLLTPESALSRKDVQAAWSGIRPLVKNPNAKNTESLVRSHLITTSPSGLLTCAG GKWTTYREMAEDTVNEAVKMFELKPQAVSLPDISGANASGFTTSGLCCTRNIPLIGAH GYSTSLASQLMEMYPIDADVADHLAHNYGDRAWTVLSTNPSLNTRLVSGLPYLEAEVA HGIRNEAACTVADIIARRTRLSFLDSSKALQALPRVIDIAATELQWSKARKAQEKADS IAFLASMGLEQMTDAPVQASQQERMPARQIEHSQQRPARVGGLEVDLNGLGSTSSYSK SRDD FPSE_07631 MPVLSVPRPYDGYPTNVLSVFRRFHDLTGEWPWQLVEHFEPRYW SYQLVYRFYSLIKNELSRTSSVTLDDLVKYLFDKSAQHPMSKYRCVLSNKVIGQAVEW LGEKRQDFIRGFRYETRRQSATSDRDPKSAQNEASGSRKRSAGGAASNNEAQVPAASA KKRLMVTFKFQPKPVGDCITVQGDESDQISARGYADQANGGRSNVNPPTGLITPIGEN GCAEESPFLDVTFKTFEEAQKAYTAYLKGEITTSGNIIRRSEEQIETTSRRHRDLVQK ILRDTVTKDNAASEVTEAQQQMLIAKEVHDAEAEALREARRSAIANPSDAGSAQAIAG LAHRERESGQHQEAQRAIVEVKQRILVDIMTMLKKTEAEAAVLPDRLCELGDEKETEE KNERDLTILRRLIRLGPKLVDLVDELLGDQDIDDWSREKVHEMGQFED FPSE_08484 MFFHHDYQNEVTGSFPTLKYCLNSRSPKCFEMTNFHQFPDLPPE LRIKIWKFVIREDKPGVHIFNHDGPRPMMSDGWRSWKFTEPLPIHHFNSVKNDVPRKN ISTYLIDGGMWTACKESRSIMEGHFRQSECIETISIPAEERNSRFHAISELDKFNMPS TGNFVGSPLHFLTVRPNQDLFVLQVECLDNVNWDDIGYDHALGSGFLAYSGIINIGLE FNPDWWDSENEEWDYGVLSTLTDAAHNAHPVGNIWIIDHGLKRRKDAPAFEEATSNNG YSVNAFFASDRALLEVDSRHLESWTDSRTGLSPDDHWRTINTPLSFAKNLQDDIDYER DCETDNPRRDRYPCSIGLLGWDDL FPSE_08483 MAASGPLYNNYQSPPPSHQPGQVPHPHHVQYPQAPGQSPVAQQS PYAHQSPQYQQYAGNQQINYQSSPGQPMNQIPQTPQAHPSQSPLGQYPIQHQVPGQQP QYQSSAHGPQLHQSPQVQHPGLPQQYAPTQQPNVQTVSYGVAAPPTAAQHQLQYQQQA AQFASQPSAPAQGQLQATAQSLFKSGKGFLGNIASNIKSKYPATTNAATTSSTVSYTG EPPKPTYNPAQHPTQTPLSPTNSFPQGYDHGQQVTPQHALQTPQQNVSPSAPGYPPVS HQGSFPQSQGLPVKSPQQGYNVPQQVPHQVPAPMNGVAGAQAANSQPSVHSPGAAASP YPQTSLHHQQSGPYAPTAPVQAPQSVAPAGPYGAQPGYGQSHSHVIPPQPNHHSPSSY GSPLPSWPQQTQANYSPAGAAPSSVSTGQAPVQVSAPGYGPMAMHPQPPHHHDNPIPH ASPPSQQVVPPLSQQATTPSQAPNPPQMASPPQPPQQYQTTTSPAYHQPQLHQQVPIV QQHGQINASEMTPSSQVASYPSGQVSSSNYTQTSPSSPPSQNPHGRTPVAQVQQNGPS PQNHYQTHSQPQPQFTPYAPRPEQQVATPDQSHIPLPSSPLQNLNQPSGILPSAPEAG VQYQPYNSTVVHQAPQVHYGQPTHGTPSMPINSQYNQHLVPPPPGPGPAPALTTTVPG QSFQSHQPVQQSPHSYQPVPVVTQQASGQITENISHQDPLASLSAQMDNLNMKNGEQR MAASGTAPSPKNEGPRGPPPCLAAGMVSDTLPYCPESRIVSYALDWYRLTAVPQYLIC TRCYEDHIMGTHLSMHFERYHSPEGTESKCGFWSPRAREVLWPQALQINDIGHLRAFA EKTLTLPNCKGRMRSTAADGVKWWGMVNNEVDGFISCEACYEDCIVGTAFEGRFSPHR QQGPEENWMCDLCLPYITTAAVKMSKMNNWNSFIEAVKARVHLPVCEGKDEESNNGHW ILPRRRIDNMRICEACYLDKLALTRFGNEFERHQRADGFDAFLESIGQKWKCSLTDTA VNMSIALEAALYRRDYEVFWNAASAICSLVPCTTNGIIGGNWWTVAGGCRDFNVCEAC YKGALETSDLGRFFEPAQRDPAATIICNFCPGSPRWGAFITKFAEALDKGIFSYYGDY VKKWAGVSTCPGIKNREKSKWWGHPEALACEDCWLNFVTETPLGDTVPVKGVYDERPL ICQLWSPRMRNMWLAACAAGPPGSPQSQMALDEFRAFGTKRVQVYNATVPHIEMIQSM MMMKRMQAMQQGQLSLMYQGMNSMSSIMGTTDGHLHGNSSIGYYETENGATAANMMNN MHSGMADSNKMSDWMQIAQLQAAWMEVE FPSE_08482 MEILPQPSVVRCLRVLGALFAHCCLEVEVDLREKVSPFSFPPHN IITTHTAPRSTILFVPNNSTIITSNLHHLVVSHSMHRMPRNRANNSAGPSGQSAPVVP PAPKFIDFRDDKSYAEAKAAWHVARAEYNRYQAVYYETAAKRHREWLQSDIEAAAFHK HEAAVCISISLQYCGTNELIAQAQAEIEAKKAAIGVANEPPKND FPSE_08481 MEAQSTATKRHNAYATLITRDSYLPGVIILAYTLQRNNSAYPLV VCYTPNLPKDARRVLELEAPKCNMVLCECDYLLPPKNIKMTLIAERFADTWTKLRVFE LFEYDAVCYLDADMAILENMDVMFQCEEQLPDDWIAANHVCVCNLDSDSWAPEDWKAE NCAYTPLSHPTALKEPLQPTESSPAPHKLLNGGMFIFHPSKDLWDRMLDVFNTTPLLA DFMFPDQDFLAFFFENKWYALGWQYNAIKTMRYWHPNIWRDEEVVCLHYIVDKPWAKR VGLDGVAGYKGLDGVTHCWWWQLYQYWEDERTSDGKGGNEAISLLQKLIAPAYTRESD VGYMRVALPVRA FPSE_08480 MERSHTDTLPLANSVEIPQLGFGVYLSPPEVCVKSCLTALEAGY RHIDTAQYYGNEAEVGQAVQQSDIERKDVFLTTKILEAAGSVELSYSKCVESIKKLDP ESGYVDLFLIHSPNPGAAKRKEMWQALERLYEEGKAKSIGVSNFGIKHIDELKQYAKV WPPHVNQIELHPWLQQRDCVSYCERNNIAVEAYAPLVRNQKANDKTLASIASKHSVTP SKVLIRYCLQKNWIPLPKSDTPERIRDNADVFGFELDDKDMKSLDDLDQGDAGAIVQA VDNY FPSE_08479 MAWLDAPGVYSLASRVLVDKMYEHRARGLDNRINVTMIPRYHPA DPSTTSFKQADKTSDIAYICHTSGTSSGLPKPIPQTQFGVMGALYSFPGKDKPATFST TPLYHGGFPDCLRSWTSGAAIWFFPEGHAPITGSNILKALQYARDKSPSPVQYFSSVP YVLQMLSEEYEGLEILQSMDLVGVGGAALPPAIGDKLVGADVNLLSRMGSAECGFLMS SHRDFANDKEWQFLRPIDDPKLISFEPRDNGLSELVVKPDWPFLIKTNREDGSYATSD LFEPHQSIKKAWRYHSRADAQITLGNGKKFDPSPVEDSILASSKLLQDVLIFGGGRDY AGALLFPAENVSSGEVIDSVWPQIERMNSESQSHARITKAMLVVVPVKEGEKALEKSS KGTILRRQAEERYAKEIEGAYEHRRSSTSKGVSDDELAQTVRFCFHQVLGRDVDPDQD LFKQGIDSIACIQIRKLIEQSCLSPRNEPLPLNVIYDQGTANGLIKYLHDARQGGHHH SRSARDTDIKVMQDLVKRYSNFGETEAVSGRQPGKAVLLTGATGFLGSHILDLLRNDS QVDRIYCLLRADDLYTAQERVSDALSQRGMHGLEQSEQSKDKVVCLPCNLTESDLGLS DDTHKDIINNVGTIIHSAWAVNFSLHLPSFEDQIISTRNLAALAAQSGARFIFVSSIA AVSDSKAKPIPEKLSQDPSEASPLGYSRSKWVAEQICDALNKKADSDDAIATIVRVGQ LCSNESGVWNANEAYPLLLSTAKITGCLPNIPNETLNWLPVEQAAQAVIEVARSDQKP DNSKSSKTPVYHILNPHTTPTWTEMLDWLSSSIGTLEFEKVSPREWIKRLEKALGERS AGHLAQALLELWKESYGLMMDDVVTTNTTTDESPFDVERTREVSATIRNVQPLDRERL IQTWKWVNDTFES FPSE_08478 MPHALSNARAIEAHYLRNALDVLIIGAGPAGLSAALSLGRVRRS AAIFYSDGDRRPARSDLHADDGKRTTSVQTDMIAELKTKFKIVLFTNTAAKSVRESGM IFEVVDRAGRCWKGRKVILAMGIHESLPDLSGYQELWGTKIFDCFQCHGLGDSNATCA AALITSDDEESIDSAIFSAHLARQYTPDVTLLVNGSPHLEQHPHVIATANRGFKINNK AIKHFSKAGPDSVTVEFTDGTKAMYGFIAHKPRSDVKGSLARELDLEMTSRGRILVEG DFQETSIRGVFAAGSCATMIDDEAVEISSGMTVGMGVNLQIAEDDARL FPSE_08477 MLSSRVSMAARSAVFGQARRGLATAAAVTSATRSHKIVVIGGGS AGLAISHQLLRTGKFASDDIAIVDPAQHHHYQPGWTLVGAGLKTKEELKMNMPELIDP KLKFYNVSVNGLTPEDNSITLGTGDKVNYEHLVVAPGIKINYDSIKGLPEALAEKDGS VSSIYGYDFCDKVFPNIQRLQKGNAIFTQPAGVIKCAGAPQKTMWLALDHWKQTGLYD PKNPSASPIKISFATGLPVMFGVPKYSAALEQLRQERGVEGLFQHDLVEINGDKAIFA RPDGQEKVTKQFNFMHVVPKMGPHAFIKNSAIANEAGYVAVNDNTLRHNKFTNVWSAG DASSLPTSKTAAAVTSEAPVLVSNLLRAIDGQEPEPAYDGYTSCPLLTEYGKVMLAEF KYGGVPKETFGDILGIDQAVPRRSFYHLKKDFFPWVYKNYMVKGTWGGPKGWLK FPSE_08476 MAISISTLLAPAIIILLILHVLLRALFSPFRTIPGPFLARFTDA WYFWTIRKGSFQQVNIDLHKKYGPIVRYGPNRYSINDPEVAKTIYGLGSHFPKSSWYS TWASPGQWAIFSDQSIERSTQNRKMYSATYAMSAQVHYEHFVDECTELFTQRLSELST SDSNLFVDMRHWFQCYAFDVIGLITYAKRIGFLDRGEDVRGVIGALEDHLGYATLCGI FPSFHKFLFPLRNYLAGSKGAGRAYVMSFTNERIRESQTSPKPVAAENEVTTQDFLTK FLAKNLADPQSFTQHHVLMGCTSNMVAGSDTTAISLSALLYHLIKNPACMAKLRNEID QLTSRGQLSKAPTFKESQQMSYLQAVIKETLRIHPATGLPLERVVPEGGATIAGRFFP ENTVVGINSWVAHRNKNVFGEDAEVFNPDRWLTQDAARLSAMNRYYMPFGLGSRTCIG RHVSQLEMSKLVPRLIRDFDFELDPSLPGGNWSTWNYWFVKPVDFKPMNIHYAYSLPK IGMSHVSWLAAIVVHMVSN FPSE_08475 MSAVRVLFNATPNISIAPESKRRGSWVPIRRKSECPLDVTIDRH FGAKIYTSGSTITGSVSLSPQASLTIDAFEIVFTGTSSTLVQMLHQGSTPKSSHKFLL LRMPIPESALPENGVLEAGFTYQVPFSFVIPYQLPSAACKHRDPTIRERHLHLPPTVG SWEHDDMAVHTISINYAVEARGSFQTGKGKSMTLERTHPVKVMPFIPEQPPLHIVPGN PRYILSQEKTIRKDILSSKLGFIRASTGQPGSVIITSDKLQPADCSLNIDLEFWPNGK KEPPEIYAKSAAIKASTYYSTGHMSFLPDQHNFPSVMPNPILSFVLDEDAAVSSSSKP TWVPTSSSPTPSPSVSRRGSENPDVGTEPSRITSRRGSKTSDIMRSEAPRYTATLPIS ITLPSPDNKILLPTFHSCLISRTYVLEVVLASRAHGSSFTLRLPLQITVEGQGETGVN HVPTYEQVQANQDLFDTLPTYNIGY FPSE_08474 MTRITTPINIGRCALKHRVLMAPLTRLRADNHVPLDVMVDYYSQ RASVPGTLLITEATFISEKSRGRDEHAPGIYTREQVDAWKRVTDEVHKKGSFIYMQLW HVGRAAKQDVLDKAGLEMVSSSDIPIGAEYPKPRPLTEDEIWESIKSFATASRNAIEA GFDGVEIHAANGYLIDQFTQDVCNKRTDKWGGSVENRSRFCIEVAKAVSKEIGPDRTG IRLSPFSTFQGMRMEDPEPQFAHLISELKPLGLAYLHLIEPRIAGNMDKVVDDDKESL DFALGVWARSGPVVLAGGYTAEKANEALETRFKDEAVAFAFGRHFISNPDLPFRLAND VPLTHYNRDTFYKVKSPDGYTDYSYSEAWIEQQRV FPSE_08473 MLPDATIDRAADKLAEFRRDNALNDLLDQYTVLIEDYRRLKSDY EEEREGRERYKQLARGQERNPFALVVVDGDGYIFDERFVKDGEEGGSRAAKQLNDTIK DSLRRKGLETCEVMVRVYANLTGLSKALCKNGLAGAEKRSLSSFTAGFNRSYGLADFI DAGELKENADFKLKAILRLYADNAQCKHIYFAACHDVGYVSDLTPFRGNRERFTLVQT PSLLFHKEFDKLGMNVEELPGVFRHTPLQQPYTTAPIKATLNSTLTGSKASSTYSTPV STKENSNEGKTVCSFYMQGNCRYGSTCKFAHESKASSQNSTPSLSSVSNNNKNERNFS GDWRRSSTLSNGAKINIDSLPRKEDIPDDMVPINAVGDRLDAYLPLPDPDAVKRLKML SQDRRFCNNAQLYGKCDNDNCEYEHTPIAEDLKPALEHLARSVPCSRRGACRRTTCVH GHVCQRADCRHRIGGKGYCRFPSKVCTADYTLNILVLGDDQGITSPSMASEDAHNAEN GGTNLWGLY FPSE_08472 MDFYRNNTSIPPVSYLQSTITNVHYFSPSSALPVLWHVKWRLIT TLILLQIVYNLFFHPLRKVPGPFFAKATGLWRIIRYFRGTWHDDIMDLHRQYGQVVRI SPGEVSFTDEHAIKNIYGHGKHVAKSSFYNGFLVPKMTISFFATRDNKVHRFLRSRVA STYSMTSILSMESLIQDVLDLNFKKLGERADEGEKFEVDKTVNYYTSDVISQLALGGM VGCVEQQKDVGGMIQSVHDGFYFMGNLGVLPWQMFWINNPVSKWFTKNFGGDRLNGFD IFLDWLDKRVEERMTNGLLPNQRRDILQNFIEAKDPHGQPASKEEVMIEGVNVLAGGS DTTAFGILSCLRHLLTSPDAKAKLIEEIDHAYEALGLAAEGREISFKQAEKLPYLSAV ITESNRVQPSIQYQLPRTVPSEGAQVGDYFLPPGTTCGTNSRAVNCSRGIFGRDAEDF RPERWIAQSPEDEARIRKEKLLLMTFGMGSRSCVGKNLAIVELYLYIAQFFRHFDAEV ANSAKPSGIKSQWISLHHDFVVTISRRDH FPSE_08471 MSASTTDIKLASIAMGFTLGFGLLTVWEAWKQTRRNRNPLRSTY IYMLWGEIAANLVITVIGWVFLDGLIGPTVPVLFFILFCWVFEVQLLMQIIVNRISII AEHRSTVFKLKWGTAIIITLVNIAVFVIWIPSHTVPPVSETFVKINAVWDRMSKVIIL LVDAGLNWYFLRTVKKRLVEQHNLKKYEPLVGFNAKLMVVSILMDGMLIGLMSLPNQV VFIQFHPVAYMVKLNIEMSMAKLITRLAKGENSDDYYPSLSQSGHGRSTNRLEDAQWT NGVNNIQLTHRSKVVAGDSDDDLPGMPRNHNGPGIHQRTEFQVTVEDGSKHGHYKGGS DTDEMPLTSHRGHPKQVVIEEESRNTSLSSFRQS FPSE_08470 MRFITDSRGLGNPDSEPVPLQVIGAGLPRAATSSMQAAFEKLGF GPCMHMAEILPHASRLQLFIDTLHEKDGAKRKKMIRQLIHGHRSICDLPVFYFTPDLM DEYPDAKVVINMRPDGKVWAKSAEESFWFFFSPWFKWVGMLWTNDRLWYKLNMVTMAK CKEDYGTADIFSAECYDTYYRQLLIEAKKRNREVLIFKAEDGWEPLCKFLGKEVPNEP FPRLNEKKTFQIVKRIFIAKGLLSWAALFGATWGVWKVAYHYL FPSE_08469 MPSSKSSNFFHKQKPTHGMLRISRGPRIRTLRIFTSLSHSNRLS TGFPLKRGSSSEPAKMSWMDSWSRPSKSQATPAPFYLLPGGEATPYCHSCGRVISTRK TTASAKTNTPVKYCSSRCRTQKPGKLDRELEKAFLKLLTAEEHGVTEKKPTKGTSGKH KKGKNSKGDNRILVSCSAAEEIVFGPNRTSMDEEHEETHSEDEAPQASEPAQAISEPR TSEEDLDLADILKDENEIDGDVLARMSVRSGTRIRPAQSVSQVNGSVGGEKGRAERIH ETDEMLEKRRQGQRRAKEREMTKCAARRGVVFGFSVNEDGEKRLCEAVMSGKVVEPSF AKGDWAIRWRES FPSE_08468 MSLKSDVICAVVITWVAALLAQIGRVFARRMTKVQWWWDDYFCL GAFIVGIGYNVVMIYWTEKWYLGSTIPDTVSEEEYENINLHARLMQFLISQTYSYSIG FSKLSILLFYWRIFKQSAIRIPIQVLLGLSVSWLILRTFMVTFHCLPVQAYWDKSIEG AVCKINDSQFFFGTCLTHFALDVVILALPIIEVFKLRLQMGQKIAITALFVIGFVVCL ASTFVVVESIRYDVNTTQMPRDMARNDMWGVVEINIAIVSGCFPLLRPIFTKILPKRF LSSAGSSHPISRTTNAIRLTTINRTNKEREADDDNSSTQELADPERGIHANFEIIDIK EGPRTYISSRNTESLHSREQDMSGIYVRNDVVQEVEESNYGYSSRR FPSE_08467 MGGGIAEQPKGISLQEDASRPDYINEAVFRRNTLPTRAYHIPET SISLNGTWDFSLSGTAIEAPEPSSKDITYEPIQVPGHWQLQGHGKPWYTNVQYPIPVC PPYVPTENPTGSYRRDFHIPAGWDADSQLRLRFDGVDSAYHVFVNGTLVGYAQGSRNP SEFDISAFANREGANELFVRVYQWSDATYIEDQDQWWLSGIFRDVYLISFPAARIDDF FVKPDLDSSYKNGTLTTSVDIFTDKAAVLELTLSELAKNGGAVISSTKTTVDAKSNKI DLKLEVENPEKWTAETPYLYSAELTLKSEDLKTVLHTVQQRVGFRKVELKDGLMTVNG KRIRLRGVNRHEHHPLLGRSVPIEFAKRDLLIMKKHNINALRCAHQPHDPRILDLCDE YGLWVMAEADLECHGFYDAVARPLDIPESMDYGERKKLAFGKAAQFTSNNPDWKEAYL DRINAVLNRDKNHASVIIWSFGNEAFYGDNHRAMFKYATEADPSRLIHYEGDEQAETT HMYSYMYPTVERLIKYAKEEGVKDGKFEKPIVLCEYGHAMGNGPGWLEDYEQAFRDYP RLQGGFIWEWANHGLWKDDHEGDGYYAYGGDFGDTPNDGTFVMDGLLNSQHEPTPGLV EFKKVIQPVGFSYSDGELKIENRQDFAGLENLTASYKIEQLGQEATLIQSASFDVPEI PAGETKSVSLPVDDKKFNKDGEVYLTVTLSLKHSTAWAPSGHEIAWSQHQLQAPQTST KATSVASNTLSSKLDVSEKTSTVTISGKDFQFTFNRAYGTLKSWISNGKTVLDFDPKT KAAIIPSFWRPKTDNDVPGAVPYWERFGVDQLESQLRSFTVDSSSDKVVLKSKTFITP PVLFWGWDCEIEYTVYLNGALAVNVTRLSPQGSAPDHVPRIGLNLWGSKTLEHVKWLG LGPGESYSDKKTSQRVGIWNVESISDLQVPYDVPQENGNREDTRWVSLRDSKSPSVGL RASRLDGAHFSFLASNHRDTTIQDAKHPPDLFEEDAVFIRLDHKVAGVGSGACGPAVR EDLMVKTEETTFGFLLEPL FPSE_08466 MSFNIFNEATTSFIVPLCLLSINLADYLYSGNDTLTGVNCALSI YLLASYFNKDGPSQPIIDGDVKSPQTLDTGKQTKQAQKKFFAKIMFAVLLFIGSSFVL RELYYIVGDIYYGDGCSGNIYDRPCAPFSGKSGPGGSITYRYEGWGYCDAEAERGAIT NAVIQHIGEHGSKLTKTECLNLGGRDLSYSYLVIGPTDNFDFEMDCGPKPDWTTINKL H FPSE_08465 MTAPMDLRPIPCQQHAINSPQINRTNSFSGSSPDLNLRRQSQAI QTPNSQDNRENVTNFENAVLDSMHTSTTESILQWPHFDVFPLLRHDGESIFYLEQSRK PLSVAANPMYPYVDTEDISSMLEAFERNINFWYPSMSQEQLGSIRETLQSGMPAEDTV HSCLCLLTLALGCASQAAEDLRFTTEADPPEKDRRLRKRKLGDIYFQLALKKLHVVHL QVDSQSTQCLFFTALYFASLVRPLQAWEYLSATATRCMLLLSYPVNKNDSEAEERIRR IFWSCYILESDYMAELSACPPSGIARVESSVPLPSSYHTHTSEIVEEESSLYFLACIS MRRLLNRVHQLLYARDTGAAFDHTRFPRIVAELQRQLDDWRDVLPASFSFSVDTDEVP TAAGGFLRQRYLTCKGVIYRPYLMWMLSSSYDDTGTSTSAVTPAIPDVMNKCKLCLDA CLLHALDLRGFSQTVVIDTWICSLSMSGAMLIILAASHIPALKEFIGTRATLVGSHLE RLFRNWRDMSFGGDSPSVDRSMWLINQADSYIRDCY FPSE_08464 MDSKADEKAGFEHGAEYQDTHVAAQVAHDVQNQNLSPWTPSMFR LYLVLTCAYLCGCLNGYDGSVMGGINGMKAYQHYFDMSSEGSSTGIVFAMYNIGSIAA VFFTAPVNDWFGRRWGMFTGAAVVIIGTCVQATSTNRGHFLGGRFILGFGVSFCCVSA PCYVSEMAHPTWRGTITGLYNCTWYIGSIIASWVVFGCSYLGETNDIAWRVPIWCQMV TSGFVVLFVLFLPESPRWLIAQDRVEDAVKVLAKYHGEGDPNHPMVIMQIKEMTHQIA SDATDKSWWDYRGLWNSHSARRRLIGVLGMAVFGQVSGNSLSSYYLPVMMKYAGIVEE KKVLALNGVNPVLCFFGAILGARMTDVIGRRPLLLYSIIFCSCCFAIITGTSKLSLDD RDNSSAANATVAMIFIFGIVFSFGWTPLQSAYIAECLSTDTRAKGTAIGNLASSIAST IIQYSSGPAFQKIGYHFYLVFVFWDLFEAVIIWFFFPETKDRTLEELSEVFEAPNPVK KSLQKRDANTVMNTMNVAGDEKLTGDV FPSE_08463 MAEEQIAVDPDFDSALDVPLEEPSTSSLRSSLLQFAQENGRTYH KLSEGKYAFPNDDAENDRLDLQDNLYLITLDGKRALNPGADEATRVLDMGTGTGLWAL EFADEHPAAEVIGVDLSPIQPPFVPPNCTFEIDDLEKEWTWKQPFDFIFCRMMTGSFS DPQAMAHKAYEGLSPGGWYEIQDIQIPLFCDDDSLDFKTSPAVKWQLGLIEGSRKLGR PLGESDKYKSIVEKAGFENIVETVYRWPTNSWPKDPKLKELGKWNLANFDSGLEGVSL ALFNRVLGWPKEEILTLCADVRKELRNPKVHAYWKIYIVYGQKPGAKKE FPSE_08462 MQQPWKLRVLALCLATGLSGVSAQEFTTSTYDTTTGTETPTDAG LPVVDPAPSTELALPKTSSRPFLEAPPLEATSEAHVEIGTSSVDDAETSIPVAPHTEQ TTSPFESATESVHQQPSTETQTDAIIPPMETQSQQPDTATGISNPIDTATGPVDVADT TTGPIEAPTQPAQTGPVPDTTTDQSLPVIEGTTDIQPPVLSTESTDIIEPTTQINAEP VISSGSTDAAEATASVPTPENTVETTGQAVEPTTEIKPQEPSTEASEIPVESTTQQEA PEHTSQEESPEQTTQSKDTAETTQGVPDPKPTEDKNEGPTQNQPGQTSAPDEPEKTTA NPDPTPTEDGIVTGTNGAVVTYVPEQDKDFSDFTGTTTTTDDGGAAIVIFPGGWFWKP SGNLPAGIIPGPPGSIPGPVGGGGGGGGGNGEGDGDDKDEDDKKSTKEEEEKSTAEPS ETTAQTTAKSTEATTTSTEGCSAVEIEDCTRTISYYTTDGTLTHTEFGDCPTIASCAT GTQATATTTASAEYEEGVEVQIDEADVDIPDDFDGDVSDDTAQRFQEVMDEYWADYGV STGTETTAEATSTRESTETTSEAKTEETTTTDATTDMTTSVPSTLITMTRNTESSQES SGTTSDGTSSDTITSIASSTEVPTTTTSAHRTYYPCVPHGGPRVATPYCQCETTSDGK QMVATAPLVSNACDAYTEFPASLYTTPPPAPTPTVFNEPYTMTNAGTVLVWESYYLRE WKIMGHPVTNTIGLGEASTVSTPVPTATNTNGDGSDICTSIDKNVRNALSGACNSALD QFEDDTVYDKYTGRYAQMGSILKALTFGKASCIVQFSCDDYGIGMKGSDIKKIREDNR DSVGRCGNIYLSNSCKIHLDYCTECNKDN FPSE_08461 MRFSSLWLVALSSSVLALPDASLEPWDIHSSCDPHKDIIKSALT QSIEMADAAKSSLEFVLNKLPDFDTDKDNHIKWQRIATHIRMAFGYKIPSNPGPADRR YTEALRDIYASAVSVLPSDKNSPEHGYNPTLAARPGAKPVIACGDDVFQWYGPDDEPE PGVGKVKDQPQFQDRVKQGNPYLGALYFQGRWQFQRTQKSSLGVCFGNRQALISAHDD VIIICSAMTSDSMKNRASPRDFKSSVTSGTKLQSGLVSFHTQLWHELCHWFGGVVSPT QLEHNIKDQPAVNKDGQVLYKGANGMAAFDSPPSPAYLASKGLRKQGAYGLEAMMELA LTHKKVSDQSGPEKATKNADSLMIFSLMMYNDQWDWTVYGKARDLARLTQKLEEANKK KTGQNP FPSE_08460 MAPTSPVYNSPPSPFPDSPGYSVTSPGSPALPTVESPTRSPATP PLADWRDHVDEIPRLPSPRPRDLTPYGFRDSDTHDQQRHVPTLPQNSPWFKVPPNLRR DILRLAFGDRRLHMGLKIDGALSPTWHSFGMVCHRVSPEDEGPMTRGSLKEGPWVDDC VASRQKTEPIGIMGWLLSCRHNYVETIDILYSMNTILIYDEPLLTRLTQLVLPHRLAH ITSLEIRWPFKTVDHLNTAFHHLSASRFPNLKRLYMSLEYDVYIEPSIAPSAITAILN EFVRNRSDLVECAFALPFQTFESIARHLITSDSRCGRITYSEIWCSLDGSLHAVRLPY VDSYPKPPFQLGPNPGRGYWLLEGTAAPLTWRWRSNSHGWSGIFAGWYDPDEDTEI FPSE_08459 MDYRPPLIASISITLPLAAIVLVLRLFARWSTRAGYGIDDCLAV VAFIGALGYSIDNIVWLIGFGLGVPLNDGPAHLTHDQRLERSYLLTWISSLMYTTAIA SAKFAVLTFYWRLFKYSHTRIAIQVVLILCGLWTLVRLFLLTMQCQPTAAYWDLDRRE THCHVKSSIYFFSTGLTHAVLDVIILILPVVEVSRMRLPLGQKLAVMAMFGFGSLVCV LTILVIHHAFMLNNDTKDMTLSMAYHGSLSAAEVNLSNITISLPMLRPAFRAIIPSSF LSSHRSKRAVIDSVLAPEYGMKKGSNVTETSHHEGTSSICEFAMHTDIPSGYDLEASH AGWSYGTEITIFSPWRNHTPPPTINEGLDGIQISEETTVHVERLGEPGADMPDLDEIE SPK FPSE_08458 MASVPHPLCPLTGDEIQSTARLIESVWPESVSLSFKVITLSEPP KEKLAPYLQALDNSQSPSPLERRVFVAYYVRKTDIFHEAIVNLTTGRIESNVKLGQNV HSNAVYDEVQQVEKIALEDPKVLAELAKLKLPEGTVVCADPWIYGSDGIDDDARMYQV FLYMRDPANSDEADSNHYAFPLPVSPVVECVNYKVVRIDVLPTGTDNTPKPLAPYQPK PANEYIPETQELRKDLKPLHVNQPEGPSFSVTPVGETGNIVSWQKWNFFVGFNQREGM VLYNVKYDNRPLFYRLSLSDMSVPYGDPRHPFHKKTAFDLGDAGAGATANNLKLGCDC LGSIQYLSGVICDDKGNALPMENVICIHEQDAGIGWKHTNYRTERAVVVRSRELVLQS IITVSNYEYILMFIFNQAGEVTYEVRATGILSTQPIDHELDKVGVPYGTVVHPGVLAG VHQHIFSLRVDPMIDGHTNQLTYSEAHKIPRHPEKNPHGCGYEVVEKTVDKTAGLDID YDLSRVFKITNPNSLNPINGKPVGYKIQAPPFQKLMGDEDSFIHKRAEFGDHNIYVTT HRDRELYAGGWYTNQSRGGTGVRTWAERNETLTPESDIVLWVQFGINHIPRIEDFPVM PVEILKVHLKPVNFFTKNPALDVPPSEQSVNKSTLIETTKVEATGRDCGCESSSVPSK L FPSE_08457 MGDPSEKRVAEDEINSAERQDDGISLKDDTVLTKAQLLGATPDE VIEAEEHGRTLDLDEAKKLAETLVYFHEHDPNFSSESVIRLQSFIANEELFRNPEKNE EAISDIKTEISLLTINSPYPEVRAVVSNKDDPSTPAGTIRAWTIGLLFVVLQSFVNQL FSVRQPSIRLQAPVIQLLSYPLGKAWEKWLPVGEFSLFGSQVQLNPGKFNQKEHMLIS IMANVSTSLPHSRYIIFTSWLKKYFDLPFAADFGFQICLSLSMNLLGFGLAGLVRRFL VYPSFCIWPRSLATVALNQSLHNESGNSSVLGPFKKIYSMTRYRFFMLSFAAMFVWFW FPDLIVSALSLFNWLAWISPNNFNLAAITGVSKGLGFNPLPTFDWNIATYYIDPLLVP FHVTFNMFLGAVLGGITIIAMYWNNTYNTGYLPINTNTMFDNTGAKYNVSLILDDRGL LDVEKYQAYSQVYIAASSVTYYIYFFAVYSAVISYAAIYHWNDIKLGFVSLYQSFKKD SKVNQFKDVHTKLMEKYREVPEWWYMILNIVGVGFGVASVAAWPTNTSVGTVFFGLAL AVIFTVPTGIIFATTGIEVEFNVLAEFIGGAWQPGNALAMNFFKGFGYVTVAHALDFA NDLKLGHYLKIPQRQTFWCQTVATIVSAVVCTGVMNFQIRNIPDICESGQKDRFTCPG VESYFTAAVLFGSLAPQRVFGQGGMYTALLAAFPVGLAFPVLYYYATRKLPKTHLLTK IHPVVLFSGGHIWSPYNLAYVWPAVIPGWISWVIIRKRYLVFWAKYNYVLSAAWQTGI ALSAVVIFFAVSYHGASVNWIGNHADSGCEANACTRLKLPEGEIFGPQPGTFP FPSE_08456 MSLFIMSPMMKQPQSLTKTSNPALIRRRRERSHSHAVAHPCHVD VDTLESSIFPPRRCNFARKAPGSSHRIRYSVQDVQHTGLPLCDVVLFQNAGIPSPLAC KPASLSLSQLKAGSRAPSSHTPATPACSIRSRSARAGMRDTYEARTWRNRLRRHSRSP RALESNPCCTSCFTKETPKWRQGPFGSHTLCNTGIYCVNVQGSFIRSFHCKKNLVIAP NYVGFCPSDNVHVCFSMDKNKTSIVQYQDSKS FPSE_08455 MNKLSRTGQQNYFIIARSATHQDDQLCYRDPEQFGEPLHDVPLF QTKATPSSLIAKPDGRNAYHVQLHFESRTESHSPKPSKLPIKPPRPNLTRNHISRSCL SEQSASGRETIYSRHAKSEPATVSRPDRSCTLCNETNTPRWRKGPGGHRTLCNVCGLI YSKRQSKGKSLILPGGYSRGTRDTVSDDSSRQS FPSE_08454 MAGNLPGRDCEFADAELPLRDRKAVFLPGEQAPWVVDSSSPPMT LVVDSPFAKSVEPFDCLGLDMSLRSRELLHYSPLISSVHHSHDSSDLILTKASRNIPL DDDFGNRTGLDEELANRYLLLTHYADQGFKARILGSDSLKTMFQNNTAEFSMFVSLIR RWKTQNVGHLEMRLNAMKLLPFFFAVLPSSTRFHNIDASPLIEHLQHVTMSTQTASED RYKCDPSWEWIEGSESRLLCATISSHFSSLFEDDMSSSSASMKYSISWSGMCAASSLY MHSVLELWEGGEAMDSGLLRRFLCIMKRDLEDTLGNLGSDDGSDFWFWRAFLGLYSIT KHQAQSYEPKLDDLADEYRGFVETWKNVTGLTRWEDVYQRLISVAWPDRQGHELEPSV WGRAIQYESSDPWIYAE FPSE_08453 MTGKPSLVFVPGAWHTTEYWGKVVSAMEAQDYKCIPVTLPTTQS TSTSVNFSTDVNAVREAIMAETTKEQDVVILAHSYGGAVGASAIKGLSRKNSESNKGN GHVIGLFLIGTGFAAPGMTFLEAIGGKPPPLWAADYENNTMPLQLDPIEMFYHDLPED EAKYWVGKLTDQALTSVTDGYEVAYAGWKDVPVWYIILGEDRNLPVEVQKMFAQSAET AGADLVVREIMSSHSPMLSKPDDTVKALEDAIAAFNSK FPSE_08452 MAPLQPIVDPAQQDFYDLTSPARRLGRGRHAIVVECRGRNDRVY AMKLFKEDSRDRIAREIRILRFLGFGPNIIRIVDIVQGEEGVDVGIVLEYVENIDHRT LYPRFTESDIRYYTREILKALDFAHTLGVMHRDIRPQNVVIDHANKKLRLIGWGSAEF CSPGTQHDCCVGLNKPPEILLGYEQYGRSVDIWCLGNMLASMIFRKDPFFHGNSLLDQ LVNIAKVLGTEKLYSLAEDLGIEMEPRELEALGHREETPWGAFVDSGNDHLATEEGID LVDRLLRYDPIERLTASQALRYPYYRSVD FPSE_08451 MSRVWFVTGSSRGVGRALVEQILAAGDMVVATARDPSQLDDLVT KYGSSKILAAALDVANAQQASETVREAVDKFGRIDVVVNNAGYAEMSSVEDTSFESFH SQVNVNFFGVVNVTKAVLPIMRQQKSGHIIQVSTIGDRVGSPGIVAYQSAKWAVAGFS TGLSREVAPFGIKVTVAEPGGIKTDWADTATSAATISEPYQQTVGQMIKFREDKSTWS EGSEIAKAIKHLSEVEDPPLRIVLGPSAIPYAQMAAKALAESDEKWLQVSKLEI FPSE_08450 MLRGREARSVLASLKRPYTLRPASPALAGLRANTFHTTATPRTD GVFQGLADKRQPLPWIEAFKRQQEGKPAHNSDDVPTERDLTPRKMSDSYHRVVLPLKK DPWLSDTYVNSSGHIRLGTLFMDLDALSGIIVYKHTGPGVTTVTAALDRITIAHPLTE ICDLEYSGQVTYASGRSSVEITCKVAKAREEGEPSKPEDVLLTCTFTMVALDPNTRKP VNIPKLEPTNAEEEKIFKAGEAKSLSKKETSKASLLQTEPNDAESALIHQIWLRQLAY HDPNNPLRQPSNVVAMSKTQLSTAAIMQPQYRNRHQTMIFGGFHLKQTFELAFCCAAS FAHARPTFISADPCTFRNPVPVGSVLYLTATVAYTDPPLLEEDGTEPGFPDEKNPMTR VHVRVDSKVRDVEHGVAKPTGQFNYTFSVPKDLKVLPHTYEEYMMYVDARRRVSLGDS QRKEEQKASSDKRPEASEKNLIG FPSE_08449 MKVIAVAGGTGSVGSSIVDGLVDYGKHKERPTNGAVNYLKVDYS DVDATTKALEEANVNIVICAIAVISPEANQAQKNLIQAAAQSRPTERFVISSFDALHM KEDVEIAPWWGYTFEAIDLLEKTSLVYTRIANDWFLDYYGMPHWKTNLEPWLNVLNME SKWAAIPGDGAKFEVAVDSLEKLKSGKISFFPDYPPIGMGDGDEAFFATIHYLTGIGR YLVPRDLPFLDDKFPDLKVTTTSEVMESSWKGQ FPSE_08448 MTVKNTTPRPRWHPSPTYHLKAPRGWINDPCAPGYDASTGTYHL SYQWNPKSCDWGDITWGHLTSRDGLTWKQNTQNPILEPSESYDKEGIFTGCLHPTGLQ GEEGQLTVIYSSITHLPIHWTLPYTRNCAGLSVATSNDGGKTWQKSEQNPILDGEPEG VTVTGFRDPFLAEWPAMDEMRGEVSMYGFVSGGIVDGGPTVFLYAISPTDLTQWTYLG PLVDLPTGYSPSGKWGGDFGVNWECVNFMTLHNDSEECPFMLMGTEGGLKPGAKEGAE HWSLWMAGSLEQTKEGPRLKPEFDGILDHGCLYAPNSYEHPITKNRIVWGWLKEDDLT LSRRENKGWTGYFSLPRELFLYSVDNVTRSLTSPLQDLGFIKATNNGRGSSTIQTLGI RPLPNLEGLRREKPAYWNSIDSTFGLGGLTNSHSGCWEVEATIKVSPNDKSAGFWIRH NKDLTQGTAICFSPGSETITIDKSKSNNETDIEKAPASGPFTLFYSDRNGSEELEKLH LRIFCDGDVLEVFANDRFALSTMVYADSKDCTGISWFVGSEGSSESVFESVKLWENMR EVLEVDEPVVYERSQL FPSE_08447 MSLMAQDPGELSLSKLLSTLTTTLQPTIYVFTTFTDLSKLPPLS ETQMLFKESEGVTAIVSKEYAESHNIEYFFPCKMISLNVTSSLEAVGFMAVIATKLAA KGIGCNPVSGFYHDHIFIPLGREDESVEVLSQIAADNRGETDKV FPSE_08446 MAETSKPQKELFFRSCNESSPTTVVLIHGLFSCNLEWEHVVPFL SDYHLIIPDLPQHSKSKASGPWSLELGADSVAHLIRTYAHNGRAHVVGLSLGGFTTME IVRRHSDLVMSAFTTGAAPFMPWQSWIAERPSLLHYGLKFVMFSGFYTFSVWKAGMKD HAQLKNEIAGNNDWNLVKDAYEGLSKWGQEAVNDVASKDKRILAIAGDQGDNIEGTKE MTGVFRSKGRDDGSKTTACLIKGAIHGWNLQFPELFADGIKAWVQEKPLPEQFINLL FPSE_08445 MSAPYLNGQQSQDVPNDSDGSVQKRQRVLACTYCQQRKIKCNRI FPCSNCIKANLICTPSKPTPVRKRRTPNVLLQERIKKVEALLEQYTLQGSPAEPTSAN TNDSLDFSPTASPAASNGFSIPVSSGPGRLVVKNGGYKFLDSKLWGTIHDNLEEMRHI LDQETSDDETCPSRASPVTHEDDDLLLATATSSKLGDHLPLPFQILRLWQIFLNRVNP LSKMVHGPSTEQLIISAMTNPVEMPHKSRALLFSICLVSVVSLSKEEAKSTLNLHKHE AIRRFTDGLKTALNKVNYLRNYDMPVLQALLLYLISLQGRSNHDAVWVLSGAVIRIAH KMGVHRDGENLGLSPFETEIRRRVWWQIVALDSMYAATSGMRPTSLLHGADTKKPQNV NDIDFSPDSKVIQSQEGPTEMAFVMVIYEVISFISDHHMCEFEQLLFGGLGTEPGSPE DETYQASVQELRGLVDEFDNRLSEVEKKYCDRSGGPIHSLALFLRPHIMEEGRVMSTP VSETPEWATELQNPKDNFFRIWLAHNEGAIKMYEMASQGNFLWAFKTHFHLDSLVFLA GQLMERSPIGPFAERTWQLFDNFYRYHEELWDVAHKPHLQLARLLLKSWEIREQELQK LDLPFDVPIFVPKLKVELLQAGLFRTSHNTPIATQHLNGNDQGSYIDNLQFGDNITAD MMQNPGMPLDWSMMDDQQMMDAQNPALPVFAFFNSANGW FPSE_08444 MSSHLGLAVIGTNWITNSFIQSCHESKLFQLRAVYSRKLDTAKI FIADTPSIEDASSVAAYDNLDNMLNSQGIDVVYIASPNSLHYEQGIKALNTGKHVIME KPFASNMHELEELYELADSKGLFILEAYRHIQEPNFKTLQKLFDDEKTRDERFGKIYG ASLSMAVYSPLFGDMTETNVPNVASPKFSGGCLMDMGVYPVTFAIRLFGVPASQTYFP VMLETGVDGGGLIVFEYTPETSKHKQRFTLQARTSKLYDSHAPTEIYCEKGTIRIEGG QASNVTDICTMKFIPRGSKDGEEVGNKRPEYTNMLNLTWEAKELGRIINEVDRAAEGD LRALSKNVLTVVEDMRKKNGIVFDCER FPSE_08443 MSDSQYDSDGTENRRKRIPQACLNCRRKKVRCTGEKPTCGFCAR LSQKCLYVEDRRNLKRPSSALSTGDEEVIGASMHTITERFAILENQISTLQSTIEKLL PLVENQSAASTRHSLDQANTPATAILQTPSTIQSHASDRPQLHALIYAADIYFCFCHS QPYSLFHEPTFRQRLADNSLPSYLLWAFLSAARRYSTFPVAPLNCADDASAYAAKAWE CIELPWSGSDSPEKILTVIQTIILIVSTEVPAGHCTQAHMKLGFAVRLAQNNKLNLEP DASKPVFEREELRRTFWSLFLVDKLISLSRGRFCSIRDEECKLSLPCSEKAFREGIEE HTPTLEELTRDCVDQEAVDGCCPLGLIVVMVSILGRVSHYVLHDTNTPQVAWSSTSPY ATLSSTLLQAEHYFAMDEDTMDSLKKRCTIDGSLDQHLAGSFIFAKAMFHLSQCLLYH PFLIQQHLQSGKQKAPSVFMKAAWEKCRTHAKSITKLMEMKTQNVLVLTSMYGYCIMV AGTIHALSMNDERENVRTESKEHYRASIESLLDLSRYWPHAALMVKRLERFHHQCETR GQELSPCNSNVERPAGHVKALWQSVDYTSLSTPTRPGSPTPAAQTTSQEDWALSGDMF DFTGFGGFAEGVDIFSISLVDGDMMLDGEMPAIGA FPSE_08442 MKALQRLRDFVNNTASPIYQPAKYELPEGYYGEAGMTGDAMEWG FLEDPPMSTKTATSFVDSGFHDEHWHVLEQAAIATPASPSFVYADKHKLGTSVRPTRT KATVPGDDLLDNLFALPFDHLRHI FPSE_08441 MTSSLMKFLVLMSLPTFIYSMSISEGTEPLLMADAALSEDMAQY HLQGLEPEDSALETRRKYKVSGCWCIMRCCWRNHHAKYTTKTKMSGTFTGYAGPNNEL FYRYLSLDIMCDTTGEREAIAGAIEHHIKKHGSKVCGTECLHLTPQDGPWNGYLAIGP AESFDHEAYCGPKLSFKHCD FPSE_08440 MRSAIIIAIGATLASASSPVEKRDAKECASLAQDFLPKLTDIPT PEGSLLSFIASKTELATFTNSCEFPHVTGTMAKEYSSYVDKLSSWYKDQVSDVSELMA ACSDVPEVKTELDKLKTNGALCSELSWAKETGSASSSSDDDKKDDDSKKKDEGNAAGL NTIAAGVVVAVAGIAGVMML FPSE_10460 MLRTSAFDQNTYDWPLPTSAPEYDTTTCLEDTCTDAHAFFHQWL PPLSDAFPSQHSLDQQTTAELDAHILSVTKLVDNHDDDNDDDAASFISYSSQCNDKIM FITSIVKHEIDTTTCTVELNIMWSNGEWGWTSELDAQKSVPDIVYRY FPSE_10459 MHKGNRDLHNNIILGIRTLSLNTPKPPKSENKTNRLGGPWSKLS NLRLGFPGERLGFIGESDPLAEELRGIVRARILLANNSKNVVQIKAGRFVTSPKALLV PVDNIWHGLLSIEL FPSE_10458 MCSMYDPRVYDILSRWSFDPPKDACAPSYPQDQRPVRATASSDD RSQHSESTKSGNNHTYRRYPSAEDELPTFGIDWPEDNTRAVPSGLDVHKPPTTNQATS HDPSTQMWPSPSNNLEVLRVIGYRTIFGRGYDEKNEFWVSWKGYPASEGTWMRESLVQ RIAPLQVAEFNHERRLSCHRGVYGEMFIRKSAPCCDGKEESFYTCLSHL FPSE_10457 MSDPLSPVSLRRMNKLIQLSEKEQPVKVVKNPFLPTRLLDVQAS CPSGLRLVITETDPDVCKLDASQRQYAALSYCGGSGDAALKQLKTTRNVLQMHLAEVP LEKAPETVADSIRVCRALGLRYLWVDALCILQGDREDWSKESFQMSNIYENSYLTLCV VQGDSCSSGFLRKDHNPPNVRVKFQSKLDGSISGNITLRMLHPPPATLRRCTKGFGKY SRIDDEPGNSDLESASWGKRGWTFQEDQLAPRKVFFGNLMFHVSRGSKLEAADSSTVE HFRFIDTMGSLQQGLKTWYNMIIDYSERVLSFEQDKFPAIAALARHFSERFEGQRYIA GLWESDIHKGLLWTLTSEWKEFDEYQRLVPKEYTAPSWSWARRPLRLDWVLIGDENPK SELIRSCCLGSS FPSE_10456 MSIESNKLDNIHTETAVLDKPCKFCKVLELDDALYGGEIKNNGN RGPFVDFGEIVETKQDRVVNSGWRVAMAIKAMSFLTPNNDVDAPKTVTKTELRLSYLR RDELPDLQAIGDTASTGCKKWGDEREGEKDRDDESEEQSIMEHEIEDMNADLKDGNKD STKAAQFANTEAQTSKADLVITEMIYKLREYGADNDRPQRTWLDALYVFFTIQY FPSE_10455 MATLSSLGVNTTNSAVVAWCWNDATRFLAEPDPQIRDITFTTRF DSIATFFQLNIPIRIKGIKTGTTLILRISPSFISSCEITRNPTIPSVVRDKFHSSTLC LNFCLNTHPEVLVSAEAQEPLAPLRAQSGTVLDSIHELANITALSIYIKDSGTSTAQL QPVCDAVSNGVSLVVQDDLASMYSGAGAKVVTLPAPHTDVPRTDVPHTDVPHTDAPHA ELPPPSYDQTEPPPPHAPIFESRKRRRPKDLRDERDEDIALIWAQLETMQARYGAEIK ALREENLDLREEVDDLRKQVAASDKRHKDLQEEFDALETRTEIKGDELGDEMDIKIID ARSEIQDLAESFKSVREDVDQDRLVDRVKYKVLEHIRASLSFDMPPAD FPSE_10454 MPETHPKPPLHSYKLAPMRDIETEPTEPTLNQKTKESKASDQCG IFSQYGWGLEILSASASFVLFIGMVVIFWTMQDEPVSKWPFPISINATIAILSTACTA AMMHNVSAFIGQLKWLYLKLKPRQLYNVHRFDEASRGPYGSMLFLLNVSCNMATIGAL ITILRLGFAPMAQEVISLEPRSVNTTDKNATFGFAHSYNRSLYRGDNGEARANGSVFF FNNTQTVDLEWNETRNFEMQRLVSSPTKAGIPEMYVDWGAEMALKDFLQSPTFQLEFV EGAYGNMRHGLGAALGGKVNISHAFDNMASSMTDYIRYGPNIQLATGVRIDTEIFVAV HWYWLVGPGLVELASLLFAVATIVGNTRKQKVPLWKSSALVLLACQHDADEGLIRGTS ESVIELEKRARSSKLQQLGRLSGKELTAKLGD FPSE_10453 MAGRRPRPYMPFAGSNDSDVEITSHYVNHDDNTVDIWVTWCNGS QEMLCSEYDVQTVKPNIVYEYWRKVGGRDHATELDKHHVFNILDENRKSYRVQWTGFD EDGATWEVKSKVKRICPRAELDWKYRKEWAALETRR FPSE_10452 MASVNFSPDTDIPSLNGKVILITGGNSGLGLESTRQLLKHDPAK IFLACRSKAKYEQAIAELQEQGSKTDAVSFLSLNLASLESIKSAVKEFQQESTRLDVL LNNAGIMMTPEGLTEEGYEIQIGTNHMGHAFLTHLLLPVLEETTKINPDVRVICLASM GEAMAPKNPYQFEQFKTTMSNFSTSARYYISKLANVHYAAALAERHPSLKIISIHPGI VQTNLTGPAVSNSLIMGTLTRLFFSVAGVNSSKGALNQLWALSDPKAESGVFYHPVGV TGKGSKLSQDKDARESLWEWTQKEIKQHLS FPSE_10451 MRFQMIPLLAVASCATVNASPVQHEPRAAGCSSYTIINTRGTGE VQGASSGFRTMNSRITSQVSGGKIYNTVYPADFSQNSASGTRDIVRKVNSVLSSNPSE CFILEGYSQGAAATVNALSQLTGSAKDAVKGVFLIGNPLHKRGLECNVDNKGGDTTKN VSGISAFGGGGIPQDWVSKTLDVCIYGDGVCDTTHGSGINGPHLQYPRDSSTQDLGTK YVVKQLTGSN FPSE_10450 MPRMNLGLPYNHCSLASGCRVSFQSPNLLRCGACQVVKYCGKPH QKQRDKLAAEEAKLRAEPGEDTGGENPFDTAAGQFWYFKSTRPYISARFDLMSAILNV RTGEAVQAALDHALDMIRVCRGDNQGVRSYVPALHLRLGNDQQAYDFIKRYAVVPDEH YTWNDTSLPFLDLHDQDALEPVFEKTHYHDVSFTVALTLIKIRLMQDLDSLHAFVLRN PAQILQLYKKVKEDNPHFWPGVLNPNLYAYDVPSMYTPGSREEAVLIFRQSWYSWSET QPAVQYIRSIITNDM FPSE_10449 MAVDKTPQPSLGGIMAVLPNPTIPWYKQSHLARLNGLILGLVCF QSAIGYDGSLLNSLQSFPQWNTFMGNPTGEWSGFINCSYFIGFIAACPPSSWLANKYG RRLPILLAFIPLALGVGLQTGAQSRAQWIAGRFILGLPTGMFATAVPLLITEIAYPSQ RSVVSALMNCNYFVGGIIASWASYGTRNYDDWAWRIPTILQIALPLVGLPALIMIEES PRWLVSIGREEEARRILAKLHAGGELNHPLVDFELHEITTAIESEKQATKSTSYMTLV STKAHRRRFFITLTLAIYCQWVGNGVVSYYLSTVLSTVGITSVTDQTLINGCLQIWSL IAACTGAMSVERWGRRPLLLLSCAIMLVSFILITALSGSFASTGSKSVGITMIPFIFL FNAGYGVAITPLQVAYPLELWPFQLRSRGMSALWMIMISALIFNVFVNPIALAAIGWK YYIVYVALLVSYGLVIFFFYPETKGRTLEEISVVFGDAPEGLYETDNSTKVMEKADVK HLD FPSE_10448 MQGSRLSWLLAALVPFALGQTIDVDGEAVPADESNVAPAWASPL TAASKNSNGPQLTDAVLANLTDLNLSDIELFYFADTKTASKRHAVSDSKCKVFPGDKK FPSKIIWKVLDLLTGGALISTVPLGSACYKGEHYDAEKCEFLKDNWHNSTTHIDDPTS VMSPLFEGATCEPSNAESGSKCTIGGFPLYAVKATNAAQIQLAVNFARSLNLRLVVHN TGHDFLGKSTGAGALSIWTHNLKDIKFTKNYRGASSYTGPAFKIGAGIQVKDLYEAAD REGYTAVGGECRDVGVAGGYLPGGGHSPISPIAGLAADQLLSVDIVTPDGRFVTADEK QNTDLFWAIRGGGAATWGVAVSMTVRVYPKMSFAGMTWSVNTKDVGISEEALFKALEA YWRRFPEYSDKKSYGYSFLFPAGNGSYLWTMNPWMIPNISIAEFKKMVQPLLDEWKEL GVDPKPEFFQHDSFYPAWKKHFPAENVGNYNGRSGSRLIPRKNWDDPKLLDKTIKTLK SILSEDGVLIIYNINAKQTKDTPPNSANPAWRDANMFVITALNWDINGPEEEIAEVNN KITFDIMERLKAVTPGGGGYGNEGDVMDPDFGQSFFGSNYEKLYQLKQKIDPYGVFYA PTAVGSEDWYITGQPAYVTKQTGRLCRK FPSE_10447 MDFSSLYPRTGPPPFPNPTTLPTTPLQQKGLAALFIFPSLSIII VSMRIYSRVTTRTTGLDDYLILGALFFALLMIGPLYMFMKLNYWGFRAYDVPKDLDLA AGFWWNFLVQMFYNPVLALVKASILFFLLRLGGHKTSIRYAIYALNIFNALHAVAIFF TALFQCWPIEANWDFPLRKEPGVKCVSNWFHVIASCITIVTDFLVIALPYWIVLGLTM RWATKIAVMSVFVLGTSVGIIGILRVISIHHQLVEGPSPGEDTFYTVLPVWGAVETNI AIISASIPALRPLFRRWFPALFGSTGEASATPYADRYGNGSRGTHGLRSHNHENIHLK DLRGSRNQRTEIRGVSPTGSEEEIMTYNGIMRTTNINVAYEDTSRCNRAETGTTESRT SSEARYGSKMPEARSGFTSFQ FPSE_10446 MVNPPPKKTGKARPVAGPHRPPAGRPAQNPVQRPPGYQQVAAPR PVAGRPVVGRQPVPSQGMQMAQMRRPQQQAESGGPKPAHAIKPGPPKGHTSRPQHVQH VQHIQHVHHQPVQQAGRAPAPHPAGGHAHNSGSSSNMKYVAGGVVGGAALGLGATTIY NQMNSSTNVVNQEHNSYSSENNNWQYDNYEQDNRYVDNSNYYDNGDYYDNHPSDDDGN YDNNYDPNARYESDGNDDQYDGHYTQTPAQDVSMGNNGGEEEGCCGDCGCNDCCECGN CYNVWGLVMTYIIFVYEENLQLSILLISYGDDMQNNAYNTIVEGNIYKTIYWK FPSE_10445 MRFTIKLAAAVTAWAATATCAATSLIRSDISGTCSEDLGQLGKK LSKSAKIYCPGSPEFEKASTRWSVLDAPKVNIVVVPGTEQDVATTVKYANKKSLPFLA FNTAHGAITTLGRMDHGIEIYLNQLNTVEVAGDGKTATIGGGTQSKKVTDTLWAANKQ TVTGTCECVSYMGPGLGGGHGWLQGHHGIVADQWVSVNIVLADGSFKTVNKDSDLWWA LKGAGHNFGIVTSVTSKVYEIQHRDWAIETLVFSGEHVEKLYRATNEHLLRKQPEGLI SWSYWVHDPTADPENPIILFWLIQEGAKTIDTKISKPFHDLKPLAISPDSGDYRDLAR WTQIDIDAPACQKSGLVNPRFPLYLKEFNVAAMKEAWDLFSAETGPESPFNTSIFMFE AYSTQGVRGTKAKDSAFAFRDENVLTAPLITYKPGDAALDEKAAKIGNDLRDILHKES GQRNMGVYINYAYGNEKPTDWYGGEKWRQERLRSLKNKYDPKGKFSFFGPIA FPSE_10444 MSHPVVEGWTLYAVGVAATFLRFYARMRVDGFRSLKAEDYLMLL SIIFYTIQTTLAYNIGILAHGLANNGMSEAERASISPSDPEYDLRVIGSKIQVAGWTM YSALIWSLKLSMLYFYTRLTNGLGRQYRIRIYIGFALVIGTFVATMIAIFAGCRPFSK YWQISPGPGNACQAAISKPIVWASFASNVSTDIYLIAIPLPMLWGSSLKTIKKIASSI VLGSGIFVLVCATLKSVFVLVDPVHGAQLAGVWGTREAFVAVMTTNLPILFPLFRVWL TPVFGSILRSSHKTSYQYPTGFQTIGGGGRSGKSSDPSRRGPPTANPISVNMSFNNSE ERIVKIEAHGMANLNQERNKGVQKGIMVSNMVEIVLEDKKDGGSRGTSDSDRSW FPSE_10443 MTQRLKLTSDNLSTIASQQGEQRVQVPSYDRKSLKEGIVHVGVG GFHRAHLAVYVDKLLQKHGERDWAICGIGLRPNDAAMRDVLNAQDHLYTVIERSAKGS FADVVGSINSFLFAPDDREAVIAKMAHPDTHIVSLTITESGYYYNENTHQLKDEHPDI QHDLKKENENAPISTFGFLYAALARRHQQGLKPFTVLSCDNMQKNGSITRSMLESFAK LKNPELAKWIAEEGAFPNAMVDRITPSTSENDIKSLAENFGIEDAWPVVTEPFMQWVV EDKFSDGRPPFEKVGVQVVADVHDVEQFEKHKLRLLNASHSAMAYPGQLAGFKYVHEV LENPIYRKFVWQMMQEEVKPLLPEIPGVDIDKYCETLMERFSNPTIMDQIPRVALNAS GKIPQFIMPSIAENIWVTGPFRRLCFVAAAWFIYLNGVDDKGNKFEVDDPLREKLQAL AKEGGPDPRSILSVRELFGDDLRGDQRFLDEVTKAMALITKDGVLETIPKYVS FPSE_10442 MHFSAFLASVMATSAMASPHYKVVTEYAYVTKVVTAGAEQPEPT YVVEKPAPVEVKPTTLRWVKKPKQSHVAAPQPTYVPAPAPVEDEEPETKQPEKKEPDS GSSNGSLTADQQKAVDLHNEARKAVGNGPLEWDDSLVSGAQEWADHIASLGSLTHSQG KDGENLYMGTSSTPFADAIEAFLSEKSLYNGETISGSNYMSFGHYTQCVWKSTTKVGM AVAKGNDGASYVVARYQEPGNMIGSKPY FPSE_10441 MANAGVTKSQKPKRKGRSRPLAPDVTARNLAIEKQRRGEMNENF LELARMLPNIAAARRLTKVLIVNKTIEHVRQQRELCLAADRDMQELIAENRRLASEVN CLRAQTQGPAAPVVQPKPVTEAMKQLAETKSQVFGTFAAGFGDKWVEKASQAQVQTAR RSTVHDIPVSDLGQTSVQQTPSIASPTDFQPGIEFPQLNTVYQQPGINLDPSLDTTTE ASLSSSFNPLAISNPNLPYQLLPNGSYADGTINADPTWFNGMGMGAPLQQFHGENGEM LGHI FPSE_10440 MSVPRSELRARLPPSMEFSDGSATSKTSPPEARKSSDTPAGRAA ARFAVAGNAIVTGGAGDIGSVACRALLEHGLQGLVIFDMHPDAGQEVTASLQADFPEA KITFIKVDVTDSLAVTTAVTEAEQAIGPINLCFCFAGIAFASRAFDITPQQFRTMLDV NTTGSFLVAQAAAKSMASRGTGGSIILMASISGHIVNFPQPQAHYNAAKAAVLSLKSS LAAEWAVHGIRVNSISPGYMDTILNEGDGLAEHRAAWKDRTPFGRMGNPEELTGAIIL LASKAGSYMTGADLLVDGGISVL FPSE_10439 MTSFRRLALALGALLPAVLAAPTEKRQELTAAPDKYIITLKPEA TENKIEAHLNWVSDVHRRSLNKRDTSGVEKKFNISSWNAYSGEFDKATIDEIKKSPEV AFVEPDYTVYLDYETELTDRALTTQSGAPWGLASISRRTSGGSTYTYDTTAGSGAYGY VVDSGINVDHRDFGGRASLGYNAAGGAHVDTLGHGTHVAGTIASATYGVAKRANVISV KVFTGNSGSTSTILAGFNWAVNDITSKGRTGRSVINLSLGGPASQTWTTAINAAYNSG VLSVVAAGNGDDFGRPLPVSSQSPANVPNALTVAAIDSSWRTASFTNYGAGVDVFAPG VSILSTWMGSTTATNTISGTSMACPHVAGLALYLQVLEGLSTPAAVTNRIKALATTGR VTGTLSGSPNLIAFNGASA FPSE_10438 MSALENLLIRKSNTWYWRFLENYVYAVPEPPPRQRTKPMQVLCV GPPRSGTESLQTALLTLGYDHTYHGWDIVYEKPPAASKWVRLCRKKWFGSVDGNTNIT KEDFDEIFGHCVAVTDAAASVFAAELIAAYPDAKVVLNYQHCNMIRGLVPKERLLEWT VQDGWEPLCKFLDKPIPDEPFPHVNKALGWDDRVAETSKRYMWSALPGLAMVTTVTVG LGAVAYKILF FPSE_10437 MSEQQSNQQRLAAPQAKGEKISPKVLLIAMFYEESRNWLDPSSA LQFSRKIRVPGLARGYEDIHVTQDGDVALLVVGTALINASLSISALLTSPVFDLTKSY FILTGIAGVNPKRTTIGSVAFAKFAVQVDLQLGFDAREVPSEWGSGYVPMGADKPDQF PGIVHGSEVFELNSALRDYALSVVKGVDLQDSPVAAEHRALWKNSPDGVFEAATQKPS VLEGDVLSSNTFWHGHRISEAMERVAKVYTAGQAEYTMTAQEDNALLAGLLNAALQGK VDFSRILLIRSASNFDRGHEDKPHQLPFVMDKGGLGPSTRNLYLAALKVIKGILEEWP TRFEAGIAPQNYIGDIFGSLGGKPGFGDKYDIDQVMSH FPSE_10436 MASELNAGSLFNVDGVVAVVTGGATGIGLMFVKALLQNGASKVY IAGRRKEKLDQVASSLGDRVIGVQCDVTSKDDLQNAVSQIEKDVGYINLLVCNSGIGG PQVKPITPETTLEEWAQSNLDTDFDAYVNTFAINTASVWYTSMAFLGLLKKGNEKTNV EQTSQIIVTSSIAAFNKKAPGGWAYGQSKAGAVLAAKQLAVALPQWNIRANCICPGLF PSEMSEPIVKMYSDESGQPGAIPTSVAPIRRMGDEKDMSGTFLYLASRAGGYCNGAVI VVDGGRLGNFPTTN FPSE_10435 MARTAQITKARRARRDNNNARNQKESDAEYMALKREAERRERIL SDQRRTASKWRPKIPEVRKVNFDNFKNRYQDIDQPDYAVDVLMSGSGTQAQIRREHGL RRREEMARLRMNWLNYPSLGLLEGPQKKKTDAYERAKREKKGDAVPASDADIQRIRIQ SQPILGHLTALMNDTEKRSAPRTFMRPFKALVHFQPKMKEILNTLEDKWADFEDLASE SSEAVELDVPEVEPEVIDVKDIKADGAEGDADDKDETESLLSVDSNEDEDYDNLMDSP EALRDMRCYVDFIDKEVLPLYNRFDDSTVDRVKFDDLWALFRNGDLIYMPASGETGGR YHEVWRVYRTRSPEPETSYPTSGWDFFADEQQIDENSKFKISAYYIDHDGNNFGAVRR TFEIESFVGERSIESLEIFPIRYRHDHKQLLQTLKDQGRQFVNYIDDRHQQYSAWTLT RNPPSQGTDPENEILDNESYEKMRHPEFVESDVIVDLTEAYQKMPDWRPRFHRLTINK SSRCEIKDDEMPIQHWFNGTRHTMAYSQNEIIQNADGVEARQRRDNLAVDAFLRARVK GSRTFETNPKALELRDEDLILLPKRMFAYALRERRFLPIDLNLLKPVRREPGVFENLR IQSDYKDVVRGLVMSHFQRKVLERKYADVATEGPSQDLIQGKGRGLVVLLHGVPGVGK TATAEAVAMENRKPLFVITCGDLGLTPHAVESALKNVFRLAHLWDCVLLLDEADVFLT QRSKQDMKRNALVSVFLRVLEYYNGLLFLTTNRVGTIDEAFKSRIHLSLYYPPLDKTQ TRDIFRLNIGKLKQIEAERSRMTGEPALVIKENEIIEFASKHYEELARSTGCWNGRQI RSAFQIASSLAIHNYATQTEIAISRGQSPAAAPVLDRTWFEKVQMSTQSFDRHMKKES GSFDNDMPLRSTFQESNFD FPSE_10434 MSSNASTEKPTGAGERPITTASQVEKDDDWEFVNEKESQPSDDQ VKENDLEKSNEESKKEMSDEERKRELNKTKWEGMQQQSGGVYPHREVKVPSYPKFMGD W FPSE_10433 MIIPAPAKAVARCLSSAVLRFANRRFFFTFTALAVWSAKIIHIH DHHTAVHPIFLREWGYSFVAQDIAVLTFIRLLLDYWVTGLPFLLRVLITICNGLFMFY NAAFSIIFVSFYLAAGSEIHLRNVSLPTDPSSRALILSGSLSFVMVLCATFVSSWLFQ NICYTMYGYFADVVNWPFATTWHLFRRYVLRKRNYSQVPQIDIEEQGKRTSDDFDDII DFDAEIRRTVPRFACKVVTNMIIYTIVAGIVLMLIYASYERPIDRSLIFLSWTPALMP FVDLSASSPVLQNLPQIRNVGIHRSWDKKSALSIPPNMEWLPEDTKLSGFEDWYDGKD HYNAAADPLRISNLDQNIISELRNKLKDVPVRHVLLFFLESTRNDVFPLKKDSMVYDR LVESYGEDGLPPDAMRRLATLTPTANFITGDYDDGFGHLKSDQVKRGGVRFTKAHTTA TYTLKSLEGTLCGIAPLLADFNLDYQHHIYQPCLPHIFNAMNQVSASHGDESHAYNGS HWQSYFYQASTMKFDKQYEMMASIGFPDENIINREYLQSDNATHGRVTLPNINAFAFE EDPLEDYIRDAFVSAKEKNERVFLSHITSTSHHAFKMPEKEEYIPVANGLDMLSHYIN TEGYDDKWLRKVLNLLDEQGVANETLMVFVGDHGLSMPENGIVSPYYNPNIGVDHVPL VLSHPLLPAFDIHDAVHSSQILPTILDILVETGSLNNGSQQVASDLMRNYEGQSLIRP QINQNETTGQGNWQFTVVNPGRAMITARDARHPERHLVVPLIDNVEWRFTNLTYDPRE EYSIQGFEFGSFLDSIGHELDRMHALSIEEIHAVENWVQEGASIARWWVEENSKRWRF GPYAEFKLKEKLPLTPP FPSE_10432 MDTKSDFLLMHTQEPATLSKPKIPRTSTSKVRTGCITCKKRHVK CDEAKPHCGNCTRNRRKCEGYAILTNKRNPSPPQIRWDSKQITCAAPLKAQLHLVHDW LDFRDADNVIYFDEFIRVVQSPWIAAGFNNDLWAVTLPQVARTNDVVRHAAIAIGALS RWLTKYHHEPLGSSTNIGSEIAQNDPDYRNAVAHYCHALKLQSQQPCMQDAVFLSILF LCFETLRGNRKAALDHINHGLAMLLALVTDPDSRLMTSVAPNPKPLIAVVADIFTHLL PQTRLILQGSVGHSPTVPNFARGLRAKRETVDSFMRLIGNLPRSYRPIDKLPPVLDSL DDFERYWMTGRNAKLAVAPLLMEAVSKSGALTSTDPGMILELWEQIMADPRIQEICEA STKELKALEAAFMPLFDRAIMSDPGSVEYMRAIHLRLHYLGTCTFEDLTHFHDPTPVQ AKTPLFREYISLADISLRTAKQGLKSPAHHLSLQCSLASHLFLISMFCRDALLRDEAT RMLKDYHGQDGIWNARSLYVLALKNRSVERINTSDGTPLEQWRRLLRREYLFEEGGQH IVFCFLDKDPVNGEWGLVEETAEVKGDLDAVQWHRRPLSAAGKPLMGDVIMLWPELMG FPSE_10431 MTADTNHNDNREEQKKHPSVTENNERPQRTPQVSDYLRVFNYAT KWDFFIYALASVASIGAGITMPLMNIIFGQLVNQFTDFAKSSTENSSDGFRDILDRQV LYIVGLFIGRWGLNSINKYCFRMIGIRLSSAIRLHYLQSLFSQSIHVIDSMPAGAPAT AITSTSNTLQVGISERLGTFLEYNGTIWASIIVAFVWSWDITLVTASLILYMATAMSI TMPILVKGQTATGTADAQGTAIASEALKGIRLVTACGAQSRVMSRYQEWVRKAMVEGQ KIAPFTGLHLAFIFFGVFGAFGLSFWYGTKRYIDGAIDNPGVIIIVLMSVMLIITSLE RIATPLMAISKAMVAACEFFTVIDAPLPTSGSLKPDITSCDIVFDGVTFEYPSRPGVC VLDALNLCIRSGQNTAIVGPSGSGKSTIVGLLERWYSLKEHHILPQVVQATPKPKADD SPEVLPGGEVEASGNAKLAGTISISGHSIEDLDLKWWRSQIGLVQQEPFLFNDTIFNN VAHGLIGSKWQDEPEEKKRALVSQACKEAYADEFINRLPDGYDTRVGDGGAKLSGGQK QRLAIARSIIKQPHIIILDEATSAIDAKSEKIVQAALDRVTQGRTTITIAHRLSTIKK ADNIIVLQKGKVVEQGAHADLIARAGVYSALVGAQALRVADQAEDTAALPDAEKIEHS SELEVTKMDVSVSSGDEGDASTKPRGFFQSFGRLLREQRGKWPNYLGIILSSMAVAAG TPIQAWLFAKAIGVFLSQGDELKRESNFWGLMWLALAGGVALSYFCEGWIGLRTQYYI SAVYKAQYLNDMLYQKMAFFDQEENSHGTLSARVSGDAKQLEELLGVNLALMISAMFN VIGCMAISLAFGWKLGLICMSVALPVMLAAGRWKLKHEVHFDKMNSAVFMESSQFATE AIEAVRTVSSLTMEDSINGRYRELLDGHVKKANRKAQWTSAIFGFADSVSLGVQALLL WYGGTLLASGEYSMEAFFVCFMAVMQGSEAGSMGLALAPSVVQVTASANRILDVQRSV DPDHQNTSGQLHAITDTEGGVKIELRDVSFKYPTRDTPIFDHLNLTIEKGQYAAFVGA SGCGKTTIISLLERFYDVQPGNGAVLCEGQDIKDSNVFEYRENISLVSQESAMFRGSV RDNVLFGISDPDSVSDDQIHQVCRDAFIHDFIVSLPEGYNTDVGQRGVSMSGGQKQRI AIARALIRDPKLLLLDEATSALDSESEKMVAAAIDQARNGRTVISVAHRLSTIQNADV IFVFDDGKVVEKGTHTELVNKHGIYWEMCQSQALDR FPSE_10430 MSEKRPTKRCVVLVDGCESRDAKKETNPSTITRIKELTHDGLIE SNGYTIAQTPKYYVAPSNITKLSDRFRSRTTSDESDALIKTIVRDICLTLEGPQDELW LYGSGHGAFIARAIAGIVHRLGLPKPEDFDDLFEATISLIKAQADDDFKKGPQLLQKI KSGAGAPPRIPFVGLFDTTGSSSKTAYDISFNSSIEVVRHALAINENRSSKTPEIFTI PEDADMTHRSLVQAWFFGTHQDMLGGTAHDGLSLYPLQWMVLESIYSGLRLNTAGNSN TSNSHNPILLVFPQYAGSLPSLDGSEEIEWRLQYTNGLRTSMFDLQTAHGKKSAAGVE MHSIKPDTDCFKRPANRKIFEADGTLKGWCDVGPYGNMIHPSLFCILDRYPRHNDLNN FKVLKKPISDFQDAHLVAEEEGLAPWLKDMQLEASGVKAFRILVCGKTGVGKSTLINK VFGVEMTDESQSYDQGVHDINVAFESPKHPGLLIHDSRGWQAGSDTELDLIAKFLRHR AYQEDPAEALHVIWFCVDSDVARIEEADKRTFATIAQYSHQVPVFVVGTKKDKLTAQR YKDHLDELMEKMDDYKQAKKIAEAKANEKAEEQFAELRNQLSQIDHYKADGFCCLSKN DDAGVRELLSQTLDLIVDERVRLFCVAAQVVDVEQKINSAITECMRLGTHAIRTAAVP LPCSGMIGTPTVSRLICEHVLQCFGFPKAAPAEIEQIMSDIVMSNFKEYMKVSLSQFA AVSAVAVGVAVPTMGIGVIVGAAGCLFALPPTARMLLKCSCDMILILERSFRYGGKYV STKQIEDAAKYYAKETITTFNGKEKRLQQQVHDEIDHLIPLKKLNVGFKFNKLRSSVD EVVYRNRFGNPPDYSSIRSPSIASSNVGLNRPVELDAGPVIPELPGEEVNLSSLSKTN EKPPMELDSTEIQSDGNPRPLSTNLSGPAATGPSLANRLVELHVQPPELEGNAPSDSN STRGLLEVPKMAERSKSDSSGSRWTSKLSWKLTRKSKTLKQ FPSE_10429 MYFGRIHQDKQACNQGLRLYSYALTQLRSEINSSSTDIGTIMGV MCLCVYENVVFSQPTAWLLHYDGLGRLLQSRGPKPLETDAERHIWRVARYFIILSAGH QRKRVFLEQPQWASTRLVPPGETSEKFDLLLDLFAQFPGIIDDYDRLRKASFIDPGTR EAFKQRVTSLIDRIHAWLRDIPWTCTLDPAIRENSQGQLPDDPMDCASLAVCYAVLLC LIQPCTCLQINIIPEHDHYNVDPARIEFLTFEICRFSKKALQGGDSAGLALLLIYPLQ ISWFFLQGTPEKLDQVRENLDSSIADSHGFELGRVPMHV FPSE_10428 MHSTAAHILFVLGMVSCIRGTSAQTTVFILDDGGNFNCPGVLRN NGTNDKQNYCCVGGELDLSTCEGWPICTGSSWKPKPITCATTVPISATDYNAQIKSAR SKYLGDGMPTVTGDSVSDAAVNETGSQQATTASATGAAAESTATGNGASAVMPSLAGG LMGGLMVLWNAL FPSE_07486 MPPQRRSWVGCTRCKSFKKKCSEERPACQRCTLANAKCEYAVKL RWGGRPFNRSPFGECLTQNEGNVQRLDLNNKNFIYVSPSTPKSDPPNIASPPEQHIDE TLWIPTSPSATSESTGSSQLILYPQSPQIPLTPSVEFFGHLSPLHRSGLQYFIERTVR ALAPHPRIFNELCELILPMAVFNEPLLQGIVTLAATHRLASCKTSEEQNAQALIVAGF QALSSKSLCDKLSDCAEDQELIPLLATARALFVCEVFASEPNADRWSVHFRGARGLIS RIQDKGLLERPTDELRFLLRWFDMTESLVCHSISDLAPKQSAIPSPTTLPSFAPDDEP VYIDMYLARTKDLLNVFKEIARLSHIRKERETPGEISQDVDDDAEVWWLLSYLQNIID RDQANPPPVMSIRGRDLSVAEVQEYRLSNQIWQLTGLLLIHRRLFHEPRSSTLIQDLV QRILESTERMTLRDGLTPVTLLTPPLFFAGCDALGGDRPRIKISLQGVSTALGLLNTQ RALEILEDYWEADPGVDDEMADRSWPRISQFLPY FPSE_07487 MVRAINLRLALQATAIKVSANLVTQLIIHLRAPGGHSPFDEQQV IEFAIYGFVGSQVGNVIQFILEDCFPTGHAMRANEVLPQVQGDVMQVLEKKDDDKKDK KKPWFSISPDLIWRNVVAKLILDQTIGLAISGSVFLICTNIARVSHFSGVLDVLGDRL WPLIKAGWHIWPLVAICNFLWVPVRSRVLVAVCVGFGWSIFLSIFAMKKQH FPSE_07488 MALKPSTSKSDASIDVEEASFHAFNNPRKLPPWLDHFNAKDLKI LFKCSLAVWIFTLFIFISDTLRVLGQATFFGCIVLFIMPPSGVVFIHVLAGLMICFGM AFGWAWGAMAMKAALATRPNADLMQRYGELQASIPQNTTNVGQASGQTTYQQIAIYQG FMLDARISVTYFCLLGLFVYLMARLRVSAPNLTLVSLFAMIVSDIYLTIAPLIPTFQG TIPKVLILPTAIAVGIGAVCNLIFFPQSTSQITLASMSDLLRPMNGYIKSLTWHFKSR TRFQPERLQGLKGGLAASQKSIESAIKFLPMDFTWRKWSPDDIKSFQEPLRQVLVSFG ELLQLSISREELFAKDEALTNAVDELEKSEKEKHPLAYHIAKAADFRELTNHPDTEEM LIKAIDSLSSCATPLLRALEQSIDAIVQALSGPKEDVVDQHEQILAALQEALDDFEDS AAEHLMVPHAHLFDADGKVIFKDNRSPPMFGLMSGLLYHERLINLTKSIGTVLEKAVE MEKTRTKKQMWFPKGLQKLFSLGLSNDAPPSTLAGTTDLTRTMSAISKPEKKRGLFKR KPKTEPEAGETEKKAATILQSMQQTTGRQRKKPSLIFLGLINFFTGVEGLHALRTLVL TIALAVPAVIPSSAGFYYREKGMWALIMAQMALEPYMSDFTAGIIIRTLGTVAGGVLG LLCWYIGSGNGPGNQYGLAAIMAVAIVGMMWWRLFAPPQHMAAGIMLTSTMYMVVSYS WVDTHIPSYGNPGVGYEVFWRRILLVLIGFGAAVLVALLPRPLSGNRHYRQIMTSQLS SIRDRYALLVTVWREPPADFVEVMEKESTTSEEIFNSIALPIQKTKFEFSTSNLDTAT LSRANHLCTNLNVFLTQFSLTVTKLPLPVRERFMQRVTAGDENLVADLMSTLTLLQHS LTSGEPLPAILPTPLVGRAIQFRKLKGELQDEVNSKEYLATPQGRHWSAAVYAFVRFL GTLDDLVFVVKKAVGESSHIHTDAFGGNMY FPSE_07489 MSSSNDATSQSPAANRVLQELGLVSLYHSTRDIKILCAQRFIRL FAYGASTLVLVAFLRELGVSTTRVGLFMTLTLAGDIIISFILALFADGVGRRAVLALG AVLMTASGIVFATSNNYWVLLAAAILGVISPGGNEIGPFRGIEESIVAHLTDPAKRGD VYAWYSLCGTAGGAFGLLTCGWLIHHMRINLGMDVINVYRNVFYGYSAIGVLKLISAL VLSAAVEVHDEPASDTDTNEQAPLLTQPNQAQTPIQAPPKKQLRAKVSRESVPIVVSL CALFALDSFASGLAPLSWITFYFKSQYHIEEGKLGSIFFTTSIIAAGSVLVASSLAKR FGNVKTMVFTHIPSSIFLALIPIPNEVHISVLFLILRSCTQSMDIAPRSAFLAAIIQP NERTVVIGLINVAKTTAQSLGPLITGLLADSDYFWVAFIMAGSLKVCYDLGFLGLFKH REHATAAAQGNQQE FPSE_07490 MVLGSRLASLAGLGLLATGISAAVLPRSQQPCPHYEPEGELLDE YIVEFHDDHTLEDHFKTIGKDLRHEPDVHRFGYLDMLHMYRAALSEEFVHNFVRYDPG VKSVERSVTVELAHSINDTKVAEPVELESQGLAKRFVPKWAFDERNGPHHLQQLAAGN KISLSNQDEKYKVLHGAGEGVDVYVLDSGIRITHKFFGGRASHFSQSLGHSPYTLSDS PLDDTDGHGTHVAGLVGASYAGVAPWSNLISVKVGCYQGGCKGHTGGIVEAINAVTKR HNENKANKPDKWKGSVINMSFVATAKSNVLNKAIDRAYDAGIPFAVAGGNKPADDKTK ATGTLCESQNTICVGGVDKDYNKGWFSRDGKFIDIWAPGSEIVSLALDGRTMMRTGSS MSSGQVAGIMALIVGYEGISDNARLVYDRVKANQLSGVVGKLSNPWFFGEKENNFFAH TGAGSRNVNEQPYTGPTKDLDRLMAPIDVFRKRQEIELQVDVGDGQAIKFPESDFWKT TGVIDENPNDEIAAVAVQEFKLDTSNPGVDTEPAQAEFEDDSAPEEEPSSDNPSDSPI EQDTVNGKPVCQGNQVFGDCVAGKLPNRGDFAGQYGPVCVKADGEQGSKPRLNEQELN KAAATYCQNLIDKRWLFKEGAPNPSPMVATGVAENGASMALSIMYHKPGCPEDKSMTE NDFGNMKLEDCVTYLSQSFSVTCGIGATWANYNKDFQVMGGVLAKDCLMWTVYGQ FPSE_07491 MKYSVALFLLSAVGVTAQAANCGQVDACLKAGPNPAACYDNERC LCGTHKEINLCYLHCDSDPKAKSAQADVDKYCAVLRKRRSKPL FPSE_07492 MKRKAAWYEREPKNAKNAKKAQSPVTPVTTDKLPEYHETPSKRD KDGEIIWPAPKDQMEAARNFIRECVAASKPTLIIPDKDVDGLTSGAILRKTLILMGLD PELISAYVMKKGLNIHDEESRRDMASYKPSFIFVLDQGSRESPPVIDEPHRALVIDHH YAEEKDHPKDATFVTACNSPPVATSSLLTYLICRDLHPDVEETCNWLCAMGVHGDLGN AIKWEPPFPDFKAMFKKYTKKGINSAVSFINAPRRTAAYDVPGAWEALAAASAPIDIM KNESLGAARKEVNEEVRKHARVPPRLSLDGRIAVIRIKSQYQIHQVIATRWAGHLTSP KLEVILVANSGYLPGLVNFSCRVPKCARGRVPPVNIIEILRKEALRAKDPTLTKRLGE SFARGHKEASGGVVPKAEFEELMEVLFVRNKAPVSPRQPQKQGNTLLNYFERG FPSE_07493 MRFTTNVVVTAFWLTSLSTPSVAHPAKGLKGPELKHWPKGAAKA LNKMIAANANQGQYAVFDMDNTSYQFDLEESLLPYLENKGVLTRDTMDPALKLVPFKD SKKHKESLYSYYLRLCEIEDAVCYPWAAQIFSGFPLRELKGYVDELMAYNKTIPTTYY EEGKVTATEVSPPKIFEGQVELYNRLMANGIQVYVVSAASEELVRMVASDPKYGYNVP AKNVIGVSLFLKTKKGEITTARKQIEDNAYTQKVQNQNLDAEMTPWLWAPATWKEGKW AAINTYIDTWKRPILAGGDTPDSDGPMIFRGVNVERGGIHLWVDRKPAYREQINGMIK NYTIAQKEQKVPVTANKNWVFVTPTELHDA FPSE_07494 MLTSLVVLVLASLSIASPTLEKRAITCLRVGQTATASWTNSAGK RCTFTGVVGSNYGANPSGSGDYSCNGRCGAGCSGAAVGNVYTQDCFSHDICSYFNSAG GGASDPNCGTAFNAAVDDTALGFANGCSQTNPSNGVAKPNGAPVCV FPSE_07495 MSQKPVLLFVTGAWHPPKCYDVLKTALIDSGYEVVIPKMPSVGP GSHGVTWEADKAKIIETAEPFFAQGQEVVLIAHSYGGIPATAATQGQGVHERAQAGLK GGFQSIIFLAAFAIPVKGWDLITTFGGSYPGWLQAGEKYAKNQITSMKSEQARDCVYS GCSMEVMNAALEETQPHSQDAFETSLDFIASDITIPKTYIICENDQLLLLPLQEQLVD STPGMKSARLVAGHSPFLEKTKETADVIVKVIES FPSE_07496 MSAQQAIPPELLNYDQGAPLVIVSSVAIPFALAVVAVRVWARHR KQMRIGIDDWFILVSLPLHWSTAAVAIASVKYGGVGKPLQVNMMQDPNRLGRAQLCLF LTEFVYGAVLCTIKGSILLMYYRIFPTRSMKFGGYILGGITFAWWIACVFTTIFQCSP IDKAWKPFMQQGQCIDKNKFFIGNSIPNIIVDAMMIGLPVFEVSKVQVPRSQKIAIAG IFLLGGLIVIISCIRLKFIVSLLQAGPTADFTKLISAPWIWTVIEPTVGLLCACLPTM QPLLYLLFGRFITKATQDRSKEGIVTIGGSGAKQVTPKKDGPFRRLHDNDSAEEPVLW PEAYYNQQNTVVETAKGGTIETIPLGAIKVNKDIR FPSE_07497 MHNWHSSNCGRPDVVVYEGLGVPVCMDCGEMSQPFDVGKDHQDE LDIPDGPKRSAMRLNWPPSVPYSDDAYQYDKGKSLSKAIEQYLEQLCCQNRTGNATAH SLPSESFDRPQDQASSGTKPTYNPLRGTKIRVLKLSRGRSGSPLHGNLETIDLHDEEP KTEEPGILLLENGQDHTPYEAISYTWATISGNRQKDHAIFIGKSWSMLPITENCFDAL TSCRLEDEDRCLWVDSICINQSDVSERTHQVGLMRQIYSAANRVLIYLSVDHASSDPA TVNDDPETLCLNPYFSRIWVVQEIGSAKKAIVLYKRQSMGWDFFHTNLQRLMTRKWVR HFGRARQIDDTESFLALLEDTWDCHATDPRDKVFALLGLWKESLEPDYTLSPQAVYIG LASRFVTDRDERLAGRVLDMASQDHSLVGLPSWVPDWSVKSQQLHRRAWKKKCFLPST TISSGRSKRQKFRIHSNTGSLCAVAAEIDTLESYLQKGFRVTTDGLATATVGQIQVSM PLHVTSKCKPTDSISEAYEYEFFLILRKKTDTHIYKFVGLCDYIVLATTETTQVDAIR YLKDWAWLLDGQRTWAWSKLSNWETTHKCWLNLKEQQRFERRICFRRLIHKAHFLEKI RELAEATRDISNDYDGDKNILSAFFSRRWTTVCQRWSIADDLQVSLQRKHRNEIRNLL SGYKSLDSSPESLETTELEPSMWLPISSHWFHWIEHYGKDWLYSYYEPLAACIEKEIP LPHLSLVSTFDERMSLFLKTDLETGLRQLQLVAHCYPLIAPEMAKKSRSYFLNTGYSF NFRSVQLEAFLRWLSKPWSPNISVLRSEGNLESLLIWEAMFDNRNQINVIHKLLDESF DKHLSLLSTSCDKMLFTKFFNLIFREQFELVWIFVLHNSEKTTKVIDKFSLFNLGPQL TRTFWWRFHRSIVRVFEILGESSPTDDQIEQKWYDCLDWVSQGEPPPRVASHPLPGLE PELIVRPQYEHRDFHSERLVDFISKLTYHGSFISTRRSTTSEGLENTDNDSRSAPETD FAKEQLSEIVYSEIERLRLPEKVFAFLFTGIRADQEPRELAYTTFEAMVAGKQSLVLG SKIVEGWRQDEEQWKTVEAYVSDSEWHMTSLKLKLIGGVAASEEERDGWGIYQEIVIV FPSE_07498 MSLPRRFSISINGRPIGMPRSNPDEPTQAKACDMGDEPAVFEIQ DRHLVSGPFAMGRHCMEDLSLRPKRVLWCPREQMGMLRPVDVLDNGNGPELGLSGGKL AYDNGQLVCPLIPGGPEQRVEILPM FPSE_07499 MASSNSYASHQGTLPEEFDIIVCGGGSCGCVVAGRLANLDHNLK VLLIEAGESNLNNPWVYRPGIFPRNMKLDSKTASFYKSRPSKHLAGREATVPCAHILG GGSSINFMMYTRASASDYDDFQAKGWTTAELLPLMKKHETYQRHATNPEVHGFEGPIK VSFGNYTYPIKDDFLRATDSQGIPFVDDLEDLSTGHGAEHWLKWINRDTGRRSDSAHA YIHSTRAKHSNLYLACNTKVDKIIMENGRAVGVQTVPTKPLHPNQVQTRTFRARKQIV VSGGTLSSPLILQRSGIGDPQKLRAAGVEPKVDLPGVGLNFQDHYMTFSVYRAKPETE SFDDFVRGDPEVQKKVFDEWNLKGTGPLATNGIEAGVKIRPTEQELKEFERWPTPHFT DGWKSYFKNKPDKPVMHYSVIAGFFGDHMLMPPGKFFSMFHFLEYPFSRGFTHIKSAD PYDTPDFDTGFMNDERDMVPMVWGYIKSRETARRMDAYAGEVENMHPFFDYDSPARAH DLDLQTTKAYALPGNLTAGIGHGSWSSPLPEADRLPAANILNSNKAHIREELKYSDKD IKAVEEWVKRHTESTWHSLGTCSMAPKEGNSIVKHGVLDERLNVHGVKGLKVADLSIC PDNVGCNTYSTALLIGEKCAMLVAEDLGYSGEALEMKVPTYQAPD FPSE_07500 MSKEDDQTHQESHPHHHPLTREPTQEEKTREAVAEGHDADIPSN IGFIPTEADEQRRRSSVARSRRASHASKRATSPDPDKQGGDVRDEEEGASQTQSESDI VWWDGDKDRQNPYNFATWKKVLNCFLVSALTFVTPLASSMFAPGVPQLMVEFGSRSPE LASFCVSVYVLGFAAGPMIFAPLSEIYGRQIVYHCCNVGFIVFIIASAKAPTLSSFIA FRFLSGTFGSTPITNGGGTIADMIVQEKRGAAMAIFSIGPLLGPIIGPVVGGVVTDAL GWRWVFWIIAIVSGVLSTVFFFASEETYAPVILARKTKRLQKETGNDRLRSKLDAGLS PADYFKRGILRPFKMLAFSPICIICGVYVGLAYAYLYLLFTSLTPLFMRIYHFNTVNA GLTFLGLGVGSLIGVGYFSVSSDKYMKKKAALAKEQGIVELSEIMKPEYRLPPLRFGA ILLPVGFFIYGWTAEYETHWIAPILGTCVIGVGNLVIFMSLQMYLIDTFTVYAASALA ANAVMRSIAGAVLPLAGLPMYNKLGMGWGNSLLGFIAAALIPAPWLFIKYGEHLRKKF EIKNL FPSE_07501 MPQKSENQNKSVMATTAQDHLEFGGKISWLASLDTAFRPERNYR RSSIICTIGPKTNSVEAINKLRDSGLNVVRMNFSHGSYEYHKSVIDHARESEATHAGR NVAIALDTKGPEIRTGNTPGDEDIPISVGHEMNITTDDAYATASDDKNMYVDYKNITN VIEPGRIIYVDDGVLAFDVLKIVDEKTILVKARNNGAICSKKGVNLPNTDVDLPALSE KDKSDLKFGVENNVDMVFASFIRRAQDIYDIRDVLGEQGKRIQIIAKIENRQGLNNFR EILEATDGVMVARGDLGIEIPAAEVFAAQKKLIAMCNLAGKPVICATQMLESMIKNPR PTRAEISDVGNAITDGADCVMLSGETAKGSYPSEAVKEMHEACLKAENTIPYVSHFEE MCTLVKRPVSTVESCAMAAVRASLDLGAGGIIVLSTSGESARMLSKYRPVCPIFMVTR SPTTSRFAHLYRGVYPFLFPEQKPDFAQVNWQEDVDRRIKWAVNNALELNVLTPGDTV VVVQGWKGGMGNTNTLRIVKADPEHLGIGQLQ FPSE_07502 MVLLNLLTFGLWSKVGRLTHYAFDAVLFSAFLAGMKRSTGLTFK TDRVAGENKDFTGWIDRYLGVGEWVMDQSVAIAGSSGYFERTR FPSE_07503 MSSPSMFDRISSPRQRLSNPLRSPPIREVSEYDLDELEPRSDDV LFDQEPPLPRLRKNMQPVSATSTRRSSSPASWDSKHRYSNSPPHTRSKPIFAGPPPPI ASSMMMNQHPSRQSTVSSHGDNGRGYGLISASRFGSNSPSQKHVDNRPRLDSIWRSLQ RREKALERDIQQLLDLQASGLIAGSGEGSESNFGSDTTTGESTFYSTATSKSRMMNSL HMPTRSTPDGNVIPVRQPVSNKPRGLKSARVGLQRSMAALSELKAEEDLHLSTALEQR KDALAYLDKMSKRRDDIYSELHALEDDEEEPLGQELRSLESEQQELDHDIQRLEEKLA GAKRRRRWVREKMEDVKGRREAGLSGYRAAGRDVDMEVRTMMQTPPIAPLDIDALGYG ESTRPKENMDILRGTEFLQLRPERRTVEMARTWWQGEIAALERRKAQISQDRQALIEG SEVWSDVTGLVAQFEAKLRELVRSSQAGDADEEPQQAAMQSQLSEMDDVVQELQKRLQ LAESKHWNLLICAIGAELEAFVEAKALLRDTLGLPEPAAAVDSPELSDSTDKAEEASQ EERAESHDESDNEVPADLLVSRIEDHDHDSPDSPQQQSVVLRRGSAGNNEVPVEFLAD LHGPDKIEQDTEITAVTRLMSK FPSE_07504 MSQSQQFPPSLRQMQVGSMSAPRAVAGPGAGSGSGSGSGSGPQP HLQHDELHMSGLSQTGGPISMSPQEYENPPQIKFESSPSNSHYRNPSNTSVPNVLQPA GGLSARGAPASPNLPSPMQQSSPAASSVPHQPPSQAQQQQLPHHSQQPLQIPSQHGQP TRGYSGSPSAAVASKPPLSMSHSYSRSSPAAGYDGSSSYHAYTPTTPGGSTSAHLMSP IDTTRYNAPGSQRNISNTPLGLADIRPRADSSMSDGTGAAMAYDANNAQPGTSNYLAP WAIYAFDWCKWPAQGNSAGKLAVGSYLEDGHNFIQILDSHITPTPQDIYTPGSSKFSL EFTKAAEATHSYPVTRLLWEPPSSQKQSTDLLATSGDHLRLWSLPSENPSTPSGTIGR RDNVTTKLTPLALLSNSKTPDHTAPLTSLDWNTVSPSLIITSSIDTTCTIWDIPSLTA KTQLIAHDKEVYDVRFCAKSVDVFVSCGQDGSVRMFDLRSLEHSTIIYEPTGKEERDP NGGRVSPTLAQQTMSNPPPLLRLATSPHDTHLLATFAQDSNAIRILDVRQPGQALLEL RGHSGNINSIEWSPHRRGMLASGGDDCQVLLWDIYNSGQPINGAPQQDNPRSPVASWQ CDYEIGNLGWVPQLPNSEYGEWLGIGAGRGIWGTRVM FPSE_07505 MSSHDPSRFEDSEDEEDFNPAPADLSDEEQDGDHQKVKRGSSPA PRDDDDEDERPSKSRHADDDEEEEEEEEEEEDTGRRRDDDDDEDEEEEDEEDEDIQQG HRRKRRKERGANFFDIEAEVDDEDEGEDDEMLGEEIGDFITNDHPDDIAETGDDDRRH RELDRRRDMDSSMDAEKQAEILRQRYGNRRSGKGFRDVSVVPKRLLLPSVDDPSIWAV RCKEGKEREVVFSIMKRIEERAGTKDELAITAAFERGGIESVMKGFVYVEARRQTDIL KGLDSMMNVYPHSKMILVDIKDMPELFRISKTPTLEPGAWVRLRRPPKHNGDLAQVID VTENGLEARVRFIPRLDYGMRDDALSADGKRKRPFGNGPKPPQRLFSEIEARKRNPRA IQGNPSTGTWTYNNEEFENGFQVRDVKIQQLTVTDVNPSLEEVTRFASGAEDGTENLD LKALAHSLKDSNALATYLPGDVVEVYTGEQKGVVGKAMRVHSDVVSITVTEGELVGQE IDVPIRALRKRFDVGDHVKVIGGSKFRDEVGMVVNIRDDKVTILTDQTNTEITVFSKD LREASDIGGQGSLGQYSLHDLVQLDPTTVGCVVKVDRESLVALDQFGTPRQVMPSQIS NKIPKRKTAVAVDRHGSEIRLEDVVKEYTGQQRQGKIIHIHRSYIFLHSNDNKEHAGV FVTKANMVNTVAAKGGRVNTAAATGPDLTAMNPALKLHKNGSENKPVPPPRSFGKDKA IEQTVVIKKGAYKGLLGIVKDTTDTHARVELHTKSKTITVPKDCLAFKNRMTGATIDI ASRGGRGGYGGGAGRGGGGDRVPGWQSGSRTPMAGGNSDRVPAWGSRTPAASGRTPAW KAQDMSGARTPAWADGSRTVNPYDGSRTAYGSGGRTPAWQAGGRTPAPGDAFGAGSRT PAYGGGDSWGSGSKTPAWGVTAPTPGASGGDAWGPGSYDAPTPGGALGAPTPGAMNAP TPGAYSAPTPAAISAPTPGGWQGGWGADSAPTPAAGAPTPGYYGAPTPGASYGPPETP AATGPRYTDDD FPSE_07506 MSLPFTTRRVTPKALSRSSRLECPSTRCNTRSTPTCAFSTKPTN RRAKAPNSQDSAAPSDKPAKVRITPTTSEQSAVLQQRLQEKSANLARNREEQLREARQ RRLDRIKEERGKRAQAEEEEKKELTKEELEKQKKEDYKRRYKELEWRWLKFIVGMPIF LVMSYDLFQRLVMKREQKVPPWRQAKIDKEKEEKEKKEKLEQVGEEVLKH FPSE_07507 TTETAAGQTTETAGGVQTTDTAAGTETTEAAVTTTEAASTTAAG CTAAYTTVDSAPAESCAVMGRSQDGSPIEMYRQIDSADECATKCADYVNEENAGEVCN TFSYQVESGVCQLYADSLYELNVDDSECEEGSICPADSSFYELHACYAKCDGYVVPTT TEAGTTDAATTTEAGTTEAAGTTTEAAGTTTEEAAGTTTEAAATTDAGTTTEAATTTE AAGTTTEEAAGTTTEAAATTDAGTTTEAATTTEAAGTTTEAGTTTEAATTTEAAPVCT NYIPKPNRPSTCGQQGKVSCRSSQKLGQPVWTASADKCGKICGTTEGCKTFSFKYNGS KCQLYSAALDQLTFTPCNTGVKFYDLEKCYTCQNEVTPPPPATCSKYVSDPNCSSNTY CGTRGSICEEVLIPNVGDASCLENCAKSCIEYGAECVYFSYKPTTPWGSAKCKLYKSG KIIKNKNSIIKFYQQPCFKCQNPPALHEYMIWTTNIVSLIEIL FPSE_04668 MTTVASTATTPAHLQPHPTIESHSSATPQPAASPRATGPPAPQW SERDVPPWLQKHMKFWKVELTPIRARTWMLEGFDHFQINRLSLPSHLEGIKKELEQEW EKQSKVAPTKPATRAKRKADTDVNAPASKRTKGPTPIKSEGTPQIEMPPPPPPKPLGN LKGKYAILTDLACCEGQSDPAHDYMCNIVLSPGDGETMRGHFNMGKHMDYSILMFFEK HPTEASSQKVWFRWRGTKSHGGFKKQR FPSE_04669 MSTLPHHFTITVDGKHVAKPVQKSEEMFQAESGDEPAVFELKGD RLISGDFTLGRWIVEDLSSHPKPVMWRKNEEESRDLRPVTVTHGINGPEIRFYDSQGI AIHDGKLFAIMDQNKEESQSVEISAVEE FPSE_04670 MYMPQSPAADTNGYAAKIPCMMRKSTEDLRHQEITSTGLGAARA TRI FPSE_04671 MPSQIQPWREPIAIVSMACRLPGGIDKPLDLWDHVRAGRSSATP IPKDRFNTENFLSMDPNKKGEQAFRGAHFVKGDIKQFDHKFFGISKDTATAMDPQQKQ LLEVVYECLESANISMETISKSKIGCYCAMFVSDYHDMLMQDPEYLPTFIAIGTTRTM LANRISHALDLGGPSVTIDTACSGSLVALHLACQALQAGECDGAVIGASNLFLSPDYA LSLTRLGAIAADGQCKTFDAAANGYGRGEGTNAVYIKRLSDAIRDGDNIRSIIRGTSS NSSGATPAITEPSGRAQRDTILQAYAQAGIDNFSETGYFECHGTGTPVGDCIELGAVG EVFSASHNSGDALWVGSTKPNVGHSEAASGLSSLIKVVLALEKGEIPPNTNYKTPNPK IDFDGWRVRVATSAHPWPSKSIRRASVNSLGIGGSTAHAVVEFYEPLKITNGSANGVN GTNGVNGTNGVNGTHSLTITNGTNGHHEENNADKPSFLTFVSGASRDSRDTNINNLLD FLKTHDECHELTGPLITALNARSQIHIRPWRSFAVAQTVGNLIQQLEGKAMKTNQGPV GGNEPDMLFTFTGQGATWSQMGRRLLETFPVARNTLHQLDDVIRELSSSVKSAWSLIG KLTAELTQDEINLPSLAHPLSIAVQIALVDLLASWGVVPDGVVGHSGGETAAAYACGA LTAKEAITVAYYRGIACQNAPAGSMLAVKSDPNAKELQDALERNDVQIACFNGPQNLT LAGPTEGIKNLATELNSHGIVSRAVAVTRAYHTRIMKAVVDDYVARLRSVIHPKAGRV PMYSSVTGRQLEGTEVGADYWGSNLVSPVLYTDAVTLAMTQTDHKYGLCVEVGPHSLL SRPTSEIVKSLADAPQLPFFATMLRNADTNQQLMSLAGDLVLSGKRLDLDQVNKASLF KGGKPARLPNHIQDNLPAYAWDYSSTPWTEPRNSSDWRFRKSPRHEILGTRCRGANPS APTWRNRVSVEDAPWLVDHQVNGIVTLSFTTGIAMVVEAMMQLQEETKEADWTNYSFE LEDFVFSNSIILPDENAIELFLTLIPRSDNTRSDGTWYDFTISSLRGDVDIRHCHGKA AALESNDGAFSQSRTSWHHMPLEVPLKSYYKTLERVGYGYGPKFQLLNEVRVRPGLSA CAAKIDMVSSGQSPVRGQRYLLHPAMMDAALQTPALANRSGYFQEIDTLLLPSRMKKI SIRMPANKTDIASCATNTSPVGFTRIEGSVQCYDTLSKPFFVVEGLQMDRATAEDQTT LPWLRLKWKPDIGDISQNGASTSSIQIRSLPAEKKLINLEDLVKELIPLIVENGIEKG ENLAPHLQSYHAWLLEQAELHKEKLVARHMQNGFATAEDAIMNVVANSGISETVDASI VSQLAINMAKIFRGEVEALAVWLENDLLYRFYEESIFTTSMNQKLRSVAELLAHKNPN MKVLEIGGGTGGATTELLHGFSKAGGPNAYQSFTFTDISAGFFEKAKKKFSAWDRMEY KTLDIEKDISEQGFGEEKYDLVVAANVLHATANLPFAMKNIRSLLRDDGYLLVGELSE DLTSANFLWGPLTGWWLRPRSPGRSGPGLSMDEWRDELTADFDNVVEIEAGRDMAASD QISSTIVMTARAKPIDYTPPEPLSEQRVHIAGVGDDFSHDQIAHRLSKRGITTTSSSL EDLATREWSGEWLIIMDEAEGSFLASLDSQQLEALKSWLTKPIKCIWVTQKVYLDPQN TTGGLVTGFARTLRGENSQMELYTLDLSSEGDDIANIIDHVLERAYYSHGDPISRLDY EVAEKDGQLWTCRLVKDAGLEEAFGPARKMEVSTRELVQTPHHLVVGEPGILDSLAMA QNDGHSVLPHGHVLVEVKAVGLDERDGRIAQGSLPALEFGRECSGVVKSCAADVTSLS PGDRVAVIGQGTFTTQYLAPSGCCAKIPDWLSFEDAAAIPASFVTALYALTTSARITI GQKILVANATSAQGIALIKTAKALKLDVHATTSDGNKSLLTKHGVSSSSILPVSTNAG RLNASRSANGQTYKLVLNTQSGQYASFSHLVANRGTYVELGSGGTHDDESGFRPNKNV MFASIDLSDAYNESKEDLGELLGQVMAMVEKRVLNTDVSASVSGLHSLQPAFSALLEG GSQKQVISLADVDDGHMIKTRPKTSVFDPRKTYIITGGLGGLGRAIAVWMASYGARNL ILATSSVARACQSGDLLQQLSSYGCHARVEVCDVGDSSAVERLISSIYTPVGGVIHSA LRLSDRFFEDITLDDFDAVFSPKVNGALNLHHCLQGHDLDFFVMLSSGCGVLGNEGQS NYSASSTFLDTFARYRQSLGLPASSIDLGFVEDVGNISERPEIQASLLSRGLRPITVR DVLRVVEGAIATCTPKQVNAVTESAYDDLSQSQIVLSFGMIDKATAEWQSWAKDTKFG LLRSKATDNVASDADAGGGESAVQTAVKAFRNTLGRLGDASEGKVAALQPFICTALVA KLAQVLSMKIGDIQPTRSAVQYGMDSLIAIEVRSWARYAFQIDLPISDLTNPYSIQDL AARVSRMIL FPSE_04672 MADTPDNEHDTSSLRSVGAGSIDRAMAATRLTASSSSNISNYAS SVSSDNQSQSHAYSQNLTGSGSGSGGLPGTPVSRRSGGWERQTLSPAAAARVRHDDSN NEDYAPHQSSGLRPSSELLEDSSDSSDEYVSTYKPLKTASRSKRFFQSMVNKFTKSSV RYGHLPNNQQEQDRNVIQHTVIINVFKGQLQLSPLAPRPKRALDVGTGGGIWALLIIV LLLTIATKHPYCHVLGVDIDPVHPPYTKSNCTFKVMDITQDWDFPGGGNFDFIHIRQL GDINDKQKLFQAAFDNLRPGGWVELTEWLAILQSPNHSLDGTAFRKWNNLLEEGLQSF GATVLYPETFKSLLQKTGFEPVFDTRNGAPTNACYPGKKLQRIGHLMTQNWLLILEPL SMPVFTQGLGWTPEQVHALLAEVRREIGNTKYHSFMTL FPSE_04673 MTGLNYDPEYFKAIGSPPKGPRADPPTTAHELRSNIENTIRIFV TNSPYPSGIKETVYKVKSLDGTEIEVTRFACEETLASEKPTPAVVYFHGGGFVACTVP PFAPQIARFANDSKLPYFAVDYRLAPEYPAPAAFEDAWAAIQFVSNSAVELNVDPARI ALYGDSAGGGLAAGAALMARDRHLDPPIAKQILIYPMLDDRYNLKDDDPYLEVLAMRP AGAKVAWDAYLSKLREGDDAQALSYAVPARAATLKGLPSTYIDIGSLDLFRDESLRYA NRLADEHVEVEFHLWPGLPHGFDGLRELSWYTRATESRVSALKRM FPSE_04674 MKLLSLSTVGLLALTGFSIAQENANVPIQDNGLTNIVQWDDHSY LINGERIFVFSGEFHYWRLPVPELWRDLLEKIKAAGFNAFSIYNSWGYHEASPGALDF KNGAHDFESIMTLAKELGLYLLIRPGPYVNAEANAGGFPLWVTTGEYGKLRNDDPRFT KAWSKYWTEISKVIEPHLITNGGNVAMFQIENELGGQWKNDDKRILNEPVANYMQLLK DAARDAGIDVPVFHNAPNTRTFSWSNDFEANATGNVDVTGVDSYPSCWSCNLDECTGT NGEYVPYNIQDYVTYFSKQSPAQPHFLPEFQGGSYNPWGGPEGGCPGDIGTDFANIFY RDLVAQQATAISLYMMYGGTNWGWFACPVVATSYDYSSPISENREIWDKYYETKSLTL FTRVAHDLTKTNRVTNSTSLSTNDDVLVTELRHEDNGAAFYVSRHDHSPSGTKETFKI RVNTSEGKLTIPQNDHITINGHQSKIIPTDFHFGEKTLLYTTAEVLTYSVIDKKEIIV LWLPEGEHGEFTLKGHTELKHDKSLNGIKVKAGKKSVTVNYTQKKGLFTLNMKDGSTI VLADRQTAYKFWAPTLNNDPFAPVNKTVLVHGPYLVRHATVKNGQLNIEGDLDKSTEI TVFASESLKSISWNGEKVKVSSKEGHKYTTKLKGPSKVKLPKLESWKYTDSLPEIKSD YKTSSSAWVVADKKNTTNAVLVPDLKNPVLYVDEYNVHYGNHIYRATFPTTDKAPTGV YLNLTGGLAFGYSVWLNSDYVGSYLGNATVGRSGQEFSFKNATLSKKENVLVVLMDNS GHDLRGGALDPRGITNATLIGSAKGGYKFSEWKIAGHAGSVEGEVIDPVRGPLNEGGL YAERIGAHLPGFSDKKWKSFSSKQGTLVNPSAGVRAYRTTVDLDIPDGLDVGISFKLT APSNTTFSATKKGYSNRVRVLLFVNGYQYGRFNPYIGNQVSFPVPPGVLNYSGENTIA VTVWSQSAEGGEVKVEWEVDYAHSSSFDVKFDSEYLRPEWTEDRLQYA FPSE_04675 MRFASSIIIGLLAANGLAQTVADPAPEQQPEPSAIADPQPSEPA AQPSAPAAEPSSPSDSDDDDTPQTNAEPTAVAEPTADEPVATGDASQVSDGDQPTATA TDSDDDDEATTAAEGTATADATATDDDASATATETGDDDATDTATMTATESAAPTSTG AVVEVDLKNATIGDNAELIKVDGKPAIKLSAPANGKATFTVPVDTDSENFSDDDLIYI VASILVGEGSAKLRKRATKTDCTLQIQAGSANVYSEPLYTTDGKFQDIKSNGIRSSDN PGAANVQVTQSCGDKPSPLTVGNVRAGTDSGIKSSSGSGGSGGSGGSGSGSGSGGKGG NGNGSGSGSGTSTADGANASETGGSGNAGSKVGASIGALAAAILAAAAFV FPSE_04676 MPESDSIPGTIHLVDVQRNLRVRHAGGGEGDIVLDPAPSDDPND PLNWTPQRKRLALICTNLYTWFTGMSVATVYSVLVPLAQQSKVSIATLNEGTGYMYLL LGVGLLFWQPFSLRYGKRLTYLISILGAIGTSIWSAYVSGNGEWIAKCIIQGFFVAPI ESLPEMSVTDIYFTHQRGTYIGIYALALAGSNYFAPVISGFIAEYQGWQWVFYWPAIF LSAVFVFLFLFMEETNYIRVARDINRPQIETSRASDENTSKTGEKEAEGGNREVKEAD EAAQEYAKPKTFVQKMSLWNPSPGQSMARHAGRSIEYLSWPVIFFAGFSYGSYLIWFN VLNATASIVLSGPGYGFKLSMVGLSYLSCCLGTIIAFAVAGRMSDWLTVKLARRNNGI MEAEHRLWPLAICVVGVPASLILWGVGAQHGVHWFGLIFAMCALSTTSVMGLIISINY LIDSYYDISGDAITTIILVRNTMSFAISYGITPWITNLGYQNCFVSAAFIALAACSAV FVIIKYGKALRVRTAPRYHKLVADDKRVMGVA FPSE_04677 MSPTSASAQPGPVSSGKTTSNVTVEHCELVNSEQIATDTTLPGL PVVQVTPILRGTVEFEAKRAALLEAFAAKVPQEFLLPEQLIRNPPKDVSTIPAACGIL SQEELEITEHDAVGLVNAIASRKYSSVAVARAFCKRAIIAHQLTCCLTQWYMDEAIAQ AEKLDAYFEQHGKPVGPLHGLPISIKDHIQVAETYSSEGCFASINYDSIDADIVAILR SQGAVIYCKTNQPQSMMHLESDSHWGRVLNPFNIYLTAGGSTGGEAALVAMKGSALGI GTDIGGSIRGPSSFCGIYGFKATSNTLPTRGYVTAPPPSVLNVPVSTGPMCRSLRDMD LFMRCTLSAKPFLSDPTVIPLRWTGLGTRFNQRLKVGIISNDGFIEPQPPVKRAVSWA KAMLADSKYADLVEVKDFKVLGAEEAWNLIRRLYWPDGALYTKKGIISSGEPVHPLTE WIARDGEPLGMQTAVDVCRQHKIRDDFRLSFAQSWTDQDVDVIIGPSFVGPACAHDTA LYWTYTSLYNIVDYPGAVIPTPIRAESGERYEDGYAPLSEACLRVRKLWDEGDFAGAP VNLQVVARRHHDNELFGALNVLKDVFGLV FPSE_04678 MAQNHEDLAAELHEELGDRTHNEKKTDLTGGFIAPSEINEKQSF DKQSDPEFGNTPDGEEPNNHERATLRRVGENLPASAFLIAVVELTERFAYYGAQGLFQ NYISYAKDGADGPKGLGMGNQAATGLNLFFQWFCYVTPILGAIVADQYLGKYKTILVF CGFYWVGLIILWTTALPAAMAVGASKPGYIVAIIVIGLGTGGIKSNIAPLIADQYQRR RMAIRTEKSGERVVIDPAITYQRIYMIFYWCINVGALSLLATPFMEKYEGFWTAYLMC FCMFNIGILTLVLRRKTFVNRPPQGSVITDAFKALGMMIAARNTDAAKPSWREANGKT KAVPWNDHFVDELKRALRACKVFVFYPIFWVCYGQFSTNFVTQAGQMNGHGVPNDLMQ NFDPISILIFTPLIEKVLYPILRRFGIELRPIARITIGFWFAALCLAYAAIVQHIIYS SGPCYESPKDCPAGLVDGKNLPNNVHIAIQTPAYIFIGISEIFISVTGLEYAYTKAPP SMKSFVQSIYLFTNAFGSAIAEALVSRAKDPDFLWLYVGVGIASAVTGCIFYALFRHY DAQEDAMYDLDRDAPVLTHNGIKESEETK FPSE_04679 MKFSFGIAAVLLGASSVVVAAPTEHQSASGLVVDLNDKALSALK NSEAKLTERSGTKKCTTTNAKVRRDWKALSKKERKAYIDAVLCLREKPSKADPSFAPG ARTRYDDFVAVHINQTSSIHATGNFFTWHRYFVYAYEKALRDECGFKGTQPYWNWFET GNVSTNPLFDGSETSMGGDGKYFKHNGTKSSNPAGDIVLPSGEGGGCIESGPFVGAVA NLGPPAPGMSGMIPSKTPLGYNPRCLRRDLNQYPIDNWMTLPNLYNVTVGEASRSIKD MQTEFQGRFGDGFLGVHAAGHFVMGGDSSDFYSSPNEPVFWLHHAMVDRIYWIWQALH PKQARDISGTLTIENRPPSRDALKSDPLDVGVNAESITIDEALDTLSSSPFCYIYE FPSE_04680 MSNGTNGTNGAEAQSKFDPNFTEHVVGLMSPETEPRQREILTSL IRHMHDFCREVELKQEEWIIGVNYINSLGQAYKKNRNETWRVCDILGIESLVDEINHK VVTEGGKAPTSSSILGPFWSPETPFRDLGASVVQDMPKDGQLTFFHGVIRDVDTGKGI PNAVFDMWQASTNGKYDAHDPENQSRHNLRGKFRTDQDGKFWFYCLKPTEYAIDTSGP SAELLRIMGRHPYRPAHIHIMVTHDDYLGVTAQLYPSDDPYLETDTACAVKDDLVLDF KPAKDDPKGATLDVEYNINLASKKYKPDNTMLMQNANQDKF FPSE_04681 MTDVMSIREQQHCTMQYFAVDLAAAAFASALVTPWVTAIDKLVF NKATSNTSVMGSMRKWASKPKATFASLFIPFLVYFGTYATANMFDSFNAVQYDLDPSI VCSSPAKFAATTTVSSGLSIFKDAYFSRMACGGGTPLLSYALFTLRDAVTIYASFNLP TMIAPKLAEFPFASITPFADIFKSDDSRLKMAQLFMPAASQVVSTPIHLLGLDVHARQ VRMTIRDRVSVIKRHVGFATPMRMIRVLPSFGIGSVANTGFRRNMMTRVA FPSE_04682 MDAPISYYLYNPSKPLAGVAAGLFGLSFLVTLYQIIRKKAWVWI FMLLAIAMEIIGYAARIKSASEPTEKKPYVLQFTLIILPPVLMAGVIYVVFGRIVYWV VPPESRTLRFLWVPPRFITLLFVGFDMVSLILQLVAAVFIAGTDPTDSNAKQKLDLGK TLGLVGVSTQIAGFGLFTIAAVRFHFAARKLSPEFARQNQEKHGITKKWQTLLTVVNV SCLLILIRSVYREIDFAGGKDGKTHQKEWFQYVFDTLPILIVVFLYNVFFPANYLAHL GFKVPKETGTMNDVETLKKKPSTSEST FPSE_04683 MADLGDQPAQISDTLTVDKINPEIPSTTIQEDKPKHVHIAESKS TTNDDKIKPRKSFGPIKKLDGFISHMNRLMHTREDHDSVILFLACATHFLATALETPI SERLRCWATKFGSLVLKNTPTRLATSIPFAKLNSYMTTIHAYRGLFAERARALSNIMD DWQIITRLWGLLAMWAEAKEYIMSLTATTDAATDRNENPRDYFVSKAIKGTYIVGLIS YLGFENLAWLTRRGVLKSSEKTEGKLMIRSLTGWGIFISSELAQLLHDRSLKKRGFKE EDEESKVQWRRRLVQVLIYGPLTVHWIKDGGLLPEVFASFLTAYAEYITVQGLWKASA FPSE_04684 MSSAASADAADISLAAAVAALSVEFVVHPFDTLITRIQSPEYIP KYRSHEGKLQPALFRGLYRGIAPTVITTIPASVTFFGIYEKAKVTLQPKEGLKPGSLS QTAVHAASSALADMVACAIINPSEVLKQNAQVSRFSEGGGQRFPVLSALKHFVRHPLK LWTGYTVLVAGNLPRTSLTFSIYEYLKDRWLRRFGSNPSISEQVKVSGITAGIGGSIA SLLFVPVDVVKTRMRLPEKAPPSHPLQPEALFTSALSRNKARKPLARGPFSIAKGILV RDGVSGLFRGATVTCIAAAVGNGLFLGCYEGLKLYYAQEGAKQEII FPSE_04685 MADSICSLAVRTAYMLGLHLEPPTTLPSCERELRRRLWWSVFEL DTKVGIKVGRPFLIRTTHMMPQLPRDDLAAAIESGSTFAPIGDNATWLSFNLQRFTLY KIARELNLSFYGHELKFKDGDSFYNDDSAMDDLAVTWGPQTTALTEWTKQVPNPLQNK RKNGVAFSGDGSTLDLEPYAPLWLQRQRLCLELEYNHLCISLHRPFISFSLNQGSNTV AMAVKCAHHAIELTNIVHQVLTTTTILHGWHEAFQWQWSAAMTLVGFVMANTQDPLAP AARKSIQLAVSVLDMFASSFDAAVKAAIIVRTLHTNIESVMTQFEAQTAHFAGTTNVN PLLDRSVSLHEGGDDGVPSQFDGVGNDFDLLEMTMNVDFWAELDMLLPGGSGTTTSL FPSE_04686 MSPPRRALIAITSASASLFQGTETTGLFITEALHPYNVLTAAGF EVDLASETGTYTPDWLSQQPDFLNGDDLATWSNPDSEFRKKLDNMTKASELDPSKYGL FYASAGHAALIDYPTATSLQQIGAQVWANGGVVSSVCHGPAIFANLIDPTTNEPLIKG KRITGFTTEAENTMKIMEELRSWGSEMVEEVADRLGAVYERAPGIWDDFHVVDGRLVT GQNPASATSTAEAAVAVFETL FPSE_04687 MTLSKTTQAVNPESRLFKPIQVGNAKLDHRIVMAPLTRYRNDDN HVVKPFVERYYAERASAPGTLVIAEATGVSMQDTGMRQGPAFVTDEQVAGWTKVISGV HEKKSFWAQQIWGQGRASDPEYQKERGFKYRSSSAVPIEEGAPAPEAMTEEEIQEFIQ DMVATSRRVIDAGGDIVEVHAAHGYLIDQFTSDSINKRTDKWGGSVENRARLLLEVIK AVSAEVGPEKVAIRLSPYATFQGSESSDVKEQYTYIVSELKKIGSLAYLSLVEARGDP AKLLAPDQDASTDSKTLDFILDIWDNTSPVIVAGNYSPESAAEVLDGHYSKWDVLVAF GRHFIANPDFVWRVKHGVELTKYDRTTFYVRGSEIGYNDYPFSQEYVNEQRAWAVKNL KMHAK FPSE_04688 MAATLLLEGGCLCESVRYKITFPEHHDLSKDTLGCICQCTQCRK QTGSFFLATLTVPVATIQWQGDSESRIKRYNKTESIDRGFCDTCGSFLFWHPRGKAIS IATGSLDPIYLFGEGAESTGDHIPKEGVAGQIFSGWFNVEFCESEIKGITDDMPVLHK GKRHQGDSSAT FPSE_04689 MTSLNLYVAICKDRDTSHARHTGHARHWMLVMVEEGSSSQATWY HCTGGSTQGKPYSVVIEQKPFDSKGIEKYHPIGEISSKNKIKAAAQRIPGLFCQRWVV NVLGDLEKRGIVPEGTWSDWYVAMEVDPYSDDGAPCGSSPSSDGDCTDSSSIHNSSSN HSKTTQTA FPSE_04690 MSVEPYIVEPTGPHTHSLILLHGLGSNGNKFGRGLIETGIASNG KPLPDLLPGVRFIFPTSKIRRSSAFRRAKLTQWFNIASLDDPSYRNETQLKGMEESSR EIFQLINQEREKVPDKNIILGGISQGCAMGFVCLLAMDFPIGGYIGISSWLPFAADIE RLTTKSDDATFSDEDDNPFAVSDDEDNSADASVQVRDYARDLLCMDVETPSNMESLST PVFLGHGEDDEKVKPELGEEACRILRSVGYDVDWKGYDGLGHWYKVPDEIDDILEFIK EKVGWSL FPSE_04691 MKPSVILSTTVFAGTTVARLYKRDELVARADSSSSLSTASVVTN PTDTATDGGEETLSTDTGAPATVSTTASSANMSPTIPPTYDCLSDCNSNYDKCRTAPG ANMSTCAAQYAGCLGYNPFQGGSLVTPTACSAPASDTPKPTQSGTSDCVTGCNDDYNK CRTAPGANMSTCAAQYAGCLGYNPFEGGSLVTPTACSVTASETSKPTQSGTSDCLSEC NTKYDTCRTAPGANMATCASEYAGCLGYNPFQGGSLVTPTACSDKAEPTQSGTDECVK GCNSDYDKCRTAPDANMSTCAAQYSQCLGYNPFDGSGSLVTPTACSSSAGPTATDTGA MQSSMASQSPSPLSDTTMQMTGTYENPVATCTSNMCSKPTMTESPVVVDSAGSLRPWM TLMALAALAIL FPSE_04692 MEISTVATSQQPAVEPSTEHATNNKPQRQSLEVAQQNEPSHTKQ KKSLAFKLSFIGLAAILFVFQIDATALGIALPTIANDLNGSSLESFWANLSYTLCGLV MQPVWASISDAFGRKPPLYACIGLFFIGSIVFAVAQDMKTIIVGRVLQGFGGGGIDVL VQVILTDMTTLEERATYLGLMGIPNAVGNILGPSFGALFATYASWRWIGWVNLPILGF GAPLVFFFLRLRSIPVDASNVERLDWIGMGLVVSGITIVVLPLSWAGSLFPWSSWQTL LPMLLGVLVLAVFVWFESKPAVPIMPHRLFHSKTANMTLIGGFIHGAILVSLLQYLPL IYQAVYLETAIKSAVFLLPTSITSVVVAVFSMMMVPLFGGYTWLLRISWALLILGTGI LALFELGSSSSMLFGLPIIWGTGVALLRLQLLPMQASVKKVEDTGVAIGQFFTIRMFG GLIGLTIASAIFNTVFAKTIASSSIQLEGSLAPLADSSRAVAFIKELPSLDISQRTLN DVLSVYLTCFRTIFYTMTGLGAVGLSASMFIAEIDLKSQDRGNQQFED FPSE_04693 MALRKERVPTQPPSIPLLSITSYSIPFKVPGSQRDRQLLHYFCV QGASEISGFLTSDFWSEIVLRESHQDSALRQALVAMSSLHLDYITSDPVKSQAASIET LDNYGKAMRTVRKRLAQSSPDTTKVALICCVIFYCCENTLGNPDTAQQHLSNGLELLK SISRHSTQMEKAEMKGLRTIFERLDMQASFFQDDRVPILSLPHWEEHKAEYDALVPKR CFSTLQEAHESLIKLQSWLYSFINKNADVYKAGIESLSPVVLDEKAALVAAYNYWSYA VENFQLAEAHDEHTKYGLRFLLVHSKICQMIVDSKFPVNDEIFGASPNPAAHEVLDLV ETLLDYTAKLNSSPSATQTPRRNFSLESGVVAPLFALALKCSDESVATRAAQMLSLSQ RREGLYDAQTMAMILTHLRKSRDGEKEVKAELESSNAATSALEYHIPNGYEGGGIHKL LVSMSI FPSE_04694 MAHTSGVTPLYQVYKPPFTVESPGYEKRPDETIPRRHPRARDGL IERPADDVYTVFDIVRRSARLYPDSRAVGWRKLIKLHKETTKVEKKVDGKVQLVDKEW TYFELTPFSFYTYKEYEQHCLQLGSGLRKLGMEAGNKLFLFASSSAQWIATSHSCVTQ SISIVTAYDSLGQSGLEHSLLQSQAEVLYIDPYLLKTATEPLKKSKVHTIIVNEASIF GGLEIVEAFKSANPNFKVISFDEVCELGKSTPVDPVFPKPDDLYCVMYTSGSGGVPKG VRIAHRNLVAGVAGLYVCVADCVTHNDVLLAYLPLAHVLEMALENLGMFFGGTVGYGN PRTVSDTSVRNCAGDMRALKPTVMPGVPQVYETIRKGIMTRLNSSVLLKTLFWRAFAY KSVMVKHNLPGALLLDGIVFGKIREMTGGKIRFLFNGGSPISLSTKHFLSMALAPMFT GYGLTETCAVGALGSPLEFTLHSIGTVPASAEVKLVSVPEFGYLADADTPQGEILIKG PAVMMGYYENEEETKRSFTDDGWFKTGDVGEFDSDGHLRIIDRIKNIVKLLSGEYVAL EKLDSIYRGAQGVMNAMAYADSLHARPIAVVMPNETVLASIAKDLGVDEHSIYTDNKV RQALLKDLQATGRRAGLSSMEIVAAVVITHEEWTPASGLVTATQKLNRRAINARYKTE IDKALEQA FPSE_04695 MGAADMNDPFTPDFSEFVNKALDRWKLAGISIAVVDGDNVYSKG YGYANLPDVPATPETLWYGGSTTKAFVTATLAHLIETKKYPALSNGWQTPVSSIIRDD FVTQDDWATNNITLEDLACHRSGLRNNDMGLRLYENGRPWEIRDIARNLRHSPLQWQP RTKFDYNNEGYATLSYVIETITGKWLGDVLKETIWSPLGMTSTYLDLQQAKDAPEDLS TGYYWDSSGKQYAPIPFLPTDIVSGAGAIISNVNDYTKWIRCLLRKEGPLSKVVHKDI RKPRVVDNPEPARGMDVSLYGLSWWRTSIQGHVVYWHSGSVVSHGALIYWLPEFDYGV VLLANYPNDVREVIMRRLIYDKLGVPADERFDIEKELRMAQRKREETIANAATTLFPN LPAKPAPPHVDIESLAGRYYAPGNGTFEFSCIKCKKSGKMELLADRTDLLWKSRFTLR HASGNFWVMLVSLLEDPSRLSDAFLGAEFRFGIDDSPSELIVTFPAGDYSREIILKRV K FPSE_04696 MSIRSISSQLLVSTLALSSLCLGQKTGKFNFLTYNVAGLPEIIN GNDVPGDKKTNSNLIGTAFATQGFDIVHMQEDFNFHAYIYATDNHPQRTPTSGGVPFG DGLNTVANYPWSTFSRKKWNKCNLNSGDCLTPKGFSFMRMAIGGVEVDLYNLHADAGS DKGDVDARSAGIDQVLAYINSNSNGRAVIIGGDTNDRWTNAGRSLNKLTDAGFSDAWV QLIQGGKYPTAGAAADPCKVPAADNKCEIVDKVFYRSGNSVKLSATSFNYVPKLFLQP DGNILSDHNPVLVDFTYSS FPSE_04697 MKGPIIAAAVLGSYCQVSHAQSGSSIDPVQPVLLPDTAAAKNPL SHLGGNGPWHIGADITGISSDVPEGCEVDQAAYVSRHGSRYPDTGAHNGWLEMQSKFQ NSDYTATGPLEFLHRWKSPLTNPEIQIAQLSKTGYKELFDMGYTLRTRYPDLYREGED FVVWANNYTRVIQTAQLFLHGFLGTNSSLGTVVAVTGKGMPAHLGDTLAPSDMCPTFV DDSSKQTDAWRSKWLPAFKKRLSQYIEGDLELEDGQWGDFPYICGFESQITGRLSSFC NTFNQKEMEQYEYQQDLRYYYGVGPATKVASKMMVPFLDALVQRFVAGPDATGTNFEG KQFKLPKILMSFLNDGQLNELAVATGVYDNQKKLPVDRIPEDRLWRNSEISPMRGTIA FERLTCATKKGRPGQKYMRILINDVVYPVPSCKDGPGRSCSLAKYAKITKDRLKKNGN FAKLCNATDPATPSKVLGASFFTNLAQPHLKPVKP FPSE_04698 MSNNGSGRGFLFSRGGRGGGRGGGLLGSAVRGVAGGIGLVSESV SSYKDKRNAEKNAPPAQPAHQSQGSSSNDAPVSQSQQNTYQLPSQKSDATERQWELDD TQTELLSNPNGESSSSSQTTQNDTDLATTFIREHGLTNPPTGQRLEMPVILAQRRPKN RSRGFVRGYAPILEDVGIDQETWLDFLDKFDAASMASPWIQVLNFAEIGGLFVPFAPS IAISAAVYLTIEITKDMHSRQNTNKFLGKLNEEYFYPRGLCCLIMTWREDTGRAHEMI DLNKTIASSMSSEDSGITDKFSRSSGKTYGDFSLPEAAPLIFPTLDVLDAADTEESKG FKKSMAAKKNFVAEYYDKRAQAEFAHKNTDNVLANQGERPEFTSRYADPSHPANSGSI LSLLTGGNVNPNKSRSSRGGMSSGGRGGRGGRGGITGRLTGKSGPVFQYSAGDAMKKL LLSKDVLYMMVVNMPTEQELNEAKAALGRE FPSE_04699 MSDPSPHAVLTLPVDIPRITSTSENSDLNYFGPVNDELPIEASR YIARVTDGVEDEIAHTLKAFLEITQSDCVGNAEEKKCCWFTVRSQKPTDEFLIPRWHR DGRMYTYDEGREDVVRSKYALVILGPATLMLVPDEQSFAFEKQAVSKFKWWWEDEDAP QPTPEEMEDADLKLRKCLENEFKDSPKVQVGHGQVVRFSWGRDNSPIHSEPHFDCDRI FISVLYGSEPELRYMADLRDTEYGKYEVEL FPSE_04700 MSSPVLKSKEELGQANVDHAEVLANPDLMNDAFDAEQREHEQST WAAAKSHPWACFWAFVMCFTIVMESFDMFLNGNFVALPAFQKHYGVHVEGHGWTIPTR WQSALFQAGQCGAFVGVFVAGPITNRFGYRWTTMFALMLMNATIFISFFADSLELLVV GQALEGVPWGFFIANSPAYASEIVPLALRGACTATLQMSWSIGSIIVAGATYAYNKRD DQWAWRVPLALQWLFPTPLLILVFLAPESPWWLIRKGRKDEALRSIERLGSKDKEDSH QKLAMIERTVEIEEKLGGSPSLLDLVKGTDLRRTIITCLIYASQNFAGNLIANQATFF FEQAGVSTERAFQLNLINSCLQFVANIISLPVAGFFGRRTIYLWGTVTNVTFLFLLGV VASIHQNSATNYAQAVLGILISFVYAGSLGPISYTIIAETSSVRLRALSTGVGRAAYY IAEIPMIYLASQLLNPTGWNLAGKCGYVWGGTAVVCFVSAYFFLPELKDRSYRETDIL FKRKVPARKFKSTVIDVRDNE FPSE_04701 MSGLKVLVSGASIAGPATAYWLAKSGAQVTVVERFAALRTGGQA VDIRTAGVSVMRRMPGLEAAIRSKSTQEEGISFVNQDGKPFGVIRPSGNPDQQSLVSE YEVLRGDLSKILFDVTNDNKNIDYIFNEQIASISQSEKTDGPVTVTFANGTAPSKYDL VVAGDGATSRTRVLGFGGHVRDPIVSTNCWAAYFSTSKDLLNGGKIGKGYSAVGGRNL SIGSDPEGFSRVLLMCLNQTPDEMKSFRHALEQGETATKKYIAQHYQGVGWKTDEAMP EMMDSTDFYASETVQVKTPTLHRGRFVLVGDAGYASGLTGSGTSLALAGAYILAGELR KHGKDIEAGLQSYEQQMRPLINKMQPIPPLVPTIMAPQTAWGIWLRNNIFWLIAQSGL IGFAQRFIAAGFGDAKEFPVPEYEWEN FPSE_04702 MKVSIQLVTVALAASAAAHPQNGRKPAPSSTTAGSATSSSAVPS KGSMYNLPFMPTWFFRDSSQNKCISTYNACLISKSSDQSPCASDYAACIKSAASASSS GGSATSSKPPPPPSATGSKTASGTMSRPPRPTSLKQWWGQEQGRRKCRYDHWICIRNK SNDKADCDDKRASCFASAKTATATTGPTSIPTGSATTTGTGSTSAAAAAATTSSTTVA DAVAATASTDASISPDDPSFDDDSDDST FPSE_04703 MAQGAQARQCSRYWLLTSPRTASNLLVKMLNLDEQGVRPAHYGG YFYLPAIEQHFLLEKKSMDTWTEEEKASVNGTTQQCSQRFLEYLDAAEKEGQKVFVKE HSILLTHPRAESHFLYGSKEARTITEATPVTVEGIQEPTRSPLNLTVFPDEFLKTWNP TFLIRHPALVISSLYRTCLVEMDFHGIHRPKQEPCAAELTMRWHRTLYEFFAQHFASD SVWPIVLDADDIMTSPQLVSKYAKLAGLDDSKVVRSWEKAPEQELNKLTNIEQRMLSS INASTAIDRSKIAGEVDIDKEVVKWTKEFGEEVAKKLEKWVRDAMPDYEFMHSKRMRP EQE FPSE_04704 MHDYIIVGGGLSGCVLASRIREYDEHSTILLIEAGKDTRGRPDV QNMQVLNLGGELDWQYESEPVAGLAGRRVTLNAGKGLGGGSAINSGGWTRGASVDYDE WASLVDDDRYSYNGQLPWFKKSERWFDDNDPAQHGQDGPMRITCAKASNRRFPLAEQA AAGWEDLGISTLPNGDQNAGDNIGRAYICEARSDGKREWSAEQYSLEGVDVRLETSVQ RIIVQKSDGKLKATGVKLADGSVISGKNVILSAGALRSPQLLQLSGIGSSSHLQEVGI EPLVDLPEVGENLSDHMIFFQHWRLRDPSAGYTLGSDNPLFQQPQYAQGVPVDWIVNT SVASDGLAKAIAIDEGVQPEASKHSLLAKKRTFLENILMYAKVPFPGVPIDAEHITTA VVTFLPTSRGSVTLRSGNPDDHPKVDPNYLATEVDRHVFREGLRQLTKFMLGSRFSVN IAGESVPEGLPAEPLGLDDDNDKLDQRLAMASGTSWHPTGTCSMGKVVDTEFRVKGIE GLRVVDASVIPVPISAHIQAPLYALSEQAAAIITGNA FPSE_04705 MTDKFTPSSQTRYEPVNPDENVPHPSSQPTSAGHGKGNVTLFST ESLEGQGTKVKPEGWRLKTILKKWRIQILDVSARKKRNRQVPIVKDRLTAILAALVHL PAISGIIVLSFYIFKNYYVGKELEGIPNYDVEKKLGIQLAAKLMEMFIVLSLNAIVFS MIRHEFTIGQSVPYGALVAGQQISEISFLWSQEFFSILGGKFSQTWKKIGFVLTVFVC TIMALGASSLSAVAMMPQEGDWRAGGSFVYFNGTREEMFPAVVTEAHTIGSACNVTGN ELCPSWQWDVINTQLISKIRLSGELNNGIFIRRPPNEILVAGYSSTLVNLVVDIREKW FNLNSPNHTVARMPHLGPAEACVTTSLHWEQAADDSAKRHQTRFNNFKKVAHKLSAPV PITNTRCELTSVKDAKAKTLLFPDFVTFPPTTLDVKRDFISDWLSDTLTDLKRPEVFW FDSAPVIANTSVGVIVAVPSSNKSKEAADVYGCMVDARWINTDLSGDAVALTSKGYPP FSGAIATSLGGSWIGNPGYGQRVHLAPSFAKYLNPLDTGSNRTIIHEMLINSGVWSPN GEGSKSAAHLEAIIGALAANGLARSTPNISAVTTLADPDGEWWKSFMPQDNKVFGPGG SPYAVSDEDKKRFFGTEMETWVTGFAFADNSLTMKGAMAVFYVYALLIVVYSMWSIWS GITSSSWESVPDLLALALADRESGPGSGNISGGSLDVMKENFCISADGGTLRLRSSNG LVAPENRVKPNEVYD FPSE_04706 MPEEQGQVLGRKTDVFSLSQALGRCSNNCKDRDPTIYEELMGLL KNLPEQDAQDLFKRIRSGADAGSVLQQAKAGDVMLQMAVVPETRYRYEFPYRSDMPKD FHIDNPYFNSLIYETASLYSYGNGPELTTPSGFADLVSQEQQSMYLKPFHAAQVVEPL LDDAKISSWTSVSNDDVLMRDLLRVMFRCEYQFTAAFQKDLFLQDMVAGREDFCSSLL VNAVLAYACVCYPQMSNRVEYWNPNNLLYRFTAEAKRLWELEAKVPRITTIQAGIIFT VFHNLCGVDEIGKPYRLQAVFLAHQLRIFDTAVADRCERLQRGREYTAWALYNWETLS AFSFMIAPVIKKPPVWALPDPLKDPSWYGETWVRYPLNHGLLPLNMGQVLRERCQFRI IMNEYSNAAYSGYKIDLDLAYHFHGRLQSWYHELPESLIPKRIVLPGHLQLHISYHHL ILTIFEPLLDEANTRGYPPPHIVSSAKKNIQTLVRLYFLRHGFEAMDLFMVIPLVMIG FESANLINHETPADEIESLRAILILVAQGLYHQRRNHYLAQVLFRVIRGKMRKQEIGL LESSMALGLGDVDRDSELAVAVRSEWPVTTVKKQEEIESRVLSNLVKSFGDMSVEGEK FPSE_04707 MTSQKQGRKIVIIGASGNLGAPTVKALLAQGVHSITAVQRLGAT SKFPSEVVVKSGDLQDESFLADAFQDQDVVVLMPPLPHIISIQEPAVRAAAKVGVPYI LPAEYGPDPFAHRLVEQNQLLQDKKNVRDLISELGVASWISVTVGPFLDMNLKSGLWG IDVDNRKATIWDGNVGRVSASGLTHTGQAIAAVLTLPEESLAQYKNKAVYAPAFHFTQ QELLEAVQRAMGTMEEGWSVEYQDIHDALKDLEPKNEQHDGNAPFIKFFLSHFQEGSG GDLRHKVKDGELNKLYELGLEEQVLEDVIKASV FPSE_04708 MSPTDVFSSGSTALITGAGSGIGLAIAKTCRSKGMRVLLVDNDE EALENLNKQHFVDDSDALTSKVDVSSPSDWQSLKKLALDKFGTIELLVLNAGRGLKGT WGDDDYFRDTLETNLFGVIHGINTFLPIVQEAAKSKPTSIVITGSKQGITNPPGNAAY NASKAAVKTLAEHLSYDLKNESTTVHLLVPGWTFTGLAGAKIFKEKPDGPWTPEQVAE YLYERMDKNEFYIICPDNDVTEEMDKKRMAWAAGDVVQRRPPLSRWREEWKQEAEETM AKMEI FPSE_04709 MGKLCEHCAIILSLQVEPEAWSQDFPLRSIDDYAPLQHFRTVKE LIESAVNCRLCDFIEASWSLPALHKRYPDIKEQDYGSLELEFKITKAVSDPSGVSCNF IGGDIIVPWHSFADSFKVTITTCRAGTDVSQLPIWDCKELTKDDRIKTIKSWYTECSS QHAGCKPSSLGHPRRLIQLGPEKHDLRLIDTLSQPCGDLEYATLSYCWGTSRPFVTTK DNIGTLLQSIPATSLPQTFHDAIDIIWELGLRYIWIDSLCIVQDDLQDWQREATSMKD VYAGSSITISASDAQDSMQGCFVDNNLDLWDGRDIGVHQMGARFPNNREFSIRFHQGD IRRRTKFSNLSTRGWTLQEQLLSRRVIHCMQPEIHWSCHCSYQTESQVTFEGDRFKGF SWWFMPEAATEEEMKKLWLEWMADYSSRKLTVTRDRLGALAGMVQYFGNKVGFTHLLG CWHETLVDDLLWIRRGDTVHPSMVLSQVPSWSWLTRVGTINFRFWARSMGKDPSIIRD HIKIIEAGITWAGEPMVSDIVSSTMIVEGPVRQMRLRLDPRTKNFNPPFMNVGNEEPD FSETPVPWRCPGRFDLEDEREDDLFTCLLVRSVSPESRDSLAYPYQETFLLLVPVSTS EDTAYNRVGLAMIRGDQSEFASAETKKIRLL FPSE_04710 MSSLQPNLWAAEYVTFPAATIFLILRLASRRITRIHLWWDDYFA ILCYVTAVAWAVMLPIWMRHGFGLHAEDVQGMTIEEANYTTKKYLFIIEHLYAFTLFF AKISILSFYWRMFRVANIQIAISILLTCSILWIIVRIQKLQLPILQKIAIIFLFLFGI LVCVAGLAIVTVSTHFNNKSHDMTYQLAPVIIWASVEVNLVTISTCLPIIRPACLYMM TCTDPTTTFDSGSSAYRRNYPRSQTKHSIHLSKIPNAESSSTRVLTQLVIIQGRTI FPSE_04711 IGAGAGVDAGAGVDVGGGAKGGLKGGAGGHLGAGVGLGGKIGAG AGVGAGAGAGLGGHLEGDVKGGAGGKIGGGGKIGGHLGGHLGGGLGVGAGIGAGLGAG VGAGVGLGLGGLGGLKGLLGGGIEWSAGGGADWHSGTSCSIGNTWKDHVLFQGVCSPE TETTPAVNLGLNLPSCQITGDIGFACGADFLKTPNVRVGLGGISAYIEIDLSASAAVH QSVELFAAPKLGVAIPGLEASADLKAAIEAAIALDLIVGCGKAIDLSAGVYVKFPADA YVDIDLLTKKVINASLEGLVTKALPVGVGADVELGAGIDLQIGLRLRSELAIPGGLEI PVLGLSGKAGADAGFGAGVWFSLLDYSAKIGGSAGVSAGIDITGSFDCNLGLAVDKKW DFSGGFFNLIPNLSVGLAKGIKSTFSQKTRGTCGSFIGHFKKGGFIGGPTIPASGLIT ATTAGSDASVTIPAGVTQSVEFSGTVVVPDASGSVEIPDSTDSASPEGPSDVTGSGSL PVATSAAEIPDTTDSATQGGPPVDATESGSSPVTTSAGEVPVGPGGEVPNQTITKGGD VTAIFGPGETITVSGSEGFTTILSGSEGFTSVITGSEGFTTVISDSEGSFTSFFSGSE GFTTVISGSEGVTKVVPGTPGFTTVVSGSETFTQVVPGAGFTTVVSDSETMTKVVPGA AFTTIVSGSETFTQVVPGGGFTSVQSGSVPVATGGNNYELPSAPGADATASGDVPAET GSGSLPAATTAPNGDNGTPGDATTGSGSDAEVTSAPAADVTEAPDASGMITSTIRETH VYTITSCAASVINCPASYTQKIVTQTVIERTTVCPATATAVPNTPTEDSNESGKTPDS PDTPQEGGNASHQGPGGDKGAEYPTPGDKTPVEGGNGSGSNGDAPAPTAPPAAAQTTI DLVTITQDVTTIVPCTKFVTSTFVAPTTVHPPAVPVVTIIDNHTGQAPQNTATEQPGA FTTLTRVMASATDSGDYPAATGSGSDAAAPGYEAPGVVPTYKVPNNGTVPSQVPVIAG ASLVSVGSMLLALPMALAFIIYKLRP FPSE_07753 MRFTTGILPTLGALASLGEATPTFLTGGGGASGGVGGHLGAGAG VDAGAGAGAGLGAGAGVGGAAGGHLGAGAGAGVDAGAGVGGGAGGKIGAGAGVGAGGK IGAGAGVGAGAGVGGGAEGHLGAGAGVDAGAGVGGGAGGKIGAGAGVDAGAGVGGGAG GKIGAGAGVGGHLGAGAGVDAGAGVGGGAGGKIGAGAGVDAGAGVGGHLGAGAGVGGG AGGKIGAGAGVGGKIGA FPSE_07752 MTFTKALVPLALFLAKAQADAFSSCSKDLPLSCHNTTAVENTCC FVPAGQLLQTQFWDSSPAAGPSDSWTIHGLWPDYCDGTYPQFCDKSREYTDIKSIVSK FLGNSTLSYMDKYWVSQDGNDESLWEHEFNKHGTCISTLEPSCYSDYQTGEEAADYVK KTISLYKTLPTYKWLAEAGIEPSTDKTFTASEIEDALASQHGARVTIGCQGSTLSEVW YHFNVQGSVQEGQFVATEPDGGKSSCPDSGIKYAPKN FPSE_07751 MIRSWRDNVVKGVVGQFGVVSTRQRLIKTIAIFITFICLLTLWE FWSDLSFAYQRVTSSSLAQTNTPSETVHVSQITFTGPTNETTEFWTSLLQQFYDAEPQ GKKIRPPKSLSRDKFDPHGSTPQTDTDWLEVSHEEINSLKENHETFVQGLRHLAPKLP FKRSTKGIVITSKGSAFGVAATAVLMLRHVGSTLPVQLFLDSATEQELQTCNDTLTDL QVQCLNMDDFLRLSSTTTIRKPRIKSYQYKVLSILFSSFQDILFLDADAFPLRNPDHL FDVEPYKGTGLVTWPDFWLPTISPLFYKIAGVKMPNVTIESRSSESGIMLYNKARHAD SLLLAAYYNFYGPRFYYQLHSQGAWGSGDKETFMQSAYVLGNPFWQVKQPPELVTSEK INWGSGIWQADPQYDWNLQQERTKNMTTASMRKRKQRLQEEEVKVTSTMFAHLNRVKF DMTHPIQILEDLAPEHPDGRITRLWGDDISKVSDVAGYDLEKVIWEEAMKANCDHGLM EECKKIRNYYEATFR FPSE_10638 MKLSNIALLTLATGAISAPVVERGEHVEYRYYERKNGGDWVLIE YDPRCPPEKPVQPKPVVSYHEPKPYHPEPKPKPHYYKPEPKPYHPKPKPYYPKPEHKP EPKPHYYKPEPKPYHPKPKPHYYKPEPKPYHPKPYYPKPEHKPYHPKPYYPKPEHKPE HKPEHKPEHKPEHKPETKPHYSPPEHKPEHKPETKPHYPAPEHKPQSKPYEPPK FPSE_10637 MSQEINSSWYMRLATIFLYKINTIPCLRRLRKYPGRVLLVSSKL CIKATGFATLAEANSMLFVVQNTDIPVPKVYCSFKHKDRVFILMERIAGQDLAQGWTQ RSEESKARILAQLKTITTKLRSITPQNGIGVANVDGGPIFDQRLPDKSFWGPFSTIQD FHRELRHGLELRDDEEAFPGLRELIEFHNSSMQRPVFTHGDLSSFNIMAVDDKVTGIV DWETTGWMPPYWEYTSAWHVNPRNVFWKNSVDEFLEPLPYELEMEKIRRQYFGEF FPSE_10636 MRVEEEHFKKNGDYKAYYTDHVNQTLVRNQTEFINAVERLFKDK NEDWSLSGIKDGIYHIRSWVAVDVVSELREMGDSGLEPKR FPSE_10635 MEYLQNTTSPNVCLSGGADGADLEWGYCAASMGHQVIHWSFPDH SSRASEDQLVRLDDEELKIADRAIENAAEALGKTPPRRPTVSRLLRRNYYQVAWSEAC YAVTFFSETGGHAQPPGGTVWATTMFSQLHPDSHALYVFDQIKDVWLQWQGEWVVIET PPRPSGVWAGIGARTLQQNGKDAIRKLMCCTTDQI FPSE_10634 MRVPLTLGFLAALAITAIDAVSLPQDVPRDVSGFRKKHPYTPPM HQHRKIIRIRPSKDHRDDVSEDFERGIRQADQGSTLYLPQGQTFVIGKALDLTELNDI HVHLDGEIRFTDDVKYWQENAWYHPFQKSIMFWKWGGQNIKIYGSGVIEGQGQRWWNE FEAGTGSILNPNNKYYRPILFYAENTTNLDVSGIHLKDSPCWNNFIVSSKNVTYTDVV ATALSNNGSIIPKNTDFMNTMNTSTVRIERTWVNIDDDCFSPKPNSSDLYVNTMYCNG THGQSMGSLGQYKGEVSNVYDVHIENVWMMNGDYSGARIKVWAGNETGTGFVNNVTFK NFWVARMDYGIFLDSCYFNKSADECNAHPSGMQITNIHFENFTGYTSGVYGNAVARLS CSSAEDAVCANITLKNFDVKSPCGGEPVVICDGMHGDVGVDCVPYESDEAQMALKAKC KTPLVPIDTDPWGSGLIGKKIGAFHPE FPSE_10633 MAFFLPRTVYHTQAPLNPLYYLLRELDQSVQEPQQPQRRRQCQP QQKSRAQAECPAYRVTTRPWEPRFEAHETEDSFVLYGELPGLNKENVTVEFPEPRKLV VSGKVERFTDAPKPAETTTEQAAPAPAVESDNEDTQSRSSYQATVEDDVDDEFEVLSH TSQKSETVSQPQPETPSQKAAEKQPEEPRRAGYTKEFSRYFTFPTYINHEAVTAELKD GLLTIIVPKAVPQSHRIVIE FPSE_10632 MPFPGIRVRLQQARDDFLSAQKDWNDAKDRLTSLQATLNEKKTL ADDISSGRQLKSTPDKAKMLEVEIQGLKGSIATAERDIIQHRGRMDAAEAIFNRLEGL KILDAIPDM FPSE_10631 MFLTSVSGFLALSLLNHGVLAQNQPDVITDDTHFYGQSPPVYPT PEQAETGSWSIAVSKAKGLVAQLTLEEKVNLTAGGQTATGCSGFIPGVPRLGFPGLCL ADAGNGVRNTDYVSAFPAGIHVGASWNPELTYSRSYYMGSEAKTKGVNVLLGPVFGPL GRVVEGGRNWEGFSNDPYLAGRLGHEAVAGIQNAGVVACGKHWLAQEQETHRLAARAT NAEAISSNVDDKTLHELYMWPFADAVHAGLASVMCSYNRANNSYACQNSKLLNGLLKG ELGFQGFVVSDWGAQHSGMASALAGLDVAMPSSIVWGKNLTLGVNNGTIPESHVDGMA TRILATWYQLNQDQPDFPTPGHGLAKDLTAPHPIVDARNASSKSTLWDGAVEGHVLVK NDNKTLPLKSDLKLVSLFGYSHKAPDKNNPEPVVEGAMFAPWAIGAQSANITELNGGF LGNLNLTYSAIAPNGTIISGGGSGAAAASWISSPFDALVSRARKDGTALFWDFESWDP SVNPTSQACIVAGNAWASEGWDRPAVYDDYTDSLINNVADKCANTIVVLHNAGARVVD GFISHPNVTAVIYAHLPGQDSGEALVSLLYGDENPSGRLPYTVARNETDYGITLKPDL TLAPNKFQHFPQSDFSEGVFIDYRHFDAKNITPRYEFGFGLSYTTFEYTDLQVSGPGA QASEYPTGALTEGGRADLWDVVAVVSAKVSNTGDVDGKEVAQLYVGTPGDDVPVRQLR GFKKPSIKSGETVEVKFELTRRDLSVWNVVAQEWQLQRGDYNIFVGSSSRDLPLQSTL KIGA FPSE_10630 MDSSVFSDQAEELDAISSSFHDIFDRLLQTTQNVRKGPVIKLAG PEDIPRLREISTPGTSHPVQDAIEDVFTISDFRFRMNHPRTFAFIPAPVSPLSWIGDC LTSAFNSFAGSALQGPGVAIVEQTLLQWLASKVGLPNTAGGVFVSGGSMANMSGMVLA RECILEEGTESSGIAYVSDQTHHSVIKALRIIGIKKSQIRIIPTNSFQMDVTALKNTI EADRAANLKPFVIVGTCGTTNTGSIDPLEALAQVRDEEKIWLHIDGAFGASAVLGNTR SGVTKGLELADSISWDAHKWLFQTYSCSLILVRNKMDLARVYANDGDYLRDALEHEEI PDFWNLGMELTRPCRALKLWFTLRVLGVERVGKMVDHGFDLAEIAEAELRKLPDWEIT SPASMAIVTFRYAPVGKTDEELDALNAAISKHILDNNVAGLLTTKVRGRVVLRICSIS PILTKEELVDIVAQVGEVAKLVQAKATVG FPSE_10629 MADIAPWKMRADGLWARPLIGPERMFDQWLELDGWTEWMGAVIF TVAPSLTPKVESRDNVEAWFNKAVTLICIQKPSLLSTIQRGQQHSVPDKSRDFIYTPV ESDNDLVERIAQRTTILHTNNSAKEGLKSLTDEFYSSPEKRISIELGSHLIHVSLVMS SSEPGTFALAIRCNHALNDFWSGSAILDNILSSLSNGLVEDLPLPSYTSVSTSSLHPC YLDILQQPLGDAPMDQEDQEKAKQLLGANLANITMCPITEESTNDLPGTDYAVRRQVY SEDLTKRIIKLCKARGVTVTALLTSLQAFALLKTFPPQSLPCSVASPICVSNRLEQTS LAYGHNGDAASQKSVHQIFREAADIGPVMATTFLVSPFEVGPFLKNDDVTIGSQEWFK DVWEVTKSVSLATEDAVKSNISEHVDWTQGPAAFGGVAHAMEAMKAGHLTSPPGMFTP LSSPGLLDGTHLQGTYGKDDQGYSPALKLDDFSFYSRVSNLFGPQTWAWTALGKLNTI LVMPDPRIRSVPNLEWWDLFNASIDSIVNDSSE FPSE_10628 MPRKRGMSTPKSQSNQGHQAKGKTGSSGPFFSTSLTLTIGVLAI GLLIPLGYGLFGDKKSKTAVVDLEGLPETAQVIDQRSFNVLERVPPPTKANATTRFLW PGVTHESLLERPFHIYDAEFLDIIGNNPTLTLLATSDRDPIFHEAVVWHPPTEEVFFV QSAGSPDAGTGLEKSSIIQKISLAEAEALRNGSHTQSQVEIAVVDSESQVINPNGGTN YKGQIIFAGEGQGEDVPSALYLMNLEKPYNTTILVNNYFGRQFNSLNDVSINPRNGHI YFTDTMYGYWQHFRPEPGLRNQVYRLDPDTGALTVVADGFSAPNGITFSPDGMYAYVT DTGLSNGLFGTNPTSPASIYRFEVQKDGSWDNRKTFAYVGSRLPDGIHCDSKGNVYAG CGDGVHVWNPSGKLIGKIYTGMNAANFQLVGNGRMIIMGRTKLFYATIAASEAPLS FPSE_10627 MQNKLFTAAALLGAMASVQASPVTKQVKRDVLTALPQGADEIEL KFQPSLDFDSDGCYQTAAIDPDGNLNPGHGATGTPQGDCRDPPQLENSNTYSRKRCNN GICAIMYETYYEKDQSALGTFAGGHRHDWENIVVFAKDDTVLRVAPSCHGGYGGASNE FPIDGTSPLLVYHKDGAGTHCYRFANDDDRNNPENPTGSFFKAPLVGWDNWPDVGLRD KMLQNWSGGVGPKLDDEFGDSLKDAAGDGVEGFDPYVDE FPSE_10626 MPNSNNSLPAWYHRLAPHFKSGGVVLPWTFDEDISDLDESGQDD DSAYNGPDATSFHRLKEMREQRKQELQRRIDFVRKQKERNRQDELEKVREVQIAYETL ESSMSAKSNMPVLGSLDTTFELYSIDYFNHLYDPTPLGSRKKYLRFQHAEEHAQGPAN YVALDGILWLNPDVETKLAPFYPPKQSSLQHYRLDSVDGRFSLILQFIDNNYLTLRVS RHLVFMNRPEEATGPETFVFGGIRNDWGKQLQAFAKMNSMAREDNFFSSTLT FPSE_10625 MATQRSSVAKTAGWLYHESGISAVWATGKDAWLIILSRTCRMFA FKAVTLTIAQFFSKLGFSDFRIGLFMSLTLLGDVFLGLIVTLMADGLGRRRVLIAGGA LMAFSGLVFSYFENFWVLLLAAIVGVISASGSDFGPFRAIEESMLSHITDPKTRADVL AWYITSSSLGAATGTALAGRFVEKLANREGWDIVAAYHATFWVYVLMGGLNVVFALLV SSKTEAVYHEDASEASDELAEGLLRESSDEDEDTSRRPSIASDPQKPTSRFSGVSSGT RNVMYRLWFLLTVDSLADGMVSESLTTYFLDSKFSLSKARLGDIFSTAQVLATISTVF AGPLARHLGLVNTMVFTHLPSSISVLFFPLPSGIVITVILLFIRMGLNNMDQAPRAAF IAAVVKPEERTAVMGITSTLRTLAMATGPSLTGGLAESGKFWIAFVVGGVLRIMYDLG LWFMFINMKLHTHEIPQEEPAPRGQSIDEEDVEMLPQSRERRRSEDDSE FPSE_10624 MRRQIITIASLVAFVRGADVDNSKLDPLQFKKDGTFQIAIFSDM HFGQYESTTGPEQDRNSVEVFNKVLDYDTPDLVVLNGDLINGDSTWKHNSTHYIDMIV EPMVNRSLTWASTYGNHDHNYNINGDDILVREQMWPGARTQKMVNETKSGTTNYYLPV YPSNCSDTSDCSPQMILWFFDSRGGNYYQGSWQENWVDQSVVDWFNETSTELTSKHNK TIPSLAFVHVPPNATVALQTELGIRKNNQPGINDDPPVPQQGYGWCADGTPTYDCPYG GQDIPFMEALVTIPGIIGLFYGHDHGNTWCYRWDTKLDGMDIEGNGIHLCYGQHSGYG GYGDWIRGAREIVVTEDMLEKNEVETYIRLESGDVVGKVMLNSTYNEDHYPATPNTMT YMSEEEDSGAFSLSGSSESVMMAVGGSLVAMAWLMV FPSE_10623 MPEMASDTRMIKAVFFDFMGTCLDWHSSVVNALPSAIPKTKASE LALEWRRKYFIANSERLAQRLEPEDIDDTLIRVLENILGDMPDYKPHFSPEIKKQLID AWHAQPAWPEVRQAIESICNDLGLEVFVHANGTTRLQLDLTRFAGLNFNMLFSSQLLG TYKPDPEAYNKALRLVKLQPEEVVLVAAHAYDLRGAQAVGMKTIYIHRWTDDVDEDME KVKGEFGAFLEGMEELPATIKIFQ FPSE_10622 MYSDQKDSQQQPSAVPELQYNDNYYHQQLPPSYQEHDAMSHISQ QTTSSSRPIVLPSSSIGGGLEATPPFVRAYPPVLDSYGISSSEFMAIVDAVNIALAEP APFKAMQIAGDGLGFAPDPVCQGVSLGLGLAAGAATAATAYIRPKKVLERVNRDVFAP KGLKMEIVKDEEVMRRLRVTSKSIEPLQRLQEISHCVETLSFDVAPPVKSSNVIDRVS AKQAAMKQTKKEEKKQKKADKRHHKREKAAEKYNYPIESIEERYNSDTESRMENEAKI LGLEDKILEINVKADAKLEGASDKKVREIEKRRKKDLEEVEKDRAKLLEKHEKALAKS QKKSGKREEKDEKKVAKLEWLMVRAL FPSE_10621 MSRESYSAPHHNLTPRKEGAFTKFRLASMVNRGGRNNGKPHCQR CEKAGLNCEGYVTYEEFVDETKRFATTDKPEAKPKELQLQLAHTQQHVSRPFRPLINE NDLIHAHLLSKIDGVLPLMTNLESLPSSTSTRSLSIRALAAVYFGKTNSDKRMCDLGT REYVKALKKVHTDLSSSTAVLEWDTLVKLRGPWRHQTPLDRELLRQCRFEIIVCALIK RCHCYLELEDWQTIPWPADVPKTSSDTIHEIFARVPGQLYDMDLVGNGVDDDEFVDSL RQRVETTLSDLEDWGLLYHHPRPLSGSVPNSADIQHTVKDIALLALQRAIILCMSDLC MRLRIPLIAEIPVAFENQQTIRTAIAIEICQLAILCLGEGSTRTEPLLFIFPLQIASM NLPAGSAEEKMAEEVMNNVIAGTHGFEIGRRRELRPSRLDCS FPSE_10620 MRISNMRLPNGMRNLSVRLSQVKIPHPHPRRIILYAMITAMMVG MIVIFTMSDTLFGPKNTGFDPLLSRTNDYRLLTSRRNNLGFYNTINVKKTGQKIMNPT LLELPKGSKHDFLVIARAPHVDQDIKGKKYRRAQQVATFVSLTWDAFGRPELTADNDW HKMLVEDFTEAQGPEHHCKNQPDMDKYIGPEDMKLFWRKNGTPMLIFTHQVNDENLCE GMYIVDARAAVPELVKQMGKHAKKLPAIAFDKPVLLRRQPPEGEESDARYQREKNWAP VYNPFSDDDDEMMFMVEPSQLYRFNSTDEPVQNMEAEAESAVDEPFPPDHEGKTWHSD SKTCMNDVMLTEKRVHQSTPMLSLTLCNRGACEPAANNTVIMGMVQMRYDPPQYTNTW YDHRIVVYSPVAPFNMMSISKKLTYHGETNSKYIWTGSMVYHGDQPTQRSHGYLDDEI LLSFGIGDSAPGWLDIKAEELVIDHYFCQGATSGYRDSIKQHSS FPSE_10619 MCEGIFGLEFWYISAPLRCEGVELKCPGVDMGGLQGFGVDGSKG FCVRNMSFGAFNLLHRPPAGLLDQALMKRNRLAPLSPIEKLPLELMSSIYLHLHPTDC IALGLCSQTLWIQAMAFIRHSRRSSTWVDTPVFIASGKQLLALREASHNNESELQEPQ DGTQDVVRMLTKSQNPHLFNVLKQARSQNQLRDYASLNSSGMNPPYLQELVRLSPTSG ISKGFHRLMESCLFPEEFGSQGQWCLRDFTTNEYIRMEPVRDPSISEHPTISLARNPW MTLDILLIWLITWEAGRNRPPENIPREILRDQITKIMTNRGAIITASDVTQIRSYFTD MSSGRWAGHSLDVVQLVHSKMESGWTDITGEIQDASQRWFVAIFYDAVRLGQTKYIEY WRRFAIGQMNK FPSE_10618 MPRQNNPLSKALVSLLPLAAKASAASLNSEYTFNPLHHLTGIAP YFESQDPPASPDAPQGCTAERAAYLVRHAAIYANDFDYEEYIEPFVEKLENKTGMDWS KIPYLNFLADWEAPISDAEVSLLTNLGRLEATKLGVDLEFRYPEFKQPKKIWTSTAER TVKSAQSFVRGLQADDTSIKVEQIYESEESGADSLTPYKACPAYSGSTGSEESSKYQE KYAKPIIERFNALASDFNFTINDIYGMQQLCGYETVVRGKSPFCNLELFTSDDWLGWE YAEDVRYHYNAGYGNEVSGYVGMPWLNSTADLLMNKDSDEDLYVSFTHRELPPMVLVA MGLFNNSEPGGSESQINDTMPLTKINYRRAWKSSHILPFLSNIAIERLNCTGSYGYED GEYYRVLVNSAPQPLPACEDGPGTSCTRDSFEEYVQARVDKFTGFTDKCGVDYDNSTD ILSIYHE FPSE_10617 MSIEAGWRDLFLGIENPPAQTFTLFSQLPTEIRLLIWNAALPPR QQDVHTAYNIWQSDWTNKRNYRLLQVPGAPIGLLRTCYESREVALAAGSYLKLSYTLW FLIPWPHDELIWVSKDVKTLMMPINIDAFSRITHYPKSIKSVAKLLATKSWLYTTSRI LESTKRQHNIRTILNGIMWIPFRYSVGNNSETHPCVGSETAVVPLDDPKMMEYLTSAF ESHAVMTLRERLPRACYRKSARNFFAFAKYRKRYLDNKQRFRLPEGIILKGAIVFGRP HSFDCRQLEQFLRVMDTFVCRSEDEEDVEEWDAGMHHGANGIVFQHWDSTYRRMIRDL IEE FPSE_10616 MSQPRTILITGCSDGSLGSALALALKPQGWRVFGSGRDLSKLKA LQDAGIECIQMDVNSTESISKATDEVKKLTGGSLDALVNNAGTGYSMPILDVDLDKIH EVFELNVFSIIRVTKIFLPLLVESSHGAMLINNTSASGLLCVGTPFQGAYAASKAAAV SLTESLRLELGPFGIRVINLLSGAVNSTFFANAPEAKLPKDSIYSIAKEEIESTMAGN MPGIVKPDVTTWAKQVAADLTQRKVPYLVSRGGSAGAARYATLFPMGTFDSLTKKIGG VDILERKLEEQKTNSKTK FPSE_10615 MASYKETVAAALQQRDNGLAKVEPKLQGIPDELPLNSQDLPKAV LTAREIEITEKYTAVQLLDILRKREIKVEEVTRAFLRRAALAQVATNCLVTLMWDEAI ERAKYLDSLPEPKGMLFGLPISAKEHHGMVGENVSTHASFVAWINKAHGSNLLYDDLY NEGCVFYARTTQPQTIMHLETTSTIYGRTVNPFNRDLTAGGSSGGEGALVGFRGSIVG VGGDIGGSIRCPAAHNGIYGFKPTLKRISIMGARSIMAGKETVSSTAGPMTVDRESLE LFMKVALASKPWLLDPSLTAKEWTPYKFDRPLKIAIQWWDGVVQPHPPMTRALKEVAE ACRKAGHQVVDWDCEPLDHAKGWEILSALYWPDGGKEALDLLEAAGEPVLPLTKFIIE QPSVKNMNMHELWQLCTKREDYRAAYARAWSYTGKEDGREVDVILCPPYFGAASPHEQ SRYWGYTAHWNLLDYPAAVFPVTTVDPSKDPKDVNYVPKNDDDRFVYDQYDPEKFAGM PISLQIVGRRQYDEKVLSALREIEHAMGRE FPSE_08399 MVQKQLLLLSLASIFVPAASEASGLNRCPDYVASHAPLVWLHPE DRFMPSDLAAHISNTIPKLDGQPISGPSSLDLENLGDLNGYGGEEVALTSKEDPLTYP TWILGEAPDAKGKIHNSVPCAVILVEKSDIDVDAFYFYFYSFNEGPNITQVLEPINRF IAGDHLSTGIHFGNHVGDWEHNMVRFHNGTPVGVYYSQHVDGVGFKWDSSKINITDGR PVVYSAAGSHANYPSKGHQIHNAALFDYCDEGKIWDPVLSAYFYRFNPDTTTITPIVS PLQPSATEPAQNLTSWFDFVGHWGDIRYPDSDPRQKTVPHFGLKRFNSGPNGPRFKHL VRKGLVRDHPRMTGWKEWAVSIFMAWYPCCIRGWRLWRSLGIMAVAVSVFVLALIFGI RKYKTWRQRMAYTKLGDDDIAMNELGRQEECLVSSDSDSDDEDDYRRDRCEAMMRG FPSE_08398 MDLHIKSDPQQEAHESAPSTKSFQHSPEATGTELERALHGRHLQ FIAIGAAVGTGLFIGTGNALATAGPVSLLIAFIFVGTLLFSVMTALGEMAAYIPVAGA FTTYATRFLDPTFGFAMGWIYWFSWSITFALELTAAGLIIQYWEKDLSIGIWITVFWF LFTAANFMPVRWFGEFEMWFSSIKVITIIGFIIFSICVNAGVGDQGYIGFKYWKDPGA FNEHIVEGDIGRFVGFWSVLITAGFSYQGSELVAIGAGETKDPRKTIPSAMRWTFWGV FSIFIATVFFLGLNIPSTEKGLLSGSHDASASPLVIVTQLAGVPILPSILNAVLLTAV LTAANSDVYSSSRILISLADSGHAPKFLKKTNRFGTPYNAVGVCAAVGFLSFLNLSNN GTVVFNWFLSITSVAGFIAWAIISLCHIRFMKALSLQGIPRTGLPYVAPLQPYLSWYG LFFSTLIIITSGFEVFIEWDTSKFFTNYISLILFLVMVIGHKLIFRTKTVPLSEMDLI TGSEL FPSE_08397 MKIFATLNFITAVLAASRTTAPSGCITVKKGGQFGTIQSAVNSL STTASGSQCIFIDQGTYNEQVLVSARNAQLTIYGYTTDTSGYAGNKVTITAKKSQADG LNNDGTATLRVKAANFKLYNVNVANTYGKGSQAVALSAYADSGYYGVALTGFQDTLLA NEGYQLYSKCMIAGATDFIFGQRASVWFEKNDLRVVSASVGYITANGRDSDSNPSNYV FNNCNIAAAAGNNVANGAYYLGRPWRQYARVTFQKTSMSAVIHPAGWSIWNKGEENTG HVQFNEFGNTGAGSTGARASFSKKLSSAVSISTVLTSSYASKGFYDASYM FPSE_08396 MRYSHALSGLLTVAMSASGFAQMTPGTTTEDFTTTGGMNVPTSV TSALPDTAIDTTTMTDTMTGTMTTMVKPTKTASPEVCCYQCTTVYDECCAIPGADIAI CKEKYTICLGYNPWDIVPYVEPTVCVYEPYPPKHDDGKCVCGTGSCFTPDICAYECTT VYDQCCSVPGADIAICKEKYTVCLGYNPWGVTPYVKPSVCKHEKQYYIDYESKYDEHY FYEKYSSYYESGSYSYSSHGTSSYHEGYYTAEYCCLECTTIYDECCAIPGADIAICKE KYTVCLGYNPWDVTPYVKPSVCKHEESYYKEYETSHGKDYYNSKYDSSSHKEVEITVE ECCVQCTTVYDECCLNGDIEVCKKEYIVCLGYNPWDVVPYVKPTVCKSISSGSNSKGD YGHKEVEVTVEECCVQCTTVYDECCLKGDVEVCKKEYIVCLGYNPWDVVPYVKPTVCK TVTSGSNSKGDTGYNGKGDTGYNGSKSGSEEECCVQCTTVYDECCSIDGHDIETCKSE YTVCLGYNPFDVTPYVKPTVCKHESSGSNSKTGGDSGYNGKGGNSGSNSKTGGDKSGS NSKSNSGSDEECCVQCTTVYKACCDASGAIIETCKEAYSVCLGYSPWDVTPYVEPTVC KHGKPSGDDVVIVSGGDRVRPVLALIAVGAFALLC FPSE_08395 MGLFFNPFSYRPAMTNWQLAREAYGPAMPPMEVSASGLDVKAVA FGANVDEEIARIWKDVEARVVQMAGGNPAKIKQGLGINDVLQYLNDAQVKDKHVSEKY GTVKNIFNRTLQCIQTVGGIVADGASYVFSPAGQCYNALTFVIQAWQGYEGIFESLAG LLEKCIEFLDRLEYYTKPVMDSKLTRVACQHLQIFVKICDRSLGLRSKRSKFAAFMKQ MFLNDDGMQGLLSEMQNLVDKEHLLVSAQTWKSSNEAAANSRDGLQLTRNVHNSLVDD RNKKQLEGERLKWKQTVVNALELNPSILKPDSQGPWDKAWKRHKEHRFEGSGEWLFQD PKFASWVSGDQGSKQILALQGEEGAGKTLLATNVLLHLRKMRGSGPKVIIAHNFLDKD SKSTTIQDDALDISRNVMGQIALAHDPIMKSVANICDKMKDFNSPLEIWTTLLLDNQD LLAMDLNLFIVLDGLGDNAEVLINFLQKFSENSLIQRTRILLTGKKQLFETINKAGGV KMERIILGETNSKDLEIYINKRMDGMEILKDMSRPSVADLRAKILNDLQSSTEGDYYK IGRVLDNVSTATEAEEINSLLESAGAMRPDQIESDIEKLNQTRTAKEIAEINEIILWV NAGKRWFNPLEMESALALKAGPGGSTSLMSVKTKIASKYNIFSTESGFVDYKVDNVEK MIPLKKRDVADDQSSSGFKEIQPAEVNIIKHYLSTVCPSDLYEKFGFDRFFECKMTRK GNYICRDPDNAEATMVLRCISCLADERTSKTERLHYYASWYLQQHLEKADLSLTDRSI KAEAGKHLVRLFTEQYALDSLFGLHMSFEDASDVIFSADDIPGTWDTWIFSDEGLDSI SKWLNDSAVKELVKDNELVVSFETGSNRHLALFGFAATTAAQDLFMRETTRRDAIRAY ILLSALLNKEQATTDKDSIAKEGPGTTKNAESIEKEEEKGAVSEDIDPIYKPTMEDFQ RVEQWASERLSITKKDSKWEAKASLVLSYVAGEKIPKSLVEERARKALEMDPDSWVAA YALSRVTESRDESIQNLQKAFDTLVGDTEWQKERGHKGILARVIYDLGDKYWADQKQQ EQAIATYSTIFNLGRSIELFESFKGVLQKYAQAERWDLMVDFFHRLLDEPEDGPNTAG DFVLYEFYDTNFHPMFVKMVRALGRFDLLDTLFARAIAKATTKTELEGIGWDDQLRFR YGQTLFEIPGHEEAGIQVWELLRKEATDDTREWVTRAMVKHTVPAWLELAIASKDVDP ARAQGFFDKIEAHYEELQALGTRDQESTIGFAQYFRYRGDVAKAKQILKPYVTENLEM LCDDRTDNDHGSLWLLSQILSVMRDHANISACMEMMRHTNGFIAWEEYEANLAKYRDE KEQKKRAEVNGETFEVTFESEPEKPDREFLWCDGCEVGWVSEGTLNNNVCKREHEHYF LPKKDAEEVNAVPNDSVKIGERVITFEAWKEEIKEHIRHKEIKTQNWMN FPSE_08394 MANPYPFQDKVIVVSGASRGTGLSLSRYLLTRGAKVSMAATSKE NLEKAIAGIEQDIPDVKDRVIYFPTDVTKPEDVKFWIEGTVAKWGELDGAANVAAKMN KSIHPIEDLDLEELKEMLDVNVIGTFNCIKYEMKNMKPGGSIVNCGSQQVKYASGNMG AYAASKNAIRGLSQSAAYEGGAKYPKNPIRVNLLCPGCIDTDMIKQPLHLPNGTTWTM TEDDNLTSIIKRYSKPEEVAASIAFLLGDESKFMTKQEIYVDGGWMEANYVA FPSE_08393 MEANPFMMDPPLGQDRWDARSSAPTQIILGACLFTLATVVYGLR ILTRVRLQKNALRLDDLLTGASLVACWAFYACTMGMVAQGGCATNFWQVKQSQYETLL KWTIPVNIFYMLSSDLAKISLLFFYLRLSPERNFRMILYALISLFGLYALIYAMISLF GCQPIKASWDLAAQATGKCVDKFGFFLAASVANVVMDLIILLLPLRIVIPLQIPRRQK MSLLFLFTTGGFVIIVAIYNCVLTVKLFSSLNYTWGLAYELCWMYAELTGCVICASAS SLKPFFKRILPALFSSHGASYGPSGATGGSHAIANSRRQLSRKQADAIELQSGDDSES GRKVVDDDETKLWPKPKESFDNSNGNHRVLVSATVDSNEMGRSSSRLQRRGKPDGIEI VSTTEVLYSPR FPSE_08392 MADKDFVDVKALLSQLTLSEKVELLAGQGSFRMTGLERHGIPGL ITSDGPHGIRGRRSFTRMPSPMLPSATGMGATFNTELIHKIGSLLGEEAKHRGVHVLL APTLCLQRSPLIGRGFEAFGEDPFLSGTLGAHYINGVQEQGVGTSVKHYAAHDQSDNS IEDNVIMTERTLREVHMLPFQLALRGSDPWTIMTSYNKINGIHVSEDPLLMKEILREE WGYKGLVMSDWFGTYSTSEAINAGLDLEMPGPTDWRGKRLNIAVDSRKVSKATVDQSV ENVLNLVNKVKAGEVSGKPPQSDTSEQRALIRQLVAESIVLLKNEKERLPIKNPEKLK YGLIGDHVKNPSLCGGGSAEVEPYYGITPYEAIKEVVGEDNITYTPGCNSFRFSPLIK GHRTPDDKTEGWHVEIFGEDPEENKDAKAIVSTIAEKQLVDVPESYHSTIPAKYYVKA QAKYTIQESGRLKFGFSTSGKGKLNIDGKDVIDLWTSQPPKTDSTPCFNRLSMERFYE GEFQKGQVLDLQVIQVNENLSGGVGTALTLTGRVGIYELYDEDQGIKDAVELAKNVDV PIVITGLSSDFEYEGSDRKHLNLPGRVDELIGAVLQANPNAIIITQSGLPIEMPWESQ ASTLLHAWFGGQECGHGMADVLFGKANPSGRLSLTFPKSIKHTPAYLTFSKADYDIVY GEGVFIGHRYYEMVDREPLFYFGHGLSYSKFVYSSLTVPKEFESSVDHKMQVTVDIKN QGPFAGAEVVQLYIHHANSSLQRPVRELKAFSKVYLDLDETKTVDLALDKYSLSFWCQ EASKWKAEAGIYTIILASSSNPKDEIARESFALPETFYWKGL FPSE_08391 MSSENDFEYPWEIKSEVERLQKQYAWVQRCIDNKIIFAPVPLDQ EGLRVLDVGCADGTLLRDLKKQVSPSAQLVGVDVVEAFLPPSKEGLRYEAYDLCEPPR QEFTGAFDVTHVRFVIPGASKVGYQKAVEHLASTLAPGGWLQVHEMDLDVQDRPNVGP ALKDVCALFLGVFKAMGMVPDLVNKLPEAFETAGLHHVSTENVELPMGKLLGDEEAAE MSLRPFLLTIPSLIQGVKGLGADVPESVFDGLAARFEKEVREQGAVFFTKVVIGRKPV FPSE_08390 MASEINPKTEAFDAHDGSPRYDEKQGTTEPIQTPKGSWAMRNGL TIESFKPHDDYAKGTVELSRDMKPRHLNMIAIGGSIGAGFFVGSGGALQTGGPGSLTI GFLIMGVMIFNVVYALGELAVMYPVSGGFYTYANRFVDPSWGFAMGWNYVMQWAFVLP LELTVCGTVIQYWAPDTSVAIWVSVFLAVIIIVNIFGTLGYAEEEFWAALLKLSATVI FMIVAVVLVCGGGPSNGIYDEYWGARLWHNPGAFQHGFRGFCGVFVTAAFSFSGTELV GLAAAEAKNPAKALPGAIKQVFWRITLFYVVGLLLVGFLVSSTDKRLLNAGNNNPSAS PFVIAASNAGLKGYDSFMNVIILVSVLSIGVSCVYGGSRTLVALAQQGYAPKFFSFID KSGRPLPAVVSIIAIGALGYISVDGEGTTVFIWLQALSGLAALFTWGSICLCHIRFRS AWKYHGHTLDEIPFQHVFGVWGSWVGLVIIVIVLIAQFYTAITNIDGSVGTAEGFFQS YLAMPVVILFYVIGYVWKREGWRKISEIDLDTGRREHDWETINAYRQQLANGPAWKRV WHHLF FPSE_08389 MRAFVTDGKGSGSIQNVPRPVPGHNEILVKVYYAALNPGDWKLV QGDVLDGTKSSGLIVGCDFSGIVEEPNGSKWQKGQRVGGWVHGATYQGIGSFAEFISI EATLVFAIPDNISLQQGATISLAFATATQAIFQRMRLPEPYQPKGSQLDFLVYGASTS VGLYAVQLGRLIGLRVIAIASTKNHDLLKRLGADLTLDYHDEDWVDRVRDITGGTLQY ALDIIADGSSSQKIANALMRGDGARLIALSPVNKSLIKDINPYVKAESLMAFTVFGRA LRSDYAMFDNAGAATPDDKHTWERYLQLVTHMLEKEDLEPNPVREVGTLDNVIEAFGL SKDGKLSAEKAVLKVVEGG FPSE_08388 MAPSPRVLIIGAGIVGVNVADELVQRGWTDITVLEQGPLAMPGG STSHAPGLVFQTNTSKTLTKMATYTVEKLMSLGSFNQVGGLEIATTPARLEELKRKRG YAYSWGVEANLISPEKCLEIYPLLDKSKVLGGLHIPTDGLALARDAQAKLIARTQAAG VKYHESTLVTSIDHHDGHVSSVNTKNGKFEADIVISCAGLWGVEVGAMVGLPIPLTPM AHQYAHTTSVPSQKGKNEEPNGARLPILRHQDQDLYYREHGDHYGIGYYGHRPMPVVA ASLGETPKDIDYKNMPSSLKFTPKDFEPGWKLSQEILPALRETKIDHGFNGIMSFTPD GGPLVGQAPNFDNFYVAEAVWVTQSAGVGRAMAELLTTGRAEIDLSECELSRFEQVQL SPAYVVETGSQQFVEVYDILHPLQPKESPRNLRVSPFHQRQQQLGAFFLEAGGWERPH YFEANEKLLKDLPEAWKPVERDAWSSQFYSPISTVEAWKTRTGVALYDQTPIRRLEIA GPGAAELLQRLTTGNVAGEVGKVTFTLLLDNHGGIRSDIFVARLGEDLFHLGVNGPVD LHYFTREAKVQTKASPHRAVQVRDITGGLASVGVWGPLAKDLMKLVSKDNFTDRAFPY LTTKKIEVSGIPAIATRFSYIGEEGFEIYTTADNGLRLWDALWQGGLPYGVIAAGRSA FNALRIEKGFRSWGSDMTQEYDPYEAGLEFALHPGKDGYVGYNALKGRSSEKVSRRIR GLTIDDGKSVVLGKEPVFVKGRAVGYVTSAAFGYSIRKPIAYAYLPKEVLEGDKVEIE YFGKRIKATVTSLPFYQPEKSAYGQNAQASFSARL FPSE_08387 MSRSSMSPGEENTTTGNPTTTSISTRGRRRSHHACLTCRRKKTR CPGEKPQCSSCVRLKQSCSYPPAIRPSQSGPSEERLAHLEEKLDFLLNGNLSTSQKQQ QINHNQHQHQHQRQQSQLESPQETTDTSEKSYSGLQNTREPSYPTSHPSVKSECENSS LRPSVSDIAAGVTLYFEYCHRQPIWCFERDEVSDFASMHDELACSILALTLRFSDNAD QAKLYANNAKSLIMLRIANGSVDLATLESLCLLSYSSFIDGNVHLGQFHLGLAHQLCR SAMLDVESFYAVGDPMIERKKRLFWSLQMLEQSYGRQEGLLSVPTEIWRPAYSTTRGH QPEHDPRAPTLPRDDLGCHHPNEPGIWNTSVHLGWVWSQVRKYVSDCAHNNIKEPWRH DSMYAKVLADFMETENRIPMCHRYDTVKFYERKVEDLKVHRDYWAPWLKEQFTYHVIP TVLNHPFLYIVGAQHNPNLGIPNTFWRRSSELALLHSTWIVRMIDMVVDKQVPLADPF FGHVAAIAATVHLYYCCAAATRLKHKSNADFAKCRRFLKNFLPTSAACRALDRNLDKM TRIAAGTSESMEVEDWMPSKIYLSVPLMWKILQFNTVADSHELPTAGLLNQSLVPNSM HEGDNENVTLEIIVASAPEISINTADGGQEAPTLSYKAAPLSSSQGPDSARDTVYDEI PVEDSLTLCTTPWLYADSSQFVNIADMGCDDPQPPMSESNRGPAWWEGENFSNIMFNH F FPSE_08386 MASQSYLIVGAGVFGVSTAYQLIQKYPNASVTIVDRDPYDGDNR VAASWDWNKVVRADYDDLLYCRLALEAQDIFESDPLWMPHFHKAGVFWVCRSDYAQDV INNYKKLGRQSDIQVYTIEEAKKLYGGLYEEADYTGATEVLVNRNSGWGAAGDSLRAV TREALKLGVKYIVADVATLQIENGRATGIQTSKGDIISADTVILSTGAYTAKLLEYSA ARHNMPDLRSGDRITAAGITTGMVTLDDESFERMKHMPVGFQGYTYKHKSPFIGSLPP TKDREIKWWGKNIFSNTRQVLPGRYVSAPPDEKDYAQWKVPNSLKEDIDTQNRLFFGS KGAHWKYEHHRICWDAFTTSGDFIISPHAGAKGLYVATCGSFHGYKFFPVLGKYVVQM LEDKLEPELKEKWAWDRERPDGAFNCEYPNSELKDLLDPAARL FPSE_08385 MAQSFLKRCFGLGGASAAPTACSTTTEKSAVRALPASWYTSVEM YELEKRAIFSKKWLLTTHQARLRNAGDWLKYEIANFELVIAKDEDGKINAFHNITRFG AFPIVAPEQGDHGDASTGFDQKQNGLLPIHVKVDARGFIWINMDGAKVPEVAWEDDFD NLDTHERFSYYNFEDYNFDHVWEMEGDYNWKILADNYNECYHCKVAHPDIPTIADLNS YWVETQKQYIQHFGAQRQDQIDRGFRIAVTYYLPNASTNISPHFFMIQRFVPHSPTRS TMRYEFFRNKNSSDDDFTLITELYKRVMSEDKYLCANAQKNVNAGVFINGEMHPEMEQ GPLFFQQSVRSLLQDHHKKEQEAGKEIWPAQQEVPTTIISTKTNGQTNYSSGIDLRAA KKSIVV FPSE_08384 MGCSDDAKLDEGVRYHCLDHPDILLPWNVTQTWADGNLSNAGFG VDHVPLSDTLCQDSLLPPRNSSSPCDTVGFY FPSE_08383 MPQHIRRVAVIGAGPAGAIATDALVKEEAFDTIRVFDRRIVVGG TWVYTPHLPPKVPSLDALVSGEADKRVPIPEYLPAVTPFNERVNSHQQRYSDTPIHEN LHSNIAPEIMGYTEEPLPNKLSQQTLKEYGPGAPFRHHTVVRQWVEDLFIRGDHDKLL ELRTTVELVVKEGDEWVITLRKVVNGSNYWWKERFDAVVVASGHYNIPWFPVVQGFLD YNQRFPGKILHSKHFRGGQHYRGKRIIVVGASVSAIEVMYEILDFIDGPLYASVRDEP LEYYGWVPLEHPKISVKPAIDRMDPRTGRVWFTDGSFLDDVDHIIYGTGYTFSFPFLP GVQERVKKAHRRLPGVYQHTWDIEDPTLTFVGMIGSFTFKAYEWQAVAVARFLAGRSQ PLPLIEDQREWERQRVAERRGGKAYYSIGPDYESFFEWFREFAGDPVRGTTGRVLPPF EPRWLAIWGSMFLPKLDRWRWKKKEAEWKQEFKAKLSRI FPSE_08382 MVFNENGQTTGPNYDAPREMQDLPAGQAYHFRESDDANASNRSP VSNPYEPDYDQLSPPPMLGAQRPVPEQNESSRDLLHSSYHGSIGQASYDQGSFNGHNS TYGVGGFGHYPPDLHGRLPGSPGYEYPEPEYDVEASRLAESRLSVMHRTPTMQEWSPN GETLSVSPEFAHGRPDSTYQEFDVDESWMMRQQQAQIGAGGLGRSKTRKVKLVQGSVL SIDYPVPSAVKNAIEPRYRNGPGSMEEEFTKMRYTAATCDPNDFTLRNGFNLRPRMYN RHTELLIAITYYNEDKVLLARTLHYTMKNIQDIVNLKRSKFWNKGGPAWQKIVVCLVF DGLDKVDKNVFDVLATVGVYQDGVLKKDVNGKETVAHIFEYTSQISVTPDQQLVRPDP DKPHRNLPPVQFIFCLKQKNSKKINSHRWLFNAFGRILNPEVAILIDAGTKPGPRALL SLWEGFYNDRDLGGACGEIHVMLGKGGKMLLNPLVAVQNFEYKISNVLDKPLESAFGY VSVLPGAFSAYRFRAIMGRPLEQYFHGDHTLSKTLGKKGIDGMNIFKKNMFLAEDRIL CFELVAKASQKWHLSYIKASKGETDVPEGASEFIGQRRRWLNGSFAMSLYSLMHFGRM YGSGHNVVRLFFLHIQFVYNLLNVLFSWFSLAAFYLTTTIIMKLVGTPQVLSGYHGWP FGDMATPIVNVLIKYIYIAFLVLQFVLALGNRPKGAQYTYVLSFMVFGLIQLYLLVLT GYLVYRAFTGTPIEDQISFASGQAFFDSFFGGDTGVAGLIIIALITIYGLNYIASFLY LDPWHMFHSFPQYLVLMSTYINILMVYAFNNWHDVSWGTKGSDAAEALPSANIVKDEK GKEAVVEEIEQEQEDIDSKFEKVVWRALAPMSEMMEEQPEKKDVEDSYKSFRTGLVIL WLLCNIVLIVFVTTDDFISLGVSKAADVRTPMYFRFLLYSTGVLSIVRFIGFLWFIGR TGIMCCIARR FPSE_08381 MGIPDDDFDSGDALFDDVDEDDLLFDGVEESDLIEKTPGKHSRG KEDDNVHVKKARHGSISTTNGQLGETQRLQIAQRILADNFRYKSFRHEQEAAISRILN GDNALVVFPTGAGKSLCYQIPAIAFPEMDKVTKERHADDSGITIVVSPLIALMKDQVD ALKRKGIAAECMDSTKTWEEIQKINKDLREGKLRLLYCAPERLNNEGFVGMMKYVKGG VRLIGVDEAHCISEWGHSFRPDYLKVARFVKEIQAERVICLTATATPPVIDDICKAFD ISNDGVFKTSVYRPNLRLEAEAVQAKDDKYGLLYEFLKTHPGSTLIYATLQKQAEELA QHLTRKGFPAAHFHAGMKIEEKKAIQDDFMTSKIQTVVATIAFGMGIDKPDIRNIIHW DVPSTVEEYCQQVGRAGRDGKQSYCMLYLCREDFWIRENFARGDLPSRNSLRDLLKDI FDDDVVNLRQGETFKVSHYGQSTKFDIRISPLSVIYAALELKFNLIRATTPEYSSYKF EATSSYYPRLKVINTPESKAILQHAKKAKKFHSIDLTQVANKEGLRRSDLVNLLNDLN NNGAIVLTVGGVEQKYKVLAKLPMTDTAIDKLTDELYEDLKRREKQALERLTEVVNFV TSPKCFGLSLAQHFGMDLPSNSKKCGHCTFCYQGQRVALPPASPKKVDRSAINQVLAA TDVRDDARFLARIAFGIKSPRVGKLKLDKTKAFMCMADQDFDAILKEFKKACKEKDD FPSE_08380 MNMSGIYENQRGQGMSHSTGPSKVPEGIARHVPKGLEEALPDSI HPTGTFPGQSTNKSHANDSGNASKVPQKLQEKLPESVERAVPNAIHDTGDTSGVHRQQ FPSE_08379 MTPDLSPATIISALPVLFGVTGTSVGIYSFVSPYNAIRLFGLHS SSTEKTTPSDPEAFQKSLIYAFGLRNIGTGLSSLGLFAFWQFSPICQVSPLAAAVTKR CMGICFIFGSLVAAGDAVIVRRFANQEHVQGETEEKATKASISHAVTGVVILATGLFL YL FPSE_08378 MATDAKNPYAFPLQPEQYAPAPVPSLAEWKQLWHVWELVTTKMI RPEALMEQPIPLRNPLLFYLGHIPTFQDIHLTRATKGKPTEPAYYHQIFERGIDPDVD DPSKCHDHSELPDVFPKLEDILHYRERVKKRIASLYENGKAYLDRCIGRALWIGFEHE GLHAETFLFMTIHSQNILPPPDMPRPDFAKLAKGAASRRIQNPWFKIPAQEFTIGYHD PESDEGPDRFFAWDNEREPYKVRVPQLESQGRPVSNGEYAKHLFNIKQSQIPATWHKM HNTGEDEDFITFIARHSVKTVWGPIPLTQTLDWPVMASFDEVKRYAHWVGARLPTLHE LRSIHEYVERGRKAPESQVNHRFHTDPRAIFVDLTETNSGFQNFNSTGITHKDYLCGL GDTGGAAEWTGSLFEPQPGFKPMDIYPGYSADFMDEKHMAVVGGSWALHPRIAGRKSF LNWWQTKYLWPWVTFRLVRDVE FPSE_08377 MSLPRYQTNTPLHPTFKDDMLNTHLVYDYDATDAEGNPEKWRYE IWFFSDDRVVYAIHGGPMAGRINYQTVAYQCVRPGELWQVNWLEETGTIVSLVYDITN KTMSGMLGFSKGHWEHAEDAHGDKRNPQDFNRWKELASIGKQTERFILTEQAKIIEVF KGQGDLKPIKESDPTF FPSE_08376 MASDSIHEKDPKHEVVIVKTAIGDEAFQQAMIKEPPPKLAAPIL IFASMVAFCCSTANGYDGSLFGTLLSTDDFKAFFGVDNKGIEAGIVTSMYQIGSVVAI PLVGPCIDTWGRRVGMTIGAWIIIVGVVIQLACYTNASVGQFMAGRFFLGFGVSIAAA AGPTYVVEVSHPAHRGIITGLYNVMWPVGALVASSAVRGGWNYQGTNTSWMIPVGLQL MFPCVIGFFAMLLPESPRWLYTHGQQELAKKNLTSLHGHGNPESEWVKLQLHEYEEHL EMDGSDKRWWDYRALFRTRAAFYRLTCNCLVSLFGQWAGNSIVSYYLSAFLDTAGIKD GRQQNDVAMGMNAVQIAFAALGATFTDTVGRRPMLLIVNVVCALCWIGVTVPASIANI TDLDDKSQTDAVTPPVSKAILAWVYLFQIFYSVGWTPLQALYPVEVLSYEMRAKGMAF SSLFTNAAMLVMQFGIPVALKNIAWKTYIVFCIWCVIQSIILYFLVPETKNRTLEELD YIFSSPSPVKASKQKKIFEADANANIVHIEPATASPTAA FPSE_08375 MEYWRYSASQALEELRSGNLTVEQYASSLLERIKQRNEDVQAWA YLDSDAVLEQARALDKVPFERRGPLHGLPAGIKDIILTKDMPTEYGSSIYKDDHPKLD AGSVMVLRHAGCLIFGKTTTTEFAASFIGPATRNAHSTTRTPGGSSAGSGAAVADFQI PIALGSQTKGSIVRPASFNGVYGFKPTWHSITREGQKFCSPTVDTIGFFARSVADFEL IADAFSLHDDEESSFKELAGSKFAVCKPVQWHMAGEGTISAMAKAVELLRAAGAQVEE LDLGPDFEQVVEWHEIIVRLEGATSLLPEHKQASEQMDQVLARAVDERNNISRRSQLD AYDGLSALRPRFDKIAEKYVAVLTPSVLDEAPEGLRNTGNPIFASPWTALHTPVVNIP GFRGRNDMPVGVSLVTARLRDRHLLKVSQVVGPLFEKGGGWNQRSA FPSE_08374 MPSTHAVYPSLRDKTVLITGGAEGIGSATVELFSLQGSQVIFID IAEDSAQKTIDRVVSRQKDSNSPIKPPIFYKCSVADLPELQETVKIIQNKHGAVHVLV NNAAAAGNRARLTTENVTSDDWDFNVNTNLRHVFFLTQAVIPAMKEAGSGSIINLGSI TWRIPAAGTPVYGACKAAIMGLTRTQSKEYGKHNIRINSVMPGAIATQRQRDEVLTPE YREEVMRGQSLQRDLEPEEVAKVIVFLGSDEASGVTGSSYVVDGGWCSDP FPSE_08373 MSLTNVETKGPHGPDDTLETSVSQVHSSDNADEKNIDTSKNAVL GAEIHDVSSTDHHNDSDNDSKDVIIVTGADASRHLLPMRDDHDNALTFRSLFLASCLA CFQAVMYQIYTFKPTQITIQGTFIVLISYFIGNAWSFALPRGDKYEARWRAKGNTGSL PMWLKFIKVINPGPWGLKEHAICAITATSASNAAESVQVFAAQDLFYDMPLSPTTVIL STISIGLFGYGLCGIMRPVAVWHVDAVFWSNLPTVKTLQGLHWQEVKDSKPLRYFWYS FTGMSLYEFFPAYIFPWLNSVSVPCLAAMKATGAKAEHLTNIFGGATNNEGLGLFTLS FDWQYITSFNTSLPLPLQAHMAVGFFVCYIVMLCIYYGNGWGARSLPFMSTRLLLENG TTYPVAQVFEGGILNEERLLEFGLPRLTGTFAYAMFMANAAIGALILHCILFWGKDVV KAFKSAKEGRYDDRHHAHMAKNYKEAPWWWYISILVGSFILGLVVVIKENITLPPWAY VVSLALGSIIAPVSTLLYSRYGNGIATNNLSKMLAGLMLPGKPVGNMYFAAWSHNVIS NAVNLSGDLKMGEYLKIPPRVMFLTQVWGTILGGFINYAVMISIVGSNRELLRDGDGN SSWSGATMQSYNTNATSWALAGYLYKIGGFYEVVPFGLLVGASLVVMHRIFYKFYPKI KNFDVADINFAQFIQFAGYIPYNQSQTCVILSQLLSGFFVQFYLRNYRPRVFRDYSYL VTGAFDGASLTVLFILSFAVFGAGGPGHPFPSWWGNNVNGNYDLCPVAE FPSE_08372 MSRLTIASTLAIAGLLAEPVAGLAGWWTWSPETLTPHFAYQDPG SGNIVHSSCNSNGSAAFSTDSPNKFPVKTQPKPATPLAVTGWWDDDLNTPIASIFYQA TDDSIINAFFTCDNKTGNYKLNPDGNDIVSDLAGAPSVHEKTGLAVTELGDSGGYRLY YHDEDGLVNMLAYDDDTDWRYDGPVSLKKSGGMAIATLQTKGTNVSVVYPYDSKNIAV ARFNNENKNKWSLESFPTPFDSPAPTNKTDPSDIELDSSEDSSFQLPSFNSATNLGLS ATTKQHLSVFYIGVDAELHAASNADGSWEEQETPGKKKWPHSDNESGRLAVVSPLSSD EIWVYYTSGDKIMELHRDGKGTWDDAKTPSSTSATNADDSEGSGGDDDSKDTDGGSGS SKDATSSPSTGMTAGAKAGIGVGVGVGALAVAAAAFFFLRRRRQKAATNEGKEPVREL ASRNSYHELQTEVHEPQEMPLTTPHQQHELLGDTRHRAV FPSE_08371 MSSSVTSTFVGAIQASASVLLTIFYGVFAGQTNLLSVETGRQIS KICIKMFLPALLIVNLGTQIEASNASLYLTILVWALVYNLASIAVGYALTKCFSMPKW FTPAITFNNTTSYPLLLIQSLGSAGVLSALAKSEDDTSDEIIERAKSFFLVCSVVSNM LTFGLGGKLLGVSDEDPVESMDEDLRDRAGHNDSPPTDSQEPDERTSLLPGRLPRYVK KASRHTAQAQHAVWDKLHPQVQRVLVHITQFISPPTVGALIGVVLGFVPPFKKAFFND SEDGGIFNAWLTVSLKNIGELFVTLQVIVVGIKLAHSLRRMRQGSDSGNLHWLPLSMV VLIRFIIWPVLSILFIRMLFTQTDVLGDDRVLWFTMMLMPAGPPAMKLVAMAEVDDAD ENDKMSIARVLMTCYAISPLLSIAVVASLKACS FPSE_08370 MPAGFPPKRSYSRTDAAVRVEYPEHHELPASKPLIGQGGQFSKP TLASLSLEGKTIVITGGARGLGLVMGQGVVYSGAHLAIVDLNKDEAQSQVGQLTDAFK RENPNSEKIPRVTAHYADVSDPDSVTNCITEILKIHHKIDGLVTSAGFTENFEAINYP IDRMRKLWGVNVDGTYLFAVAVAKHLMEREVPGSIVVIGSMSGAIVNVPQPQAPYNAA KAAVRHLAASLAVEWAHAGIRVNCISPGYMLTALTQKILNDNPDLERTWTSLIPQGRM GLPQDLMGPVTFLLSDASSYMTGADLRVDGGYTVT FPSE_08369 MTSWFSDETTRPAFHSKPTPLISFGRPFPEICLKQVQERFQCSR VYIIASRSLSKNTSSLEDLKSALGDLIAGVHIGISPHTPIPEIVDILTEAKPLNIDCI VTLGAGSITDGAKLVRFAMANAAWTREDIDTLWGGKSHNPKMRKTLHKPTIPLICIPT SLSGGEYQAIAGATDEETKAKHTFEPKVDPDFVIQDPQLTTTTPQKIWLSTGIRAVDH CVETLCSLQSNKDGDDAAERGLEQLIPGLLRCKHDLQDLDARHLCQTGVVEAMHAVST GVPLGASHAIGHQLGPLDVGHGETSCIMLPAVCKFNAKKGVNQDQQKRTLDILVKQQP VKSLMDEVKMTDKEYDLADILDLIIRELGMPRSLRDVGITSDHLPGLAVNSLNDIWIK TNAYEITKKEEVMEILEAVIGN FPSE_08368 MRFSSLLTSGLASIPLVAGHPTVTPPTEHSVQQVRNPVYKRDGI AALLKVHRKYHVDLPDYLATSALARRDGTGAVTNRPIDDDAEWLTPVQIGNPPRTFQM DLDTGSSDLWVHGSQSAAAGGSRTRYNSTASKSCEEMSEAKWLIEYGDGSGASGHVVK DTVSIGGLSVQAQAVQVADKVHDSFAQQQNVDGLLGLGFHSINTVTPKKQKTFFENAN DNHGAGVFTADLRHDAPGTYTFGIINKTAYTGDIVYTDVDPSNGMWTFKSAGFTVGDG KLNKRSISAIADTGTSLIWLPNDINEAYYSQVKGAKVDKTAGGYVFPCDAKLPDFTLN ISGSNTRVTVPGAYMNFSPLEGPKPVGSGRKTKRGDKGSESGNCFGGLQSSSDSGLNI IGGVALKAAFVVFDADKRRIGFAKKTLRGDK FPSE_08367 MMHSFKITSNPEVAALIEKHGTNDALFILNLTHFTDIPLTEVGG SSYDAMNTGVRKIIPGLSGQPGLTRSEFIVLSFWADHLGALKVPFLIDHAHTIICARA HRNLMSEFDVRFIRHAASCIEDDLANSDRVLSEYTTKDYGVILSFAFQSRLSEGLRRM KAQGLLDEDVSLDSIYENMLKPYIETTALCETKIKKHQTKKTLHDVEMSIGFAEDDKR FLAKCLCICEERIQILNGKREALKKETEDT FPSE_08366 MASPGLTPELSQLFQKVENGFKGTQLGNQRWYLLVIACLSTSPD PEAAAALYLYLTKQDIYQTSESRQTLIQRIREALLKSVILVGVCKPLEAILAISKVEK PEDRDYSTTREGFQINDASHERGKDWFKKVYTRNAGDTIGMFDAHKDFAWTSMEITYG LFLSDRQVLDDVDTQLVVLPAIMSQNLPLETHWHIRGTRRIGVSKDDVQVIWDSVRDV AKFFGVELNKVPTVDAVEPDV FPSE_08365 MLFNLLFLCSAVLAHHHSSDASNEWHAPSKWDRRSPCPMVNSLA NHGYLPRDGLNITLDDLIEGFTDAINLDPAATTLVGKKALDTGDGTSFNLDDLAKPGI LEHDGSLSRDDIYFGDNSRLDPHIWKQTLQHFSAPYISIQAAADARKDRLQVAAANNP EFSMTAEQNKISLIETSLYLTVFGNPEEGNANTHWVRTLFEKETIPFREGFKRSENPI TVAQILGMVNKVAQASA FPSE_08364 MRGRILLHCQDITVRLISDYGTPEILQTGAIGSCYHYRSRSHKR LSAHKLNQLRDSLRQETNGLLHAFPTQFHDLYKTFQKIRNHHDFKGLKLKLAICHIKN DGIVPDDEMTAETLGEGIWACKTEPEAFAEEALELIYEQNGGKTLLADTDGVKKGTII FTSTLGVLRRNSDYMANRMTSITSALATRGFAKKHSKYGVHCIHAVSVGRIVDGDTEE TRTGKHMRAEDVGHMYLWLSQQPGSLWVHQLELRPAQGPFLKFKDSWIHGLF FPSE_08363 MDSQRNFPTLKGKVAIITGASRGLGAGFAFELARRGAKIVATYT SPSSEKLVSELSEKIASLDPPSQCIGVKADLKEESAPDEIVRQAVATFGN FPSE_08362 MTRNEVDPQRLSQPFSLPDPTSFSNFQRDIYQSFRPPLFSTNPA QWESLAREKVPAANFGYVYGSASSAATAKANTDAFNRYRLRPRFLVDVTRRDVGVELF GTEYKSPLLVSPIGVQSILHPDAEEATARACHNVGVPMILSTAATRSIEQVANANADG DRWFQLYWPKPQYEEVTASLLARAKASGYKVLVVTLDTFSLGWRPTDLDTSYLPFVWG QGCQVGFTDPVFNQLFDEMQKNDSRGVGEKLAELWSIMRRPGTVYGAARVLANARTMA KSKAWIDVINSGTYREWAYLKILKELWDGPIVLKGIQTVEDAHLAIEHGMDGIIVSNH GGRQLDGAVASLDALADIAADEKVKSSNLTIIFDSGVRTGSDILKALALGAKAVSIGR PYAYGLAAGGQQGVEHVLKCLLADMDNMLANAGKKSVRDLSRDDLQVLPLSKL FPSE_08361 MAETSHFDVPSGVTARVSIIDSSLRVSKMPLQHLMKPAMAGLEF MPPATTWSFLIEGSSGNKAIFDLGVPKNPLENFSPVCCKTITEGNLDLDVPKNVADIL RDNQLQPSDINSVIWRHVYTRTFNLTTFPGSTELVVGQGFKEAFCPGYPTNPDSPIRE VDYEGRTFREIDFDKKPLSIGPFRGFDFFDDGSFYLLDTPGHTIGHVAGLARTTTGPD TFIFMGGDICHHGGEIRPSPYLPIPRHLPQHLSLSDSFRLLMSRCPATILDDVNVRRG RNAGETFFDPNIGFDKEQALKTIKETQKADAQDNVFFIFAHDMSIMGVVDEFPNTAND WKAKGWREKTRWRFLNDFEEAVKEQAK FPSE_08360 MHSAIAFAALALSGTAYRVAGSACKPRSSSTIPTLASSITESTS ITTPTDNAALPKVRNIAVNGNMAEVDPSDPLNVPDWDVINDAKIVGGQGREEPGSTEQ GACAMSATNTKLERRAVGSGVSMSQTMSDLEIGTTYTIRFYYRVVISQGVTDCQLSAK FGNSLLTEDSISSSDVSDAWTEVLKTAGAEGSSAALNIQMECQGGSATILLDSVFVSN KVTPDTIDQFVVDFGNNGSGDNPPLVEDPQTTASAGPTSSIEPTTTESGPTAGTTTTA AESPFCSKALNGGCWWKGSSSGCESRGSWPGPGGQGRLVPRPDNYPEPLSQLWCVGWC SLSPGCRSAAYNPVDRSCRFSEFAVQDSNFVPGPDVNVDTEGVYYWHDLSCFNCPCND GDETEVEPVVTKTTTKSSQPTSPSGPAVKLPPASTCPKSLNDGCTWNEASRGGSLITC QYRGRWPGADGVGYAVEKPRDYPMALSQMWCVAWCSLSPGCRSAAHIPENRSCRFSSH AVHDDDFIMADDPNRDSTELGIYYWHDLSCMDCPCRDGIEVTTQPPTTLQLSITSAPT ESPTTQEPSSTLVTTERPPRPSAAT FPSE_08359 MTMSEADHPSASPEQDDPPNQCPDAESSPDRTPETGSVSTGDTP KTPLSHNFEEKEKHENEDDSDKKDNSEKDEENELKWTEDDSLLLEEEDFESIADKSPS QIEWFRRKREENQQNEPLDRVMCMIGHEEVKAYFLSIMDKVKLLKRWNKNLNDWSFDL VLKGVYGPGRNRIAQLYAEFLHSVGVVHSLQFAINEGYSPSSQDSMATVYMLAVLYIF NQPYAKPA FPSE_08358 MAARELIVVFLNHTNEELNIEPESPSLDHGDWMETSEARPPQEI RAGESGMLRCKSSRMYGAINGSVSYRVVGVEMNNKVTISWSIPHVGPNKYDWSCPQDL FNIKVFGGRGNQAVAVFVLEPVKDTDAKLDDGVFGAIH FPSE_08357 MSTLVGSGASCSIHLVEPSIFLPGSGCWCHDRPQGQDGITLLRG TLRLILIKRTKIKSIQIKLLGHTCIEWEGQAGPDFREESDVQKQTSILFDATKNQDQD DYGFQCRYWIQNVPNPNAVFSPVNQTKILATAEPFPPQDIDNKGQFAFNCSEIRRPST DSTISFTDETESHKIFYPGVYEYDFVFPITHQQLETTQVPHAAVKWMLLATTARPGLF HNNVRAKKEVTFVRSPDPLSLEMVQQIPFHRHSHDWLQYDIVVSGRSFPIGSQISIAM KLGPLDNTTLYGFDFLINESIEYWFRENKINRKGLTRSVLLLNSTAGRAIIPSWTSAG QVSVHEAEAKSGPIHDDGDTAPRHDSVKSSGTAASTSTVTKTVEDDLDNSDECSMTLM DMIVLHVTQPDLSDPSGRRRIYRDTAISLPITILNCRSNQANMTLPSYSHDPFQPVSY PKVCGCPDALPLPSEKPPRRFVKAMVARHLVDDKSRPPDGLSIVDNTTNPSIPILNES SPPRYSDIVDDSTIGLRVHCPGGTCLRKHTSTRSGAGRWQVAPGGIVTLQTFNSTSPA EKYEFPASSKSNPDLESHALGSLELCVSTAGSKADISRVPCRTNGRSM FPSE_08356 MAESGVAHKFISVILRLSELASAIIVLGILSRLTYLIEDRHIHL HYMPTRTVAINSAGYASWRTVLSFSFIAWFLHLLSGILGVYVFHTYIKLDETKRDIQQ HAEKLVKPDPRARGYDRSLENQTGETHDTMKVTVLTEERITTPLCPLPFGRAPITEW FPSE_08355 MASYDEESHNQLSDGNHTGVVNETEYFKKTDFENSSNQKGFQYM FNVRTVEATDQSRNRHRKRPIYCKSPMLTEDNRSYKY FPSE_10293 MKVTNVILPLLASQAAAQVIDIQIGDTAALGLMRQAAQAEKDGD HARAADLRELLSKSRSPSRDRTQWKTECSVSSTINAEIYVVPDPSRAPKEIAWAMDYL DKYWTTDDGGELPYPGDFDRFMHSDGFRILRTDYKDLPWTVRNYREELLKEGTKMERK FIAELDGVAFFAPGVVTWLAPLFAGSDVKPGQNACDDEIVDFSNWDKKKSGSEDVNFS FNYGGKMQVGNSIAIQVNAKKSVKQEPAGKTEEAINKTVEDEDDAAEL FPSE_10292 MTPSTDSLSQTGTTTTIVRPRKQIPIEATELTTKDKISTDADVW GRAGLGRSWLRSLVGASPLFLAPIGSISIFITLVQYEGSFSLFADAVKEYGFWNICAQ YGPQINIKGIAAVICWLVVQAVLYIYLPGENHQGQLTPAGYLLDYKINGLSAWIVTHL LYGGLSWFGILDPGFIPRNWSSLIGAMNLAGFLISALAFVKAYVVPCHPEDRKFSGSF LYDFFMGIELNPRLGDKFDLKLFSNGRAGMMVWTLIDFSNMAYQYQNQGYVEPSLILV TALQTLYVLDFFINESWYLRTIDIAHDHFGMYLIWGCFCFLPTTYTIQGQYLGLYPSS APTPYLAFFFSLGVAGYVLFRVVNHQKDMVRRTGGKCTIWGKPAECISARFRTSDGRQ HTSLLLCSGFWGWSRHANYVGDLLLSFSTCALVGSTKAVVWWYAVWMLLLLVHRCIRD EKRCSVKYGAAWTEYCKRVPWRFIPGIW FPSE_10291 MQRHDKIVEDVSMRIRDLHKIGQPYRISHGSSSSTRPRHSPSTN VIDISMLSQVISVDTEKKTCLVEPNVPMDRLVEATMPYGLIPPVVMEFPGITAGGGYS GTSGESSSFRHGFFNETINFVEMILGNGDVVRASPEEREDLFYGAAGAAGTLGVTTLL EVRLVEARKFVKTTYHRVDSVSTAVSETQKCCGVPDTDYVDGILFSKDHGVVITGQLT DHKPPESHLVTFSNAADPWFYLHVQEKTKDLLPSSHVTEYIPLGEYLFRYDRAAFWVG RQGYTYFKFIPFNRFFRWLLDDYSHTRTLYHALHASCISEQFVVQDLALPYDTAEEFI DWVDSELGIWPLWLCPLKEARMPTFHPVTTSVEKHEVGDDEVDMSQPMLNIGVWGWGP RNAEEFKAKNRSLEKKLADLRGRKWLYAHAYYTEEKFWELYDRPWYRNLRERYFATTL PTVYDKVKQPEGPNGQTGGEGWSWKSLWNKWPIGGLYGMYMAFNSGDISLHRQTTWKY KSE FPSE_10290 MVSIRFRYLDKFSYQDDIFEQLLITAEWQAWETVWLFRLPSIAT NPSVPVVLFESGCNIHNSTNCRKACSTKRTMFKSPETLWNCLSIAAVAAMTEGENAPH RINETESVTFMETFNPGPLNRFHKLGVLARYSRCALQSCSDPRFQGCPSELWEDKFQY VPFNLTSIEDLGRVMGEEYCAKADPGIDFDIAGPGILVAYLMQFLIVLVFAVAYKTTK TWIRNFSLAFLLPFKGPTKAVKTAIRWQNFVAKSKFSIAAGAALVDLQEAQAIFLAVV STAAIIAFSSSSSAGLANISSSLSWLANNIILRGVVSAGMYPLLFIQLILHKTHNRWW YTLFLVILNWVLMLIITQPDVVGVESLEKHVKKTNDVNRCGGNAGPRAYCQTLNLRFR NISVGLSDDSLVSSGSLSSLGSNITSDVLGSKNGNPYLLKQNVESYFRFHSRVQAPIH TIMIFLILDWMNSVLKAQWFEPDTWLYGRVNVLIQRSPTRLQRFLEGRYFWLLTEALW ISMEVLSVAMGIIGVKEFQDFLSVLQDGKEGDKSDIHISNWSFGQLVAACVWFPTILK FLCLNVGGILPSLRKRVGDMIEITYRIENERLISDVALESLIPSESIERNHTDDVETN RLTPRRSWERIDT FPSE_10289 MKFTTSLFALAAATGAVAAPSAPLDAVSMMVATPMWTIESMQRT CDKPDTTCTWNFKINTGSGAATACKYVVKASKNASQANGGPVKCGTFTVTSGWSGQFG PNNGFTTLSVVSNKKQIVYPSYTDKQVSGGKVVKPDQKYAPAALP FPSE_10288 MRFPLDFSTMLSRRRRSEQQQSTGLSKRQVHGDRTPEQIDRWCK STSLTKNGDEIMFPNQGDTWHVGETQGFGWTGGIHIDDNQSQTFSLEIRTLDSDNDSQ AVQIFANETFNYPSSSWQVWNKECPDTYISYYWKIPKDFETGDTKFVARLLNTTDPDN TNTLTSSFFYINSKPVDVSIEASVASPSAEPAAVTSSIAYATSAPAPTATIEAAASDQ TTAVESQDGLSVQAKAGIGAGAGILGLMILLAGLLFYCHRKRQKRGISGKSFVTPPLE IRTARPRISEGGNSEMQRERAREMEDVPGSPLYRTDTERNDHRRSIRIVYEPNLDPIG LPADVSMWSPKSSQDELKR FPSE_10287 MATTQTLTEAPFESISLEDRNRNHHATERQENGRGRRIFEADPA FTITLATRRMIIALLVCANTVQFVSNAVTIAGGLALSKDLGRESGPGKANWMVASYPL TQGAFVLVTGRLGAIYGHKQLSLLGCAVFTLFSFVNAFTKTYDSFIAMRALTGVGGGI FMPNAVSIITTMVPPGQSRNIVLGFFAASPPLGGMVGALITGVFMDQVNWMWLFILIA GVSALILVWLTLLMPKETPVDKGGHVDVPGIILGLGSLLLFNVVCNQAPSVGWNTPYE IALVILSVLLFTTFLFWEKRYAKDPIMPLSIFQAPTFLALIFVVLLSYMAFGIGIWYS VAWQQLLRGVSLTQTGLHFIPFGLSSILAVFVAAWLIPRIAAQWIMAIGVLVTFVGNI LLATMPVQQTFWAQLFPAMVLYGFCPDLVYVAAQVIASNSVNRRQQGIASSLIGTLNL YGNSLGQGFAGTIETEVGTNNDNDAKGYRAALYFAAGLALLGLTLDIAFVRLPKDQRE GWDDPSEGDEQGADGMTTAIEVERSV FPSE_10286 MKILTKQEEEAHYTEVLKGGVIGGTLFTGLGLAGVFVASRRYPS FRGLTLPFRAFLVTSTGTFGAIVNAERWSIAHQIAQDPKRTYRDQAARTADLIRENET AYERFKDYAKENRYQIVFASWVASMGIAFAIVSRQPMSTANKVVQARVYAQGLTLAVL IVSAIYEMRDLKNGDSRFQTVRMVDPNDPTKVIEQKIHKEDYEGQDLWKDMVAAEERR LAAKKQPAGH FPSE_10285 MTGTENKLGSVAAGSINSVPKSFRSGHAPRPNDAFIALMGITGS GKSSFISKCTDKSATIGHDMISCTSIVDVYPYEVTPDFTVYLIDTPGFDDTGRSDTEV LSEIAAWLTDSYKHEIRLHGIIYLHRISDVRMQGSAKKNLVTFKELCGEDALKKVVLA STMWDIIPAEKATKREQELKDTPEFWGWMLSKGSSVHRYNNTAESAREIILSLTGHNA PIATDLQKQMVDEGKSLDETSAGQGLRSELLKERQKLTQERQELLTLIEAAKEKHDVD TEEALQEESDRYTVLIKRAEDSAKALSISMTNLILKRDLRIAEMTKEMREVQNEYEMN FRLMRLDQIKPYMLELARANETMQSNEVELQEFQDGKRMELGCLIAVSDQRTAWIARY ENNNWRWSATFERHYPELAKEIKSYGLNAVRLCAFGPGPGERYYTGWDEFRIYSCSPK FTKAMKSIKNNSSIGSVIAVAFGHGSSFLVSYGFENGHLGWTHDLQGYYPSLNRFLNS QKKLGDKISIHAATLDPFSSAGLVGGN FPSE_10284 MSTPSLGADSLVDQAESFKAFLGLNQPEPGDRFFLVMGLTGAGK STFISNCTGHHVVVGHGLYSCTNSIGVYQYISHGQRIFLIDTPGFNDTNRSDIDTLEI LATYLGASYANGVRISGIITLYPISNNRMAGSNLRSLAILNAVSGFASNDNLAIVTTM WPESASYIEKTILEERELELLTNHEFFGNLINRGATTFRHYERGYSKAGSAQRIVDSL ARKLEGHSPGVLQLQREVVDEKKNLGETTAGIAAAEYLYKARREHDNHLKQLNTELER TSTGSDEEYFLQLLELKAEVDKEIQQTEQGRQALVKTMVDLHQTETKSLKQRISNLQS QFEAEVQNNEIMLRDVQESYDALQKEVARLTNHPQQQQLVARRTKPHQKALRKAQKDA EKSRRDHQRVQEYTKEIVGGVMNGIAASAVAGVMGGLMCTVM FPSE_10283 MAIQKAAVIGGSGLLGSKVVESLLNSGFEVTVLTRNESSATFPD QVVVKRVDISSVDSIKVAVTGQDAVVSTATTMAAGGQKVIIDAVVAARVPRFIPSEFG VPSRQNRDKKIGKLLGAKVQNTDYLIELSKQHDWFSWTGLSNGLFLDSGLNSSYGFID IRNRKFRMIDSGNEPFSATSLSFVGKAVAAILKKPEETANRFLNIAGVTTTQNEVLKI FEQVTGDKFDISHVSSTELERIGDEKIARGDFSAFGNYLEQFLFADNAGNALKGDGNA IGLLELEEESLEDVIKRVLANVK FPSE_10282 MFPTLVPFCFSTIFVSLVFTKLVHLSIHAKTVSPGAFVLFLPSL LIPDLFIIYISRLALRQQKGVFSVGACVLGCIFSFILLGASASQLGFFYSTGNEVKWS EARSYAGDAKGMKILLSGINSVLVSGAIIIVVAWVGKWYIYRAVGSLITTVGTPVVQV WQSIRNRTRRSSRQTPGASPYDSGSEFDDDVENAKEGAHLIQGESHEETRTKPRTWAI LAVISAFLLLTTLFRPAAPYTMMSMSLPLAMLDMFKSPANVCNAVSGNWPLTALITED KWEAPSQHFPGWTPGSDSEAARNYRETTPKWLPNDIPSGFSKWIPKEPEANTTEEAPA TTSNGCAVASSDDAFYNPVLDPLKITNLDTEILDVLRDTLKGGDVKIKHVALIMMESY REELFPLQQGSEYHKLILKSHKGEDEDAVNAKLSHMSPVAERLTGKSGNWKKKDGSDF EHVDIPQWNDTTEEGYGGINVVGGFTTSSLSFKSMAAIHCGSWSMPVDGFEESETDSY QPCIPQVLNLFNKIKKDKPSKDFLEQQWYPAFFQSITDGYDRQDKFDKKIGFEHTVTR ERLEQEKNDEDDLEEINYFGFAETTLKRHVKDYLKQVNDEGKRMFFSHFTSTTHHPWG VPKSFQTTDYLNTDGKMKWHEEFNSYLNAVRFTDAWLGELLQTFDDFGMTNETLVVFV GDHGQAFKEDQKSKTGTYENGHVSNFRVPITFRHPHIPRVQYNANATSLSILPTILDL LINTGSLNEKDQDAAADIIQDYEGQSLIRPYKTIHNGRRAWNFGIINGGASMLSMTSA DAPWRIVIPLDDSAQYRFTDLKTDPLERRAVERWTLEQLEVAVENKYGEEASIWAAEA DAVAKWWGPERKRLWGYNPSSHKDKK FPSE_10281 MPETTSNTTSRFRRRGTSNANFPWIICFHGSGDSCASWEPLADL LDVYQILLWDRLDPNISPKKAILELLEYLDKSRLSSCYVLVAHSYGGTFAKLFLESRP HQVAGMVLVETGQETGIDPKIEQRQYQKRILRNKPLVVIRGNTLKWKQLQYDQALAAE QNLASPTLVIQKRMLDATDREDERLKKAQLQLSTNNRYVHLPDCGHGVIEARPDAEDE VLVTKDQETDRENQQLVSKKSVSKRLKRMAVVGKFAKLFRRSGR FPSE_10280 MKFMPILLGLVATSIAQPIAKRAVFTQSTYDDLSISGGTAGNAQ QEALQKLGGLPNDLSTVEKADLDFLNSVNQIANDAEKDAFNPAIEAASGEEADALQRG KIKNKVLKLTATILKLEAQQAQGDDVADKLADENKKLQNNISQDKEAAGQASTFLPFN AST FPSE_10279 MNETSLQQNTMFGSDQSWSLWDSISLNDAFVVDAPGDQAVVTKV NSPYLLGYRPTNPNGRGILVIGGGGYIELMLGREGVTVAKWLNSLGFYAFVLVHRFPN SETGPQAPLDDGRRALKIMAESGLAPKGVNICGLSSGGHLGAALLAEYPQTWTSPDPE IPQVEFAILGYGPISTNAVGRQIIENKPPLPPKEKQEFYNIVQPDVQLRSPTPPAFIV YSNNDPVVPAVNAYRLAEGFTKNGASVELHIFADAPHGFALDSDKELPVSKWPLLCEA WLRQNKWIE FPSE_10278 MASAARTVLAFQRNAKLYSLATRVTAIRPFNTLEDENRELFKQG TDDDFAVVTEETIFHPQGGGQPSDIGTMTNESDARFTVASARMDAVRDGQVLHFGKFE SEKKFTEGDTVTTEIDVEKRLLYSRYHTAGHVLGSAVNHLLKDKVEGFEELKASHFPD SASCEFQGLIEGKWKDPIQAKVDEFIAAKMPVEIEFWDEEDFRKNGLEHLTPDASFVA PGEKFRVVRIVGAEVYPCGGTHIDTTDQCGETTVKKISRSKGKSKVSYLVK FPSE_10277 MKFSIATALLAATASALPTAQDSALEARQLGGSTRNDLKNGNSG SCPGVIFVFARGSTELGNLGTLGPRVASALESKYGRNGVWIQGVGGAYTAGVGENALP RGTTTAAIREMVGHFNDANQKCPNAVIVAGGYSQGAALAAAAVTDVDAGIREKIAGTV LFGYTKNLQNRGKIPSYPEDRTKVFCNVGDLVCTGTLIVAGPHLLYQSDASGGAPRFL IQKADAALGAAKA FPSE_10276 MQIPSVDSTYVYHRPRGPLFFPFVRIFHARPELGRLVKHLSVAQ VLLPHWHSDARWNNFLDYVPAFIEACDHVSHTYLYQDAQTCQFDPMLKSFVRHLNLPT LTTFDDGRDTLFITCALSLIPNLEKLEIGLGNNWSFRWCRPNSLTSLRELTITQGVSD EGPPGGGAIRGLLEAAPGLEKLEFSEVIHELHESTRTPAEVSSDSLRHSSIFNQGVWY HGRI FPSE_10275 MAPYSGWKRTAVYLTGLVATLTTFLVAMLFVSLFALKRENGSRG SGSKRADALGQSILHEGNCDTTAKANLWIHLAINVIGTGVLASSNFFMQSLVAPTRKE VDAAHKEGQWLEIGVQSLKNIRYLGWRKVLFWSLFSLSSVPLHLVFNGCVLESKSTNG FTLLLGAESLINGSWQGQAPIVKPDQQSYIDRGRGEYMNMESLKRINASIATGSWEKI TFPECMKRYNDPGKSLTHWRHLIMVIYDYDDLWRNSTDGWKLSQVYKNTTNMTDINAV NTLWAVDGFTRTGDKNKYGNGAIAETYRYYSNLDGDSWKASFRQTPTWEMSGLINFLD PTTGILVMDPKAYKSQYRVMLVDHCWSEKYQAPCRLTIANSLLLIVCIMCALKCTLCF LVLKLRVWGDDNPLMTPGDAIASFIARPCEETRGMCTLSLEDLKKRPANTELALGDTT QGYKVLQGPRQWQRTSVRKFGKAVPRNIWILSSLLIGSSLIVAATMLGISVRGQSVSD ARFGHSPTNEEVRNDTLEGFALLPLTMVANLPQLILSICYLAYNGLFTRMLAEFEWSK YSVGFRSLRVTEPKGSQNSTYRLQLPYRFSIPLVIVSIALHWLYSNCIYVSNYEALAP GYPYTKTVTIGLQFSSKAILVSFLISVCVAITPIFLANVKLPGIIVVSGGNSAVISAA CHYPSRKLKSLSRATSKYSLRGNEYDNMSARLIVDEDAEELEDVARKKVKWGRLSTGK SEDSQVGHLGFGTEDQDVDKPVEGEHYSGL FPSE_10274 MDSSEKRDITPQPSSEGDAISTDKKDLPRDEKDNYDVEVLKSEP TRPLETAEDIVTNVIHVDDDPTMNPWTVRMFVVGIGLSAFGGVLQEIMYFKPQVVYVS VMFLTVLAETLGTGLSYIIPRKGAIGRFLNPHPWNRKEHTAAVLMASAASVSALSTEA LSVQKLWYGGYPNQAAGIFITLSSQLIGYGIAGMMRSVLLYPTKMLYPANLPITTVME TLHKPKSETRKRFQVFWIVFVAIFCWEWFPEYIFPLLSAVSIFCLADRNNPVFTNLFG GSQGNEGMGFLSLCFDWNYIAGFGSPLWMPLQTLVNSFIGYFGGILLSIGLYYSNIWR AKDFPFMAQLLYDGSSNSTNYVEYNETSIMKPDFTVNNDAIDKQGLPHLTATYVNYLI TSNAGLTATLVHMLLWNYAEVSLGWSWITMSTLKKAFRPQTYIFWRQSGSRSEEEKTK LRDDPTIDPHYKLMLDYDEVPNSWYFLVFAASFIVGMTCLYVMKSTLPWWGFILAVIF LVVFLVFFGAQYAITGFNFNLQPIFQMLAGYMFPGRPLANMYFTSYTYNAISQGFLLL RDLKLAQQNKLSPKATFTTQVIGCCLGALLNYVMMISIIDNQAPTLKSAEGTNIWSGA QIQQFNTLAIAWSIAPRMFSIGARYQWVTIAFFIGFLAPLPFYIAHRFFPHMRIWSYL NTAIILWYLGELFVGLNASLTSYYILGAFGQFYLRRYRPQAFVKWNYLISAALDGGTQ VMVFIATFAVFGGSGKAVAFPEWAGNRINNYDYCKFNAQG FPSE_10273 MSSSSPFSGLKGYTSRTVPYKSGPDGDILLDVVYPEEKSDSPST IVGDRYSYMPYWLVNAAVARKWIFVTPDYRLVPESTAHASLDDTIDAYNWVHSSLTES IDRRVGSVLLAGSSAGGYLALSTTNAVKRKPEGLLLIYGMLDTVGPRYTTPGTNVWGA PPFDTFSVLSKFPKKKDNEDRKPISGYPPLENYEEDPRFSVAPALHIDALVPDYMTGV DGLSRDIANKGTDAIPEEHRRLYPLSFGKLSEIPRTYLLHGKNDTAVTVDCSLVAEKK LRDAGVEVVGDFPEDAEHGFDGRIGNIDVEEADADEVDNVESLINAIHFLDTAVNN FPSE_10272 MFFKKLLTSAAALTGTALAQSKAGVDDLDKPRRDLFEKDLSKCP GYKATKHWETHSGFYADLSLAGEACDVFGIDLPKLKLEVEYQTEDRLHVKILDTNNTV YQVPDDVFPRPGFGQWASPKNSKLKFDFKADPFSFTVSRRDTDEVLFDTSGSDLVFES QYVYLKTKLPDHPHLYGLGEHSDPFMLNSTNYTRTIYTRDSYGTPKGQNLYGAHPIYF DHREKGTHGVFLLNSNGMDVFIDKKKDQQFLEYNIIGGVLDFYFVAGPSPREVAKQYA EIVTLPLMAPYWGLGFHQCRYGYRDVYEVAAVVANYSAAGIPLETMWTDIDYMDRRRI FTIDPERFPADKYKDLVDTIHARDQKYIVMVDPAVYDMESNPALDSGLEYDTFMKEPN GSDYRGVVWAGPSVFPDWFNPNSQKYWNDLFINFFDGENGPDIDGLWIDMNEPANFFN RPYPGNNTTPEKFAEIDGDPPKPPPVRDGPPAPIAGFPDSLQPASSRRKTREVASIAK TTIHKRTVAVRTTPRSRGVGQWAAKKHWGQNKYGRPGSSWQSGKKTGSGCGEDECKGL PNRELIQPPYMIQNGAGPTLADSTTDTDLVQSGDYLQYDTHNLYGAQMSTHSHNAMRA RRPDKRALVITRSTFAGSGKDVSHWLGDNLSIWDQYRFSIGQLLQFASIYQIPVVGAD VCGFGGNVTETLCARWATLGSFYTFFRNHNEITAASQEFYRWPKVAEAARTGIAIRYK LLDYIYTAIYKQNQTGTPTLNPLFFNYPNDKNTYSIDLQFFYGDGILVSPVTKENSTE LEYYLPDDIFYEWSTGKPVRGTGSYESAEVELTDIMVHYKGGIIYPQRIESANTTTAL RKKGFNLVIAPGLNGKAHGSLYLDDGESVVQDAVSEIDFSYTKGKLSMSGSFEYDAGV KIETITILGVDKKPKGTDHAEYDSENKKLTFKADVPLTKKCYVDLF FPSE_10271 MVQITSLLTLGLGLVGTTLATSSNTGLLQMLTYVKRHPNMTRAE FWEYWDTQHAPKVIPLATHFGISRYQQIRVGGKIVPTDAGASAPASNNLVDFDGVAMF LYESADDLTAMLSHPYYIEVVEPDEHVFIDKSAYGNGMVATYIGEHIEVVDDERSAWV GDKKTRNKYQKLFKSYR FPSE_10270 MPYPVTNGVTTFLPPPEGYVVDFDNPQKQDAIEHYLVFAILGPI AFLFLVQRLYTKYFILGSWKIDDALIVIAWICSLVMQSVQIWSISIGGLCHHAWEMPI EVFEKHMLSSYIAAPVFIICNGCSKTSLLTFYLQISPQLWFRRVIYGTITFVVLYTLI ISTLLLFGCNPIQTAWDPFRFASGKCADNAVVYIIIAVVNIISDLILFVIPIPMIAQL KMPLSQKIGVAIMFGIATITVATSIIRMIFLPALLGALDIPWVAAPANVWSIAEANLF IVCGSMPTLRKFFKRFAPKWFGSSPTPEEAVVVGSVSDQSSRFGLDKKKKKKHTGYSQ FDTTELGNYPDGVSQETQVIAGKADEGNLGNVLYNSSEEAILQQSKIAYTKSFEVSRS P FPSE_10269 MSSSSTQIFDATPSTTIRDVEMTNSPVVGLDQQQHESHTSEISL PPTDHGKDAYLTLLCCTMAQLPIWGYSVSFGIFQEYYSRPSSPISTASSGTIATIGAL QQGVMYLMMPFAFMVLTKYPRLRQYCGPLGILITTASLTASAFVDTVAGLIATQGALY SIGCGLLFSPISHYMNEWFVERKGMALGVMWAGKSSTGIAMPFVFDALLRRIGLRATL LVWAGASTVMSLPTLFFIKPRIPQHNQVQVRSLSFNFLRHTSFWMMQAGVIIQSLGYL MPSTYLASYASTIGLPSITGPILLALFSVASVPGGIIHGMVGDKTSATRAVTIASLGS ALPIFLLWGLSLNLANLVVFVVLYGFFAGGFSSTWSNMSSDIQKNDPDADSALIFGML MGGRGVGFVSAGPLSGVLLQAKASLSNEALGYATKMQLTTLLPVMLNAIRIFRIHDID HFAGIYAVGVIDAVSYTFV FPSE_10268 MPVETAYDPKDMLFRHLGPTGLKVSVFSLGGWLTYGGTQKGDIV KQILQTAWDHGVNTFDTAEVYANGESEIEMGRALKELNWPRDEYVLTTKVFFGTGRKE PNTRGLSRKHVVEGLKSSLKRMEQPYVDVVFAHRPDYATPMKEIVEGFTQVIRNLNLA YYWGTSEWSAAQITEATQIAERYNLIAPVVEQPQYNAFHRERFETEYAPLYDQFQYGT TIWSPLASGLLTGKYNNGIPDDSRFATNKAFFEGTVKELQSEAGKAKIEKVKKMTEIA ERLGGNVAQLSLAWALKNPNVSTVILGATKVEQLEDNFKALEIYKKIDDGVLEEIEKI LDNKPKGPATYNRERTLDWKP FPSE_10267 MRFSDSLLLIGLTGLAGAHPSRRAPNASPLSKRGLDLEAFKLAP MAEYVSQEEVPEDASVKVITKRADYTETAKDLVKATFPKAEFRMVDDHYIGTNGIGHV NFRQTVNGIDIDNADFNVNIGADGEVFSYGNSFFDGKMPGPLTKRDEKDPVDALKDTV EVLSLPVEADKAKAVKKSDKHYEFTGTTGTVSKPQAKLAYLVDDGKLKLTWRVETDVL DNWLLTYVNAAKTDEVVGVVDYVAEATYEVYPWGVNDPEKGSRSVVKDPWDSVRSEFT WQSDGSANYTATRGNNGIAQTNPSGGSAYLNNYRPDSKSLAFEYDYSPSNSNPSSYRD ASVTQLFYTANKYHDLLHALGFNEQAGNFEANNNGAGGKGNDQVILMAQDGGFTNNAM FSSPPDGQPGRMRMFLWDTSNPKRDCTFDAGVVIHEYTHGLSNRLTGGPANGGCLPGG ESGGMGEGWSDFMAIAIHLKAKDTRSANKPMGDWISGKAAGIRAYPYSTSMTTNPYTY KSANGLSTVHAIGTVWATILYEVMWNLIEKHGKNDDDMPKFNGQVPTDGKYLSMKLVM DGMALQPCNPNMVQARDAIIDADTALTGGKNKCELWKGFAKRGLGTSAKYSSSSRTES FTLPSGC FPSE_10266 MKQIGRQTTLDGFFRPRSPKGKKFQLPPSLPLTPPAPASPSPPA SPSPPASPSPPASPERQERAASFISISSGGIEPDGIEPDENEPDVQEYTGPPLCDEQQ RLVDIIMSGRNVFFTGSAGCGKSTVLKAAIAQLEASGLIVAVTAPTGRAALNVDGTTL FSFMGWSPKTNELDLDNITNMMYGKSKKLTRKALQKTDVLIIDEISMVENNFLSRMED VLRNIRDQRRYWGGLQLIFTGDFCQLPPVLPFKHCWVCGTEQDIQDEDQPVDRIIECP RGHGPWNYERDRWAFRSPAWEAANFEYVNLKEIHRQSDRPFIEALQKCRLGIRLSSRE LNMLLNHESEVENATRLVPTRAEAKKINAKKLAEITDHTSKDYTCEDGIWFPPSYDGP NDYLGERTRENTLKRFDDHRFDKKVELKQTQLVMLQVNLNLKKGLANGSQGVIVGWDK IDKDNLPTYEGKDACLKEEHVVLFTEEYLRKFPNPKDQVWPVVRFNNGYRKTIYPVCV VNHMGNQSQVLMYRTQIPLIPGWAITIHKSQGMTLDQVIVKLDKVFEVGQAYVALSRA TSLRGLRIEEGNSHTLSAELGRNPEVHEFLEQKFGRDLYADHVGSTI FPSE_10265 MATKVYREAHLSIVDLLSSYSILSSLAPWLSKLDLHNLSLTSRS AYAFIHSSETIFNFFTRQSLCDGRGLAVRQAFKGVYHQNPMPGRWDINPHLSGDEEIE VHLYNVRCDETEALPCIKCGINVCEECRCYPRAAPPTARPNRRPHLRGRFELDNIMCL CEGCDNKTEEEIRGKFANQRCDCDIYTRWICVRCEDKERKSTKKYFAERTRMEWDWIV RYDVDFGDDCEPSKTLHDHVFERAFWCTCGRTVPHRTVPRCIWCRRRHLPETEWYQER LEIGSKHPFFDDNPNYPRWVSDENNKYPSPYPRLGYQSENNRGGEIRSE FPSE_10264 MTVPVSEHSTLQSTSVYLGKRWNWSAHDYAKDSFLETQNVARST ETSDSFRARCLAPAQALFPLISSIENQGFTVVTPTLASAGYNDSVDGKALEDDVKAIH DEIMPYLDNGQKIIAMGHSYGAVPLSVAVNGYTQAEQEQKGKQGGFVSVIFIAPTPVL QKGISMYDSVGGQYTSAWFHDVSDTRLPLKNDKLMEAFFSNVDKSIADEIIPTLCHQS KGPFEVPVPCTPADLNIPKILVLCKDDPIFTKDILTFIADKWGAAILEIESGHSPHLL ETHRQWIVNLVATEAEKA FPSE_10263 MDGKKKSAIDAKPNPHIFTVVSQQKFTCQRQTVLLVQSNKKYTD HVSNCLDQKSCIEKKRLEEEELRKKKNKGS FPSE_10262 MLFQHLPTEIVEGIAGHLQQSSALNALCRTNRRFYHILNWHLYN RDARNPCKALLWGAYNGNLQAMQKSLSHGANIHRKDYDDKTPLTKAVEGQHLDAINFL LDRGVDINYSNTYADSIMYTAVFTRNVDVVQLVLARGAKPDALSYANTRPLSLAVSRG DFQIAQALVKYGACLDEPGPGYYTPLITAVHEARHDILRMFIENGIFQNDKDGALGAE ALRSAVMNDNNEALDILLKAGVNPIKAGWHGRCPIMEAVSFGKIDLAISLSELVPDLK EAKDKDGEGLLYYAVAGGSRRYARYLLDHGFGPDDTHEPELPAALEILCSSDSVST FPSE_10261 MTEPQKSDQPPQPKDRRNSEAPENVKQSTAKTPVAPKATSESTD PAAAKTSTELQSATKLKNLCDQGNDAKFDQSAATGQLVKYAQPGEPVNTHESTERAKT TRPIQAGTQAETAKYAQDDKPATKLRSSPPVSPTRVYEFSEDEEPAEEEERRSPRSYH DKSPYETGSPTRRRSDLDLEVPIEVDRPTKSPKPERNAKPSKNRESGKGSRSDKAKRS SSTKSPGLGDVVHVNMVNHELRKALSKLKEVNEKLETNKKDLKSKEDSLKNESEIDKL TDKRKKLKEKRNTLETEIKGLQGSLRNAYKCQG FPSE_10260 MFIQDQQTCAAFTDPFSEDDDDLFYKDAVRACIESHNIHSDKCE VTFRLKYKLTYTGPWYYVWLEEKELQLLMPIVVLAYWKSLGGRCQTTQFPKYHVLRIL EEEKRHYKIQWIGYSEEDFSWETKKKIKDICPWAVPAWKAWNKN FPSE_10259 MWLARHVASLALLATAISHVACQEQKPLTDAIASKPFFTVREQS SDLCDAGSRQWTGTVNVTADKSMFFWYFESRNKPQTDPLLLWMSGGPGAAGGMGLFVG SGPCVVNRDGNSTRRSEYAWTDHANVVYIDQPVGVGFSKITDRDDIAVSLEQGARDVH SFLSAFSQDVFPEFAGRPWHITGESMGGHYVTGYTQHIASKEQDNARRGVEPRINISS AIIVDGYIDATRQSIGYHDFFCKDWAKDGRKAPLMNDTACSTMAAAIPECEKLAVRCR ESYDIPECKAANEVCEETIGEYFLDGVTRGGWDPYDDRHPCEEPPMCSNLDHGPTWKF LNQRWVQQKLGFEKFPFDLIDLDTNARWDQAENIHLPVTRELTWILDNTDIAVLFING NDDIIINTPGQMSMLDNQPWEGQKLYRNLEYNDWYYKNGDLTSDTEGWGAKRGGFWKG NDRLAIYAVDEAGHLSPHHQPEAIGAIVRAWLRNY FPSE_10258 MMPLRTVDEFSHLAFDFIVIGGGTAGLAVAARLAESNTSYTIGV IEAGGVVQNDPDVDIPGHYGRSLGGSYDWKLETTPQKGLGGRILPWPRGKVLGGTSAL NYMAWNRASRDDYDAWEALGNEGWGWDSLLPFFKRSETFHPPSQKTQNEQEISHDADT LGDSGPISISYPTDYSSSHSLWHRTLNGLGVQTNTAHLGGSNVGVWTCINAVDPSSAR RSYSLDYCTTHPHNLHILTNATVNEIVINEDKVATGVHLTHHGEEYTVSASREIILSA GSVKSPQILELSGIGNPEVLDRAGVPVKVESLHVGENLQEHIMLATIFEVDPSLANRD DLQKDEKLTLAAQEQYAQTADGPLTVLPVSICYVPLAHFIPKDTLSSLHAEADQISEF DADKHDILTHRLNGNSKLGQIEYIFDLGNWNPYFQGEEGKKYGTMLQILQYPFSVGSI HIHPSHSGSSPAEQSPDIDPKYYEGTHGKLDMEVMKHCLQFVQKIVNAEPLSKIIHAP ASPPTAAYDDDKLKEEWITQNTITDWHPVGTCAMGGRAGIEGGVVDERLRVYGVRGLR VVDASIMPLQVSAHIQATVYAIAEKAAHMIIEDARSVDMAQGDFWRQQTRL FPSE_10257 MEFLHSLLLESWMLWVLGLFIVICRLTSRRLKLGKWNRLAVEDY LMVFALVNFTGVVVSINEVAKNGSNYMPAEDAAKLTPEGKSKAIFGSKMTFVLEIFAL TASWTIKACLLFLYSRLTQGTSIKQRWAVRFVAAFCAITYLVVILLFVFFWCSPTPEY WAVPVDPDKMQCATYYSHMITATACNIASDIFLILLPIPIVINISLSKKRKIGLCCVF GLGLFNILAAVLNRYYNFSNPNSYVFLYWYVAESGVALWVGNLPLCWPVLRLALGSKG DSSNHSYPNPSYRNSSYQENSARRRTNGRSKTSAWAKLEDEHGVRTDASPDSGSQIEL VEQHGKQPYFVEAKANSGADKLEKGTDGHITVVTKVEVSEDRL FPSE_10256 MASSSHDPEAVNEKSAATSSSPTVEDYDISNESTTPPSSMFQKI NNRIENLAGLEARGIQRVLPEERQPASSAADLQVALLWFSANLSLNNLATGLFGPMVF GLGFLDSALLAVFGTILGACSTGYMAIWGPQSGNRTMVVLRYFFGYWPSKIPTFLNIV LMVGYATIDCIIGGQVLSAVSGGTMSILVGVVIVAVVSWVVAVFGMKIFHTYERYAWI AQVVVLAVLIGVAGPSFDASAQPTVSGPVLAASRLSFFTLCLYVPNSWAAAASDFYVY YPERTSKVKVFLLTVIGLTMSFTLVYLIAIGLATGLVNNKDWADANAISTGALIVKAY DPLHGFGKFCSVVVALGVIANSTPSLYSGSLGCQVLGRYTKAVPRWVWSSVLSLITLV LAMAGRESLLVIFQNFVALMGYWVMLFICIVLQEHLIFRGRRGFDWTAWEDKNYLPVG LAAFASFVLGWVGAILGMSQVWYIGPVSKAAHNADLGMWLGCSFAILTFPVFRYFELK AYGR FPSE_10255 MSQQPAKKGESSGNATGNINASAKPAKGQGKGNKSKGPTSDSKE PEKKEESQKTGPKKSTYIRCALLFGDAGPILASHEKFGVDTSCVNRADLYGVWGNTLL PCFLFGHPCLPPPDMTPKYQGGPTIMTTNEKDGNVNFLNRLAADGGVSPLAFFVATGI PVYRLKTEP FPSE_10254 MESDIADDLDKLNIRDILNADSRPTFIIDLDPDDESPLPANVIQ PVFCNTALSTHERLFDSVRGEGTDAQTESVSYLDFKTWVTSITTQDDSKDVYPLFFLY KDLLWTGSTVTKRWRLISGNRLWQHTAPPETLSSSALSRASTPGITDQAKQAGTRPAK QDRESEIKSNIFQSPTEMIAPDIPSVGGSTLVISTQRKESLKSWWRAGTSKGSSDRIT GSSSSGSFVLGKPEKAVADWTVAKPKGILTPYMKLLRDIDWSSTPLGHMDTWSPELRQ VANLVIANPHPASLFWGNDMTMLYNEQYAIHYAGNKHPSMLGTGASGPWSEAWDVLGS AFAEVIRTGNSHRSDNDELALFRRGFLEETHICWTLTPLYGGTNRIVGFYNSPFEISD LILSQRRMATINKVGESLRKATSVKSFWKFVLEGLEHNHKDVPFALVYSVGDSEEADL QSSSSGSTISSKSCYLEGTIGIPRGHAAAPDQIDLKRSHQGFVPSFREAMRTRGEPTL VRIRDGSLPEDILQGIQWRGFGETGTEAIIFPVRPTNGENVLAFLVLGVNPRRPYDAG YKSFASLLNNQLATSLASIILFEEETRRGRDAVEAAALEKEELTQQINLQASRLRRMT ELSPLGMFLASPEGILREANDKFYEMTGHTRDTHGDMTWVDLMGTSGSIMEEGWHRLT RDMLPWSGEVKLRKGVEDPVSIHGELIDCWVLITAHPEISAGGTLRSIMGSITDISHL KWAEGLQNRRLQEAEETRRQQNEFIDITSHEMRNPLSAILQCADDITSAMEAARSSGV PASRETLESCLDASQTIALCVQHQKSIVDDILTVSKLDSNLLLITPVVCQPQAIVERV VKMFESEMVAKDIRLEIQVQEFHDLAVDWVAMDPSRTLQVLINLMTNAIKFTASSSTR NIAVTVGVSKTPPEGDHIPGFKFAPTRGELANVVSSDEWGNGETLYVRYRVQDTGCGL TENERQLLFQRFKQASPRTHAKYGGSGLGLFISKRLAELHGGRIGVASTAGQGSDFGF YVQARRSSAPNQKDPNQPVLSQTPSSTNPAVVIPTRTPSGEAYILSSKNNRRRHGSNE TFDPRDLTVHIVEDNLINQKVLVNQLRKAGCTVSATNDGVEALEFLRKTHFCKQDGSE LSVVLMDLEMPNMDGLTCVSEIRRMQKEGEIIEHVPVIAVTANVRDEQIRVALESGMD DLVSKPFRIPEVMSKIERLLRKYDRY FPSE_10253 MKFAKQLCLLSATALASSAGDTQSPISLQSPSTQQSSPLLELHK SLVEQASITGSEKPVTDFLTTYLEDAGLTVEKQAVAKDRDNILAYYGDKRKTRVLVTS HLDTVPPYWPYERRGDEIWGRGTVDAKGSIAAQVIAVLELQEKKTINTGDVALLFVVG EETGGDGMKAANDLGLSWEAVIFGEPTELKLARGHKGGLRFTIKAKGKAGHSGYPETG SNAIDSLVRGLSALQQADLPWSEEFGNTTINVGTIEGGVAPNVIPAGASAVGSARIAA GTAKEMNHLIAKIVEGSDAHLVVEPSTYAVDPISLDHDVAGFDTVVVNYGTDIPSLKG SHKKYLYGPGSIMEAHSDHEHLTVSDLEDAVEGYKRLISHALCGSAQD FPSE_10252 MDKLQTVVKIPAADDASDKILIGRLADIVNTSYKIAESDIFIPS YKRTSESEIAQLISNGQLAVAYLVSNNEPIGCVFVKLLTPTLGDFGMLALDTKYQGTG LGRQLAAFAEDECRRRGCTAMQLEILVPSTFHHEGKARLLSWYIRMGYKLVKLGDFGQ DYPDLFKLLAGPTEYRVFEKTLV FPSE_10251 MKSISILSVALAALVSNVSAAGVTGTPEGFAAEATGGGNAEGAY PKSTDELVSMLGDSTTRVIFLDQEFDFTGTEGTASEQGCAPWGTGAGCQQAINKDGWC NNYQADAPKVDVSYDKAGLNPIVVNSDKSIVGIGANGVIKGKGLYIKGAKNIIIQNIH ITELNPQYVWGGDAIQVDGSDLIWIDHVTTSNIGRQHIVLGTSATNRVSITNNYINGE SKWSATCDGHQYWSMYFTGSSDMVTLKNNYIFKTSGRAPKVAGNTVLHAVNNYWQGNS GHAFETSDQAKILAEGNLFQDVKAAIEQGSTGAIFSSPDAAANAACSNGVGHVCEANK YDNSGSLSGIDSSFFSTFSGKGASAKPASSITGLATSAGFGHI FPSE_10250 MASAAVASSKDNPWETTDFPESGTDLVTKIHRKSYEAISPSRPE LSQAGNTILVAGASTGIGFTIAESFAAASAQRVVITGRRQDVLDEAAAKIKVKYPRVE VVPIINDFADEAATHGLWTKLAGDGIFVDVLVLNAAKMWLPNTLLGLGFDTFKGGLTV NVAAPYLWTSLFHKQREVNPDRKLALISLSTGAIHATQVAVPIPLYSLTKSAYTMMMN EIAMTVPAHEMQVLAFDPGLHYTESFARFADENSLQWDDIKLPGDFAVWAASEEAEFL HGRFVWAKWDVNELKSVPLRTKIEQNPSLFRVGVNGF FPSE_10249 MGSVTKVKACFSCTIGKRKCDKVQPACGRCNDRDVECRYPRTKR KRPHVSSTGSEDASSSTVNEASPAQTDTFLPSFPSNLINPQEWTRSLGNLSTLVTPGL HDTEGPASTSNQGDPTHFFLKPDSWVIQHIPFTTPTFSNSVCMNYIKGIHEFFNDWVN NGHSSFMHRQLYADSGYPVAIQDGFSCITLHNAKTSSNEDIVDVIISSKLSALLKSYP RSDDDTDSATDLDIREQLSRTQALYIHLVLALFSSSIGARANAEQHIQTLLSWTRQLW QAASRDPDICQPENSDGFAASTNAIVLDVMFDGDSTPRLWRSWVLSESIRRIFLMATS TIGVYLTLRQKWAECQGGIYFTARKDLWNATSASSWATACQSGNPLFVCSLECESLFL TAKATDVDDLLTNMFTIMWGMERVENWFARTAEPGQSVRVWRDNSSVKK FPSE_10248 MTSQAWLRDLCAAVRAADTSVDTDIAIHEQALPPKRDPWYQPPQ GWESKQPGDVLRISSAPALLKAATSSSSVYHILYRSSDSKGEPSWAVTTLFIPSSIYH SPSGKMAVLSYQHAYNSSNLNSSPSYDFTRGMARDVPNLGIKSTTSLIDEMVSFGWVV SIPDHLGPSSAFGASVQGGHATLDGIRAVHHLLDLETSSRYNTTMWGYSGGSIATFAA AELQSKYAPEVKIDGAVLGGLVDNFAGDFDKVNKSPIAGSLVSILLGITSQYPEARKY LESRLVPATKDEFMSVLDMENSETMKHFFGRDIYPFFQGGVADIRVPQLQRLYDEQAK LGSERVPSMPMFVYKAIDDEFCPTEWTDATVDRLCSAGAEITYERNTVGGHVSELENG KPGALRFLWSIFDGSYVSPAGKRNVSDVAVDVSG FPSE_10247 MESPIDLSQSTTGDRPPAKKKAPIRSRSGCLTCRNKHLKCDEAK PECQVCLSRGVQCGGYQRGLRWSTKHEKTAELTQHTFSTSPSPKSTCVAKRRRGRPPK KQLDTASMTSFTTTSSALSPTGIVDQGTFGEFVLDVPQSESGHSEPVEEVQCSTVADN FMTQFSMDLPVEGLPIEEFSWISDALDMSPTSQSFTDNHELSLFPTQQNYSTLFLPPP ITDLPSQLVDYWFRDICSLWSQYDSPTNANRMMATALWSTSEAVSTSLQSMSSAYLSS RMPHMKKTSISMMKNATDVIEAELRVVKSSPNLDTVPMGLIYGLFCIGTSICWLNASQ LGIPFLKEAKALLHRVNQQKRRLSEEERKLLNIFNKSWQYCEMLLSVVSDTNRFISYE AEEVEEVDDISLDEYPTAALADPVVDDMPHPWTGVSNTVSRLLTQTLRLCRNYRYHVK HPGPFATRDHATALKMIDEAKSLEEKLLGLDFESAPANTETGDQKTPCRHLVNVAEAY RLAGLIHIYQTFPELVLLRLPDDLTGPADVHVPWEECITPLSLRLAKLIEQLPADSGS KMTQPLLCITASTGLRFEPPDVLCTNLESQAQAPVATSSPFNLDNTEHCGLSDYIGQL VQADEDIDRLSTMTESRLGIVDTRCFIIGRLNDLEALLPPRPIVIAKTLVQKIWDEYD KEKPNSFSVHWIDVMEENNLRSLFG FPSE_10246 MTNHTNTPSSEGHLRPRLSKITMVAMTFAILNTWICLAGSLSLV LPSGGSVAFLYGFIFCVLCNFCLAASLGELAAIWPTAGGQYHFQWALTSEKWRKVMSF AVGYVNIFGWLTLVTTEGFFAAQFISAACVVASNGNYSVAAWKTYLIFMAVLTFGSLS MTFGNRILGAWNNLALYWSILSVFVASVVLLSTSNKTDPEFVFATFQNETGWNDGIAW ILGLLQSALSLIGYDAVLHMTEEMPTPSRDAPLAMVYAVGVGGTTGTIFILVMLFCLT DLPSIVATNTGLPIVELISQSTGSRAGTTFLTLMLGICFIHGTNGSITSASRLLFAMA RDKGVPYHAYFSHIHPKWEVPVRTIVLTWVFNTIFGLLYLGPTVAFNAFISSCTILLN MSYAIPVFTLIVRGRGVLEKFQNSQGNDTPWKFGKVRGLIINYIAVLYVFITSVFFCF PPVLPVTASLMNYVSAVIGIFAIFLIGYWVLYGKKTFQGPELDIILGERFDLSEATDE LAMEEKNIATRKQDVDGKGK FPSE_10245 MAYSGTTIAPEIWDNICAYLTPPSLANLRLASPEFNNIALPWKY RSIRLEAFGPSVERFISIAKTPNLRNLVREITIDTRVDLEWDYARNDNYPFPLAFMNA LPYVRYFTSVTALHIRFEQYCGNQDEYDYLHLAETYRFRYKVLDTIFHCAAGLWTLEK QLKIDEELDQERGAYDGELYPDEDPDDWNKKCDYSDQDVDFRGSCFALRELTISNLAD YADPHISDSKAWQTIMALPTLVDFKLFVVAQVDQDSPWNELFFIELYDFFDSLPTTWL SLNMSQNLRVLSLYFEDYWGWLPTMHFRDYGHDSPFPQLKVLALGNYVFSHEWQVDWF PMIGKENGSGGLEELYLDDCPILYHARQTAIRDDGYPDPFAIIRAGLESCSHEDYNFY IRWHSVLSQWKDQMKGLKVFRMGRGSWWDMAPRTFELISDDPEYEDLDRNVLDHRLSY NTHRTFACPAPSNQRSRREMWRHGVGLGDDRDTRMMYIEYDIGCGPPSWLEEDSGFEP EEGTTDKDDIA FPSE_10244 MKLSVKTPITSLKKWYRTTGEKAINRVQTFPIENSHDQDAFEGV DNISDGVESLHDSEDESANTSANTSANTSANTSANTSASISDEVESLHDSDDENPYPE SPITYNGGQYFDAEDYFYHDNGKYFEKALRPEKKPKPPQPKIDAYLLNELHIAMHGHK DPEVIQMENYVKQINEHDDAPLKPARP FPSE_10243 MPQATVLDVGRKLDKTPEDPVNGPLHVKKVTNKDDDYEVIAHPK DCVLAIDDDDDDDWDDCESPLAVADTTSPTVGDKSAAVASPAAIGAQQKHPLIKRATN KNARLQMVSALQFLQPEMKSKNCLATPTEDFHANQVTRSGLTFDTVGESTWKNTT FPSE_10242 MSTPVKQTSPKRIELGDDMDDFVLVLDPARRVKPRGGVQGEEQK KNTTALRKAAISGSASMPQGNKTQNSAEPPSPTTKKALDEYHAEMRKLAEASMPERDG LRVPCEDWFCTNRGSARFDLGAEI FPSE_10241 MPHKDVPLRPVKLTFDPVGSNTIGVPTLDFESLFREDNVSEDAP LVIHPEDMGVPWNTSLPWTRQSKFWAYAEAAGYELANGISLDKASERGTLPMELMDER RKWKIDELVEDAISCCAYLYPTSSPTRLALLTQSVLLLFLHDDVIERGATQNEATVVD EFLSMAPRNRHLKKFWSDVLQCDPVLGPDLLYAIHAFVRDGRVKSPFKQDHYATLADY MLYRRNDVGKTFMIAAIRFGSGVRQTREELAPFDELADLYVRHSILINDLYSYDKEVH EVKTVGASIVNAVAVTEQLLSVSPDLAKNLTRAITFDMEKEFYGICEKFMHSPAINDR QRVFVTALFDALTGNIFHSATLSRYVRHGERPLPCKC FPSE_10240 MKVFLAVAAVLVSSSIAAPATDKSVAVRESHLFNAGAQKGTFNA LSVELEGLINKPEGQKATTNVKRSKSSKKKALTKTYMISRKKGSPKPHKKHPKRLVKL KLFTTGFTSGPDIINDLDSTLDQVTVHTDNIDTILGQVEAGKLSEKQGTTDTLKEITG IRSILSGLLSRLSATRNTKALSLTDGQRQTIIEEIDQLVTELLRSIEAIIRTLGTSYN MNTSLNPMMNILTGFLGGLATADTGLAIKLRELVSLIIKDQTVDSDKSGLSLLLSGFE NSLLRLHGTLKATGKSN FPSE_10654 MSANRENTLHDLRIDVSALPDITQPEMDFLDSSFFQTRDSTQAR PQLPTPASLFEEYGNRGADVITIEELNLAIKTNLQAYLNLEEAQTLWAIRKVFPNGEV PVPELFGWRKHGDRVFIYMSLVPGETLRQAWQSLTEHDKASLQNQLKDIIGTLRTLKQ SPEIIGSVRRGPLQDSFFRIDGERGPLSSIKQFNDWLFAIATRQDPQPGKEIQGLDHP DMYRDILPDQGNVYFSHGDLTLGNIMVSGSPGTYTITGIIDWEQAGWYPDYWGYCKIL LGVELDHEWRTEEWGGKITEPFDDIFFAVAEYSLWCCP FPSE_10655 MTIHQDPILPKMRDMSLSDSTEPTQNGITSPVSAQHEKITPSKT GLLSESESEAWQRAIEKVVRCVVSVKFSHPYSFDTEISKTSEATGFIVDAERGLVLTN RHVVGPGPFSGYIVFNNQEEVEVHPIYRDPVHDFGFLKFDPKAVKYMELTAMQLRPDL AKVGTEIKVIGNDSGEKLGILSGFISRLDRNAPIYDGYMDFNTCYYQANASASGGSSG SPVVNVDGHGIALQAGGRTDGSTDYFLPLDGPLRALEQIQNGDKVKRGEIQTVFKLKP FDECRRLGLNPEWESVLRKSFPGEDNLIVAVDVLAEGPSDGKLKEGDILIKINGELIT QFLNLNTIFDENIDQTIRVLVQRDGEDVEEDITVQNLNAITPDRFVTVGAACFHDLSY QVAQRYVLPCRGVYVCKAGPFHPTHDNYLMVDSVNHKKTPNLETFVQVLKNIPDRARV AIKYWHVWERHTIRSAVVAIDRHWFQRMKMFTRNDDTGAWDVDVLAEPLPAVQPNKLS ASFDTLEHIPLRAVAEIVRSFVNVKFSAPVLIDGQSTRVHRGMGLVIDAERGFVIISR TVVPTKMCDIELTFADSVLIPGKVVFLHPSHHYAIIQYDPSLVDAPVKSAKFSTERIS QGASTFFVGHNDSDEMVYASTAVTKVIPQEREPPNPPRGRPINVDRIDVETRIGAYCS SGVLVAEDGSIQALWVVYEVEDLDEACFGLSSQAIVPIAEKLSQGIIPSLRSLSVELA AVTIIEARVMGVSEEWISQVQSRSSDRRLFMVKRASSGLPNSLEEGDILLTLDGKLVT QLSDVEAMYWKESLDVVAVRSGEQISFKAQTVLEDEFETSRVVNFCGLTAQKPHRTVR QCIKKLPSEVYITSWLVGSPSNLYSVYATTFITHIDNKPTPDLEALMNVVANIPDKTY FKIKMTDFSGTPSVVTIKKDERYFPTVDWIRDETQAEGWRRITYENGEAIQGEGLYGI SL FPSE_10656 MLREILYGAAGFVLFAYTLEWLFSMFDDPREPERLQSKIPLFGH LIGMMKYSSGYHGITSKQTDQEIYTVAIFNTKLYVAKTARLIPLIQRSKTLSFRPFMQ TAAKHMGDANPATFEVFGSEWVDSFSHAHKHSLAPGPSLDEQNLRMGDRALIDIEELL PREKNGVSKVSLLEWVRYAVVQASACGVLGVENPFRDPKIDAAFWKWQDYMPLHLINL DITKKGYAAREIVFDAFRKYNMNIPTDVSAVYMARQRTMQEAGIDEDDICKQQATFGT AAFVNTVPIMYWTIYELFSRPELLEEVRREVIEHTVSGNKETGFVVDVAALKTQCPLL LSIYQETQRTRHVHANIRKVTEDTLLDDKYLLKAGQFVMMPGQPVHTNQTTWGESADV FDPYRFMPKDGSDKKAIASSSFVAWGAPPHLCPARQFASTEIMIVVALLCVRCDMNPA SGQWVRSPALNTGDMATIYTPKKDVELEVRKREEWDGEWSLIMGESKTRVSLASG FPSE_10657 MDKIEVDIWKQDMSWFDKYFKGICWKRNTPQRVLRGMSIERGVI QHLLMPTAHEKDSNPWPETQVVGIEISRESDHDHNSAIGILYDSADRVFQSQPTRLFL HGFYLCGDELELWLFDRVRLYRSGIVHNVPFEQFEHDFMKMNDAQLGLNPMIFHTSEK SQYVVVKGDEVETCDDKVEPNSTYKLWLDKEPITRPQVIVSDGPTVYRATNDKGDEVI VKFSLRDESSRKEEMFMKRVTELNIWGVNQLIAQRRLETNKYLTACVVISPVGRPVSN YRSVFELISCLRDAIKAHRALYFDAEIIHRDICPGNFMINKSPGAQKGQPCGFLIDLD SGRDLRVPLEGPVPDMIGTKAFMAIDVARHDEDFIAHTYRHDLESFFYCFMWLAIAEH GDVSPDSRIFPWNKDNTGCVRSANQKIQDISDKERFSAIVSEFAPAFKGTEELAYALR KILFFPDDGPFFLGTKSSVEETNQLYDAVIDALDQAVSRMT FPSE_10658 MATVVIIPGSFAKPSLYEHLVQSLARDGIQSEIVDLPSVGRKEG KAPATLSDDVDEIASVVEKILDEDKEVILLAHSYGGIPATQSLETLSQKARQSQGKKS GVTKIVYLAAVALPVGGSVLALLTAPDYLIIEASSPFFHNEDDYMTLTPECAPFIYSE SPPEEALKLARELPQHSTASYRDQLTYAGYKDAEVHYIICEQDKLVFPEYQFGMLELL KGMTNGKVGVHKIQSGHAPHLSQPDNLTKIIRQIVEEK FPSE_10659 MDGNKRRPGRGGRGGRGGKRGMHSQSQSHRGGRPSQNQPRPEQQ QDTSENTIMEDVAPPAAAAAPEAGAFSTRRFDSLLENNQVDPIVVRTITNDMKFEFMT PVQASTLDELLPPQRNDCLVQARTGTGKTMAFLLPALQTMINQKRGSGSGISLLVISP TRELAQQIAAEATRVLKNLPQYRVQCVMGGTNKDREERAILGGCEILIATPGRLLDHM SSEDIRYSMRHVDTLVLDEADRLLDMGFLKDLRSIVKQLPDKAKTNRQGMLFSATIAP HVKQVAELVCSPQYKFISTIPEGEVNTHERVPQFLVQVPTFSDLAPAMVGVVREEATR GQDFKAILFATTAAIADFYNSILVATPGLPPVSVLHSRMTQSKRTRITNEYRTARNAI LVATDVVARGMDFPGVTAVIQVGLPSDKESYIHRLGRTARADADGRGILIVCETEGFF PKYTLKEINFQPREADLSPTQDVIDLASKMEDDAKAKVYQAWLGYYNSHMKSLKWDKT ELVRQGNKFAYTGLGSPETPALQKSTVGKMGLKGVKGLNITADRPRTKHGAPGGHGDN GRGKRGRM FPSE_10660 MVSFRVAITLCVAYLSYLAAAVSLEDIPKCSLPCVIQIMSNPAV ANSSIEAMCAARDMEKMIQDCITDKCTIRESLEFADFVSEVCNFPQEDYRPKYRAIVI AWTCISLVAVLLLIASKIFVATPWGLDDTFSILTFCIIVPCTIFFLRTTDDGFGSTQA LYQSANFSPLLKNIFVWQILFIAGLACAKTSILLFFLRIFPGHKFRRMVWLTLAFNTI TTIALLVVQLTVGRIVELVWDREDLAASMDKYKKPIIIILAHCAVDFCVDAWMLVLPM TQLYNLGLRTDKKVRVMCMFGIGIFLTVVSLVRLILQAKVVPNPSETDSKLQSTVVWA NVELNVGFVVAVMAPIRQLFQLVAAGGKPGDETTTNRSRSRTATFVDRSLVRIKDVDE EELVIQDVGNLCGTTLATSSSRGTTKRHDGDDVELSHLGIINNGKGQN FPSE_10661 MNYANMIEEKNLKKTFIIATLCSTLVGTFTSSMGLWDRVKEKRI QAKRDTTQDEEIKKLKAQVEKASNERDRASHDRQRIRDEVESSFERSGALINREFEDG YQRYGNRFAIGDVVTENKLQAQVIALQQTVINVLQDALYSGRQLDRADMARLVAASDA AREGSLGALRQQRQRLGELEGPIPPPPQALPPPSRASTVVRDDPLYCRYALDLQYIPE RPLSSSFAPRGDCLCPACDVFLDVEDDDGWAIGKTATRLVTEQGYKREIDEELEFHVS PRFVVKCHTPEGEFACVLCSRFREEDVLCPTADTLINHIGRVHTVAEMEREPDFEVRS LDLDRRSLASARPIDMNKRIMPPRSVDSGRGSSSGKSVVDVDRRSARAMSVDRRSMDR RSMDRRSMDGRSVAGRSVY FPSE_10662 MEARVNQAKKQLLEAGRKAQECKDKAKRDFETDKIKDENQAFQQ WAVMNYPQLDAMYQEYDAAQGAYTGVLQAHSASEAMEWQKEKNRVHMEKMHSDDQFEK VFIIILPED FPSE_10663 MEIDPFVLAAFGPPPDGIDLSANNEAKNTGVVLLLFIISAAFLA GRITLRTKQTYGLSADDYTIIVSFLFVFAVAIMVAVAGHDGVGQHVWALRINQLSEVV KVCPIKSITLNYCFLFASAVSTTKISILLFYRRIFTRGGLAFRIGFWFGTLLVISYPI IFVFTMCFCCRPISHYWTQFMGSQGSCIDVGQFFVALAIMNLITNVIVLLIPVPEVIK LQMSREKKAAVFGILALGGLVCIASAVRIHYLSVFAREIDTTWHMGPVAIWSSFEPSI GIVSACLPSFKSLLRYLRGKNSKKSSFITPPDWAAGNKPRRMSSKPFMKCPDRLRRCG ICSKLFRPVGQWLDVAWHKDSTYRQPFS FPSE_10664 MDFSCHVCIKNESDKDLLLDDSGLDSGNWPLRQPLNLIEAGTEQ TIYLAQPSWGGSKAWVTYVAEYGQGWTDFTLEFECPALPFSKNHVSVKDCSRVFQIDV THVQERGSPLTANVTIRMKNKRNALAITKHDQVRANYDIGVGVSFPTKMDIKFPVHES IVVAAFINSDMIFPRGTVYNNINDKQWEFFRGVVWNDDPSCLLFEDVTQDNRMFSLGI EWLNAFKFGEEKCMTKRSHIGNLQFFHGMGSKLGEKPEKTRKNILTWMEVMYKLACGN QGVSEDDALNDVLHGYFGKETVPSKSDTLRDLLLGTTPKYNKAEIQKRAFGVCMHMIS DSYALGHTQRRLKNPADMIDRDTAGYIRFRPDTYGDWGSIVCFHTYNDQDGDRHSHYD DKDGEIDPTPRDVTTFNETIGARNAIDACTELINLFVKKTQWDDGVKQFLEDDIFVLD RCARPADRFTDESVVSDLYTCQEKTEKFDYEAGLQRKLASLEAGVSAGVAVKGGLARK SRVISGLALACFMMSALLFAFLTMNIRGYTC FPSE_12192 MDPKQAFLPSVNRLHTTSRNAWLFVIVLTTFSLALAFWHKDLHH VLPHWTEEKDASVASAALVRSYHLQTGVRWMNPDGGRWRVMFTCNGQSPCPTLYAEEG DIIDLSVKSDIYAQSSIHISGIGHKQTLGPWNDGTAGLSQFPTLPRSNWSTAYDTSGA WGLNWFIDHTTTASADGIAGAIYVAPAPDRPRPYHLITNDSLDIRQIREAERAIRHVI IQNHQHRDTVWKLLRMRVEGSEYYCYDSILVNGKGRVHCRQPGYDHINGHALDQKGCI QPPGLPDETCTPSEADYEVIETQGQRYVMLNLINLGFEHSVKVSIDHHKMTLVANNGG FVFPETSDVVYIPDPSRVTVLVHLDAEPDDYAVRISSTSELQNLHGYAILRYRAKRPP QYGEPMTLPPTKPETICLFPDGNTHPDCSTTDAQFVPPYPANPPPSVTKHRPAQADLT FRLAADSQPSKTERVPEYFLNGKPWQLFHGSMLPLLFHAANETLEKPVISNLPLGSVV DLIIENRINETISFYKHGEPSWLLGSGANERFPGKTVEDAMQRPGVPEKLLNLHNPGL VIVHDLPALGWSVLRFKVTSQTATMLHAAKLRYFALGMTVPILEGITVDTPLKVPESV VDRPHVDFKPAHDGIFG FPSE_12191 MTTIRRCTASFVLSFLLLGDLVSGLRRYNFTITSQWSAADGHGR PVFAINGQTPGPLITASEGEEVEVDRPWNDGVPGVTQYSIQPRDNYTYRFTVQQQYGS YFYHGHFGPAFADGQRGPIWIEPAAWRPRPYKTISSSPQDLKGMKRAEANPRHIVISD WNAEPMDILLIMYRDTGIVPWCSNSIVMNGKGRTYCHSRELIEETGGSGRNLLGCKVQ PKQGLYANAQVCEPTNGELEVVAAADGEEWIWINFIHSGAHHELQISIDEHEFYVVAA DGEFVHPQKVHTANCNLGERISILVHLDKSPGDYAIRLSSLRTEQVIQGLGILRYPSK TNDNEITKIPTSRPWVHLNGTLMSTSSTSMDEMKLAPFPARPPPPTSDQTVKLFINMT GPSAWSLGLGSHQAFRQQLPPVLWEKDSRGSTTLGAQNVLWNGSVVDIIFENGANVTS QHPFHKHNHKAWIIGIGHNGFPWETVQEAIDSGAAEYFNFNDPPIRDGCRLGNATGDW TVIRYEITFPAASWTADSSS FPSE_12190 MVGVPGRSKGCITCRKRKKGSIWLNSKGSEQTSYTKSPQRDSLD TKPISKPPRASIITLHDSLVRTARTQKFTGLFWVDYLSGGNGFSLKASGTTSFQRMRL YEDLSQVEPALQYVAMALSTATLGANRNDMQLTRKSQQAYGLALQQMAVSLNSFRQDK DGMLAAIQLMRVYEQLFGTAFIDDPRRPTAQGFKKHIDGETALILSRGPGDIWSSMGR QLLADGCLTLINACISRRTRSPFSQQQWKRTPLWRSVTNSPLNKLIDILVEVPGLLED LDFFRQASHSMKSEELADTLKDACRECEFDLLAWEVEIGDILTTYDYTVMGKVLPHPN NDDDLAVIYLSCYYWMTCLMVYSTLGFYELEETETGTERHLMDCPSQRIATTYAYRIA HAIHLLFQPPAGDYSSVAAFFPLGNAIRYLIMTETYGGQRMMSNERLLLTKVFTGPLL GSFVGQFLKNLQADDGVDYGYPAERLRAGVPDMPVEGACL FPSE_12189 MAAPTRPPANAFVAKVRKVYNPIGFSKGYNFVLWFIFAGAMLGF SLARMMFLDYTGVYCNPSNQGGGAGPGECFSYKSKAAYQIGIKLHLYTIIPASLLVVF QFVPFIRYKALIVHRMNGYIVMILAVIGIAGAITIAPVAFGGSLVIRGWVGTVAIMFV GSLALAMWNIKTLQLEQHRAWMLRAWFYAGSIITIRLIMILSALIMSKNPSFRQPMQC DKVATFYDDTESLVAKYPTCQDLDAWVAVQGDMGGESAENISAALSLGFSLAVWMALA IHVIGVEVYLQLTPAETERLRKVSYQRQLERGFKNPGSSGLTADRLGDAEKWSPGIDA VACPPAKRLNSDSSIPTV FPSE_12188 MSFPWCLPWPQQSYWDEFNLNELKIKVNPSSSRKHSDLSISSSS PELPQYPYFWGKGLRGRGIGRLLDRHSKAKHPVHIGYAEARSLIGRGTVDDLQRLSNL ASIFVIEVFEDIDESSGASSASSSLGSGSRVTQLKLSPELEQWKQSQHAIGNVLPPKG AGLSPVSEEILHILGAEHWPEALKTTNALFGCGIASLLMGAADSNTMFSNYVTDMAFY YEHGYNYVFPNLEPLLEKGLNDPHALRTPGGRERRDAVAIGKRYIQGKIALEKKHKDN LLNRSARLDRRTAQIVSLSESSLLGMAAEATARGFDAGAVMADLVFSSPGTDVVDVGC DLVNSEVMNSFLNVTDITETGIVSEDVLRKVYDAYAVMGARMLTQRWHEPVARMCAAL YTWHIQNDRHMFFRRALLGWQKARKTPAQPQSEADFDEVFDKKFRLTGFSRPLDAKYT CNGEDTCDHVHEHLARHNEEPLLKELWWYLVTGPLAYVRGGKVDEATELELAEGSRLR MAKLFVKGRVLEMVWLIAHANFHAWQVNYLFEAAMFGSILDGGKLIGKLDRKEQY FPSE_12187 MAPSDGLSSIQYRSIDLSAREVRLLELQPSPTNDINDRVVCRLV HEKLTDSPDYMGLSALYGDITNTETIILNGNKVAIPLDLAEALRYVRDVFLGRRISTA HSTSALDLELQRNNNATAQDQQQESPPPSPTTKRPGWLRTFLKKLVDPGSAKADKQPP LRIWVDLLCIDRRNKREQAERRASMARAYRQARLVVGWLGPKDSTSDLAIEIIRAWDS CMPANFGEPGDREANPKNYAPILQWMGPVAHLSDIPEGITDPTEVPSYKAISGFLNRP YFRNTWILDDISMAAFPTFMMGDGIVSWMQILRLNRVNEDIKDHGAEMFPSELRPLLE YMPLGSVYTFLKEFDRRQKQEEGRPPMCSTPASSIRSSVSMTALKTRQR FPSE_12186 MARAMGWHKPENFAGSSIRAILVGLFVSSGGLLFGYDVGVINGV LAMDVFQNDFATDQTCRDENDHIDLCPIDSSLIVAILSGGAVVGSILAAPAGDSIGRR KTLFLAVVTFCIGAIFQVCAQATPMLLVGRALAGVAVGATSVLVPLYQSETAPKWIRG SIICAYQLSITVGILGATIINVITSGMNSAAAYRIPLGLQLVPGVILAFGIMLLPETP RFLVKKGRNDDAGISLSRFRRLDITHPALVNELQEIIANHQYEMTLGHDTYRALFTSN SSLGHRTLTGCVLQMLQQLTGINFVMYYGTTFFSRSGVSNPFIINLVMIIVNCVCTIP GLIVIESWGRRKLLMAGALGMAVCQFIIGAVSTANEKSSQDLGNASNMALIVCCAINV FFYASSWGPVTWVVTSEIFPLKLRAKAMSVSTTANWLLNFAVAYAPPFILGRRADAFG LKIFFIWGTFCILAIVFVWFMVYETSRMTLEQIDEMYERVSHAWESPGFNPSWSFQEM HNTGWAANGQAELQSATSTSNNISSSNTEDGGNDRHSIHSNAPPNSATPIANVDFSY FPSE_12185 MASIVDTVKNTIAENFGGPAEQLATHQFSLSETPNLSGKVAVVT GGSEGIGYGVTHTLLTHDISKIFILSLSEEVVKGAKKSVAEELGQEKADRTEWVQCDL SNWSEVKKAADKIKNSTDRLDILVNNAGRGIQTYQLSSYGVDQHMATNHFGHVLLTSY LLELLKKTSEKHGTVRIVNQASNAHQGAPSDVKFESLEELNTDLGPNPQYGRSKLANI LYTRYFDRNVTKAGSPKILMNATHPGFVSTRQSLEHIHEAYPLAGYAMSVGMEPFKKD QFQGATSAVYAATMTDKSGQYICPPAVPEQGNEKSQDEKLGDQLMELTRKIISEKFES FDDRKFY FPSE_12184 MPYCRDDRILPALNSHIGTRPTHWAVIESLSQSRGDSPAIRHVN SESINNEFETISYAKHWQNINIAAKNWVTELSQVGIAKGAVVGLCNAAALLYDPVCEP LVKGCPVPTFSTGTVLGKDCPAEVQLGTATSTDGDRVLVIFHTSGSTSGMPKLVPQTV RWMDCLIRKHRHMNYKPASVASFIIPYPTSELEHMISKGGLTILNTFPALLSGMLQKA RESSPFLEMLQSLDSINFAGQVLEPKDEAWARQNEIKLINLYGSTEIGLSMMSSLTSP HVSPLPESGCRFIPLSESASSPNRLFELIVPAEADECPDPPLRDKSDGMFHTGDLFER VDVDQYVYKGRVDDRIKMQLALVCDAGSLESEAMQVCEGDLISAVSVIGSGRPSPAMV VEAKNDDILQADEDTLLALKQQIVQRIAPFHQRKYLHERIDDARLVFVVAKGTLPRTA KGNIMRKAVEEMFATELDQTYHNVYVSKAV FPSE_12183 MASSTPQQSAGLGISEGGQSRPPGDDRAPYLEDFPGNQQRKKNS SRQRVPPRQHHRNPPRQRRVHSTDDYHVNYAADAQPSFLESPFAPVPRSDDRYRQHEP YQQDPSPWYPQPSPGEPSTYPANYSASYSYPSDPYSYFPGTSPPTMTPYSQPGPYSQP PMEFDQDYTTAVTYEPPPPPPPYPEYPTRTPRRPPPQPLAPRQPASSPEYIMRGAILE VPERLPKKKTPSRRTDGTSSSNDQRTLLVMGQVLEGLNGLQRHLEDRSSEVRSDPGRL LSTRRPRSVSTTSQYAMEETRSLDVERQERDHLVGIINRLLEDRERQGYRNPYLQSQR RDIAALIEDSIGLNENEMNRALIRHGDSKEIESKLDTILDLLVERRSNNGQTLRPILQ RYHSQRQDHSVPRADQTVISISSSREPNLRRHVLQRRASVTQPTPKERQYVQHHTAHS SNQGRIRVRPSKAAEPAADDSDAELEEDYDLFQGYETQDSPVQEDLRARRLGLARDME YDNARSEAVSEQRHGTNIRRVSRRLSEGGEQRMKPRYYATVETVDDDDDDDDDDDDDD IEDQVPIPTRSSIARRPKVEQGEKKIPYVPDPPVSIVGQRRGARVRFDSA FPSE_12182 MDRPNEAIYMLSEGNHETRIIREPYIPKSAQSLVDVQYSGINPA DTRHMYMNMTNYVAGYEFTGTVKQVGPESPFKIGQNVFGISLMYDRRPNYLGAHQSFL LAEPLMTFLRPDHLDPITAVTLLAGGGTAMDGLFNVLGYGFAPADIPGDNPKDEPILV WGGAGCVGQAAIQLAKAAGFYPIITTASPQNHEALKGLGASHTFDYKSPTVIQDIRTL IESSGWLLKTVFDAVSTGLGVFEGLTKEQEKEIQEKYDRSSSSMARQCCDPKVPSSEL RLTSVLPVKKDPTYAFCLNFRPVEVLDIINDGAEWSEEFRQGEVAKMKTWQARIEKGI KWLVENHEKYWEPPRTRVVKGVEEGLQGIRDVWQGKVSREKLVINHRI FPSE_12181 MSPSKKEEIPSDLEGIQRSNSNCSQNEGTSQRIDDAVFGEVSED GPNYRNVGWIATVALMTKTQIGLGVLSIPQTFDALGLIPGIICLIAVAVITTWSDYMI GVFKRRHPQVYGIDDAGYLIFGRIGREFLATVFMLYWIFVAGSAMLGISIGLNSVSSH AACTAVFVAVAAILGFCFSSIRTLGKIGWLAWVGLVCIMTAIFCVTVAVGVQDRPAAA PRPEEGHWKSDWKLVGNPSFVDGITAVSSHIFAFSGTPAFFQIAAEMREPKNYTRSLI TCQSIVTITYITIGIVVYYYCGSYVASPALGSAGALMKKVCYGFALPGLIVTAMLMTH IPAKYMFIRLLRGTKHLNSNGIVHWATWLACTGSVTIIAYIIASAIPVFGGLVSLIGA LLGTMMCFQPYGCMWLYDNWNKGLKNRSLKWYFMVGWSIFVIIAGTFMMVAGTYGSIV GINNSLKANGGTSPWSCADNANSAGGAH FPSE_12180 MSFVRAFSFLTAVSLVTYTILSIATSFGPAEMPEFNKNETVRDI PAFGLGTWLAGKGVVVPAVEYALKGGYRHIDTALIWRNEEEVGKGIKASGVSRDEIWV TGKLWNTDHRPELVRKAIETSIANLGVEYLDLYLIHYPVAWVPETRDVDNNTSLIDTW KAMEELVRAGLTRNIGFSNFAPKDIKKILEIASIQPYAHEFETHPYLQQQSFVDFHKK ESIKVIAASPLANTNPTYGDKYPSILHDEFWVKLAEEKNATVAQTILAWGQQRGTIVI SKSTHSNHIAENLCSQGIEFTKSELEEIAKQDKKLRLLNPIHWGMDLFEGLDAGAAEK EEPKKEEEERNLDL FPSE_12179 MHFSNLLPTLGGLVAVAQASPHLLPGVGLGLGVDVSLGGKGAGI EAGVSLGSTKETVADLPKKVTADPLNATKQSSANGVSTCPLAHSWEDHTLFQGVAAPA SASGAAIKLGINLPKAQIQGDATLELVKGFLQEPRVRIGLGGVKAYVEIDISASAAVQ ESIELFASPSLEIEVPGLLEAEAGAAIALDLVVGVDAAIDLSAGVYLAFGEEAFVEVS LLTKDIVDVSLEGLVAKALPIGVAAEVDLSAAVNLQLGLRLRTEIDLEADLDIPVLDI EAGAKVAVWVSLFEYTAALVATDNCAVSVDEVIALTLGLAVDLNVEIGDILELNLAPT LTVELATAAKAKVCMPNRGSTGSFIEQAGQGKDGDKSHTRVISLTTVPAGSEATQGYA TPDASVNSADPEATGSAPLYGSAAKPVTTAPAVIATPAHGNGTYGDVTRTIKSTKVYT ITSCAASVINCPARYTQKVVTSTIIESTYVCPATETGAVPAMTTSTHAAPVPVTTITD TLTTIVPCKTRTTKTFQPPTNSPPAPTVTIVESTTYCPETGKSTKTGGSEVPHVTTGV PSKEIPNPPAPTTEANEKPTHSAPTPEKPTGDKPVLPPVATVPYPPHGNGTVPTPTPV VPVVPSSYVPPVVSTPVAQPPHSVVPPPVYAPPSEVPPVASHPVGPPPAVVPPPAAGT PPATAPTPVPVSGGSVVRTGLMLAVPAVIAVFM FPSE_12178 MPTSTEFFGYHFTNLGPLTTTYEPPASCTTATTDHLYYANASEL SDQYGAVSCGAKMGDCYPSGSAHDEINSRNLKIGGHPTVDFFSPGVICPKGWTTAGVF AQGDEATKDGVFTKAVTADYLLGAEDIWGGVLEPGETLALCCPSGWTGGALGWDCFSS VKPFESGTYSSYCQRTAPLSAIASAKTVGTSVLSDAIFSLRTFDGTEMTVPFTTAAGA WPTDLSEVDIIKRFHAVGMVYKEEDMKDTNETESEGKDDDNAASTMNGAGFAPIVAVI VSMFVGVGMFFN FPSE_12177 MTSKTPQELLSALLQTLEHDIVPLTEQGVASGSKVFGAAILSSQ TLKPLTVSTNYERLSPLLHGEINCIQTFYTKTFPDPKTRPNPRKECVFFATHEPCSLC LSGITWSGFKELYYLFTYEDSRDQFSIPYDIDILEEVFRVRAEGESDTALKDRALYNK TNRFFTAKSVQDLVNEIHDEDEKQRLDAEVNRVKALYNALGEEYQRNKNNEIETSSTW K FPSE_12176 MQYSLALFWLPFSLAFHLPNAFNKQVQRSKTPINECSAGQIIDL LNMETSDEGGYFYQTFKDPDVVPGTNRSISTAIYYLLQGSTGQSLWHTLDAAEVWHYY AGAPLVLSVSYNNGSCGKDYVMGPDLFGGQRPQAVVEKSQWQSARSLGEWTLVGTTVA PGFDPNGQVLKPKGWEPKSCKPTQ FPSE_12175 MALLTQHDTEQPTPASARAGRIRSILRKPASNLALRISDLDRYR LNMWEVSWAAPKESRKEHRENKESAPKSASQTGRSLFSRGSSSSSNDIPIFSNLYKRR NNVETTSPTASTSTSSTKTCATAMGNYELDSDRLSVFSTSSEQTTVHVSHFVDLKDRK HGQLIDTSRPLPDSYDRNNSHNDPPSPTLTTSSAPWDTDTLENVLLRPWPLIQTLSED SFIARSTEACSIPRTSCDIPYLINCGVTIMATSSVKSKSRGRSSSSKSNPPPLPPRPH SSRSYTPMPRTPRRMASYNNSLRAEALDSWKPPNEWGCTPTEPSFQFPDNVDLPEPDI PKELNAMQLDVKRLAREDNMARLLRLTESRDMKVSPSSSQDMEIEKMQWMLSALYNMD GPNYPEISNENTECEPSDPPKKVLALYETPAVTSYLAAVNHSKQVYHLSAAPLSPITY PNIHPVLSPVRSPSAFPVAPSTIEAVHSLRLPLVMPSQDIPALLRNIHRCLEPGGSLY LTIIDPLPLTSTLGPLLRTWIEDHLLFNLEASFRCTNPSKLLPLWLKTASLLVDPNLV ETSQFYAIPLDDSQLQYVRDGHESEEGLRQELRNIVGRMLWMEIWREYIIADSWWWDD PNILHECSQLQTTWEWRLIEAVKDS FPSE_12174 MATSIMAALSSFMFGLVTSLPIALAPDMGLNAYFAFHVVGYKGS GKVPYGVALTTVFLEGLIFIFFALTGLRQWVVKLIPSTIKIATGAGIGLFLAEIGLSY GSGIGAITGGWNATPLTIAGCPIEMINLQTQMCDSAIFVGGIFVVYLMAFRVKLAFLV GILLVSVVSWPRGTSITYFPDTPEGDSRFHPMKHTFNALDWDIAKYGTQFVLTVFTFL YVNIIDATATLYSMVRFCGVVDAVDGDFPRSTLAYCCDALTFIESGAGIAAGGRTGIT AMVTRVLFLVAVMFGPIFSSVPSWATGPTLILVGCLMARQMMEINWCYIGYTLPSFVV IAFVPFSFNVAYGIIALPSVRISGGRLEPENYGFKEYWTWKAPRNKPWYIRMFRNSGI PKRIRL FPSE_12173 MVNEKLICVIGATGNQGGSVARRFLDAGFKVRGLTRNTSSDSAK KLSAAGAEVVSVDLDDIETLKEAFKGANIIFSVTNYWEPFFRPDCRLQAAKEDISCRK FAYNVEVQQGKNIVDAAATVAGGLDKNGFLVSTLSQAEKCSGGKFKDLYHFDAKADVF PAYVEGKYPELAAKMSCIHTGFFFTSFNILPDSYMNKLSDGSFEMAFTTTPTAVVPHF DPVGDMGNFVYAVYQMPPGKAYMAAGTFCTWPEWIETWGRINNVPVKYRQITPDEMTE ATPDKDAGIETGLMFSYTSDPGYDGGMELLTAKDIEKAGIDCPMTTWEEWAEKYDWSA ILQK FPSE_12172 MASEQEDKSATSPVASQSQTPPPPVTSLPGRDNRDQAGDGNEMS QSPKTPTENQDRHDEEHEPLQVDEEELPGNDADSTYGSDRDSTYTGSVTSSIYDYQYE NGRRYHAYREGQYVLPNDDQEQQRLDLQHHIWRLLLGGALHIAPLPKLDDQSEYRILD LGCGTGIWAIEMADEYPNASVAGVDLSPIQPDWVPGNCVFHVDDYEDEWTYRENEHFD YIHGRALCGTSANWPLFYSRVLENLKPGGYVEMQEYDAWIFSDDDSCDRAPWTMEWVS KLDEASRMFGKQINVARYQKQWMIDAGFEDVQERVYRIPIGPWAKDPALKELGKFELT HMQMSVESHTPALFTRVWNYSQDQVMVLMEGVKREFRSRDLRLITTYRFLTGRKPLQA FPSE_12171 MFRLSSIITAGLALISSVNAACGDGTPQGVVSGSGSSFTATVNG ANVYSGSDYRLAIQTALDRIGTNQRVTVRASGSIGASTIVVTSGKTFEVCGTMNVVYK AGRGAIEAINQNDVKIPYLKMTGNPYFGMRFSGTRNLALGDITMNLSGGLGIRFDRDA NWNYGVSMGNIVVTGAGSHAVETFKIDGLTIASVKARDVGESGLLIQESRNVRVGYVE GNNVGAGTGYATLRFANENGKLNNVYTTTNVFVDKVYSRGGGRGIFCVSQSGAAEIKS IDLANNGNNAILIENCYNLAIRDGVINGGGEVRVSARSEFPNTSGIYVKAQVNNNSVR ESPCAQNIYWGITGNAKKNVC FPSE_12170 MRQEYTTLILELGGVLANFTTKNTVGLRSRLIKAAFDSSYWNDY ESGRATEAECYGNICQEFNIDIETWTRALEQMRKEGLQANTALISSIKELRQMYTKIK VFCLSNIPASESDALRDEIHSWGIIDEYIVSGVICQRKPDTAAYEECLKIVETTVSSC IFVDDKAENVVAAQTLGFKGIVFSDTDTLVRDLHNLLGDPVARAKAFLGDKVKASDNI LLDNYSQFIVLQNTGIRDLIMPESGGRDYFKQGSPILTTTIHPEGSDTTSLALAVLDN VPMDTKLKARDEILSNLNSDDLPLCWLSNSRPQFCHCICASIFRFFVINGWGEDLPRV NDFVCRLLETRAYLHGSRYYKNPDWLLYILSDLCESRPSDSQLQRMRHLLIKCVRERM GFDDDIFGAVLRSLSAQSLGFQSERDLKIVLDGQQLDGGWELTWPWGHGAESLQVRSR GVLTAMAITAIERANMCF FPSE_12169 MFEEKIIEFDPSAGSIPLVGATIVVDQSDYPGIIRAAKDLAQDF GRVTKGDPSPLVLLSSEEDYAHIHTKTAIFVGSIGSSPLIKRLVDSGKLDTEAIDGKW ESFTTSILNEQLGTCEQALIIAGSDKRGAIFGIYTLSEQIGVSPWYWWADVPPKHHTG IYAIGKQTVHGEPSVRHRGIFLNDEAPALTGWVRETFGGYNSKFYSTVFELLLRLKAN FLWPAMWPGYPNPGASFFTDDPLNQKLADEYGIVISTSHHEPMQRLSNEWFADNPDGS WNWLTNKQKITEFFEHGASRAKNCDSYFTLGIRGEYDKKMLAEDPASVVQDAIETQRK VIEKVYGSEDAVPQLFAIYKEVQSMFETGRLTVPEDVTLLFQDDNFGTIRRLPTIEES RRKGGAGVYYHLQYVGDPRSYKWINTNSLGKVWHQLQQAYHHNARQIWVFNVGDLKPQ ELPISFALALAWDVNSIKHNTLPEFFHRAARREFGADLADEIGSLWHQHDRLLSLRKH EHIEPETFSILHYKEADSILGRWKVLLGLAETLHSRVPEEQKAASFQLILHPTKASYI YNALRINQARNKLFARQKRNSANKIAQEVLDLFDADFDLSEEFHSLLNGKWNHILMQP HYGYEDTWHAPSRDMISGLSFVQRRQNSNPIVGQMGVAVEGHEGVRVGRINEESERTH PSRRDLVPGLTLGPMSRYGPNSRWFDVFTRGVPTINWSTSAPYPWIILSQTEGVLVPG QDDVRVQVSIDWSQVPQDFQDEVLIDVRSREGDFEQVHLPIDGRQVQNSFHGFVEQSC FISIPATDCHLEKPYITLPDTGRLQTGSVALAPGTRTSSAIPYIKYPLFTLSETDTTE LVLYFGTTLDLSSEDVLTYDVQLDESQVQTYNLQKRTPQSEKNAADKGWASADGWFYA ASDNVWVRKHKLGALKTGVHDLKLRLNHANILLEKIVVNLGGMQESYLGPPGNTKA FPSE_12168 MKFFSTLSTLAVALMMTGEAVAGTYKGFSMGANRADGVCKWEAD WKKDFQAIKSWNKGFNAVRLYSASDCNTLVKAVPAAKATGMKILVGIWSTDDAHFGRD KAALLKAIKQHGTGWIAAISVGSEDLYRKDISPQKLAQQIYDVRGMVHQYNKALKVGH TDTWTAWVDGTNDVVTKACDIAITNGFPYWQGVPIKDALRLKTFQNSYWNVKKHVQAV NSKATVWVGETGWPTKGPNYQKAAATTASLQQFYNNVGCWLWQQKDASGFWFTAFDTP AQTTEVEKYFGVANQDRKLKFSLTC FPSE_12167 MKFLAIASAALSIFGGVQAAKSPFFILTGDSTVATGGGWGDALL NGTKKPGGGINLAKNGATTVSFRDLGLWDAALENVKAQKAKHEAIVTIQFGHNDQKTL TLEQYSDNLSTMIGEVKTAGGTAIIITSLTRRTFKDGKVVENLSKERDAAIAVANKAG VKYLDLNTASTKYVNAIGQENADKYNEIEGDRTHLNMSGKIVFGRMVADMLVQKRPDL ARYIKSNKKLSQLIRDGVFTTGQE FPSE_12166 MLPQTLLTLALAATSFASPLARANSACKDPVVRKEWRELTSAEK AEYIRAAVCVRNLPKERYSHINAVTSRMDDLVYTHFTLNTQIHFVANFLPWHRWFVQL HEDLLKTECDYKGVQPYWDWTIDADKEDMKNSPLFDAKTGFGGDGQRTDSDVPGFQRC VVDGPFANTNLTLAMGWPDMNTPGDRLHCFTREFNGGLGRDENGDQILGDMQITAYNS KVMNTIYGFDTYGKMSEMLEGLPHAQIHSIIFGDMGPATSPNEPLFFLHHANVDRVWA KWQGRNDTRLSDYSGFRVSETTIPAKITDAMPVMELAESEPVVKDYMDTLTGPLCYTY SDM FPSE_12165 MIISLKRERFNIFLSSKAYAKRYGYNWLLGSMESIFPFSQIRWL ATYEASNIRIVAARDQVVWCYCAE FPSE_12164 MVLDRLLFLLSLWLGFVGATQAALSEPIPPSKDPWYTAPPGFEN AEPGTVFRVRPAPGNLTSVIGNCSASYNILYRTTDSHFKPTWAVTTLLIPKLGPESLA QQKYQQSALMSFQVPYDSPDVDASPSNAMYDASDFFSNYYGAALGEGIFVSVPDYEGP LAAFTAGLISGYATLDSIRAILSLGLGFNTINTPSVALWGYSGGAFATEWASELAVQY APELVAGPVIGAVMGAPLPNITSCMRDVNGGPKSGLVVNMLLGLTSQYPDVRKHLVSK LNDDGQYNKADFLAAERFTIGEALNTFSGNINKYFQKGTDILSDPKITALINREGVLG YHGTPRWPMFIYQAISDEVTPIAATDAVVERYCSVGADVHFERNTLGSHDEEANNSYD AAFQWLLNTFSGQRDTNGCVIKDVTRDVTGDVTRDVTREL FPSE_12163 MSASSSALPPLVPALYRWESTGARRVQRRCVGAEAIVGLEEKNR KSLYDLFIATPLRNVAPASTSLTLRNLKDMFELALVEGRFEHPECACTVSWDDQVAAI ISYESPKSDQSAREWARGCVHVQPTAKSAIDLWTEIEEGRAAGKDSALSKPMELFLLS DVPTDSTPIPQGATVDILFHSNHVFWDGIACRKFIGDLFRLVGNHIGLSDSAETPKMQ WGQEIKNLSPPVVDSLKLDISTLGTEFDDKCTEYTGALVANYKSRGMKFRPGLGLPRC SIYKLSADDSIAIIKAVKTRLGPGYTISQLTQAAIILALLDHLKPTDLSDDEFFISPT SVDGRKWMREDIASNYYAMCQTAAVVRVENLKSIAVSHKDEKDIQVRALEKACRDIKK SYDQWLGNPFLEALGLRVHNFEASYLHEKPNPFEGTEANPLFISDGVNERFIPREIKR TATGEDVLSVESIDFIVNQSLPYLAVRLDSWRDASTLNIIYNDANYNEEEVQTYLKSI VEFMLAFKL FPSE_12162 MLDQDWIKSLLNIPVTHVAGIFAASTVIYFVSSCFYNLYLHPLR KIPGPKLAAIGPYLEFYHEVIRDGQYLWEISKMHDKYGPIVRVNAREVHIRDSSYYTT IYTAGSRKTNKDPATVGAFDVPSATAATVDHDRHRSRRGYLNPYFSKRTITNLEPFIH ERVTKLLTRFQQHLDDGQVLSLDGAFCALTADVITNRFYGKHNDYLSLPDFHFVVRDG FLGLTKIYHLARFLPALVSVLKRLPYSCIRMIAPSVCDLLQMRDEIQDRGGEEFLSNK AHEAKSSILFGALADSHIPAHERTVERMLDEGTVILFAGTETTSRTLAITVFYLLTHP ECLKKLREELKTLPPVKDDNFPLSTLENLPYLNGVVHEGFRLAFGPISRSGRVATQEN LKYKEHVIPAGTPISQSTYFMHTDPKNFPEPEKFKPERWIEAQQKGIPLKKYITNFSQ GSRQCIGYTMAFAEMYLALSRIAQAYEVELYDTTKADIDMTHARIVGYPKAIPGKKEH LGEVRVKVLKAL FPSE_12161 MIYMEAESHYESWSALPLFDRVASPDPAKDFVPDLNDYESPTFE VDLLSETYDFDNFPTYSLPTVDSTKTLFPEEPLVCFDFDFANPAIENYTTTSSGLLDA VPSQLIALPSFIRPSKCPFPSCKSTTVFQSGRDFRRHYRQHFKRFFCRYSECPQSAQD LQEVGTKGFATRKDRARHESKHKPTVRCPWQDQEGQQCLRVFSRVDNMRDHYRRIHKS FPSE_12160 MENFPTEYFLNTSVRLLEYIRYRDSNYTREERIENLHYAYNKAA HHFAQPRQQQLLKVDPKRLQASLQTIVGMVVYSWAKVSKECMADLSIHYTYTLVLDDS SDDPYPAMLNYFGDLQAGREQAHPWWALVNEHFPNVLRHFGPFCSLNLIRSTMDFFEG CWIEQYNFGGFPGSDDYPQFLRRMNGLGHCVGASLWPKDLFDERKHFLEITSAVAQME NWMVWVNDLMSFYKEFDDERDQISLVKNFVTCHEITLDEALEKLTQETLHSSKQMVAV FSNKDPQVMDTIECFMHGYVTWHLCDARYRLHEIYEKVKDQDTEDAKKFCKFFEQAAN VGAVAPSEWAYPPVAQLANVRAKGDVKEAQKPFLSSIELVE FPSE_12159 MDFPKPRQVRETSLLMYYLDVVFPLQCTSLHNTGVGKREWLLTI LTSARPTYYATLCLSLLYKESLSSPCRSEQAIVWKREKTYYYILALQESQKLLGGLDK TFGITRLKGTVVALACMLQLIGFEASHMSRGDWRVHLHAANTLIPVLVEGWSTALQSG PPATSIWCELGESDFGSTEDQTSLSFEYLGALRFLSNLLATVGILSCISIGPSAPFED YGHLLDQPGLIQLDEVLGCKNWTMRTILEVGKLDRWKRQEQEHNRLSLKTLARRAMMI EDMLTDELQRLPTNETLPDLVTQIYASSIMTYLHTVVSGLNPNLSEVQDSVAGTLQLL ERLPNLEAVTSVTWPLAVTGCMASESHKDFFRNTLRSYEATFTSLKKYDGTLEVLEDA WKRREIDTESPMRWQDLMDHHGLPVLLW FPSE_12158 MFQYSLWPLLALSGGTAIAYFVVMLVYNLFFHPLRNFPGPWINT MTQIPHTLLMLGGLAHKRHLALHMKYGSVVRIGPNMLSFNHPDAMKDVRGHRKSGEAE HGKDPIIVLSNGDNIVGSDRENHTRFRRALAYGFSAQAMLEQEPTFKAYVNQLFQRLH EQSSNGTKTVDISKWYTFTTFDMIGDLSFGESFGCLENSTYHPWVALAFESLKNLAFM AEMGRYPRIAPYIGFFLPRGLLNKFAENRKLASMKVRKRLDTETDRPDFVGKITQGLK SKGSSMEFNELASNASVLIVAGSETTATLLSAAVYFLCSNPRTLELLTQEVRSTYTQA DAIDLVSTQGLRYMQAILDEALRMYPPVAGGGSPRKIAKGGSFVAGYFVPEDTLVEND MWAMHYDPKYFTQPHDFIPERWLGDARFNSDRLDAVKPFSIGPRNCIGMNLAYAEMRM MLARTVWEFDIRLAESSRNWYQDSRVYLAWNKPPLNVYLDPR FPSE_12157 MTATVPKEGVDLESQPGDRLRAQALATTAAELPEGYYTSPRVIA SFAAFSLNVCATYFVLQASASALPNILQDIGQSDNQSLFSTLWTTGQAVSILVMGRVT DRFGRRPFVIATHILGLVGAIVGCTANKFNTLLAAMTLLGVAAGPAGSSPLFIGELMS NKHKFLGLLAVSAPSIVMTAGPYFGQRLSIQSSWRWIFYIYIIMSAVATLLIVVWYHP PSFRQLHGKKARKRDELAKLDWIGIFLVTAGVSLFLLGVSWGGKPNNPWNSGKIIGLM TSGLGSLVVFALYEVFGKPVQPMIPPVLFKDTRGFVCILLISSIMGAMNLCLTIIYPQ QVINIFGSSLKNWQETAWMTATASFGTWAGVIILGNVFHLIRHIRWQILVGAMWLTAF LGAMSSVNRDNKNAAIALSFFSGFVVGWAQDITMLMVQFITTDEDLGVAFSVVAASRP FFGSIFTAVFISLYSNQYPKEIGSHLTSAMRGTDIPQASFPSLLEAAKTGRIDAVKAL PGMTNSTTTVVSQAMADSYTASYANVYYFAMALGVIPIIASLCMRDFDRYLTDHVPHQ LYDRKKADKDVLEGDSDTPSSPTIHSTVEVKE FPSE_12156 MMQGEITLVSRSDSDRISSHLSQRQIPRTAVLLFSSYLTRIPYL EAVIEETLRYGGATTALQHLSKVDTQILRYDIPKKMDVLFLAHGPIVFTPGFEIDESK RSQTYQAAGEKKGQAWDDQEIGAFKPERWLG FPSE_12155 MLPQVILSHLGSIGEVASTWLSENGYLSTQCPPLPKGNINYDIY MGYPEMFAWDKKRCVAYVSNLYNATVSTWDPYKGVVLDTIEFPGLSHAGDSASPNPLH ASGIILRPDAYNPQTLEVVIDNGDAFYTDGFNVSGPDHLMTIDLKTKEVTNKLRLNNG LYAGYADASLGPDGNTYVLGTYASNILRVTPQKEISTFYVADNLEPPRLYGFTGIAHV GNAMIVPDNIIGQLIRFDVRDKVGTPVVIKQTPYHEFKTANVLHFPERYNDTILLVAE NMTPDHPFGGVSVYQDKTQQFNEVEFLGFLPSRLQNALTTSARQMTDRIYVVALPTDG ANITVAGKSSRFEFQDITEELDLMIHPKSEGEVRDEI FPSE_12154 MRGLIILASLSHLATTLTIPTYPKKRALSAGVAIYSCTTPGTVA LTFDDGPFIYTESVLDQLASAGFKATFFLNGYNLGNIEDYQSTVDRMINEGHQVASHT YGHPDLAALNDYDVEQQMALLSNQFTHMIGKDPVYMRPPYFSFNDRTLQVLGQLGYKV VIADIDTDDWRYSSFGGAEPSLDLYNAGLGHGGSIVLMHDVHQNTVQNILPRIIQATR QSGRRAVTVGECLGDPETNWYRVSGGSGGGSQTTEWNSTESHDNVVVVHTPAPEKLGV II FPSE_12153 MQLLHLPPEILKQVFNYIGSPFFQEDLTRLAISKKWFEFAQYTC YQDVTFSPGKLHEFISSQMAKKPDVLRGILETLIIKFGTHQAPVNSFGSREPTAGAGS YEAPCESTDGDLKQLATITQQAPKLRFLRIEAYGSRKSDPLEGSEDRISLHSIQAVLT VNHLTSLAIDLPGGVLTSSGGREEACRICPSIGALIHKLKYLRLRMRSICPAAIRPRD SAERLPLNRLIVNLSLKMDLPSITSAAHSKRCGPSSEGGFLQLKAEMQEQAETLGAKM ASPKLIRILTHTFPQLETHSLDVLSGGTMLLEDRMRWDDDGNIVEDEQGEESDFSEGE SLSWFDT FPSE_12152 MRKFTLALLALSGHTGLAASSYIDVLSDNAKELFTESMDWMDTY YDTRAGYLYDVSATAALRHETRSSAWYAFGLLARNKGHDVSEAEKIIQGIIRGQYKDP ADEWYGTYQQTPEEPLVGSANYLPEIYHSWDPNWRGFIGTTFIMALEEFPKLISKPTQ ELMLESLHNATKGDEYRFGNLDPKKDNLYPSYSNPAIMRAFMSGWTGRRLKESNMTRS GERYAQQIIDLFDRANTLSEFNSGTYTGVSLYGLTLWSKYLPKDSIMAKHGPEMIKHT WEAVGSLWHPGMKNMAGPWDRSYGYDMNRYLSLMALWFWAFIGKENSSLIQKPQVMSH MADYAWAPLFAVLAKSHKNLIPKQVLAGLTTFKGEHNFTASTFYPPFDTVPRNISTWV SKDLTIGAQSFRQISLGGPGQSQEAYNPAVVQWDTGKEISFISLYPSETALDVEVGPG KLHLSYPRGNSSSVFSLLVGTFIGKPTIESWEDLPNLQVNVSGNTNPKFQLSFGGAFG GSSKTLRDFELWNFTYTMPESFQGVPALTLDLKTLL FPSE_12151 MKPFTLPPVDSRIVAVLGGGVLGRRIACGWAASGYDVIIRDPSP EQRVAAVEYCNNSMSEYSDSQIRGSVQAVEDLPEAVGKAWLVIEAVPEKLPIKIATFA DLERLTSEDTILCSNSSSYKSREMVGDLRPDTKRRVLNMHYYIPPQYRVVELMTDGET DETIFPFLYEKLEEIKFHPYVARKESTGFIYNRLWAAIKREVLNILAEGVSTPEEIER LWKEMWYAKEMGPVAMMDTVGLDTVSFIEQHYIAERGLPNTPVDFLQKYIDEGKLGAK SDKGGLLP FPSE_12150 MEEYTVNQVAEHNKPHDAWLIIHGNVYDVTKYIRDHPGGADVLV EAAGLDASEDFDNAGHSEDAFEIMEDLCVGKVKGFEKKKPKLKPLAPITTPKPVAAKS SSLSVLANISFVLAAGVGAYYFGRRQELGVPNWVLASLRNDSTGSSFIKGVIVGSGSL AITNAVLAQSFTTSAMKSKPFTSYPAHMKVPKRTQQDTLLQRGLLDPIAYSPLPLVKK TKVTPNVLRLTFSLPTASTVLGLPIGQHVTIKADVQGETVARSYTPVSNNSDLGILEL VIKVYPDGKLTNNYLAHLEIGDEVLFRGPKGAMKYQPNICKKIGLIAGGTGITPMFQV IRAVCEHDRDTTEISLIYANRTEQDILLREELDRFARRYPKNFKVYYVLDEPPSDWEY GSGYITQELMKEKMPAPSMDSKVFLCGPPGMVNASKKGLVDLGYQQPGASAKMSDQIF VF FPSE_12149 MSTKQFCLLGEAVSTAREIEVPPAIDFEELQSVGFIHDGRRLNA VSEVLEVNEPISISINGNAVRDVPGPAGIPYFGNYLEIYPDHLGNHQRLFEKYGPLFT TTSMGNRLYQTNSAELSNIFLSEDHYFTKDIVPGHPLHPIKNQEAGVFLADTNTEQWR LAHKFLPPALGPKAVRHYAPTMQRTVESSFKVFDELDQKGEAWNVYQYMLKLGSQAVG KLVLGMDFAHFEQVDSPLHEMVLKIAENLELNKRVSSMGAWYAQMPFGDPKKVRQTMA RIMEMMDESIARASKGQEDLELQDAALKADNVVDYFLRATDNKGNKLPPSQFAPALLV ATAAGFTTTSSLLSWLIYSLVKYPGNQERVLQELIDNDWDGDTQVTADTTSKLTFLDK FIKETQRLHNPSFQPGRTAKVDMILPGGYRLPKGAVVISALHHMHNNKDVWENPGRFD PDRWDTEQVKNRPPGSYIPFATGPRMCVGFNFALQEIKVFLPKLVYRYKFSLAQDGPI EYDPYFQLIRPNNLYVQAEKRVKWPPKSE FPSE_12148 MDYSWEAEFSSSRHVHNRGSRKPKSQTANISNIFGVYEIQCAKA ENIALGPSLANGKKPKRQSGPRLTIQSFTAGEHGLVGALHLPGVLDAEVHMSGSRKML QEILDAENASDVEDSGSGEDLDTEHDNHETGTESAATSSNADPPQHSEDENDSHGSEI STQEDREQSRFTKFEKNTFRQPKFWLFWKGAVLVLPDNTTQASADNMANSVPNEPQSG MGYIVFNGNGYKRFNGTISCDVLEWRDVAFSGRKE FPSE_12147 MKLSAIALLALTSGIAAAPAPKAGKDVDRHDYKPPKDHYKPPTE YKPPPKDHYKPPAEHKPPKDHYKPPTEHKPPPKDYHKPPKDHYKPPAEHKPPPKDYHK PPKDHYKPPAEHKPPPKDYHKPPKDHYKPPSEHKPPPKDYHKPPKDHYKPPVEHKPPP KDHYKPPT FPSE_12439 PPPKDEYKPPPKGEYKPPVENKPPPKDEYKPPPKDTYKPPVENK PPPKDSYKPPH FPSE_12440 MASPPSAPAQAPLLASDSASAPEKSARPTPTAKLRSCVVCRSRK VRCDKLSPCSNCRRANIPCVVPSNDRPPRWARRLDRFSSDGPRQVDNNLEGHQALDRL HTLENLVKELRSQLEVANAASSRGASSEAASPPENTRAATSPSSASTTSDVQKHFGRM VLKDANQRRYISSGFWSRISDELEGLKMDTRRTEDDVESSDEQDFPTATPSTQELHRE PVDRHAFLFQHGLSLSPPGNQDFNPLPSQIPFLLDIFEENINIMMYIVHMPTIRKMVR SSRGSSPKIDLKNQALLFSIYYAAVTSMEDDDVLTNFGATKTELNLKFRRGLEQALAK ADFLNDPDIIHVQTLAIFLSLARRYDSPVYVWMMTGLMIRMAHAVGLHRDGSRFPHLS PYEVEQRRRIWWMAIVIDIRASEDQGTEFTINKDSFDTKMPININDSDLSPDTKHVPV EREGVTDMSLAIAMCELAHVSMQLMTSSLQSGGPGLDDQAQLLDHYRKKLEEGYFRYS IEPDDIINWVGIVVTRLVLSKLTLLVYLPSLFTSPSDRFSDEVRDKLLIAAIEIAEHN HSLNAETRCRQWRWIYQTYTHWYAIVWLLIEISRRQWSSTVERAWIALHSEWLIPSHN STAKNVRMWIPLRKLMDKARRHRDAQLGLIGNNPSLIEKLEIIDSSLPAPTDPESTPH AQRKITLLEHWRTLVGKTPTEVSNDLTLGNGSYKASTTHTYSSSEIGNNVAGQALNGS LEALLSNPGHELIESDVTQSSLLKSTAYPQSWSDNQPMATGVGSWLWPDPNLDTENLT DVDVNMDIDTDIDWNTWLQSAAGMEITAGSSTAL FPSE_12441 MSLTTTAHSHFSHDDYPSVTSPRPNVYGQGRSMDVTASTLHRTS PLDFDFAKIQPFVKSGTFLEPHDTTALSSNESHASALSKRNNRSAMRFSSNAGIIEEY ARSWNSQDISISIPQDGEKGFEQLVSPRTADNTPRWPFINDDSLNTPDSADAEPLFPS SASTVSHPRQIHRIDEDLEDLSALYVSPLDAVPESPIIGPPLPPPIRSSNRPLGKVMT AAEFESLQRRKVLEETERLFYEGENEDDKIDYDKIDHDTVDEVDLAKRQMTQRKRQQK HLDSYRQQMMKTTKGHELPHIRSHSRMSSTLSFTTTFRGHREDIPTQYGDEDEDEDDV PLAILQMKQRSGGREAPMHAIDGRSDYGLNIQPAPESRRQQPRSPMPAFASKLPRDPF AGNVQPSWPMGNQQLIPGGLVGVIASEERAKARRRVPPSHGFQPLPDTNNAFNWGSSS RQPPSMPVTYGMPMAQPPMPSYSRPQTPVTAPQPPPAPVNHQMFNFLKAQTEFFRNMA TINQQRSGQPWDNFSSQQSVMNGGVPSGIPSRAPSNYAPSNYAPSNYAPSNYAPSNYA SSNYARSTYARSNYAKSVHQRDGGYAASVAPSERNTVGMPSRYRPVSKTAQPVHAERG AQAVARVSTSSDWNHNRNMRMDPRAILEADTTDSDDDEAFWRARKAKRDRRRAMCFQD NDLGIRAEWIR FPSE_12442 MKLFTASLIFFSWLAQLSSFATADRILESKSLNSCQQGSLLTAS LFHVVVTPNNSVATINVNAVASVQGKVLFDVALNVYGYQFIRQVVDPCSGSLELPSLC PMTPGDIDIKFNVPLGDALDQVPNIAYGIPDLDATVRAYVNMTSTGESVACVEADFST GKSVEQLSVKWVTAIIIGIGLVSSALISLAGYGNASAHLAANTLALFTYFQAQAIIGL TGITMPPIVDAWTQNFQWSMGIIRLHWMQEVFTWYQRATGGTPARIFDHLATSSVQVA KRSIEYIPGAAALVRRGFAMSKRSNIELENGSFLVYGIQRVAFRSRIETTNLFLTALT FFIVFIIFACLLVLIAKVILDLCAKQAWIKQERFLEFRIEWRTLLKGILLRLTLMGFA PIAILSLWEFTQVDSAALVVLAVFFFLAVTITLALAAFKIITFARHSNPVVTLYSDSR ILNKWGFLYIPYRATGYYFVVPQLAYILVKSMFIALSQKSGVVQAVALIIIEVAALIA TSVMRPFMDKSVNSFNIAIFVLNFLNAICLFIFTNVLGMPRMGPSVTGLVLFVANAAF SLILLLMIIISSALVFWRKNPDARYQFMADDRASFMKSKSSTQLDTMTQLEALAATAR GDPTGHSRPVSKSSSELAAQIYPPGPLQQTASSSVSSRSPNKDSQVDIRTAER FPSE_12443 MTRYGHNTEFQQQAPFPQGGDERRNEQGGYQQGGYQQNDRQQYG QQQGGYGGPPPGYQQGQGEAQSYYSESQQSQQHQYPPSGSGPGQYQQGPPHGSGPRDQ FNDGDGQEGERGFMGAVAGGAAGAFGGHKIGGATGHSKSSTIIGALAGAFSGHKLQDA AEDWKDDRDEKKEEEKKREEEEKRRKEEKKRREEEDKHRRDDDRYSSHHRRRSSSSRR RSRSRSSSRGSNRRRSGHYAGNFTASSRDIRLDTHGEYVLHASCKRENGDYQHTSISL NKLLENDRGSFRWSAGGHHGGSSQVTVQQGDTLRGIAARFNTSFDEIARHNNITNPDL IYPGQTLSIPGGGSQGGGGFGNSARHVRLVDGGQRLEGELSRDGDWVLSSIILDERIR NFNGTLELV FPSE_12444 MNLTGYLCSPTDKDDVEYRPLRQANADDAQPYAVCTTGIKESRA WRFCTKWVKPWVAVGAGAILIFGTFFLFVFVIERYAIYNFEASGLALADPVENYGDAT ESAKRWVEDLSPQVSPVIVHSHNDYLRPRPLFSALSVGCASIEADIWLSSNGRDLLVG HNWWNRRAEITLESLYTKPLLQILDAMNSKPHVDNLTETNSPAGVFATDPNKTLILFI DVKDDPVKTWPVLLKHLEPLRAKGYLSFHQKLPSTPTNQTFNSRPITVVGTGSIVSQR AVNIGTDLAKWEKYHDVFLDASLDLLSQYGFCRTVDNLCPEVRENEFYTASVSIWRAL GIVMPYLSQAQRVKLRKQVQLAKDLGLKSRYWELPVWPTSQRNYAWRVLTEDGVDLLN ADDIVGATTKHWHSDYTIESAWLLAIVSCLCSFVFTVFWFGKRMMRGIMPV FPSE_10914 MPLYSSNEIDADWRYSIAQDFTTSNDQPYPYADNGHLQWGNEVH KITLNGTAHLACVSSDGKRLALGIRHDIHVVDTDTWKTIVVLKGHTSEVNAIAFRPDD ANFLVSGETEEFSDNGLSSPPVIIFWDINKECTKRPHTDDPSGDAVHAAATAAAEGLT RIGISLDQDQIQELKTGFQPVLNRVMARNTAAGHATVDGQLQEQFQSRIFSPSGRWVA YRPVESPQANGDVPWDILIVVSTDTLEKKFTLGGHTDAIMWMGWSPDETLFASISWDS SIRIWDAVTGDQKHCFKTETQNWTGNFSPDMNYFVATDGNGNVRIFSLHSGEVHWIYE GQQGDGWRRTISWHPNSQWLAVGGERLGELLLLDVVEKKLLQKRVLSTDACTREEEYR KIMTQFLGVVKVQFVDNGNKLAVQTYGDGSIELYDIQQEVKWRFARGGTDDGPEAHEW RDDKGKVTSKRGHDMVVWEDHQKGVLRMASLDFDGVRVWEVPLTL FPSE_10915 MTTHTIRKVVYSAFGGPSNVSVVTAQIAPPAKYEVQCDVIYSGF SGADIQMRIGTYPLQRDAPMTPGYCFVGRVSANGPKSTKFYPGQLVGALTMYDAEAQK INVAEKYLISIPEHVEMRQALGVILDWNTAYGLVHRATDLVRKGQRVFIHSISGAVGY ATMTLCLLEGAEVYGTASERNHASLRELGVTPFTYADKNWKDEMKQRGGAHVVYDPIG FEHYNDSWDILIRNEPSRLVGFGGNMNIIQGDDAKPRSQFSSQVKLLAKNGCLVTRRS TSFYYIDRDRSTYMEDLHSVMSMLVAGKFQVPVKKTWDLENVREAHETWGKVPGVGSC FVRVDPNAAL FPSE_10916 MGKSKRWKARSPWAATWFCADRATRWLADPGVAHNTNIFKHKWP PLIGASGKSITLPAGNYEWPFEVLMPGDTPESLDGLSDASITYALRATINRGKLVRHT SCVKKLRVIRTLAPTALEFMHNVSVEQTWTNKVDYSVSIPSKAVAFGSSVVLEIRITP LVKGVELKRIRVNLVEFHEFSMHSRHYIYTREHKSQREVSQWDFEVSREQHWQEVIEE TNQEGWVIKQTLNLPKALVECCQDIDAQGIKIYHKLKIHIPIGNQDGHVSHLDMGIPI SICMSPFVSLNEQGNVVDHSTSTLAQTAATVSPPLYGEHVLDQLCGTLQDWHTAGNNL LPMSSEASETQTTTILSSNGASDEQHGQIGSSSRSSSEAATTDSDEFPELSRLPTYRT ALGAPLVWHNQPPGYQAADETES FPSE_10917 MAQRLPWKLFWRFYVGRRHMPQIFESRGSETCTKVYFGVGEPTC AEVIIANSFLAYVFAEYAVIHGDSKHRDYCQFHRSNLGSALLRLPLLSPASMELIAAL TLGSLHMVEEGRVSHAWTLISNAMTLCQTLGYHRLDRYSGSDQETQTQLFWAVYSYEN GLSLRLDRYSGIRDSDITLVIEPSHHRAIRFGRIQGRIYSHLYSLEGLASTDDARGEA AGVLAQEIQSIIDETQADIAVALCQNSTVDEDPARVIYLHCDLVCQSSMMSMVLRAIP APRGTDAIDSCVTVARNTLDLHGQCMKLVDGCKDPLLMKRYISWAVLHTPFFPFSIVF EHGVRHSDTDDLDRLDRFAASFKSGTVSHEAMTHPHRLYELLSQAARLCVQLGPTPQH DNSMQSTFSDSVMVEPVADTPNDTSVEDIILPSGQDATFSIGDWFYSNQQFLRLLHED IAY FPSE_10918 MPQNILITGAAGYIGGSIIADILSRNDDTLKIATLFAAVRSQEQ VDQISKIGVKAIQVDLNDKSAIEDVIIDNKIDIVVHTASGMHPAITSNLISALGKRRQ ASGSGIYLIHTSVATMFTEEGGWPYGEVKDSDSILAKEREIGFDNPVRAVNRLLAEEG KNQGVETFNVAVPMTYGRGTGECRKLSVNNPAMIRTSIKLKTVHKFDKDGHFGTVHIS DLTDIYVRLVSKILKQEPIQVGQDRYFFAIAHKAHWWKMMDKVVEGLYSRGLVTEPKA KIWSDYNTAADSLGFPRVYIGAMATHSGQLVPENVYALGWKPKWDSEEKFLNLIDDEI KDVQELDTVKMSIFDSLVAEQSK FPSE_10919 MTLTKTFPPPKGQTVIGVSFTLIAFAAAIIGFRIYHRLRIQKGR LVLSDYFMVLALCGAITCSSFDVVFWQRDVLRPRMSVGFENYNPGEELVEFIYKLSWA SEIPFYATVYLCKAILLALYFQIFPPFMGRRRRALWATVFYCGLAYTITLCMQLFSCM PLERHWVISRPITACDWRWQGVVFQVSWALAFLGSLLLLILPFMVVQDLDLTKRSKFC LYFVSLVGVLDIGLSLIRFLNVELGDGTEFRSFSTIEFWSALDVNIGLITACLPALRI LLGRTRTPDTYTFDVAKTARSSRAMEHRELEEVEGSTYLGISNTAGPSRSNRASTYSD KGPLSPIKMLEPKPERRPERKPPGPAWKDYEGEDSDLELENINVEALNRDQAQSYWSV P FPSE_10920 MGRNVQHTEKLRIRELLPELFLGKWKPGPLNSITDVPGVLVHTE SIQADEDVNTGVTTILPRADWHKYACHAAIFKFNGAGEMTGSHWIDETGTLTSPIILT TNSSIGEGFRGVYEYVLRYHATPDGDMGLFTFPVITETYDGYLSKQSRFAVTPEHVVQ GIINASADAVPEGSTGGGTGMICHRFKGGTGSSSRIVPGLDKEGNSKNYTVGVLVQAN YGQKEDLCIGGVPVGRILSSTENLSSTSTTSGVQGPLADGSIIIIVATDIPLLPVQLQ RLAKRATVGLSRVGGYGSNGSGDIFMAFSTAAKIPMQQFEGGLDPYKPSSVSVETVEN ESINGVFEAVADATEEAIYNVLSMAETMTGYKGRTVEALDMDKVKEIVIKRM FPSE_10921 MQKVKARLPSWDVTKTQSKKGFDKVWGWADKLGAPVNRLSNRIG SEAFWPTTLDKESDKAARILRSFCKDGFYTEEDKPVDGEQAGPKQKQRVLKKIPKKVI QNAVGLAIFTTMRTGLWVSGAGGSGVLVARNEEDGSWSPPSGILLHTAGLGFLVGVDI YDCVVVINNRKALEAFTKIRATLGGEISAVAGPVGAGGVLENDGKWKQANRPVFTYLK SRGFYAGVQVDGTVIIERTDENARFYGQEGIGVADILAGKVQPPAETKMLMETLKAAE GRTDVNQELMEELEGQPAPGDVEVDAPGEDKVFGIPDPEDPDPYGVRALEKEGLEIRD AATRSRPSSQAFEYHPSPTSPTYNRFYRRSIETGTGSNRNSYGSTISRTYTTSEASTQ TDPVFITQITSTSPDSRSFISHGKFDDEKSSIYEDVRLEDEYGSPYNGSPYNGSSQSP YVLFDSFTTPPSGPPPLPARNPVV FPSE_10922 MKLHSLLSTESHAKIRENMEDIIICIATPDEIPELVGSITKARA EMFPFLDQASSDRMAQKEHDNFRKNHLDHPLGTFLNARSEGRLVATIGYVPYDGRFPF LNLEPDNVVEVVRLYVNPEWRRAGIASKLFARLVDTALYAGVKQLYLHTHPFLPNAIG FWEQNGFSIMSVDEDPVWQTTHMSRLLKEL FPSE_10923 MSAQDYYSGGGGSGGGYNQQQQGYGQQQGYGQQEGYGQQEGYGQ QQGGYPQQQQYSQGSNYGSQQPSHDNRDQSYGQHQGGQYGGSNAYPGGPGGPGGPGGP GGPDGERGLGASLAGGGAAGWAAHSAGSGLLGSLASAAAGAIGANFIEHKFKKHKKEK NKKHHRDGRARAYTGDSTSSSDSDSGDDRRRSDDRRRRRDEDLAYGKSQYDNQPSHGG SSHHGGSHGGSYGGQQGYGNQGYGGQGGYGGRY FPSE_10924 MEPQGSRKLVPILPAQPILDPTRQDAALNPESSLAGQSQSQSQS HSLGQKAKGKRNLVAIACEGCRRKKAKCDGRRPTCSRCSSKMESCSYEAPPVPQAIKK KCETLMLENQQYRELFNAIRDRPDCEAQEIYNRIRKSNEPFSVLEAIKEAEVLLPDHV RRQSGGTSQGSLPSLHHTSSGPTQDSDLA FPSE_10925 MAARTDFDANPEMAGSQTDEKQNSDSRLENTTAVDPALEKLLVR KQDARIIPLAAGIYLLCYLDRSNIGNAKVLNHTTGHDLLSETNMSNYDFTIALMIFLI AYALFEVPSNYFLKKMKPSRWIAFLMFSWGTITICLGAAHSFAVVTVLRFLLGVFEAG LFPGLVYYLTFWYKPEERSVRVATILASATLAGAFGGAIAYGVGHMNQVHGLSGWRWL FILEGIPSVLSSFLVWFCLPDFPESASWLSAEEKDVAAYRLAEQGSHGDSKSMTWEDA KSTILEWRLWCHYLIYFGISAPFSSLSLFTPSITAGLGFADLRAQLMTVPPYAAAYVV TLLVSWSADKYNARALHSAVFSLVGAVGFIASASLPADSYSPRYGCLIVAACGSFACI PPLLGWLSSNLHSTAAIGLAIALNISMGAPGQIVGVWIYKADEAAKGYPTGHWVNAGL LLFVTVGCLGMHAYYVWRNKRAAGTDQPRFKY FPSE_10926 MSSPRKNQEEVIQPDHALQPIADDETFDTDSERSSLTSLTSSVL QGKVEGGRTYAVYGKEEYGLPMDEAELDRIDMCHAKYCALIGKTRYLAPVNSPQRILD LGCGTGIWSIEMAEEFTSAEVIGTDVAPTQPGWVPPNCHFEVDDIERIWTWREESFDF IFSRDLLLAIRDWPALIDQTYTHLQPGGWVEFQAIVGVLGCDDDSIPEDSYLRKFSTM MEQGSSKFGACLTDPMKWKGWFEERGYTNVTERVFKLPFNPWPKDPRMKLLGAWEMEN LLQGLEAMVTRMFQKGLGWTDAEVAVFLAFLRKEIKNPRMHGYWPYYVVYAQKPEEEK AQAVK FPSE_10927 METAPDTYVHNDLSRILNPRGLGSFFLIVVEFGRGFIRLADYCE SHQPEDIFDLTKSPAVYSVGKEHLGKSYYELLDMDPDPERRELWNANMVAVDELMPVV GMFPFTTLKEEVEQDPDRPFLVDIGASRGQSCIAIRKDIGDAFDAKLPCKTFLALSIP WIQRTIRL FPSE_10928 MKSFYTLALCLGALFDGTTAIPPEEQGQMPGKFAAAPPVGSNPI DRKGWTVRCSSQASNHPCGNAIDGSKDTFWQTPYGTTNTPPPHSIVIDMKQTQYVSGL QITPRQDGNTRNWIGRHEVYLSSDGSNWGSPVAFGTYWGDKYPVITNFETKPARYLRF VALSNVNSDNPWIAIADFVVYNALKYNPPKNGVGKWGPTLDFPVIPVAGAVEPVSGKV VIWSAYRYDAFQGTNPRGGFTLTSIWDPKTNVISNRNVTNNKHDMFCPGISMDGEGQI VVTGGNDAKKTTILNPNGEWVPGPDMQIARGYQSSATTSDGRVFTIGGSWSGPRGGKN GEIYDPKARTWTSLPKCLVGPMLTKDKEGVYKADNHAWLFGWKKGSVFQAGPSTAMNW YYTARGTQGDTKAAGTRRKNGRVDPDSMNGNCVMYDALDGKILTYGGATSYQKAPATA NAHVLAIAEPGAVAQTYLVGNNGAGNYARVFHTSVVLPDGNVFITGGQSYSNPFTDTN AQLTPEMYIPTTHEFKTQQPNTIPRTYHSMSLLLPDATVFNGGGGLCGSCSSNHFDAQ IYTPQYLLDGNGNLATRPKITAVSANTAKIGSTITVTANSAIKSASLIRYGTATHTVN TDQRRIPLALTGAGTNKYSFKIPNDSGIALPGYWMLFVLNNAGVPSVASTIKVTV FPSE_10929 MTITKVATIALANLAVLGSGAPAKGSDGFIAAPYYPAPYGGWVE DWEDSYAKAKKLVDSMTLAEKTNITSGTGIYMGTPCNGNSGSAHRVGFPQLCLNDAAN GVRQADNVTVFPDGITAGATFDKKLIYERAVAIGKEARGKGVNVWLGPAVGPIGRKPK GGRNWEGFGSDPSLQAIGARETIKGVQEQGVISTIKHLIGNEQEMYRRQTTDVVSPAY SANIDDRTMHELYLWPFAEAVRTGVGATMTAYNRVNGTMSSEHSYLINALLKEELGFQ GFVMTDWLSQITGVASAIAGMDMSMPGDPVIPLLGRSLWMYEMTRATLNGSVPMERLN DMATRIVATWYKFGQDKDFPKLSFDTNTYDAVGPLYPGAWPNSPSGVVNKFVQVQEDH DVIARQVAQDAITMLKNDGNLLPLSKKSPLKVFGTGAQTNPDGANACADRNCNKGTLG QGWGSGTVDYMYLDDPIGAIKKEADDVAFYNTDKFPSVPSATNDDVAIVFVTSDAGEN TYTVEGNNGDRSADKLNVWHGGDALIKAAAAKYKNVVVVIHTVGPVTLEQWHDLPSVK AILVAHLPGQEAGKSLTNILFGDASPCGHLPYSITKKEDDMPESVVKLIDSGFLDPPP DTYSEGLYIDYRWLNKEKIRPRYAFGHGLSYTNFTYSNATIKRMTTLTQYPPKRSAKS DVLDYSQDIPDYKEAIMPSNFKTVWRYLYSWLSESDAKKAVEAGEKSKYPYPDGYSTK QKTAIPRAGGAQGGNPALWDEAYTISVRVTNSGAKFAGKASVQAYIQFPEDAGYETPV IQLRDFEKTGIIEPGESETVQLTLTRKDLSVWDVESQDWLVPKPNGEYRVWLGGASDM LDVVCYTDDLSCDNDVDGPVSYD FPSE_10930 MFVLHDYLGLLKMTQKLEGYANSCINQILESLPTDFKDTMTNTG MEQEPVWSKTLSAPEKDERNSLPTEKPNSTADCEAAVHQDTADTQIQQRDDAYLHGLP LVLMTLSLMVGVLMISLDTSIIVFFLTSLTSAWYASAYLLTQLSAQPAFGKIYTFWNL KWVYLASSIIFEAGSILCAAAPSSPVFIVGRAIAGLGAAGVFCGAMIIISRIVEMRKR PLLLAIVATLGSLMAAIIILYYPLSLGEAPDKDKPIKEKILGLGLKSSGLLAGTLVCL FLALQNGGSVYPWSDSRVWGCLLGFGLLLILFTYIQIRQGEAALIKPRIISQRSVFLG CMFAALYQGAMTTQTYHLPFYFQAVKGVDPQVSGINILPHGVTTTVATLIAGSIITWS GYYVPFMWIGAAIFTTGAGLLYTIDQGTSLAQWFGFEVLAGAGFGMAIQIPVSAVQVV LSTADIPLGTVLVIISQALGGSVGLSISQNVFQNSLRQKLKDIGEIDVDAVIATGGID LEHIIPNEKLSYVRNAFRCGVSNAFIVSTSLAGVAFLASIGMERRKIKSKKDGRI FPSE_10931 MRFFQLAGILVLASPVLGKLDKPVLCPGVDFAHIDDDLFEALPQ TPHTVTKWPWGKLPKQCKKLAAQENLDPYNMTVYNVLYEDCPQPWVICHHHLSQNRIE SIADNFGRVPIGLRNFIRIQFAAPPLNGTLMGACTTFPRGDVAIYGNTTKRLQDWVHE AIHGADYYLGHKLYGKMFTDTALFTNEFNKDEFVSDDYAKLSLREAFAQMGVLTTIEK FLPGRMASFQPNWYLLSHQHAAVSTFLKDVLTLDGTCDRVEKDYEIACMGPEAGCESP PSAKLRRRDNDLKAKYETKVPDGRSFDTCEGQYDQVY FPSE_10932 MKTSAITAIAATALFAAPTLASPRGRDLDWVPECGVTCVERAVA AQGCDPNLQECYCGRGFFDPWYACVSQACSREDLPNGYIWGFTRCDPKTPIFIDSETY DSIKGPRNETTVPPVPTSTGIWSASPSFVSDHDWVLETPHSVKSSIQARATAEETTAV ATETTAVATETTTTTRASATGPTATSTKPATVTDSGSAMVKAGMASLGLASVAAMFLT M FPSE_10933 MAITKFGLLTFLAASVAQVSGDSSLQPPAKSPVLNDFTPQGCFS TLPSKASLGTESTFMTTGQCFNYCQKEKNSVAILHATKCYCSDTYPAKSSLVDDDQCN LSCPGYPREACGGMKPDAYSVYNTGLYLGPEYDTAEGNNDDSSSTVSGSTTRDVTTTQ VSISTTTYATSETEAEETSISTAHSKEASQVAPVVNENTAAATPSASASTVPENASPR LSNPIGNIVRMIARLL FPSE_10934 MKMLYDRFAPLLLGTSQLFFSRVSLAQDASTLKWGPCDLDLPEK LLKPGDCATIEVPLDYTNPSSDKTVELQLLRYNATKEPFKGSVFWNPGGPGISGLETL AYLGQDFRDILGGHHNIISFDPRGTGRTIPFVCNVNTTTTTKFRRGIEAMPQADLWEY VKNEAWESMQKVAEACYETQKENGRFLSTAFTARDMMRMVDALGEDGKLRFWGISYGT ILGQVAASLFPDRIDRLLLDSNSLADAYFTSTGIGGPKDAEKSLVHLFTECVELDTDV CRLANYSGSKTTVEDLRDATVDLFQKLKDMKDLPEGLSSADYPYAGNSILKALKNAIM NLLSSPFNYSTVAELLSYAFDGDYKKALSLYKEDVSEWNLGKNSFQGIACSETSLRVK SPEDLFSLYQAHLAESTFGDAIAADYMACGAWKFDAAEGVDTNTLRNIKTSFPVFVVN NAYDPITSLSHAYQVSSRFRDSRVLVNEGVGHGVTSHSSTCLLKAISSYFIDGTLPEV GATCKPEEGAFEYALSRS FPSE_05644 MDECLSACDDSHAVCKQAVNKPLPKRVLDVREEKVRLCHTAGQS GNYCALSYRWGPPEETLRTTDNNINDMMSGIELSSFPKLLQDAVTLTRQLNVPYLWID ALCIIQGNKQDWEAEAPKMGEYYKNAILTIAASLANQVSEKFLVPREEIPQEHLSNFG FTNADGTTSQVVVRRVPDYAGIPLVANSPLSTRAWTWQENVLSTRIAHFSKTELVWEC RAQQAFENGAKLWCSVGLAYRYAFAGDDAEYYWKALVTDYSKRELTYESDRLPAISSV ASLFNTFVPGRYLAGVWEQWLFSDLAWWPSWGFDNQVPPVSKKQSEMPSWSWASITGQ VHFALETCVNSDELSAIKLIDVDCASTSSNAFMQPKENSSIIVEAPLCQVEISSEDVL HVGDYQINEKADTWAVKNIFKDMRGTFNTVRPDAVLLPDGEVTTKQGTFTSVRRSRKD EFWLVLSPVMEQEGQYISLRRLGLFGQGSRMPMRVKMSRLKLRVVRGSRLSSNSERIV ERRTDGWTSGPQHIQ FPSE_05643 MAALFRNRLFLLIGTIWMASYLMLNASAAPHERADVQYDVVIYG NTVAAIAAAVQVKRMKKTVAIIFPGSTLGGLTTSGLGWTDSKNGNAIGGIAREFYGKV YSHYQMSTSWNQETRSSYLGRKIGAQPGPAIDESKKVQWTFEPKVAEFILEKWMKDGK VPIFRNRAIDRSQGSVVKKNGKIASFKTLTGDVYQGKMFIDASYEGDLMEAAGISWRT GRESTGDYSESVAGFRLGAVEQLSKVDPYKKKGDPSSGLIEGVGRVVKDAASLQGQGD DFRLQSYNFRMSLTKQTGNRIPFTKPANYREAQYELLLRYFETGYTGTPFTSQLMPNI KTDSNAQSHVSTDLIGESFEDNGNYATWSYQRRRQVYDQHKSYTQGFFWTMANHPRIP KSLRDRINEWGYAKDEWVGNNNWPYEIYIREGRRMNGGYTMRQSDIQSPPARPNNSVG KGAYSLDVHQVERIVVGGKLRDEGKVHIATPGPFNIPYQSICPKSEDASNFLNPVTMS ATHIAYSAIRMEPTYMVLGQSAATAACLAIDQGVSVQDVDLPTLIARLKADKQVL FPSE_05642 MVNFGVSRACDTCKKRRKKCDETRPACLRCVRSRYNCPGYKDDS SLLFRHYSPANKLVNPTLEKWSPNADYMLEAIAADIFLDELVVRSLDRNHSRGFLDGM HSTFAASDPKSTLMSAAKVVVLASLANRYRKESLFSMVRKQYGQLLREYVTSLSIPSE SLSPEQFFTAVLLGLYELMACNIASPTRHLVHVQGLGSILQRGISSSTSTSHIGVHLP GTWLIRKGAVLSRNRPVDLFPADMDISQAHMQGTGILCPPLNHSPQRSLDQIMVGLAP LTARSEKLLANPAPSRSALLELYQALVVFRDEIALWADNQPPAWQPEVVGHISQESLT AEVIPWICSGPVEKYFDLYVGTAWNSWRSMYIVWLDQLYHVSNALGQHHLIPHYVKTV DSLVAGLKASIPYHLSRSVEEYIKRVNAGPDEQGPSVMQNSKISFMDALEGYYLITAS MLSKSV FPSE_05641 MPQLIWLVTATTSGLGAAVVQNLTTRGDRVVATGRGVTERLKHL QSDDVFLLNLDVTAPRAEIAEQVKKAWGVWGRIDVLLNNAGISAPKSVEEADDDFVRN IFDVNLFGTLHVAQAILPHFREQKSGTLAFIGAGVGWGPLPFLSHYAASKAALGAFVE GLAKEVRRFNIRCIIFEPGGFPSQLGQPREGSVEGFGMYKPAIDAYNSGFEEMMGVFA NDIAPSVPGDISKLSERIVDCVKVEGMSAGRDEPVRVILGSDALRLIEQKCKEQLELA STWEDVSLSTDRDGHNHVASKGMLRYSSIL FPSE_05640 MTVTTIPSTHPIAQRGPLSQTNNAQIHQLSDQYQRREAHIPKSS SEDEPLFVLTLLTDNGHQQTMTALRRQWFPPDILKVDAHVTLFHALPGSKLAQLKQDI ADLAARTEKFKIAVGVKRVFDMGKGVGIKCSNIGDFKNKASSMRGELRDKWEPFLSKQ DKQEKWRGHYTIMNKQDNKEEVQRCLAYLREGHANSKGTVEGLTLWRYDRGWWKEDEV FEFSR FPSE_12410 MPSLINTFAALASLLAISSAAPTIVPRATECPSTGKARLQPSAL YNIFPSAPNVAKKTSGFHVETYNNASQVEQLLVFKDLPADAKDCSIGWAQGERPERTF IVKGGDALTEVKQLSGFPDTKSITYETAKKFEAADETAGAADFTNWDDLPAQGHIIGA IDCKSSIYLKAALRNPDGNTKVYLEQNSKNGLYIEYSC FPSE_12411 MAEHLVFHPQLTKADALILDGLRQDINEYKQPKVNADVSTQDGQ TPALRQRAANGEPSKARDEDLLRHLQSMNDPKDAHFEESITSTWDFDQIKLPLFLEKL VLRPYVRIAKSIVRVDTDVIMLTHLLLYFSTSVPSAIQLFRNFSWIHGILHFIMQFTY MGSYTLLMHQHIHMRGVLNKRFALFDSLFPYITDPLMGHTWNSYFYHHVKHHHVEGNG PNDLSSTIRYQRDSILHLLHYIGKFLFFVWLELPLYFIRNGKTMTGMKAAFWELSDYA FLTTMFCLNRNATICVFLMPLGLMRLGMMMGNWGQHAFVDEHEPDSDYRSSITVIDVM SNRQCYNDGYHTSHHLNPRRHWRDHPVHFQKSKHTYAEEHALVFHDIDYFMITVRLMM KDYKTLAKCLVPIGDQIGLTMDERAAMLKRTTRRFTEEEIQKKFKRQ FPSE_12412 MHLKSLLLAALPLLLEASPTTKGEDTTSLAINTSSGIFAPYFDR HQPNVASFLDIPYAEEPIGNLRFAPPVAKTNTGDGIVYATKLPAGCIQYLPPLLRGTI GDGPIAAGTLQRGDYANTTEDCLKLSLFAPEKSVRIKEGKETQTLPVIVWIHGGGYSV GGTNVPYQLAQNWVQRTQKHIVVQVQYRLNLLGFPNAEGLAHEDNNLNLGLLDQRLAV EWVRNNIARFGGDPDRITLWGESAGGYAVDGYLFAWAKDPIIKGVIADSGNALALEGV VGNLRNHTGFSLAAKSMGCGKLLPKDELECMRHVPERNLKEYLQAEVGQGGAADDGLT VSVIADNITVFSNYTERISGNSAKYPANIPVLIGTNTNEGAAVVPYNFSGFETATVLP NQLKPLADGFRLNLQCTTLKEIRLRAEAGATTYQYLYAGNFTNISPLPWLGAYHTAEL PLVFGTYETEGPSTKFERILSERMQDLYLKFASDPMHGLEKSGWPRAESQLKRSKLFK LAADNKIEQVVGVRNLVDECAQNGFAV FPSE_12413 MRFTTVFTALVACVSTTDAAITWTLEKAANPTADQRDAYGRIEN AMRLAADRYNRLGSATKNIRVSYNTGVPTADANYNGSLRFGANRSYMNERTALHEISH TVGIGQTAAFDRKCAANDWPSATRLLRSWDGNAAKINCGGGHIWPYGLNYDNEWSETN ANRHVQLVNAMIRDGM FPSE_12414 MKFSLFSVIALASSAMAAPAVQVQAKAAGAAADVHARQVPAVPA AVPAVPQVVSTTVSKTVIKKITSTKVTNTGGVVKVLTVAVDEVTVQVGTIKETIKKVK SGALGKAAAITHIHQNVAIINQLLTVVVDQLKDVVKVEIALPDVKIIVGLVIKLVNEL VAVAKDIMSTLGLDNILAAVLNLVFRLLGTLINLVANLIGDIIPGVLDILTAVLDTLS GTPLGPIVQPVTVVVGGLTSYLTQGTQGTVGA FPSE_12415 MHFSVAFTTLTALAMGVAADPNSKPPPNANVISARIWGDSDCGA KNNDHNLGEVTLHGSDDGKCSKFFDDDVKSVKQYEHDYNCKLVLYSDKNCKRGKKDIK DGQCRATSSHFGSYKVECK FPSE_12416 MAEPQQTVVAEYLRDTSKPLRPILTSLNGDNSWLMSFPRPKAEQ AATGRIFYHVAFEPWLKGPADVISSWLVHIKMVKNPEIPTFESLENVIREIEQAAAVR LPSMDEGDATQQSTTSPLDAILLGFYYSDHLHPPTLKSFSPNIPVIATGPGAEILETW NHFRTIRVISNLDPSATSWQTPDLHPGQPFPKWLTPIFLPGSSILNFVFAIIWSHTVD SQEIHETILHSPHGIDPEEKILNAFLDSEPKTRKLAMLHGLKESYTGGMKTTFGAKSG LVLDRKVGGVDHWVVSHSAEMSYSGLIMRVLWTVDTHRSIEWALEEERKNDPGSVRHQ RPNVVTVSNGGSKVLTC FPSE_12417 MALDPSATSVQPTGLGLILLVLSIFFLIPISIVVALRCFARVKY RLFGVDDGLMLVGWMLHVAFTSISIKLIYTGLGTKDKYLNDYLQGVTRQWLWIGQVVY SFSLIPLKCSICVTLLRIAVARTHRIIAWGTLVFTVVSTGAATLVLFVVCSPLSSKMT QADIESCPSKTILIGYIVSASAVIIDWICALLPIFMLYKSKMKKATKISVSIILGLAA LASLCTIIRLPYIKYYTEPSNYLYNVTNIVIWSTVESGIGIVAGSLPSLRKLASNRFH FDTSAGSTPAHSTPFSGGSRAVITSNSVPATRRSHIHRGQAEGEWEQLDDGSSSKRIY VQVHLEMHTLERSTTPRSDGSRDDLVYRTA FPSE_12418 MALHSRWSQPIPKCSLQQWIFGSSNGPMEDAYKSILIDADRPDT HFLTKAQYRLLSKQVALGLIKNGVQPQSRVLVFSANNVYFPSVFLGILMAGGIFTGAN PSFTPRELAYQLQNSEATHMFVHAGQLPTALKAAEEVGLKKDNIFVLDPSVLPPVGPN PALPAAREDGLRLWTELIADNHEQAKSWQWVEPQDPEDTACCLNYSSGTTGVPKGVEI SHFSYVANGAGVVMMSDMEPDPEHRKHSKGLAFLPMYHAYAQTYYVSIYPKVSIPAYI MPSFDFEKMLQHIQRFRVTSLLCVPPILVYLSKHPLVKKYDLSSINRVSSGAAPLSHE VIHNVEKLWPSGDVTVKQGWGMTEVTCTCMTWDPRDKTDPDKVGELAPNCSAKIMELD GKTQITKPNERGELWVTGPTLMKGYWKNPSATESTISVDEDGTRWLKTGDIAYVDAFK PGGIFHIVDRIKELIKVKGNQVAPAELEAVLLDHPEIADAAVIGIPFEGDEAPRAYIV KAPGSQLTEKQVVDWMESRVARYKRLKGGASFVDMIPKNPSGKILRRALRDKAKEEMD ANKAPSSRL FPSE_12419 MNINIPNLIKSAVPEAEGRNVQILSDNRSNYRDGTFLSGDFPRN PPKLYITGENDDFDETTLKEWRDEGFNVEYISMESCGDGYLKKIKSLSRENMAPCEKF GIVAYDDAAAVCLEHFHVLDNNPEFKLGLLIAYYPTRIPDTNGKFPNSISALVHLAAG EEIGVVKQSQMVGIQGKKRVRRTKISSGLGTGGKLDLAYPSYTYEAEPGFAEHDLDEY DGVAADLAWSRSLAAARKVFGINPDLEVVLENNIQSKLFSKNLNQAISTYTTHKTPHV TYMPTLTGATGAEELKRFYSESFNTPPSLKITLLSRTIGVDRVVDEMHVQFKHTEQVP WMLPGVPPTNKKIEIIMVSIVAVKGGRLYHEHVYWDQASVLVQAELLDPKLLPQSAKD LGLEKLPVVGRRAARRVRRNRDLSDDEGEADNELIPGWKNGNSGSEGVAELP FPSE_05497 MVAREDIPSNVPDLVVADLNGEDEVSEQATGSVNAVYFVNWGIY GRNYQPMNLPASQLTHVLYAFLNVRADGTVYTGDSYADLEKHYTGDSWEEPGTNAYGC VKQLFLLKKANRKLKVMLSIGGWTWSTNFPAAASTAATRATFAQSSVALMKDWGFDGI DVDWEYPANDTDANNMVLLLQAVRKELDTYSKQYASGYHFQLSIAAPAGPENYGKLKM KELGSVLDHINLMAYDYAGAWSAFSGHQANKYANAKIPNATPFNTDQAVSAYVGGGVP SGKMVLGMPIYGRAFQNTGGLGQGYSGVGSGSWENGIWDYKVLPKPGASLVYDRDAQA SYSYDANTKELISFDTPGMVENKVLYVKNKSLGGSMFWEASADKTGADSLLGTSAKKL GSLDSTTNCLTYPNSRYANIAKGLN FPSE_05496 MMLPTAPVVPMDLGDDFKFGPTVLDPVQPEDNDDLVQINGFPSV QTTGGDVALSQLGVLAQFHGTYAGFGFNNIFRPNGTKTPTPLPILPPSEDPNDNILQL NLTSESMAFSKGLTDVPNRGLDTQADLMLNGVPYTQTITDITEIVAPPKKQPVIHFEP GLWMHVPASQEMPVLPASLSRMAAIPHGTSINVQCFQPTVTTQSAPNIPSVDITPLVV GSTQTVGFRSQTATNNNTHRLPQDLSTFMEAGTITQDILTDPNTVLRNANKGKTIVQS TSFSVSSIPENPDLGGGTSNIGFLIGADGGANTATVQARSGNANSVKVTAQYWLSKIR TTIDLPVVDIKVDKKKTVSPASSGPRDAVPKFVVDMNVPAAKTVNIEYTQIQYSQTVT LDFNGLSWPHVTVGTLAPVAGHRLSRVLVSN FPSE_05495 MFKIKDFTGMQYSRDGPSIGKHDYEYFNEQYATSSHQVDHDMNP ALIVQPKEDNDVVKAVQWAKDNKVSVAVKSGGHQYSGASSTGGKNIQIDLSNTYKDMM VIDAPGIPEDRALVYIGVSNRLQDFNAYLRHNDLFVPHGQCAYVCTGGHGQTGGYGQL GRSFGLLGDHIISIRMVDHSGSIQEVTKKSDSELFYAILGGSPGNFGIITHYTIEVYR GASYMGTVAGPNNFKGPHGLKGLWLYEPKVLSRLLGTIAKMSDEGTAPRGYDLCCSVL STEFPITMLFPSMQDDTIWQKVQNKIKNALSQEVLNLLNGSFPAVIVLYAQWCPINKT DKYDATVDKWFQQFRDFQKDWKNHTLRIEEFDESMAKLTGKWIFPKAREFDLPYVKRT YATKSQTLQKDGWVDATVKRLDLIFNPQQKLGNDKSDKEGEVYDHCKLSVQIQCFGGQ NSRFFTNKDNGTSYSWRDSTVLQTLDCFHDPGDQYRQYAINWQAKNDSIMIGPNSPFS KKDRRVLWGSWGDWDMSKPEIWQAYYEDADKYKRLGKTRARADPDGTFTANPFAVTAV KDGAKL FPSE_05494 MFKRKPDIRNLAPLRSSDRRKLADQIIRDYQVPLPEQSDDASSP GTLSSLRNSLLPDSTSSARFITPTEQGTVYIGAHPDQDERVLWFQTGKNPRLIPTVYT LWRNPNLIPLLHTPDFVVDEKLKHGADLMVPGLVKAKGSAWDKRATTGAVVAVAGLQN PTVPIWVGSCQIDVQNLPDDLQGQKGVAVKALHWAGDECWSWKSLGSGGIDPPSNLEG WSGLDTGLASQLGKVSFEDESKTTEPSPSNQPEESQATVDDSNDANDEDDEQEPTTRE IDNAFHQAFLYAVHKAKQSGSPPHYGLDFPLQPSFIIASMIQPNLRSQSRHYNIKKTS WKNVKKFIKELHKQKLVLAKDRNGGETVILDIDFDDAQISGFRPYRLPTPKAPAAEGG ASTGDAGQSSNSSASSGQKINIQFVYRPSSKLVPTLLPSKTDFYSAQDISTALKSYID GHPELGGQGNSSVKLDPFIANDILGNRPTDDDRSWLAAGRITRSALQKRVLEDTHLCQ PFHIISQGRPSSDQKPKAGSPPRILITIEKRTGTKVVTKISNLEPFFIDPQVLAPELQ KKCAGSASVGQVAGAKPGSMEVVIQGDQRKIITSDILGKRGIDMKWVDTVDKTKPKKK S FPSE_05493 MPGFFLRDALVAIGLLKADNEPTPLTSLFSNLPSYHRILIAGLH NTVKSTLLQKHLASNVKHVTTFTMFTVCHVDIYRCGNVTFHVMDIGASRPSGFHTMER AFFNQADAVIWVIDANDCDTHVESREELINKVDHKDGMPKDTPLLILANKRDPNDIEA VQKTESFFFDQASSALAARPHAVFGTNIHTGEGLPESFKWLSEMVANRTTHDTRGTES AKVSCQDEVVAILENGTGRKFERENSQ FPSE_05492 MRLTYVFWVLFTAVASIPFFEQLDTELRYWFYLYWQRDVLWEPA FGPISERLLAMERNGTLRGPKVYIPNPGFELNMGKPWKLVGNGVEVAKNVSLSRRGRN SLLFTISDPVQRPEVYSVNLKNLKDHRVYYLTFNYRFVEMKGVTKKTPCFIAITLGDM IVTYPIFAKAGQHPHILRHEHMRYQSITVPMYSKVSISPLVIAVFCSTRLRTGDIARV SIDDIRLEKGEGELSSWEFDLPREKYQYWLRDNYHQEFQKKDAKWYGNSYSPGWPVNC REETFDGWKCKVAGYDYRRQDLWDEGYGDYWPLNEQPGRSVTDI FPSE_05491 MSKGTVTQSSSRLLCANNDDRPQSKDLQDLYSALLASLLPLVPH RVRFIKMEHTFLAEDAIHNLGNLKLTQSNRMPDPNNPLGTVVSTSTTTFSMNQATARN LCQQFVDARYIESADGKPDQLFKLGGVWRPTSKGITIFDWFCQSNGLYQEQMSELQCL INGPLLYLERDTKTDVCHVDRATTEVVFCRLIGVDGRGKKGHLSEGAVGIRMQPERKI NNRTYHDTFTGSSVAEWLRDNTTCVDLREAVNMATHFVHYNLIESVNADMVYMNQFAA CKLFQPTPVAIYQLSERGQDLVETSSSSRGSSQGKTDSSSAKGGINESNRHKLEKILL DPTLRLLFRENLRETYCEEGLAFYEQVDVIIRDAKSLLESENKETEMNELLSTSHVIF NTFLAPGSPREVNVNHKIRSSLMQRMTQAQSLDATVADTLKEVTSLLETAHDAVFKLM ATDSVPKFLNNPAAESRLVQAGVR FPSE_05490 MRFQSLLWGGLLGLSTVLAAPTANSGVQEPPSQVKRAVPTSTFS GNVIFSPPSNAGWTDPRVLYARAIQLSDGSLISTWENYSPEPPLVYFPIYRSTDGGVK WTQIGKVQDTVNNWGLRYQPDLYELPRAIGKWPKGTILATGNSIPTDLSKTKIDVYAS TDGGKTWKFTSSIASGGEARPNNGLTPVWEPHMMVYKEKLVCYYADQRDPKHGQKLSH QTTTDLVSWSALVNDVADSNYNARPGMPGITLLPNGQYIFVYETCGTDGCRVHYRLSA DPLNFAAAKDIALVSNKGTRPVSSPTVVWSSVGGANGSIIVSAGSQSQIFVNKNLGAE NSWVEFATPQPNAYTRGLMVFKENDNFLLIIGGGWLPPSSNNQVSLSVIDLKKTGL FPSE_05489 MQFTTSFIVAAFLGTAFAMPQAAPTDCPETSAIPTCGAPCITSA AAAAGCTDIACQCASSEVIQASALGCVVGNCGIPLALSVQAAAAAVCTACA FPSE_05488 MYFSAILLTLAATASAVDVRAYSNSGCNGAWVGCAGINPGVCCV FSNSASSGRLSVSVNAIPSSWRIRGEANTGGGCTYLANQQDSNGNTDICMTYSSRGDR TGGSYSFVGRKRAADNSCPAEQPDGGKCEAGVKPDLLGLSDGTVYSIADLSEEKVEEL EKIANTGAGAEAVPAEFEALRR FPSE_05487 MQSVSRLLLLAALALPSIAVDSTVDLGYSKYKGKDLGNGVSEWL GVRYGAAPVKDLRWTLPQDPSRVRAVQDATKRKPVCIGTSSDPSVIGNTEDEDCLFLN IWAPTHASSKSKLPVYFYIQGGGFNTNANPNVNGTALVKAGDLDMIVISIHYRVGVYG FLNDGADIKPNLGLHDQRKALKWVQKYIAKFGGNPDHVVIGGDSAGAASVSLHLSAYG GKDEGLFHGAAAESISFGTLLTPKESSYQYQNLAIRLGCAGPKKDVLRCIRSKSPQEI QKVNKAIPFEGSSKAPLYMWTVSLDNDLIPDLTYKLFEQGKFIKVPLITGDDTNGGTP FAPRDAASIGDSDEFLKAQFPFLTLEQLGKINDLYPNKNDTCPNAGCYWRQASTAYGD MRYMCPGLYISNALTKYGVSKSWNYWYDVEDPSEMAQGLGVPHVAELNAIFGPGSGNN NPPASYFPGEKNGPVIPVVQGYWSSFIRSLDPNTHRHEGSVKWETWTEKGKERIVFET GGSTRMEKTGKELQKQCKYLSSIGADIRQ FPSE_05486 MNGCWTRVLSAVFATLFLAAVTLKTPYPGNDPYRCRAVQNTGRW IDPVRDEQGNRDPFRQWQPDGCILSQYGSGDIRRCMEGRKIFLSGDSTSQNVARAMGN MLDSKQFKKIDSRKGVPRIQLYNTTYHGQKIQRLANNIDKYTEERHNIPSIKDQQGPA LIYISAGAWFTHPHVLKSCNETNVTDPWDKRYGLFRNHVVSLNRFIGDNIPDHDPFRA PMDPYDGIGNLILYAPPAGPRYLGDDPAQQVDRDRRANEVFEMQQWLQEHEGNLSIPL VWSIPGVVAGQDKIWRDPLRSGFHVKFHVAELRANILFNMRCNAKLDRMMPYPYSRTC CTDYGIKPSTQTTLVGLGLMYLTICVFCELFHIFTNRPQDYSHRSLFNMRTGCLVLTL LMCYYADRTQMMAKGSKLWQLGDFVALCLPCIAICLSTIRRSDPPWNLSFTQSNTDQP FLSPDQIDEWKGWMQVFILIYHWAGAQGGLIHVLVRLCMGAYVFQTGYVHTLDFMNEK DFSFNHAASTLLRLNILPCLLAYFMDTEYMAYHFSPLLSFWFLVVYATMAIDSGHNNE LQFLLVKICVSCMIISIVFLATPFTSWTFYIFQGIFKIQWSAEEWQRSVTLDLFIAYV GMLAAVIGREMKKGEVSVRLGLRVCLVFGGLFSILHYLSFTSNITESSYMKWHPYVSV IPILGFVMLRNIPWSARN FPSE_05485 MPSSSYPTQAVELETLNFTRGIDATPKQPNPVLSSAGRRLGSHS VHDQDQDHQQANITDDEAIPPRAAVVVEKWNEPIGNAFRVASVFFSLFVSGANDAAYG ALIPYLETYYELSYLVVSLIFLSPFVGFIVSAATNNYLHMRIGQRWIAFMCGGCHALT YLILSQHPPYPVLVLAYVLAGLGNGIGLAAWNSYIGNLARSNELLGFMHASYGLGGTV SPLIATSMITQANLGWYDFYYVLLGMAVLETATLTYSFWPKTAQKYRETVNATDTRTQ GTRAALFVKPHARVVWLCALFLLGYVGVEVALGGWVVQFMLRVRNADPFDAGMTAVGF WLGITMGRMILGMVIPKIGVKLSLIIFIPITMALQLIFWLVPQFHVSAVAVALQGFFL GPMFPCVIVSVTMLLPRHLHVSAIGFAAAFGGSGAAVLPFAVGAIAQAKGVQVLQPII LAILVVLLGIWLGLPKIEKRKD FPSE_05484 MVRFTLTPILAAADADQMIKMGLATAADEHGNRLLHKVHSQPGA KWDGYARKDRPYVENYPQSFATIPDQMFSEAAIYYFGFTAEKTAEIWADFVHRYRLIK KVKPTIPLAFIRTCVSVSLDRKIDVDSDKDDATLRRVMTLWGVSNTVQDVILDPAHRH LRTKKSCSQVVMDVVDTRYNMLCTIRKKSHSRARFCPWLTSRDTARSTSQQD FPSE_05483 MATLDALRIALQQRIPSEASTKALSDDEYQHGFDLFLHHNGWSN YRDFVIPRLSQLLHSQFDSRKDVSVLEVGPGPKSVFGYLPNFMRQKITSYTAYEPNHL FAEKLEAWLHPTEDSPPFPSLCTSSINIEPFTQETVVHEKQHIILFCHSLYGVSSKDQ VVRHALDMLSEEPEDGILVVCHRDTAPFLNDLVCQRSAIFPDGTVRIKDSNDTINRFA SFVAGCSIQPDKMRNATHDDWRNVCRNLAYHENNHPDTLTFASPEVIMTFTRHSTALP ELTAVVPLASKDCKVKNREAQSHAPAAVVRPTEVGQIQRCVTWALKHQFCLTVVGGGH SGHCRWHNVASVDMGAFNQVHIVKNAGGSNEGHPLVVAGTGCKTGDIIQAAQSEGLTV PLGARPSVGSGLWLQGGIGHLLRPHGLACDAIVGAVLVSVESGQVFCVGEVPYEHQPI DAVRPNNENDLLWSLKGAGNNFGIVISVTFKAYSARSFSVKDWSIRLQDEENAKNILQ KFASTLARPLDRDSSVDAYLYYVNTQLMLGVTLFQSMETTTGKDPFPATPKSFIQDIG SDATAFGCRDWDFACVVTGVWPREDENSATARATTRWVYGVVEALLPVSQGVYGADLG PDPRDAILATKAFGPNLRKLVKLKQIFDPHRVLAYACPLSKDMLSQKMVVLVTGEHGA GKDYCANIWAAAIKGHGHSTAVLSISDTTKREYASHTGASLKRLLEDRAYKEQHREAL AAFFGQQMKHRPHLAEEHFTEAVRSADVDVLFITGMREEAPVANMWHLVPDVRLVDVH VKASHKTRGLRRQIFTNGHSISHGNFNGTETLVLNYRPNFIFHNDTASDDQVKAFAKH SLLPFLGDDLRRLAGMVPSVPDFPRPGIEFRHVLEIGQQKGGYKLCASLFKQHFTGDW RKIDVIVSCDVGGHIFAASLALEVNIHSILVRPPGKLPPPTISVEKSHSHVSSHVNGV KAEGNAMRADALRKGARVVVIDDVLATGHTLLSMLELLNRAGIDTEDVSVMVVAEFPI HRGRQKLRQGGFGRVGIQSLLVFDGE FPSE_05482 MGNYTSAVVSDDGTTDEMKQYLSELPSKNLEPLWSQMSMMVPPS PNPTAKPHMWTYKEALPHLETAAKLVPEEKAERRVLMLVNPSMQSPYTTDTIYGGLQI VKPGETAPAHRHLAFAARFIIDGEGFTAVEGKKMPLVRGDVVITPIWHWHDHGNESDK PVIWLDMLNLPLFRFAPVHFAQGYSDPRYPSEHCDPCEFRFPWGPVEQELNSSNDAYS IYHYRLSNGKPLSTFLGVQAERLGPGSTFESQESQSYLYHCYEGNGRTELVTPTGETM TFQWQARDTFAVPSWCKVKHVNESATEQAYLIGCHDGPFLECLGIQRRKE FPSE_05481 MSSTTWNRLIRFVDDNGNETFGDPEIQNDKDFAERLANNDLWAV EYKGQSPVSQLVKGERIHVKEIRELLRASDVPIIRCIGLNYIKHIKEGGRTPPPYPSL FIKPSTSIAGFGEDVPIPKIAQDGTIDYEGELGIVIGKSGKNISKEDALSYVAGYVAT NDVSARAWQRDPKKAGGVPQWCFSKGFDKFAPIGPLLVSPAVVGNASKLHLTTTVNGE VRQSEGTNDLLFGVEEIVSFISSGTTLEAGTVILTGTPSGVAMGMKEPKYLNDGDVVE VSITELGSVRNKMVFE FPSE_05480 MANIEIDTIINSLTLEEKISLLAGKSFSETVGIPHKGVPSIKTA DGPNGIRSAATDLDIKSACFPAACNLAATFDVDLAEQFGRALGIEARGKGVNCMLGPT VCMHRHPLGGRNFESYSEDPFLTGKMSSKVIQGLQSLGVSATIKHFVANEQETARTTV DEAIDERTLREIYLRPFEIAIKEANPWAVMTAYNHVNGLHCDEHKWLLERVLRGEWGW KGLVMSDWGGTNSVAAALNAGLDLEMPGPPRLRKEDAVKQALQTGELSEDTINQRVRT LIEWATKLKALEAESQGVALGQDTNTHELRKMIREAGARGIVLLKNDQDILPLTKQKV QKKRIALIGFAKDAMAHGGGSASVNAYRKVTPWQGLHEAMGDDVEFTFAKGAHKERLL PAIQPTGSAGTVVGLDGKPGFSRQLFKEGEESPVSTIGQPTSAYSPLGSQESLWRRLE ILGDFTPSETGSHYIACSGLGPTRVYVDGEIIYEQTANCTDPMGSLFLAAPEPEFRHN FEAGKTYRLRICSDPPTKIGLTILEGRSGVRVGFSLESDHDADLVGEAAQVAKDADYA IVFTGHDPQWETEGRDQDSFNLPRKGTQDGLVTTVASVNPNTIVVNSTGVAIAMPWLE KVPAVVQAWFPGQECGYSIADVLTGAVNPEGRLPVSFPKHIEDCPAHGNFPGEYVDGK LKVKYEEGIFVGYRHFDRIAKDKVNFPFGHGLSYTIFDYELSDVTREGNDWSIVTQVS NTGQQAGGALVQVYAGRVEQFSEHPIKTLVAFQKVRLQPGEKKEVKLRVAERDLAYFN TGRGKWVIDEGEYQFSLGSSSTEIVGQKVVRVEGSSFDP FPSE_05479 MGSPTSNKGAAASVGTDLAAVLPDDTRPWWRVPHLLKLNLLLLI PLISSGAIGYDGSMMNGLQTLPQWRGYFGNPEGAMLGALNSVYPAGKVIALFLVTYVC DRFGRKRAMMVGSVACVAFAIMQAVSQNLETFIAARAILGFFTSFLAQPSPILITELA YPTHRGKLTALYNTSFYLGGIIAAWCTFGTFKLDTTWSWRIPSLVQGALPALQLIGVY FLPESPRWLVANGRREEARKILATYHAGGDADAPLVKFEMAEIEGAVTHEADAMSQNS WLELLRTPANRKRTLIAVIVGWFAQWNGVNLISYYLVLVLNTIGITKARDQTLINGLL QISNWLAAIFVGAMLVDRLGRRTLFLLSTCGMFTSYVIWTGLSASFDSSRSPSTGKAI VAFVFITFFFYAIAWAPLLQAYTVEIFPYTLRGRGVSIMYISTFVGLVLGNQVNPIAM KSIGWKYYIVFCCILFCLILVIWFLFPETKGHSLEEIRALFEGESHGAMQAGKLEDIE SAHNDKEGRKDKKVDLVEVA FPSE_05478 MKYSTATILVLAQGIFAAPSFLNKIGHKNFKASHRSTGGDITLS IKVSQSPMNGAFHKAVKDEICWLICASDEIECPDDWDTVLQETVSIDNVDTRTMLCVS LKFLPTCASLSQ FPSE_05477 MPIYTYIIETSQVTHIYKMVQVLEDIYGRDRFGVQEIGPTGFRI KVTTFDPKAPWKRLRALGMVV FPSE_05476 MKVSTILAGASLWVASLTPTSAGLVPRADTFNNPIIYSDFPDND VFLGPDNNYYFSASNFHYSPGAPILRSKDLLNWDLIGHSIPRLTFGDGYDLTGSQRSY RGGTWASSMRYRKSNGLWYWIGCVNFYHTWVFTASSPEGPWTNRGNLGDGNCHYDNGI LIDDDDTMYIVSGSADVRVAQLSKDGFSQVKSQGVFSKADIGKEDLEGNRMYKINGLY YVLNDSPSGSQTWIWKSKSPWGPYEKKLLADKVTPPLNGGNSPHQGSLIKTPKGDWYF MSFTWAYPAGRLPVLAPVTWGSDGFPILTKGNNGGWGSSYPTLPGTSGVTKNWARTDT FSGTSLGPSWEWNHNPDTNSFTVNNGLTLRTATVTKDIYQARNTLTHRTHGNRPVGTV KIDFSKMKDGDRAGLSAFRDQSAYIGIHRTNGQFTLATKHGMNMDEWNGATTSMGDVK ATAAVPSGRTAVWLKIQMDTDPAGTGNTVFSFSWDGSKYETLGPNFKLYNGWAFFIAY RFGIFNYAESSLGGSIKVESFSAA FPSE_05475 MLHLAAQVPAAKAPLVIREIETPQPGPHDILVKNQIIALQPIDA KIAKVAMLPLPYPTILGSSFAGIVDKVGSEITGFKVGDKVVGMKTAGAQDSKYSAYQE YSISQEVTTTKVSDDATLDLAVRLVGNLPTIPALFNYTLKLERPVPGEQPKSQGKKIL IYGGTSSIGSMAVQYLTQAGYDVVTTFSPKHKDFVSTLGATKIIDHTQDSEDLVKELT ASGPYDIVVDTISTGDTVKLNAQVVAAQGGDSVYALQPPFGPETLPAGVTRKFEGWSL LLGKEENAELLKWTFDTYFPKALETNSLVSVPAKKIPGGLGGLNDALDLLFFKGVSSE KVVVDLRD FPSE_05474 MSRAIFITGATGKQGGAVINALLAKSSSEFLLLAVTRNKESSSA KNLASKSSNIKLVEGDLDSIPALFQAAKRAAGTVPIWGVYSVQLSMGKGVTLEGEVRQ GKGLIDESIKAGIKHFVYSSVDRGGDEKSWTDATVVPHFKTKYEIEHHLRNSTNNGNS SMNWTILRPTAFMENLEPIFATKIFLTMIRDTLKDKPLQWVATEDIGYFAAEAFTDPQ GWNKKAISITGDELTFAQLSEAFEHATGGPAGTTFGILGKALKVGVKELGIMVNWFRD EGYGADLAKVRQLNPEAKTMEQWVKTSAFVSH FPSE_05473 MKGLVTYLALAVSCSQTFASPVRSTSAKNLVVFGDSYSTVGFWP GGQLPSASNPIGNPGLPGQTTSAGLNWVGHVTSTLNTSLILTYDFAYSGATIDKKIVN SWAQYSMSDQVGLYKQYVAPAVSDADTLVAIWIGINDVGEAFWSKTNALVTECVNRYF ELLQTLVNDGFDKFVLLNIPGYADQFPKMIGQPAVDLARLRADIISYNKAVQSKAAAF ASSHPTVKLQIFDTKPSFDQVVNNYAKYGAKDATCFGASNCLWADDYHASGAIHKLLA QNLVKAVRGIFTF FPSE_05472 MPAMITKRPGLMQVSVSRSAEEKRLIPAYCSSLARRAKELEILR KNILVDANGTELAFGIQTRFSPHAVAISDTLFSRLTQLHTLLGRVFIDIVDRWFTDEK ARFPERMPLDPSEESLLKWIASSGQIPDYHDRAGCWRSDILFGQSPDGVFDEAPSICE INGRLPLNGTLGISLATNGLKEIGAAKGGLETQSDMEEAYNRLMSMYDPEKPLFTVRG DWPGADSKTLSGANVSRNRPPVEAVDPKNLQVREDKLSPTGLSLWDKSTDTHVGQWII EMLQEEYATLEPAVAQQLACSPLNDLRTVFIVHDKRLLGIIPEELPGMVTRGVLTAEE AGIVAAGIAHTINPGSEGLQTLIKESEANPDVRTGYIYKPCRDGMGHGIELGRNMTQE AWLERLKKLATPDVLRPHDHAAVIQKLVDHKWYDMVRHEVASDDGAKPNKFHIIGSFF MFHNQNYYAAPWRMGLETHLGITGDKPGVVMAMVRQPDWPVGYDQEEEP FPSE_05471 MVLVHFFLICCLSTLGFAFTHPGLLVSQSDIDRIQTKLAAKKEP WTASWEKLTSIPFGKADYKNNAVYEVNRAQNGDTLWHDAAAAFNLGLRWKVSRDEKFA EAASDILVAWADKLKVLSGGDDAYLTAGLQGYELANAVELLHDYGPFVESGRPKVIQL LNELFLPMNLDFLNQVLGSQHNVRHFFANWEQCNIASALAIAVVSENQTTWDFAIDYF KHGVGNGNINNAISNIVEEPGTGKLLGQGQESGRDQGHSSMNFQLLGAIGQQAWNQGE DLFAYNNSRILLGAEYFARYNLGNDVPFEPYTNGIVSFDVISDASRGAVRPAWELLYS HYVQLKGFEAPWTTAYLNNSLESFGGFEGGAGSWGEGSGHYDGLGWGSLLYRLEESDV RAIKSSATTSIAPSTTHKSVSQTAAIVVSKPTILNEGYPPISATHAPTKTNAAEIPPV SPPKAEGSHHHTHKTKGSHHHHHHTHKPKTGCRATQ FPSE_05470 MAAAYFAVTAVNSPHPIHDVEDAARECPDYAAAATLDQLTDSED YVVFVCSALGEFSEKNTNNHVKLNKDTDPTCNVTLQYTLSKDDYACWDVMDTAIYDTI IQMAGGHEHESDIDWWDEEGHVWIKTKPAVDTIRIPGIVHKSSTCFMGPKRARPIIHH TIIPCQQGQEGREDVKAMGIQHATLWKFVHLLRKRVCGFDKYETAKIDGYDDINGQSV KDCMERKEIHEPIQVQLQGLSAIHEFDMDVMEQRLEGK FPSE_11187 MASTLASPLVMGGAGFSYQLNPNPESLPIVDILLRAFELGVRTI DTSPYYEPSEQLMGAALSDSRITSKYQRSDYELMTKVGRIKENEFDYSPDWIRQSVAR SMERFQSSYLDVVFCHDVEYVSIDEAVTAVGVLFEFQRAGIVLRVGISGYDIDVLAEV AMLAQKRYGQPVDVIQTWAQLTLQNTEAETRGFPRFRAAGVKSVFCSSPLAVGLLRTG GIPLGLTGDWHPAPQGLRAAAAEAAQWVDEHGKGETLSFLAMQYAIIKATQNCTPSFA VSTITGISALSDLEQNVAAAKTILKSANASESLKDYTELDIQAVESRSELYERVRSML GTWVDYDFTGKKAKE FPSE_11186 MSPVRTQEDQNSGDNEATFWSGKEDCSETKTHSYTSTAKQDAAR QADIQFRQKFGDAGPLGLSGFAFATFLTALVNLNAGGVTVPNIVIGPALVYGGLAQIL SGMWDIANGNTVSATIACSYGCFWISYAISLIPSFHVRDAYPSDGDYNHANGLFLMGF FIFSVAITLCSMKSNVFSLTLCLLVNCTWLLLGLANLFTEESGAPNDVLLKCGGVTGL LVSFTAWYLMYEGLANRQNSFVQPINPDLPWNPSRQPRAAQI FPSE_11185 MPNWQDDILPLIQKPYWVAKDSEAVGRKWVNEMLYWGNWDLSSY EDVKKRAPGTYNHLRSKSMPITRNPDHYWPEEALELFRSWANNGYIQNKQGTQSVQHL EPVIPEPLEPPISYRVRKDIMSMSREELVEYQSKLDDILHIQEIHFEGKKTKWQELGV LHAYWCLHYQEATFLWHRAYLRYVEQLIDFPIPYWNGYAKEASVSSSKFAGIPPMFLE KTYQHPQLGERKNPLLYAKALNGKSKNGTSETVTRNEVLTEGSSNPGWKAKVELFEIY HTQIEHALEQATYTTSESAQHFGIPWANIVDFTDHQPDTAYPFRCDFDGLFEQVHDNF HGWVGYDMADNTYTAFDPIFLSYHANMDRLAGIFMDSNPENQFTSRFPLQPFMDQGTK VSYDDPRRWMYTTISDMAKDTRALGYMYGEPASPDYSTPKTAEEKGLYRPRASGGKAI ALPLGLPEQGSVKDGPSARALAKSPKRQLVPYVVFTDVGCTISSYRIDVYTGSASSTA PDVTGNPDFIGQVTRLGMGRGTEGHGPPNTGRCRKPVATRVLPAEKFKHRLGKDSGVK IVVTDLETGNVVDEKEYNLMSGFNPKLVWLPETVFEMAHHLVRSFVLTSVLSSLACAG PCRPSTTSVVAVTSTTATANSEVVDTTISVPLTTETSGAESTGTTDTTVAVETSIETF TVTDSQAQAVSTTAASDAAVTTTTEDAATTTAEATTTTAVPAPTCVNNLKNPTPEGAS CGLTGNPRNSVSYVANGPVGSAYDCYKYCLTEPNCQSFAVQENSFCELYSESIGGTGG QFTTYQWYDKSCFCDTEET FPSE_11184 MPGQLEKLEKFNPPAFLKDFEGEQLERWSTTVDGWFNDEIAGRQ TGRSILTQFFNPTQFAYDKTLPPVPITWVGFPLNVRITQPTDDARWRFAEDPKNQRAP RGRLDPVKIKGYTKDPKTGEEVPFEVSSDRLDRWVMDEYLEWSTKKVDGDVEVVSFTC EGPEYWQELAKYNPIKVETPIENATDQEFERNDKILNIYKKLNPDFADQIKGDDLVDE KGVYDIYNKWNGHTDTGTIAHLIQLNNSLSAEIDIAAQATVSRTDLVYGEPITNMITL CGDASSYGNVSRNSDPTIGAAVNEVCRLAHSDVVKIPVTVSVMDPVALYIYDADFSSF LLDPTGKRRGNVREMIPVPEGVFDWCGRGDITKNMGLHLRVSVKGMKTTDGSRELNVS DLFDQNNGGRYVHYGSQFADYIIMSVSGIVGPKPEDATAEWPGKPQPAITYTEPYLQK PWIEPKTMGDKDLITTFKSGEVNKIVTKSHLEAMEIRVADQSGPSDYMGMPEPGPPNG PEYLSITPKVLY FPSE_11183 MTDPKRGNYIDLNQTLWYRQFIRGYNTETKNPYPDKDTPATEAQ LDNDFVDFWGKRLRADPKFRQHNTYNELMTEFWDDPNIILQTYAVADGQTVPKYKQKD WFRSELRFISILFPADANHKKDTKTPVASVSWAPWFVMVAASADIHSEKDILHIMSWS RNDGQFRYYARDLIMNDDVKGQHGWVYLCSSMDAFDAPGKAYLGPLNGHVNGGIVMKE IHKPWMHWLVGGRGMTEMLKPELVKELMEAPWLTHPGFPPLYGASVQSGETMERIVLD SLRVWFSSRRNKDFMAQDGKTEECPRNVQRWAAHFFLSTNINIAASPSKARVGSAPSN DNIIPSDHFFNYEMLRGYSELLPNRNLHDAAWLKAHILDETPTALTTWNESNFPNDKS QYYTQEDWFQSYTIPKFAYDGPSYLWASRELKLCTLQEISDPEKAQLIVPTKTLAGGI MMGTLENGHEDINGGKQVNFAVQQWGEGDNPWVILQSSVDDAMGVWMAQKMFLGDDKE AARCQLFSEATFNALQMVDFWNPIYSWRRLKLMQYIPVEAKLVDTPTVDPVTGENVFT YDLESNFIAAIKQRMDGRGNLEDLQDEWPEAEFLCNLEKPLIEHQIRISNYTNAVANN LGDSKWILEYLKLAESRRRMFRPMPMNFFGSNIPYCLAMTVDHPWQEMTEEGKVRDIP KDGVDILKVWLGSLAGNDPNIIPTKFKGLKHLSEFTDAIQDRLDSDVPGLPVVLLPRP STMRMACQRSIAMTNPETKERPHSCPFLAEKHSASIHTAVKV FPSE_11182 MTRNRQYIKHIDAAFRPAIKPPSNPIHLKASERPELSGFKKTTT TRAPSTTSVTTLKRSSQDPPPACTTESDPIHINSLEWPELPKISGFETPPTIRAPLTV LAPPKIRSPMTIDAMERLAREIWGLCA FPSE_11181 MDFINRLLGRNTDTKYRYRGAKLPTEPLTPREIEEMHLKLHPDT HIFNAAQPKQQDSDLDNRDSNVPCTSLEEQFSSAAADGSLQKAQSESLLFSRLPLEVR MQIWRHVVGNHKVHLTVYRGRLRQSTFESSDYQWMPQRGLLGVPLVCRAAYIESISSL YSANTFCFGFGQASSKSALMSLSTMLPKQHIPFIKHIEVGWHLYAGVSQYYDSHSQAW DITIDVPAPETENLWNNVCVELAEMSYLRTLRIVVWLSGDGRARFIEKESDMLAPLVT MRHLQRFDVHLPWKQDDTELWKDAPFRVSRGFRVKEMYGVSIPLLDDDFYWSGSVA FPSE_01910 MVSFTYLLAAVSAVTGAVAAPNPTKVDAQPPSGLLEKRTSPTTG VNNGFYFSFWTDTPNAVTYTNGNGGQFSMNWNGNRGNHVGGKGWNPGAARTIKYSGDY RPNGNSYLAVYGWTRNPLVEYYIVENFGTYNPSSGAQKKGEINIDGSIYDIAVSTRNC APSIEGNCKTFQQYWSVRRNKRSSGSVNTGAHFNAWAQAGLRLGSHDYQILAVEGYQS SGQATMTVSG FPSE_01909 MTATKNIALITASTRTPRVGPKIASLVHEIITGDVDASQKTKIT PVDVADFNLPVFDETIMPALVPSKGSFTKPHAIAWSSEIAKYDGYIFVIPEYNHGLAG GTKNAIDYLYNEWVGKGVAVISYGIFGGTSANEQLNHVLKGMKLQVTETSPALAFRDN VGPDLWLAGAGDLGEDTKKDIIAQSPEILKAFAELKDLMNKESSGET FPSE_01908 MLVKPQLWLAFAAAAVAAPSQDAYDYIVVGGGTAGVAVAARLSE GLPSSKILLIEAGPSALDEPKINVPGLKGTTLGTKYDWNFTTVPQTGANDRVWPINRG KVLGGSSALNLMTYDRASAAEYDSWEALGNPGWNWKTMIKAMMKSETFTGKNTATYGS KGVGDSGPVQAVVNRVIPKHQESWIPTLNKLGVRKNLESLGGNPLGVMYQPSSIDPAN YKRSYSANAYDEIYGSNLEIATDTTVAKINLSAPKGNKKAIATGVTLADGTVIKASRE VILSAGSIQSPPLLEQSGIGSKSVLAAASIKQIIDLPGVGENLQDHLRIMSSYQLKPQ FTSIDIFKSNATFAAAQMALWNAGKQSLYDYTGSAYTFSTWKQALGSDAKLLSLAKEA AAKDGSRVDKKKLDFFKDSSVPQVEVIFSDGYTGVKGYPAATSPLFGKGFVSLIAVVM HPLSRGSVHINPSDPAGKPIINPNYLSNAHDVEAVTQAVKYNRKIANTEPMRSIWDDE YEPGLDVKTDEQLKAYALRTTLSIFHPTGTCAMLPKKDGGVVDAKLKVYGTENLRVVD ASIMPLLISAHIQTAVYGIAERAAEFIIKAAK FPSE_01907 MATVEPPNPLPPDENVGPALLGVSGTCLALVIITTSVRLWVRSA LRSLGPDDYTITVVTILGIIRFGVQVAQVAIGNGRHRWYIDTEDYMRNNMLGWFAQIL LFASICLLKISILLLLLRIKDSQRVKYSAWGIMAGLFITNFGCIFILLAECRPISAYW TGVGNCWDPRVRIYYIYATIGFSIVTDLLCSLLPVYVIWSIKLPMKTKISVWALMSLG LVATGFGIGRASSLGVVTSDLSWVYAITAIWSNLELYLGIVGANLALGRSMYGYFFKE RDHSKVGSSYGYGNGPRSTSTSGNLRIPSSGAFRSGQTRLPSHGRDSEISLVAQTKKQ QPTTWYADGNTDDDNPPGVTEHGIGIGR FPSE_01906 MTAYKLFLAAAFAATALAAPVEERQSCSNGVWSQCGGQNWSGTP CCTSGNKCVKVNDFYSQCQPGSADPSPTSTIVSATTTKATTTAGNGGSVTSPPPVATN NPFSGVDLWANNYYRSEVSTLAIPKLSGAMATAAAKVANVPSFQWMDTYDHISFMEDS LADIRKANKAGGNYAGQFVVYDLPDRDCAAAASNGEYSLDKDGKNKYKAYIAKIKGIL QNYSDTRIILVIEPDSLANMVTNMNVPKCANAASAYKELTVHALKELNLPNVSMYIDA GHGGWLGWPANLPPAAQLYGQLYKDAGKPSRLRGLVTNVSNYNAWKLSSKPGYTESNP NYDEQKYIHALSPLLEQEGWPGAKFIVDQGRSGKQPTGQKAWGDWCNAPGTGFGLRPS ANTGDALVDAFVWVKPGGESDGTSDTSAARYDYHCGIDGAVKPAPEAGTWFQAYFEQL LKNANPSFL FPSE_01905 MRVSTLLLAFSTCVLAEDGLDGWLRYAPLPAHQVSSHAKSLPRN IRVIGGSKNSPLQSAASELQKGFSGILNIDLKTADSKKTCDFAKTIVVTTVDNLEKAC HDKQKHPKLEEDGYWLSTSDNSVKIVGQNERGALYGAFEYLSMLGQGNFKHVEKASNP SAPIRWANQWDNLNASSTHGSIERGYGGPSIFFDGVKNVRKDLSRVPLFGRLLASIGI NAVVINNVNADVRLFNKENRDGLVEIADLLRPWGVQVGLSLNFASPSVTGDLDTFDPL DKKVIKWWHDLTDGLYERIPDMAGYLVKANSEGQPGPLTYNRTLADGANMFAKALEPH GGIVMFRAFVYDKLDWTDWKADRANAAVEFFSELDGKFDDNVVVQIKYGPIDFQVREP VSPLFSHLRNTNSAIELQISQEYLGQQCHLVYLPPLWRTILDFDMRIDGKKSNVRDIV SGKVFKRKLGGYAGVTNVGLDKTWLGSHLSMSNLYAFGRLAWNPQTDPKEVLEDWTRL TFGLDNKVRKAITEMSMESWPAYENYSGNLGIQTLTDILYAHYGPNPASQDNNGWGQW TRATRDHIGMDRTVKNGTGNAGQYPAEVAKRFENPETTPDDLMLWFHHVPYDFKLHSG KTVIQHFYDAHYKGANTAQTFPKLWKSLQGKVDDDRFKHELFRLNYQAGHSIVWRDAI SEFYRNLSGIADTQDRVRNHPYRIEAEKMDLDNYHPVNVTPTETASKYVAVYTNGTGT ATTKLKFKKGTYNLAVNYYDVARGKATWAVYLNKRLVGKWIGDNEERLGHWPSDFLDG HSATRMTFEGVKIKPGDELTIIGKADGAEKAALDYISLLPEGVVD FPSE_01904 MVRTSDHIHFPRHVERIRRPNGPSGYNDSLGRAVLIDIVNYFAD VVDIIDDIKLPKQHKDRTRLKNDKKKLSETIVRGANTFSALFNSAKGQAKYTDIAPKN LPVYRDEINSQLLYPLSKAKSSLGRSFSFVPYQQRLMVILQHIEAEICWWLKIPRPGI AYDKGLVNTTKVSGKASTVHSHGKSPKQTYEKASTTHTNATSKSHGQRPAQSGKAHDQ RSQSGKTHDQRPSRPTQSKVGQQKQRRSSSPAEKSGGCAVM FPSE_01903 MPQPQQFDRDAVLTNPSLSEVYQKLVSVASEFAVLGEIETTRTL VSLLLQDTTLDWQRQQLKIFEPFFAAAGLWPAEIPEEDRITKTASKTTAKHSLDDEEN GRQKDDEKKLQEQMKEARDEGSSVAVDALCTATRLASKHTEDLQEIKSDSRVQEALEI ISKNLHRSRMIKSLVAHHELCGILSAGELAQKVPVDKAKLEAAGKEVIATFSERFTKG RKKHDIESKPMKEVLLELERYTKANGTSHWDEMEEPVPETLFVLPPATDEQISSLEKK LDITLPDDYKDFLKISNGFGGTWNGYHPDNPLYGVDDVSWASESLEVPYVELHDSISG VIALRINDMSKPDWPSSGRTVEIGSFDVLRTLLIPPKNTKVILDAYQEALDDPRNTDD AKKQTLKSIEARYGSLEEMKKLDWAVIEQHDSETLPSGTFRQCLEERLWRAKRGPYLY GTEKELGGIAYSCKANDA FPSE_01902 MKFSTIALAALTQVASAHYFFDVVTVNGKDSAPFQYIRDFTRAT KYNPIKFSSNPSADIRDNSFADGEDIVCNQGAATKGASTQVADVKAGDVITFKLGVGA KMGHPGPTLAYMSSGGSDVKSYKGDGDWFKIMEEGVCNAGGDFTKDAWCNYDSPSADV KIPEGTPDGQYLLRVEHIGVHRSHVNQPEHYVSCMQVAVTGGGSGKVDAEMVKFPGAY KATDDYANFSIYNGAKAFPMPGPKVWGGATSAGGAAGGATGGNDAPASTPAAGNNAPT TTPDAGNGAAAPEAGNGQQQGGAPPQGNQGGFPGQQQGGAPAQGNQGGFPGAQSAGSP CSA FPSE_01901 MDSQLFSQVPSQEASSTLDSRTPHLNILFLCTAHNSLSQQLYLR LCKTHTVTIEYALSDKVMIEAANLVRPDLIICPFLTSPVPSEVFDNYLTLIVHPGPPG DAGPSALDWVLMGDDGTVSDSAELLKAQSWNETGRSHWGVTVLQAVAEMDAGPVWAFE QFELDINDPETTKATLYRGSVTQAAVTATLSAIDRIISATNEHPDPRITTDLRQQPLS QTPGVHGISVHLQPSPEYKTLSVTQKKPFLGGATHCRPLLRAAERNFDIRTESAEAIS RKIRASDSQPGCLTKLFGSTNLYVYGGIVEAGRSVDCKRQPGEVIGSRSGAVCVATCD GMGIWITHIRRVKRKVDPMLWPKVPAVTGLLELGFVSQSTMDDSTALQTLAGWSKASH STFQDVWVDFAPPTGEQRVAFVYFEFYNGAMSTPQCSRLIEALQYVASVECLEAVVLM GGNSYFSNGIALNVIEASCDPSTESWRNINRIDDVVHMLLEVFPRKNVTTIAAMRGNC AAGGVALATACDVVIASSEAVLNPAYRALGLYGSEYHSLSYNGRCGPEIARGILRGML PISAHRAKEIGLIDHVLPGSGPSLDVRVRDFVESLSCVPYKPGNWKSRVDVTPSGLAT ARAHELGEMAKDFWSARSERYTSRRRDFVRKIKSTKTPLRFATHRRREGEVDEEEADT FDDVANYGAMALDKITEHSSQGQKASFAKRNEEAKSHNEGVVIISELLRETRSAQDGK AMLFPCYYGVDTPVCT FPSE_01900 MPTRLTTDCRLALDWISKTQNERKHAKHAEVVQTSLNTKLVADL VHNGEDDDDSYDDSDTNNMNIPEDSLMPEYGDGINALRSFTPTKPETDSCRSLVNHIQ VPEHQQPIPRKRDANADEEMSRVENSYQISTKRNIYSLNERIENTENLMELCSNELIE KQHDAYKCPGAGRR FPSE_01899 MASEVTQEQNERPGDVPGLLEAESDAADSDSTLESGDGSSSYLT SLKSSIFSYRYENGRRYHAFHEGTYLVPNDDEEQNRMDLVHHIYSLVMQGKLHTAPIN DNPQRVLDLGTGTGIWAIDFADEYPSSEVIGTDLSPIQPDWTPTNCVFEVDDFEDPWV YKRPFDYIHARELEGCIANEDQFFERAFQNLSSGGYLEMQGQRAYFMSDDESIKKAVN AEVWAVALRESSIKFGKPIDCVTGWKDKMIKAGFVDVHQEIRKIPIGGWPKDPVLKEV GKCQVIQSCAAIDSYTPMLLGKFLDWGQDEVQVLMAKAKKELRDPAIHIYLPVYFIWG RKP FPSE_01898 MGLYTKLASDISEVDVIIAGGGTAACVVAGRLAEADPNLSILLI EGGQDNRGVQNIENPVFFLDHLLPTSTTTLFYKGNKSDNLGGRESIVPSGGTLGGGSS INFMMYTRAQRSDFDSWKTPGWSADEIFPFLKKLETYHGPGNPEHHGYNGPVHVSNGT YRSKDSESDFINGTAGVGWPEIKDLQTLDANNGVERWLRYVSKDGRRQDTATAYLHSK IDSDKYPNLHVLVESKVVRVILDDEKRAVGVEYTPNPAFQAQIGPTQHPKLTVKARKL VVVSCGALGTPPVLERSGLGDSATLKKAGVDVKLDLPGVGHEYQDHQLVLYPFKTALE PHETIDRVLRNPHKRQELIDAKDPVLGWNSIDISSKFRPTEADVAALGPEFQKKWEKD FKNAPNRPLMLMGLVSCFLGDPSTVPEQQYVTVGNYTAYPYSRGHIHITGPEIDDQLD FDVGFLSDEHDIDLKKQVWAYKKSREIMRRTKMYRGEVAAGHPTWPEGSKAASADLTE ALSDVKDIEYSAEDDKAIEQWIRNNIGTTWHSMATCRMAPREQNGVVDERLNVWGTKG LKLADLSIAPENVGANTNNTAIVIGEKAADIIIKDLGLAQ FPSE_01897 MAGFLVPDHYVALAPSTTDQLVASIIWGFTIAVGIFSAQKAGQQ TWDQYKRTGRFRAYVWMIWAEWTASMIIGILSWCFLRGYIPPSFWIFFSLLCLWVVQI QCICGIIINRISLLMVDRRNAVKIRWITAIILGLINISVFIIWIPAQLQISQRFHDIN FIWDRIEKGIFLVVDATLHGYFIYLIRIKLIANGLTKYQPLMRYNLFMIFISMSLDLI LIGSMSIGNGFIYVQFHPLVYMLKLHIEMNISALIVRVVRATGDHSSYPDGYEDTELR SKVRAHASRKTGSTRAGGAMFSTAGRNLEVRIDAGNDSADNQTKPHQGPGITKVTQTH VQVMDKQDEDDASSQSSTRHLKEPYAYP FPSE_01896 MTQLTKALIILAVVGASFAAPVNQPRQLGGEGAAADSIISDTDN ASGYAGENALIHIAQLLGSDAQEPNEPNGLSGSGSGGGSPPPPPPPKGRMTKMAKRQG DKLSDGAAAVLNQVGATGVADIIKTDGDAIDGQTTGDGALIGEQVGGDEEDIGERVGN LVPNKMPAAPGVPAA FPSE_01895 MTSPSNRISSPNTNRKRARDEPSPRKPAKRQNTHWATSNTASQN TVSITRRINECETRLQTLEAEHAVLSQDGDPDTISRAKRLLGATAIRRGTAATKLNKV KEAIKQMAKTIRDCHDVVPALLHQALKASQTDYNSSEGELQEIKRLEAEQIQQLKDTE LKYRQADSKRKELSKQIDRCKLNLRRAEWDLDNALLQQRIDALSHVDVSTLAFNERLR VSKLVQQIAEIVD FPSE_01894 MSLDSHQNRLEFLLRHVDDEPAISDYRSLAEVIQGIAQTAVNFA VIGQVDGATKLLETLVNKAIDPFDYSKTCYPYLKPCMYFAWEANSSWPSWIPQEERTE EKLLEMEHEGREIWLQRFSQEWEVTEETARKALDMAYNGLTTELPDYNGTLAGQVAVV EKMSQEGIFSYSASPNGPMSIRYSKIAMWWRQGIFPYPYVQLYRTAGLMIALDIYARL NQDTEAREVFDKICGRIEAYEMIEQLACSRPAWSKFILTPQQPMLEMLNIHPAKVRPA ISRAVQMAQNRLETGPRRRYLKQSIGDLVHTISKNTFENCPYEALDIYRPSDELRLRP GSTDGLLGQGCSSADIAALEKRLEISLPGEYKEFLTVTNGLEAIWNGQNALHYLAKAE DVCWQDLDFLEGNEIPLLRDDEPQSHAGNMLSWPTPESLRCICLSGHLDQHEATAHLF LIGPDIVQPAKDYFFSAYQERNESQRSELDNLVQETYGSMDVFRELEYVLMCWTPWDL RYSPFKGIRDFLEQMAEASLQKNQDWLYVFEPRFRRLAKNDE FPSE_01893 MADTKINNLPALPADLTPEWFSAKLGHKVKSVGNTHNIWGTASK LFYTITYEDGSSEARPTNICVKGVFDPNMIEAQPWTVSLAQREAEFFSKVAPSINNMI FPKGWWSGTSEKQGIAIMNDLVSEGCTFPAEVAAYSVEKVKNGVEQLAGLHAQYWGQS QEDHPWIWNNYDPAMTFMCRSWDEVVRRPGRPQLPEYLMDGTRCNEALDRYYAERNPR FRTLLHGDTHIGNIYFTADDSIGFLDWSAFHFGSCFHDVVYHMTAMLSVEDRRTHEME ILDHYLETLHRLGGPKFDRHNDPEVMTEYRRSFMTNVIWLICPDGLQSKERVAALCER TVATYDDHKVIDVILNAPKPSS FPSE_01892 MEHPSCRGATAADPSMDRDSYHLNNLISNRTSFLDPNDQDPTTD VESGTSTPSPSIRKQQHTSNTMCDIYDPNRPKLKLRQRLKHFTWAWYTLPMSTGGLSL LLYAQPHQFNGLRAIGLVIYIINLIIFTSVSVTMASRFLLHQGTFVKSLTHPREGFFV PTCLLSIATLITSTDRYAIAKDNANLVWAVQASFWGYLIVALILAIGQYSYVFAAHSF SLSTMMPTWLLPVFPIMLSGTIASVIAETQPHLDALTIIGAGLTCQGLGLSVAVMMYA HMVGRLMQAGLPNREHRPGLFMCVGPPAFTALAVIGMANGMPDSWDIVNGDGAATVAV VKLIAIMSAIFLWALSLWWFGIAAVAVIQSPPKYFHLGFYAMVFPNTGFTLATISIGK ALESPVIGWVATGMTIIILCVFVFVFTCHIRAIIAQDIMYPGRDEDVEDH FPSE_01891 MSANEANSNIRKNIEETVNSFLSSYEDGRVQNDTSIINRDVTPE CTRQLLPASLLKALGAPESVVFSNDQYEKLYADDLAVGGVYNTVTKNLVIDAEARRAA VTSKSDFKYKDGDSLVVEFSWTLNFNEDGTKIDKVIEFADADAVKRMAAKARELQASR ETNGINGDSGMLEKEVDFFETG FPSE_01890 MSQRSIIKDIVITPVAFHDMPLLNSVGVHEPFALRSIIEIITED SYGLGESYGDSAHLDRLRKAADKIKGLSVYSTNVIYQRCVESLHNDTNTGGDGMGGMV VTASVADKVFSPFEVACLDLQGKLSGISVSDLLGGRVRDSVQYSAYLFYKWGGHPGDE DDEYGPALDPEGVVKQAKKIIDEYGFKAIKLKGGVFPPADEVAAIKALHKAFPGVPLR LDPNAAWTVETSKWVAKELEGIVEYLEDPAGEIEGMAAVAKEASMPLATNMAVVAFDH LPPSILQDAVQVILSDHHFWGGLRKSQTLASICATWGLRLSMHSNSHLGISLAAMTHL ASATPNLDYACDTHWPWKRRDEDVVIEGALKWKDGGVIVPSGTGLGVELDRERLAKLH QQYLDCGLKKRDDTTYMKRFKPEFSEKIPRW FPSE_01889 MAQRSSISKSDIEVADQKPAHLENSNEAIEIGGFRVFGLDPDDA DFYNNYTEEQRKKVFRKVDVRLVPMLALLYLICHIDRANIGNAKIEGMVEDLGMTGVQ YNTILSIFFVPYVLLEVPSNILLKKFQRPSMYLGILCICWGIVMTCTGLVQNFGGLMA TRVLLGIFEAGFFPGAIYLCSYWYMPKDLSTRISYFYCASALSGAFSGLLAAGIAEMD GVAGLEGWRWIFLLEGMVTVLLGVACFFFLIDTPALSSRWLTPEEIKFLELSMFIKQG GGFQEESTVRWKDIKMVLTNWRVYVQAYFLLCQSALSYGTKFTLPSITKAMGFSNTNA QLTSAPPYVAAAISAICFAKVSDRFFWRMPFVAIPMIIVTIAYSVIISLKGELESKKG VAYFAVVLAVVGIYPIQAAAASWNANNIAPSSRRAIGIALMNCVGNVGGIVGSFMYLE SEKPKYYTGFGLSLAFGATGLMVALFLEWSYKSANARKAKIADEAKAKYTEEELFEMG DRSPLFKHVL FPSE_01888 MSSPKPPPSGVWAPAITFFDHDTDTLDTESQAAYYSYLSKTGLA GLVVLGTNAETFLLTREERKELLQTARQACGPDFPIMAGVGGHSTKQVLEFIGDAAEA GANYVLLLPPAYFGKQTTPEVINNFFDDVATKSQLPIVIYNFPVVCNGIDLDSATIAA LAKKYSNIVGVKLTCGAVAKITRLSAELPEERFSIYGGQSDFLIGGLAAGSHGTIAGF ANVVPKTIVHIYNLYQDGKFQEAMALHKKAALAEQPCKAGIAAVKYAATLSTAKAAGI KGAANKLKPRRPYVEPSDAAKKSIETQIADMLDFEATLKPAIENGHTNGTAKEVTNGV TNGAHNGVAVH FPSE_01887 MSAVSKRLEGKTVLITGASSGIGKSTAFEFARTSPKNLKLVLTA RRIDTLQQIAEEIVKEVGDGVKVHPVQLDVSKPDEVRSFVSKLPAEFSEIDVLVNNAG LVKGVDKAPEIKEEDINVMFATNVTGLINMTQAVLPGMLKRNNGEGAGDIINIGSIAG REPYPGGSIYCATKAAIHSFTESLRKELISKRVRVIRIDPGQVETEFSVVRFYGDKSK ADAVYAGCEPLTPDDIAEAIVFAAGRRENVVIADTLIFPNHQAAATVMHRKS FPSE_01886 MASPLDNTQLSPRESRVIGALLGVHAGDSLGATVEFSSHKEIAR TYPNGLRDIVGGGPFRWPAGYATDDTDMTRGVLLAYHDRYYRGIQQDIARLAGDHFIN WLDGDWPDRVKGSNPEDIGNTTQIGLDIYKTTRDPDNAGGGEGGAGNGSLMRCIPTGL FQPDPEKLIQESQRISNITHDDKRCPISCAAYNTIVSKLIDQVPAQEAIKAGLAVAEK LEGEQGVVYEAIELGEELDIAKMAREGPSPRLRGRCTGYVLESLSLAIAAILDERSLE DIVVDIKRVIQSKHIYGMNTDSTPYSSNPKEEIDKGRGYLPPMTLERPILSAPSEDSS NNGHSSKKRKKNTYARGRLPVIPSADEVAVETIAVAAQGTMAGSEHSSPADLSQQYMA GPSHETTHQTVELRDYGMPSNSMAQDGAASRAVASVQQSPEPSQEDLQGHYIGPASGV SFLLRVQKRLHQAISFSGPGSIFTFGDAPLRNPDYDPNFCMMLPKEDAQRLIDRYFDF AMPTYRFLHRPTIQEWFTEFYETLGTMRDPNNSAAKVALLFMILAHARVYMPEGDRPG PSDLSARYYLAAEHQLSKESGSIRLTSVQARLLQCYYLGTQSRVNHCWSQFGIVTNLA LAIGLNRNKRPGVISGLNHIEVESRRRTFWCAYTLDAYLSVSLGRPRNFHDDDIDTEL PACVDDSDITKDHINLTGSTKAYSVMLAPLGHMKLARIIGHILRALYSVKPISVSRRT EETQRISQDLSDWRAEFSQFLDADYFSTSFLVPIVQRQRNVLNMTYWHAIILIHRQAV LNNFAKISRQNRRASVTAYFAFTAAMVMYIYVIQKWASPPETYIDYFTAATRCQSHMT IMVEKGSLSERYCFLLEELRVEALRQMNKMHSSTTAFGTIDGHSQEGGFQSNILTMDT PSDKTSYTDLMGENGMDYNGMSGVDFSGWGQFASMVSSGLGNLDVFLDDEVFRI FPSE_01885 MSSTKGLVLVTGANGFIGARTVEAFLAKGYSVRAAVRGKSSASS LLSALPAYASSGSLTTAIVHDITATGAFDDAVKGATAIAHLAAPVDFSNRDMDYVINA SVQGTLGILESAIKEPGLKSCVYMSSIVTVRGQSPKYPDKGYTEEDWNDQIEDALSKA GATATGHQIYVASKLKAERAFWEFRKTCADKVNFTMTAVNPVWVAGPPLILPEDPEKL SETAIVAYRIMNGQDMPSTGPGNGTHVDVRDVARLIVFAVEKKQVADGQRYIVGGNGN YANIQAYRDLLRKAYPDRKNLIGEVEPGKDYNQDYSTPEGGFLVDGSKAVQATGQDWI SFDQMTLDAAKAYERYF FPSE_01884 MTNFRPHPLPFTSKDPRMQDPKGEHVDGGDTNLNHFSEAVFSCP VVVATEPAYALARQIKKMRQKRADKKAAEEKMSTENAKKEKWHGDDNK FPSE_01883 MASKSMEEVRCHSPAPSTLGPGQHLGNPSPLAMGTFATTLLTLS LSMMGFRGVETQTMFIGNLCFAAGLCMFVSAQWEMARGNTFGYSALSAYAVFYGGYGV ILCPSLGVLESYGGATPEYHNALGFYVLIWAVLTLFFMLGSAPINLVSFGIFTTIFFC FTLDAASNFAHADGKLEVAKALMKAAGVFGFISGLLGYYSCAASMCADALPFELPCGD TSRFFKKNRKQL FPSE_01882 MRIKVSPPSYQSSWYNDSARYEYLQSDIFWTLAILILVNCWWSA KQVDFFDSTADEQAKISPRPSVFWLRYRITSRIRQYVVFLICSLVLPLHLLHGSWVLK SAWRISFGLVNRTYPTLKPRMLGFLLYSPLTAVILLGWAIVLGLGVIIVGTQILLIRN LWVMTPYDPASGTPTKSIGETAAGDGDWHEIKGDENDSKKDK FPSE_01881 MTRNIYIPLEITLQILEADTPPGRPNRILDVSKTHVQQLLTWTK VCRATYEPAIQFLRQHCIYIDSVSRLQSFLKCLEAENGASSSTLPKTMRLCDASSVYL GLSMEELQSVRNSGLIRDLLITLGGSVRRLIVDLPYRRIAQQHSIDAHIDALFSQGLR ALTNLEELTTLGGLPVLEFWRDGFEIGQMWPKLRRVAGFKVNLAEEALWYNIARDRTI EHLVIAMPYVLRRDSWNIKQAIGGKEWNTEEGGDSTHARPLKVVIAQHEYTAPIIDAR DGDLHDPAGLIDVSTFEIPVIAKRVDWVCKEWLVKSAKDDTLWE FPSE_01880 MAEHKATRKRYAVVGTGGRSSFFYSAIATDYSTTSCIVAFCDTN QTRMTYANSKLKSLGHDEVPTFLASNFDQMIKETRPDEVIVTTMDRTHNIYIVRALEL GCNVITEKPMTIDAPRCKEIFSAVERTGKQVRVTFNYRYAPHNTKVFELIKSGAIGKV TSVHFEWMLNTSHGADYFRRWHRDKRNSGGLLVHKSTHHFDLVNFWLQTRPQTVFATG DLAFYGRENAEQRGKTEFYSRAHGSEVAKSDPFALHLDQNPQLKAMYLDAEHEDSYYR DQSVFGDGISIEDTMNVLVKYRNGASLSYSLTAYAPWEGFRVSFNGTGGRLEVEIVEN SYVNSGGDQAAEGSIESRKILLRPLFEKPREIQVEEGVGAHGGGDTVLLNDLFGTPVS DEYMRAASHIDGAASILTGIAANRSIVTGQAINVDDILMVPDS FPSE_01879 MSSLFFAAVSIVDVTQIGYLAVKRQSLAIVCCDSQKLTRPLNST GASGYIGGDVLHLLLKSHPEYHVRTLIRDESKGAAITDAFSQVEIVHGSLDDTDIITK EAQGADIVLHLAATGHLKSVQTIYEALSNKPKGSKSPYYIQISGASLLAAGELADKVR VFGSGSDVIYNDLTGINSIKSFIKQYPNRAVDNYILSVNERGSPVKTALVVPPIIYGE ARGPGNQRSMQIPDLANATLKRQRGLQVGPGESRWGNIHIQDLSRIFLRLIEKASEGN QDDKIWGANGIFFTGAGEMSFSDISRRVATAAHDFNLIPSNEVDQVNADEMNQLIPRG SVLLGTNARAGANRAKATLDWEPEMESLEEHIPQAVINEARALGMKVEQWL FPSE_01878 MTQTSSKQKAFDIAIVGGGIAGLTLAIALHRRNIPVTLFERAEN FHEIGAGVSFTPNAVQAMKVCHPGVSEAFYKVCTWNSWESKKKTWFDFLDGTTEDDKT AFSIKTSLGQNGVHRAHFLDELIHLLPSERVQFGKQIEQAEEDSDGKIRMTFSDGTTA YADALIGCDGIGSRVRKIIVGENHPSARPQYSHKYAYRGLIPMDKAIEAVGEERARNA CMHMGPDGHILTFQVNHGEKLNIVAFRTDPNEWDNPSKMTKTAHRQDALDDFKGYNSL VRNLLALTDETLSVWAIFDTGDNPVPTFYKGRIAILGDAAHATSPHHGAGAGFCIEDS AVMAELLADERVQSRSDLERAFAAYDESRRERTQWLVQSSRFVGDAYEWRAKGVGKDI PGIEREINERIGVISNVEIAKSCEMARELLA FPSE_01877 MPSKPTIVLAAGAWHLSSCYDDLREELHSRGWKTDTVDYPSVGA EPPTKGLDEDAAALRSVLQRLADEGEQIVLVVHSYGGLVGANAVKGLGYRQRREQGLP GGVIMYVYMSAFVAPVGSSIKQMLGGEYLPWMMADGGYVHVDNPTEIFYHDVSGQALT TSLETLTPQSALIFDGVVTNEPWHDIATMYFACTEDKAIPIAVQDNMAATLGPDALHY RIDASHSPFLSKVDETVKGLEYAAEEGVKKVAVA FPSE_01876 MKTSMIIALPFLVLASAAPAKLDERQPVEVSKVVSKVESIVKCD AKIVRSIHVCFPDIVAGDPVGLTEIETCMEKLSKSSGRAVRLESIPCIY FPSE_01875 MSSSDIENQQSSSPAPTLHDEPTMDDNERHGITSPVWRGKRGLV IKILTGIMVAILVVFLADLSYLFGSTFRTNDRISALKILVVDYDGGPVGESVTNAYKM LQGKSFPTVEFHSSNEYPETSDVRKGVCKADYWGALYINKGASDRLAAAYEGGSAAQD YNPADSLTYIYNAARYPTVASGFLIPNFQALVGAARGGYYQTQQGRSALRNVNSSDPA AVEAYLNPITSTPDVIQPTNQGSRNLYNTINIVMAILGQFFYVLAMNGIYEKFGLHKN MRVRDVWAMRFINGKIFSMLYAVVVTGYIWAFREDWGVSGTEWALTWLTFWLFMDVNF QVLETVIGSFVPMPLTPFFLLTWFMVNVASVVFPFELTAGFYRIGYIFPAHSLWIVLM QVWSGCGNSLHIGLPILFAWWVVGHVTAYFGVKKRCMDQSKAQASGEGKQE FPSE_01874 MPPPKGTPNPLEGPGDYAVTSVVHSDTYPAIDPANFNLKGKAIL VTGASRGFGRAMCISFARAGASRFIVASRSDMSTTAEAIRAAAKETGHPEPEVLTIKT DVSVPESVDALAKEIDEKFGSLDIVINNAAFMNISSIAESDPADWLQTLSANVFGPYL IARALAPLLLKSDTKTIINVASVGAHLVSHGLSAYQVSKLAIVRLTEFISLEYGDKGI VSYCVHPGNAPSEMSGGIEGIPEPMRPAFVDTPELTGNSLVFLASERRPWLAGRYINL TWDLPELMLKEKEIVKDDKLKMQ FPSE_01873 MAASPKPTVIGLYGISGSGKSFLLEYLRQKLNPAEFILFEGSDK IASLIPGGLEAFHKLDAQDKSNLRGHAIDAISKESHDSGRTAIVTGHLMFWKEQDASG QLVYTSNDLAMFTHIIYLNMPAGLISQRRLNDEQKDRSVVSQDHLRRWQETDISLLRN LCREYDILFYSMVNADTLQPRIMDLILHFQQPSTVESNITRVQAKLDEILTLPQYDDL ETVLVLDGDKTLAAEDTGVLFWETLAQAQPSSVTGSPLHELFSSRLGYSEAAFQQATL LYEEVADDEQFETVCDTVAAGIVMHPQITSLLRLAAERRHIGALVVTCGLGYVWRKVL ERYKLSEMVHVIGGGRIKDGFVVTAVAKAAIVSQLRNASLYVWAFGDSPLDLPMLEGA DQAIVVVGDEQTRSRSMDEALSKAMDDGSFRARQVLLPSQSTPRLDESRLPLVRLDDR LFIESVMCHRRPVQILHATDRAAAQLLTAPTRDASISGPALRKAHELVGQYLAIEFVT QLIGLQEYTIPHVQGHQATGHRLHNEEKTSIVVLMRGGEAMAFGVNTVFPQAMFIHAS SPPDLKRHHVDQQRTKGTDTGNRLFNTTHLA FPSE_01872 MQFKTLLVAAGVASAAPKATTPKNFEFQGLALRSASPVHFNYLQ ASKESFELKLKDQKASCYNSKDKPQEATFQLSGDELWLYSVGNPRQQAYVDFSGMGQG KFGYTTGAQPAPKNASRKGWKVDKDGMLTCDGASFVACPMGDNLEKTSWSVWVYNGIS NPGGNKNCLPFSVKTVKVEKPTPCYYSNILPKA FPSE_01871 MPTDSSSKIVGARRRACVNCTSVKSKCLPLSADECQRCNRLGKR CTYLNVVEKRKSPSSASRTRLLEQRLNRVLTMLSERAKGTPLSGFITQLSTADLDLEI DMLGVDRISGSSLPRSFAPQQSLDVIEYGFITLDEAQILLDNYRTRAVPHFPFVPISP DTTVASLKSAKPFLFMCIMATLKVDNCTIQRQIGEEVRMQAHQRVLMQSESSLELLQG LLVYIAWYQYFFSYEKQQIVQLAQLCVSLVQNLGLDQNPDNKRRTVDLGPDETASGRK AARSMDQLRALLGTYCTASWVSTKFRTRCAIPYTGYIKQSWEILSARKEYASDDLIAH LVRINELSRRICDTFGYDDLENTGVKGEFISAMALQTLSNESTLLKASIPPAFQENFS IKIELHLLDTLISEVSLHDDFWDHSSIVSSFAISNPSLSVSTRMSIMFNLLRSCKSLN DTVMDYPDEELWYITFYTTAKICRTLSCLSNASKIWPEIFRDIGIALSNASFSYPGTS LYDATTIERAANLEGEARRLRAKFKNLSPLVQNTSDEADIMLGFSDMIWAVFATYDEV RGKNHGPLDFSISCDVPCSSSDMGSSGGYLSSTGSGNTHTDAVLDLEGIDDSSWEELL AGITATTEREATLQI FPSE_01870 MPSPIRAKHLVPGDKIAFISPSERINSTLPAVIDRASGVLSNKG FQVQTFFNEDKGIQSCIENRLSEIRAAFSDPSISAVITTIGGTTFTELLPALIADKEL HAIIRANPKVVVGYSDITGLHWFLYAMTGLRTFYGPGAVPEIGEPNDINDKNTPLSFC VDNLLRAIASPEPLGQLPRSLYYAPRGAPFFQDATSTEPPAVVKTSEWQWLRSGKAQG RLFGGCLTVVARLGGIPAIVPDWRGRIVFLETATNEDGSGGNPPHRVQAAFADLIAQG VFEEAAGLVVGRPYGYDSDEDRETYAGIIKGLLCEGRLAFKKFPILFNVDIGHTVPML TLPYDALAELDSETGTFTVLESGVE FPSE_01869 MPFLDVRGKKLFYARVDAETEPKASGPVLVFIHGLGSSHSFYIP VMHGLAAAGYSSVALDVYGSGQSELSPGVEAPTFETIASDVEELLKGLNIPSENAVAA GHSMGGIIVPILASKCRLRGAVLIGPVLPKPAMADIFNARIETVKKEGMEPMAKTIPF AATGSKATLTQKAFIRTLLLSQKPDGYNALCQAIAKAELPEYSSLDCPVMVLAGEEDK TSPVPDAHKIMNDWRPAASINQSVFTRSIPMPTRRVRDCERRRCAEACESCKRRKQRC DGRRPCARCIKRGLSHECRESASVSGLGARRIVASSLPSPDPERLSNITEQHTPAGSI TVGSRSGLTSAEETYVDDQTSSSLQLLSDTQPERLPRMSRLVQDTRGEYMFIGDSATL SFLQNIRRIVRRSIGDCALVDDPLRHGIVESSPETRRGWILSSAQNPPPQQTEQEVDY LVKWYMQSTNCMLLLFDQKQLHHDIHKWIDDGQNISDSASSVYFLVFAIGAQTGPEDK DDQAETFFNYARYLTVETLIEEPGIVTIQALVLIAMYLLGASRRNAAFMYLGMGVRAA YAIGLHRHDISSLFSPTEARTREQLWKGIRILDLFMSASLGRPPSTSELRDTTNPQNY SACNDLSMIFESILTDVYAKRMITPEILERISKQLRRWTSQCGEGLAVDGIEQEELIK DESGEEQPNIGLMHLKLTGHWSIMLLSLPFLHKAVSQHVEEAEQSSNASTKRSSSSNE VLVHSCLESAVRTVDLLQPLLKVRTIPKRLPIVGNSAFVSGLVLGAAIFGDFDNSFPL EKSLHAARSVLEHLARYDAVAKRHLMILDHLQGACEIYMDNRARLRMERQGHLVNGLF GSIHTIGKSPLRESHQPEMNFRIAPSEGLQTQPQTPGPGQVPNQEVSSEQQDFTIEGG EQSGIDVGDVTDAFLGISPNMLWFDSFDTTMSLFPIVDTQTMGDDLT FPSE_01868 MGSISHSGYSSVEESKRIFNYLCDQFDETALPAGVKELRDSIEF TSSRDAPYFPIPFKETETTAALKAVEGAVACLLAGSAAGEPQTRKMNINLEKTTAFLC QAYMAKVGGLGKLDPGVKALLKDTDLLQAQSNQYRRMSANLYETKNPGEYYHIHGSLE ASTTLKMIGLEPYRPDLQTHESIVDTIEPAVRKFSIEELETMNAANRQAGVPALKHED FVKTEHGKTNMALPPWSVENLESETPKCPLNQTPEQPKRILSGIKVLELCRIIAGPII TRILGEYGADVLKITSPNLSDVPFFQVDGNMGKHAAELDLKSAEGRAKFEILLAEADV VVDGYRPGALEKLGYGATALTKLAKERGKGFVYVNENCFGYQGEWAGRPGWQQIADCV TGIAWEQGRFMGMSEPMVPPFPISDYGTGCMGAITALIGLYHRATKGGSWHGKASLLH YDLLLFKVGQYPEEIKEKLRKEIGPDFLALRHAHSVDQISGTALLKMRNTYPELFSSD KYVEKWYSNAYKADVEAVPPVVEIEGIDVGFRRASRPNGADEPTWDFGHEPDHKLSK FPSE_01867 MSPSAEATGGNASGAPEEGLGQAFTKQVIDSFGPKTDPRLRELM SSFIQHMHDFARETQLTCDEWMTAVNMINWAGQMSTDKRNEGQLMCDVIGLESLVDDI THRQAVKNGFSGTETAILGPFWRQDTPIRENGTTITFDTPSDGKVAYLYGTVTSATTG KPIPNASVDVWQASTNGLYEQQDDKQVEHNLRGKFITDDQGRYSFYCLRPTPYPVPQD GPAGKLLQKLDRHVFRPAHLHFMVIAKGYNSVVTQIFDSDSGYLDNDSVFAVKDNLTV TFVPRKGDPQAEWELEYNMTLATE FPSE_01866 MSRAGFKQLSLLRASKQCLSTRSLATIARWPNHNAVARSAPVSA TKLRARPFSTTRPRRTQDEMEDESSSYSEADHEHAVISTFDLFSIGIGPSSSHTVGPM RAGNIFVNDLIEANLLPKVNKIRVAIYGSLALTGEGHMTPSALLLGLEGNDVETVDTA YVPTRFNDIKTTKKIFLGRGLAADGSKGKEIDFDYEKEFIWEWGRKLPQHSNGMRFTV FDKEGYVLATNDMFSVGGGFVVNGALSIAPQQTLSPNDPAQLDDPELESAAHPADLAE NLYYKEIRRSDAAGDRRTGSEVKALDEANSGPTALLGESTEPTGLSTDVKSETKSTSS SPHPRYAFRDAASLLSLCRKHNLTIAQLVYENEKSLGYTDEDIYRKIFKIWGVMDASI LESVQAAPGTKLPGSLKLHRRAPALYRRLTRGLYPSSTADTSAAQLQHKFSAPDPNIG EDGASPQPGALSSPSGILSASPPDKFVAGALRKRGPPRIHGSLTHPIAPAPSRRTTFP TMDYLSVYAIAVNETNADGGRIVTAPTNGAAGIIPAVLKYTTEFISDDPERDIPTFLL TAAAIGMLYKRGATISAAEGGCMAEVGVACSMAAGAFAACMGASPETIEQAAEIGIEH NLGLTCDPIGGLVQAPCIERNALGATKAISSANLALSSETGTQRVRLDDAIRAMRLTA KGMRNEFKETSLSGLATSVHINIPVSVPDC FPSE_01865 MYNQENNASGDFEVDEHAERSEAVCSCCFDLNATLVDSDSADVK LDQSEFVLSILRNAAQLRAAAASGCESCTVMIRALEFQNLIPAESSPFGLEAPKDSNL LGQSNDRSVRVRLRLPISQGNPEISLSSPGLPQRFLQFYTDEAQGRSWKTIIPMPDIC NDNLSRQGLAFINACVRFCQEHHMHCQQDDLSLPTRVLDIGTGDDTRVRLIEAQHIEN AKYTALSYCWGNDPSIKTLTGNLKDMKSGIAFEKLPAAYRDAIALTKQLDVRYIWIDA LCIIQDSQKDWERECSKMADTYTNAYVTIAAASSSSVTDHFLKPQLRPPPEISHRERE VFTAILSDASGKEQVLVKARLMQATGAHWQWQDSGNDEQPLIEPLTQRGWTLQEKVLS TRFLSISSMEMVWICKEQIFCECGSRFNHHREFGRTPLSQISRRDEAFNFWHKIVENY SKRKLTQSEDRLPAISAIAAMVQKKISSEYIAGLWAGNIELDLLWHRAEPTRAPAASS CYIAPSFSWASIAGEIDYMCFRNGKWPYEKATKVIEVTSETGPDAPLGRLRVIEGGSG VAVCRRPIEHGREELRRLLKRQDPTGKHKSEFVSRRIKIRCWVLRLGAYSMGRRGEKE HEWLVLGRSETETECFERVGWGLVEKHEEEEVFAQETTTTIGLVYRSIQASDQTNKPP PPAEIVITKVDRHA FPSE_01864 MSADPDDEVIDLTSSPNADAISTDSLPRIPNSLSRRYRQGGATF TANRHGVFEPMPKRRKTDILTNGHKKARLESTRKSIHYDAVYQHQRAAKKHIIVRRHA KFYILRCDQHDISFDDNPYLNSKKHLREDHGITATGHDTAIKHFGVEVIECDDAKLEQ NNVVAEKAIQDGTNALAKDTIKVTDSANPPKSPQAKRNCPERPILKLKSNNSRNTTRS NRRKIQNDEHVPLDLVPGNVYIIWWFETQQWFAGLLIPPQNLEAVGIHKPLEQLPIFK TIPACYQYDASSKSLSWAKDFEDGGPKSSERYYPFIFFEGFQFPEKCHQAWIQLDNIQ PWDDEKSAYIEHRAQAVRFLKEQRERKKGKSKAPITEAETPESIDNHEPSQNAGSRDS DLDENSDMYEFRGSSPLAESSTDNQAVPESNIATPDTINDPETAAELGQVSKQAALDF ENDDAMILEQLDGSDQEMVDADQDMDEPPPSEAPELSVQQERVPKDPDPEQTIQTPEP PIQYDGEMDLITIEDTTESEQEHTQSADDTLEPEVATTAEVLRNGNDEAERDILMTEP SDNNPTTREPSQGKIGTTTEVQNDIIEETQPANIPGTDRQSVAESAHDDASQQSDPAH SKERPQPTSRQRSNQNSWMDDDIRRIINGPSPDRVIIEGVSSEIGSTTKPVSVQKPAV INEPASTQAPGQDIDLDSLLAEGENLELHMSRTEPATQQHVESYQSSPTSSDDNRLAQ ELFNAIMSEDRQLSTPRSSPHMNHSNADTLQPRAQDTDMGEQNPVSSPQASEERSQPS SYAQMGAPASLHSRPVSKSSNVRQPSYDETGTPASVIRQLSATRNATRHHSDNEMGRR MSVSSRPSTRNGDISQQHGDTEMGQPTSTTGGSNNESHDGSPPSIYQITSSQPRSLSR GHDLRPQANETAIRESPVMSQPDTCTTSEAAPVQMPLRGPETHQNPPKRPSNWLQQVV ASPPQSHAEGSQSNSMPFETQATAPPQQSTSDSIQDNSVPQYSDSAPLAEMDSSQSIS TSNQYQTSVDQSSYQPVIADPQPIRETRAFSPSQLPSATQQSARDILRLLAESEGSGQ LPGRDAPLPPSGDHPSPDASSISLGQQESSATFRTPTVGNSHAFQEAMLSPRQPAMQH PLVPYRKPSAPQVMPSPRLSNASISRSSSLSQGYTVPPPQPLPSPYQLVGELPRPVSN TQNFRAQPSPHQPAMELPRSHTATYVQPRPHTTVSSPYTTTSLPPPSTLAQGPPMLQV SASPRLQAMEISRPSSAVHDSRTPTSPRQYAMRFSQPVSSVHGAPLDTAVSPRMALTS SSRPGSFVQGKSSTVNASPQLAAREISGPSSTTYGQRTLPAMPSPRQAPIAIPQSMPP AHGHVHQPLSTSSPQLPAAQPPRYNSASYSQSGPQAMTSPRQSTTSSSQPGVAAPPLP AMASPHILGATAPRPVNVRGMQVLEPTPVSSRPGQPIEPPRPVSQSSSNGPLPPLLPR GNNYIPATQTHRSHSPPAQTRNDTIGHSRRQSRPSSQSYSYRGNSQTSNSTPRSHAPA YQVPVQRPSPAQHSSTLHDCLPRHVVEALIEQTGRRGNDLKPCSFLNYQTMYECPFCR AGMPEQTVFVQHLQRLCPYIKYLNDKRPVA FPSE_01863 MLGFGKKSDSNASDEARHNDEPYVPEEGIPQRPWIETALPVFAC GAGLFSDGYINNVIGSVNTTLRRQYGDVYVKSNAFKYVSDIAFAGTVVGQLVFGFLAD HWSRTNTLMVSTVILIIFTALAAGSYWHGEPVGMFNMLTAWRFFVGIGIGGEYPAGSV GCAESSGQMKKGTRNRWFILFTNSMIDFGFVIGAFVPYVVAAAAHNANFGTIWRTSLG IGVVFPLVLFILRLRLKEPEEFAKQSMRRQTPYWLVLKYYWFRLFCVSLVWFLYNFSS YAFGIYSSSILSGIYGDDAPLTTVFGWNTVVNMFYLPGTLIGAFVSDWIGPRYTLILG VTLQAVVGFIMAGVYDKISSQVAAFAVVFGIFQALGELGPGNNIGLLAAKTCATGVRG RYYGIAAAVGKIGAFVGTYVFPYIQAAGGNETQSAQYPFWVSSSLCILSALIVFFCIP HIGQDTITIEDQKFREYLQTQGWDTAQLGIDTAGIEGTAVSDSKTDEK FPSE_01862 MSSPYQPKQVTQYEASHLIELMGNVSETIIDYSLDIIPPFKPTD LIHDNACGAGVVSQAIIEKIPPSAEGLRIQATDINPQFVIACEQMAKLNKWPVEAHVM DARDLDFSNNHFSHSFNNFAFHSMDVARHGLKEVHRTLKPGGIAMVSTWNEMPHTDAL KHANRRTRGDDAPLPVLLQDMSFEEEHMRKELQDAGFDPDKTKIYHKDAFVTIPDLRR WAQLAWSCLGALPFGWLREDEEKWEEALSDIVEQLANSDGISKNDKGETVMRFAGCVA IATKKG FPSE_01861 MNASSELFVRLPNRVRICYQTFGNPSDPAVILIPGNASSMLSWA EPLIEKLQSGEDGRKYFIIRYDPRDTGLSQEFPVPAGYSIGDMAEDVELLADHLNLTV PDKGFHLVGVSKGGPVAYTVSARRPQQVKSLTLMYTSPGVSPELPIKGGLDLGFQPML AGFANVKETAIQSGMKMYDALTTQPDEAERREVEKQVTRTVEREYKHGTLFSKGPNHG SASHEKDGWPGVGTLKQVKCPTTVVQAGKDQTFGEIHGQALVQAIPGDVEYVLWEDVG HELPRRIWERMAALVQKTWERGESA FPSE_01860 MRFSIIVAALLPFAFSKVTYNKWKGYSIDISDLSQDDVTKLLSG INYVPLGEHRDTIEVAVHPTSVHNFEGLALKSTLFIEDMAEEFAKEGNFEYLTGKISS TTSFEQHTQFLKDLQSSFSKNSEVFSTGKSVEGRDVQGIHLWGKSGKGKKPAIIWHGN VHAREWISSMTVEYLAWKLVQGYHDKNNLSRSIIDTHDFYILPIVNPDGFVYTTKSDR LWRKNRQKTPYKKCVGTDMNRNWPYKWDIPGGSSTDPCEETYRGVKPGDTPEIKALTN HTMAISQKTGISSYIDWHSYSQLILLPYGYTCDQNATNIDYQMELAGEVASAIEDYEG TYFDYGPTCQTIYQTSGGSSDWVYDVAEAELAWGIELRPARLRGDGFVLPPKNIIESG EEIWAGMQVLFKRLLEKVK FPSE_01859 MLPSFLLSSLMWLSTVSALPNPILAERAACDCTGTRDGGSNSKE YICRDARLGPLKLPRKLPLSTFVESYNRFGGLTPAQFLLTWTDEKGSYRYPPQNGFQL DAQGNAINGSMILPVGTMVDRFGSEYGSFISAAAAPYSQRALPPSNLATNPDTPDFPY NYHVYRVIKPLPVVGGPIAPWFGQPGLGAQFFTGELGNVKFLLEQSYIQAEDPETLVH KSDGCA FPSE_01858 MASHSDSVTANPKDVHDDPVKQDVGTGVVVPTLAEGDMPTTSKW EIWSWYAYYIGANGLALFNFGPTAFQNLLSQAAPKDTGLLPFAGRERDVNSIVLLANG ISFALQAVLFLIIGAYADFGSGRRWILVVWSIVAYGIGFGWLGVHDPSKWQTATGLYI VGLIAYQMTLTYWTAAFPSLARNTPQLKEAALQFESGDITQQDMDRKDEMERSRLSNV AFYIQSVGEVVILAMIVGVMFGVKVDKSPENNNWGLSVLIAFATACWLVLSIPWFFIE KKRPGMKVPDHLNIITVGFWQLWEALTQIWHLKQSLIYLVGYFLLGDSLNTTVTVIGT LQNQVVSYETLTLTYLLIVGIVAQAVGIGAFWMIQKKFNLSVKAMFNAVMVFIILLDG WGMVGNWTDKFGFKNVWEIWLYQAFYGLFVCPWYSYSQIMISSVTPRGHEFLFFSLFN IIGKSSSFIGPFISSAIIDATPGGTNNSAPFYFLFALSLVSAVGIWSFLDLEKSAREQ EAFIIEEKIRMGEDSDASKTT FPSE_01857 MAIPAPPFTVRILERDYLGIASSSSKEDFGSLLPASARFNDDIV TPTSDPNFLERELSVSRLTDIQEWLWICGRLMPPRQLHHQRLISRNIIISEQTELHMV WWRDRIFLKPLPKYLLDPSFWATNISHTAHLDDATQFNLDASARGFLFSYTALIAYKS DFRIAKEYGLLPEEVTWEGWKAFAAQILESHRYDRVNPRYWYGELRLSRLNKIYAFRK GFLLRGYSRVASHTVYGDLIRDNFSVLAGILAYVVIALTAMQVGVSVDGLVDEPAFQN ASYFLTVFALIVPLIGAVFIFFIVFVMIVGNWRVTKAFESRRLKKMKVQLLKGKT FPSE_01856 MSIRRSATRRGPPPVAAPKLRKGYENVEQGVKQIYPGPSSDKKT DMDIVFVSGLGAHPVTSFKHAETGFNWVSDEDGIAREFQNARILLYHSESSWTGAIKV KQFLGNLAQTLLEGLKAKRENGAFIRPITFIGHSMGGLVIAKAVCIAAARPDLFPGMF ENIAGCAFFGTPFEGAEAASLACMMSHVGELLSHVGEKGSASTASKLLELMRPGDEYL NELRKEFIRLSIKTDPKIQLFGYYEQHPTTIKDLSGMPQFLQALNIPLPKKVGEFVTR ESAVLDGVMESMGLSANHRDLVKFKSSKDDQYMLVRGPLRRLISESGKCVKDRHKAIR RVDQLTVDKARRELEGASVKTKREAIKRNTTTSPWFFKEPEFIGWFAKPQEAEDVSLV KKCDCLWVRGRDGRGKTSAALSAIEKIEECVKDEDEEEQEPESTQVSHWQSSQVYLAY FFCDKAPEYGTAEELLKSLIYQIISKQPWASVHTKFLLQKKGTGREKAALLTIENLWQ VLQDILSDDGFLGARMYFVINNLETLSPEAASTATLLSLLGSEAEHDGSGRRPLVRWM FTSGQSWDIDQALRKPLVRLVDLEDEKYGDQVQMELRKHAQKKVQELVEHKRYSKALV YFASSVIGQRAQNTQWIDITCDSLEDLPQHENDLQVRRLLERVPQELDSLLNAAWQDV FEKNRSKIGEIKELLRVLVLTYRDPTEAELGLLAGLQSSNEQVSELHDLILKCRPLIV LKTNEGGEPTVCFAFPVVKVHLLDNAHKLLGLSEDGIKLQHGILGFRAFSHITEAFGE FADEVAEETQESVNGDGGDVESVADQEEVEENQDHEATGTVDGDENNDDNTSETSNEE EEDEEYEDEDPEAPRLKNIALAYAVIYWLDHASQATADIAEALSLEDKFWERESKIRR RWLTELNRMTAVFDYFQRAQLTGLHVAAAIGFRNLVSALLDNGYAEDINTYDDLDNQP LHFAAYLGKSENVEELLNRGANIDAGQEQDASTPLGMAAEAGDVKIMTQLLQRGADPN ATSSGNGSVICLAIKSGNTEAVKLLVAHNVSLLSPEEEEGDSGSGHGEEDDKAGEEDE DKDADEDEDDDEESDDEDDEEDIKAPLALAAMRADLSVFEFLISEYSEKLPASEFGVA LVAAAEWGRTEAFTRLFNDFEQSQENIQKALYEATRSKEGHWDIVTMILEKCPGLDCD KAFLFTAQGVDGDDEIRILEAMWEYTGGGISSETLDESLYEATDGENQRTIELLLRYG ASANATGELYGNALTAAAFDGTIDIVRMLLDAGADINSDDGFALQTAAEHNHIDVVKL LLERGADINKLSTHDGMSAGTALQAAVENGNEEMVDVLLEHRADPNAGGGDNKYPIIG AAKKMENGIFKKLVHAKADVNVVGGSDDTTPLVEAAYYLPKESLELVLDSGADINHKD IFGNTALMLAAVKGDHESVELLLERGADVLIQDQDGDNALQKAYNSNHHETIMAVIGH VSKLMDAMRVAVESGDAGVAAVVRSVQSQGQELNYDDPPAEVKDESRRSSVYGGLPPD TPLETNRPAAADFHAEKIVVEEPQFPPVHGLDPQEPINRPFIPPSFDSVQDLYSPATG ILDFAHEPLFRRQSELGPISPTQSSGPIKRKPITGPQAMYKPYQPGSGDNVRHSTPPG SLANAFQAYQPGQQQQTPPSQEGQPSFSPPEAFAAPSQTSLEPGFVPYAPGVPGQARP EPGRKSSRTSFMGMKVPWSEHRFN FPSE_01855 MRHSKKMQIAESDLGPEKPQQAPSKKSQSSRRNNGSSGKQLQQL YRLYQDAMDLYNQSSLAETIEDMLRTKNRPRITRIVSLGLGSLLDAKDQQRRIKQLVM LMAIASHLTIPKSAVQIYAQDPTFTAVDETFLASLGIVVLKTPSIADLGEAGQMMDKE TLVYSPFLTLETYKLLLSSSQTSEIPVNVPMLMSDDFNALRLKWDKRTSERKDVEGLI QGTRAGNYRRRAVNGEGFWTDLDRPFPLALYLRQSTTSRNADTVRRTSAFSPFEQSSI PIYA FPSE_01854 MTNNEGAGSWWSQMFNNTGYQELPTTVVKDKAETKAQIMPRRCL RMSTRGFLLVLATILLVPTFLTLAALEGRQYLSDGTADVFEMSEGQEPVPQSASEVVS DISQDSVPAADAVPDMLDADTPEVPEIAEAGEVSEIGEASDVTDTVDVAAIPVSPNPP KMPKLLSNRRLVILLPANAPGVNLCKAMITAIALGYPTPIIINWGKSGSHLAKIGGVL DYLDWSVRLKSGHKDRLGKDDLIMVADSSDSWFQLPPDVLIQRYHDLNAEADARLQKQ WTGSSEMPMKQTIMVSAQKRCWPRAKSGSDLHCTDLPESPLREDLYGPDTDKDPVMRM VDVRPRYINSGAFVGPVGDMRRYFRRVQERYEAGKAIQGDHFYSDQGFFGEIWAEQEI WRTWRRELGDKIDQNLNASIMVRDQFEYHVGLDYFQTISIPTVFEEDDGDIVNLNNQT HIAERSRELEIEPARLTRVPDEVRKAKNPIARLLSEEDRQNLDWGDMNFYADFFTTAI PVNIHHNAHINNLKSRRVLWWPRMWFFPYLRDLVMAQLRQRRQQPLGRVETPEGKAVY WGLQSYKEVRRYMNNQKQLVTTDFDTICTSNWAKKEKQNWWDVVFMDERGPLEL FPSE_01853 MADTYGMNGHNGHAKHRRSSSMNGRNRLYAQQEPQRTSHLSEFG KHMVAASGEFVGTFLFLYFGYAGNIVAVLQEPISGPNGTLANNTVMYVAMAYGFSLLV NVWTFYRISGGLFNPAVTFGLCLSGQLPWIRALFLFPSQIIAAMCAGGLVNAMFPGSA SIANTTLGPNTSIAQGVFLEMFFTAQLVFVVLMLAAEKSRDTFLAPVGIGLALFVALI PGVFVTGGSANPVRSFGCAVGSRDFPGYHWIYWVGPLLGAALAAGYFRLVKMMHYEEA NPGQDSPIDV FPSE_01852 MIKTVRHNRLRTQCGICGQDLLRGDSFVPLRGADPDTGSGTCLD RAVYPGYRYSTVDFDDRSLCLVPNCYQCTRSPEAVGLHAFCFDVYKNHCQADNSIDRL WATSSQKNLWKGAPVLQLDRESGVDIDLVRQKAETYGVGLLGRLPAELIQMIYRYSEH AEFWRCISALRLARELSKSQQDETMLPLCNIVTWTRGESAVVRSEECSPYIRLILDRR GIRKIERFQERLPYEAARSNREAFVFACESEFRDVVATLKFNVLQLQLPSHIRGFQVW DTPSPPRLEDCEFYGRVSQSMQLKTINLRAVTGLTFFFAYSKLYAVHAHTRKSPHATG TFERLSKGRRASVISAYLPIPTGEEIIAIAIRTRSSLKGGQSTGQKPFLLFRTKLAGD IAIGPYHSEAHKDVVLGESSPKLFVYSSSGVGPATVFGTYPRKQEDDSVSVPFPYPLF SNPPINYHANISSAPLEDVICVWVREDEKRCCHGMLLEYENGAQRAVGDYRVGNYLPG TDRVRKYLKPSRICYLPPQDEGVEAHSFVVKASVVDDDHDASHDAAGWKCNSLSGVLE FWFSRERSFIRIVE FPSE_01851 MTNQVSNTLKVEKVVLDDIPTLTEVWFAAFAHTEPDIRRVWPDT PPVRKWWTDANHHDLVHKPFQHYVKVVDPETRDVRGQPRIAAFAKWDTSMPQERGRRY PPWTEDQPDQFCDEFIAKEERERLRVMGAERHYYLDTLVTHPDYQRRGAGSLLMEWGC NLADRDDVAAYVDASKSGSALYQRFGFNDKSLPNSGDVASMTRPRASIRIPKRAL FPSE_01850 MNGVLALSAYQVASVTHCQHARRKEYQYQMLAIQDLRKCLANFS PEHADGALVASLSLLWLCEDMSSRSQISEGITAIMQTCHRQGHRSGFYLMLAKAWQPA AERCTTPISEIERSLILQDLIIEMYRFQNLLKEQDPEDDTWRKLRMLIALAQDLAKLD PSSSADKQFERVRMLRDYQLWLPLNDLLSGRNLSNTLMVNGYLYTLALYAQRHTSQAH MIDSTIDLRELLQNTLRQVRPSESYVEPLNNLKALAVSM FPSE_01849 MVFPGALSRGCDRCRKRKIKCDGRRPGCKRCELYKASCPGYDRP LAFRFHGEPGHSLVRGEPITRRKSVQTLVVSKGSSYGLASSRLTSLMVARQPQPSFED ESLAFFLQEYCIQPAAGVIGGHLEFLEGMYKDASRSSCIRPATLAIAYMALSRHYKSS TLYVAARSHYGAALRTVNRDLSLSKRPLKDETLVSLMLMGMIEDIECQGQTTKAVHMA GISKLFDVVGHRVLTNVDESSLHSWIFTQMQLPSLVAKESMQCLAIPDAQLNTKRHGV RLALVVTRIGQFYRASRQITAADPALTPVAQQVQLVLLIKQALAIAGELAMIQKDAMP AKLRPHETTDKRPANPANQSLISFNSQWTASRWSQFALYLILFFERLSKCADALLQLQ LEVIGDEDKQLARAAGAISEGQIKTMIHKLCSALPYLMGEVDKQGRPLSVPERQSVIM YHLVWPLSVVIVSSHSTLEQVEDAQTRLNAIRDMYGIRLAYFAPGLARDLMA FPSE_01848 MASNPFIVSWWPLALADPALFHVSIQTASLDEERRAQRGFPVSE LLMADSVSLIRSKIGSGLLAIQDETLNSVVTLAAIEHGKGNIDASRAHIDGAKRIVGI RGGLDQVKQASPLTARMIAWVSLLVTGSPQYPTQNENGMGDGVSPTLQWLLSSSFLET PDPVVQALDLQPEIAEVFARLRGILHQPDASSLLGTELHDLTCFVVHKLLLMPSYTAS PHSECLRCAMALYMLIIHGTTYYTHTELANNIVQLLKDHLQPLAGKTGSISFNSLQIW VLSVAIVSATDPTEIQWLAYAARIASNALGLQTWDHVVIHLKNILWLETERAEVFRHQ WASILT FPSE_01847 MASLLDFGAGLASTNASFSTATDLSKENGLFSSWFERCSKTQIA VTILAVLIAYDQFMYLWRKGSIAGPAFKIPFMGPFVQALYPKFDAYLAQWASGPLSCV SVFHKFVVLASDRDIAHKVFKSPTFVKPCIVPMAETLLRPSAWVFLQGRAHTEYRRGL NGLFTNKAISTYLPVQEKVYDDYFERFVAASEANKSKPMAFMRLFREINCALSCRTFF GDYISQDAVEKIAEDFYQVTAALELVNVPLSVYIPFTKCWRGKRTADAVLAEFASCAA ACKANMAAGAEPKCIVDQWVLHMMESKRYNDRIAAGETGAEKPKNLIREFTDEEIGQT MFTFLFASQDASSSATTWLFQILAQRPDVLDRLREENLSVRGGNKETPFELSMLESLP YTNAVIKELLRYRPPVIFVPYEATKRFPVTPNYTISKGSMIVPSCYPALHDPQVYPDP ETFDPERWITGDAESKTKNWLVFGAGPHDCLARKYVPLTMAAMIGKASLELDWVHHAT SQSEEIRVFATLFPEIT FPSE_01846 MYTKTLSLFLAAVSGLTLSEASALPPHPPAGSPGGHPPPPGPGI GPHGHSGPRGPPPPGPPGPPGPPGPPPPPPPPPPPPHSGLTVQLRNGTYQGKHSDEFN HDLFLGMPYAQPPVGSLRFSSPKALDEAWTGHRDAKEFGWMCIGYGSDTQALGSPVNE DCLTINIVRPSGVDAGDELPVGLWVHGGSYTNGGSRDPRYNLSWIVDQSVKEGKPIIA ASINYRVSQWGFLFSDEMQKENAGNLAFKDQRMAMKWLQDNVAAFGGSPDKVTVWGES AGARSLGMQLVAYDGQHDGLFRAAILESGSPVALFGNATTWQGYYDALVKKTGCDSSS NTLDCLRELPWETLNNIFNSTTALSVPTPPLTAVVDGDFMTAQAPELLRSGKFAHVPL LMGNNFDEGTAYGKKGINTTEQFQSWVTSLGLDKDAVATATELYPDDPAKGIPASFVG RPQNEYGLQWKRSASFAGDFQQHAGRRLLAETFSAADIPVFTYLWNVYVNGLPAILGA THFQEVAFVFNNIKGVGYGANPFQGKPETFVQLADLMSKMWVAFMHDITPNTVETAPE HVAWPQYSLEEPLNLVFDVNKTGLTYTAIDDTHKKEIAFLLEDVYA FPSE_01845 MEDERGTRLLASIWTLALLSLILLFLRVYCKLWRGKGLWYDDWC LIAAQVTLMISVAINTYLVSLGFGRHKATISEENLKTINLNTIIGAAFGIIATTTSKT SFAITLYRIATNAWMKYFLIFVIITINVSMNLVWIFGLAKCSPLARVFDKTVPGTCWD PKALLKFQLFAAYYSAVLDFVLALLPWAILMRMTMRRRERLGVAVAMSLGAIAGITGI VKSVLVVNMKSEDITYDRVDLTIWTLTEPAASIMAICIPVLRMLYHELKLSSRSYNKN KTGTNPNDHETRNTVPSAARGSRRYVPNSRYGRNSVVIMSGWQESQEHLQDSDESISG MKVSANAGGVLKTEEVRVQHERLSTFSDEHSIELRNLPQAQTKGGYQSHDRAPSF FPSE_01844 MGYGTLENGHWLMVGMSIFSKDRTVELRMQDDGKLAIYYNNRCA WQSTDQQTNNAKGAVMQGDGNLCIYDKNGKATWHTNTAAPSGDSHTFVAVQDDGNLVL YKNSGATPIWSSKSNK FPSE_01843 MKIFFPIVALAGLGLAADMNVWDLDDSCQTAERKGVFDKAYSDA EVLAVKAQGDLETLKGARPDFVSNMRTNWDRIARAATNMFGFIPNKDGHDPNEEHYSN VRYVYDRMVKTLHNDEMIPANGYGGLKPLLLCDESKFVWVGRDDKDPHDPAERPLRES RPKEMAGQTAGAWVYKKRYLVNAVKQASTGLCRPGVFAITLTRNDFIIFCPPSFKDET AQTRSAVDAKDGVQKDDALDTYSATSLSRVMVHELAHWFGGDNSGGPENRNVPDQQAV GKEGALVFQKPDGKRTTDASIPGVKKYVTYNFLWVSNLARSHEGPNAGNCGPSKATFT AESYALFALMSYMDNWDWANDGKAKAFADEMIPYERLPNGKKARLG FPSE_01842 MTGPWKVNFLTALWLGATFASANLEQEASSGAAVFTHPVYPRIL VARQEEIPADDRNPPPPIIPNPQPNEPAGPVTPVPAPEPETTVEPNNPPPPATTTAAP APQEPQITAEPENPPAPVTTEEPDTPEETDVAPVPIPVPIPNKPDDPKKPDTPKKPDE PKRPDDPEKPDGPKKPDDPKTPDIPDVPDVPKIPDVPKVPDTPDPKPDNPQDPKEPQN PDPEDPKDPKDPENPDPKDPEDPEDPEDPEDPEVPQEPQDPQAPQDPNEPDDCEKKDP APCTETVSFYSESETYTSTVYVTCPAPIDCPAKEAPTITTSIFPEESVLWGDVPADNE AIPTMEAIEDDTSRYFQQVFDDENISINDAQHPDVQCQKQSSDDVPRDCFMSIYSKFC ADVNGHEGDELSKNLTGADAESGSQEKRASINMRRHMLRERAESCDGWVFEHNWSGAK GNCQIPCGDSMYAFGDECFDSENSFLEGTIDVGCGTYKYTAIPTTSTTAPPFHLTGLP TLTDVPGPISTPDGSSCAETATNTKCAQGGGGRGQACVENTYCASWVSTEPTEAPAPP EEETPPEEETTPLELGPVFCKNRGGNHKDVSPRAVRALADAYCEANLPVELKPGDPAY GITKSDLYYYEVSWVEGCKTTVDLQDPYTPLGNDGPLCKDLFVKAYAGCDNGGIGGYI DAGCVRYTFFGAQ FPSE_01841 MASINPFQKLPAELITIILGVFDSPKDIQSAVLADPYILHVFLQ NQSFLLQPLLQNLYHHFPGQNLTQAVTVCRLRRLENRLPSQNRAQAEALMKPVLTSSP EPFSVLQMNLGALSDLYQLHLEADAFMAHYPKAAWEMSQNMLARKAREDYPNRPAPPT PPLSLPLSETEIQQMQKAFLVFDACRHTLVFSTSFLQDYGHGDYECGFWIPWKFIYQE KLRCVRAFQAVFVFIFEEYENLLKRIDVQNSASPLESIRIDGRLQRRQFLQRDPRDGL QFTAYLCSQGYHRLLSFQDMDNIAQEEAILSSYNRYLELKADPALARWSWVLIWIIHS GHFATVLTHTMCSGQLGHLCGIWTGCRN FPSE_01840 MESAAWLTAPIQLTGSRAFTCDGFTEAQCSWYMKRWHFWYIADH VYALPTVAFFMSGIGLFTIGHLISYYIIGLAFPSFRGPRPWRMLIAMIRYLSYRGFHV TSLGFNSAPVGVLLLGLVGTIFFFCMDLIPQPYYWPSLDFGGSPPLGTRSGWLALGCM PFVFATATKTNWITLLTGVSHERLQVFHRWIAYAFFVLALLHTFPFIVYHIRFHDMQM HFEMSLLFYWTGIVALIFQAWLTFASHSAIRKLLGYEFFKATHFFAVVIFMLTFFWHC DYTLTSWHYFIGTAAVYVPCYVYPWLRTCFEYGVRAKAHVKVEDNGFVRVTIPANFTW EIGQHCFLRFTGFGLAEALSAHPFTICSLPSTSSGDQSFLVFYLKRHKGFTAKLYEYA LQNPGAQVSVMVDGPYGGINTQSYVNSSHLVVIAGGSGAGWTLPFIEQYIAIHTAERD GDRKGDQPDKCLFSSLRVILASRDVESCNWYRQAVSETLLKYSDRMVPGLNVQLYRTG GAIQESTLPSNSSLVPGKHELGIEKGTEIPVSFDELHGRPDLPGVVNGVAKEMTATDS LGVYVCGPDTMQNDVRNTVASLNLDVLRGADSTGAYLHSEHFSWA FPSE_01839 MDMDMTTSTGSSMPMSTSNASTMAMAMAMDMEQMNMVFFTSTKT LLWAKDFAPTTTGQYAGVCIFLIAFATIFRMLLALRVNFYSIRDGLKRRRTKGLLAAH QIGETGQRPWRANEAMMLGVIDVVIAGVSYLLMLAVMTMNFGYFLSVLAGVFIGSVGC SRFSANSSLH FPSE_01838 MAANNANNAGAPAEGMLWGGRFTGGIDPLMHQYNASISYDKHLY KEDILGSIAFARANAKSGIISNDEFTEIERGLREVMKEWEAGTFTIMPNDEDIHTANE RRLSEIIGKEIGGKLHTGRSRNEQVVTDMRMWLRERIREIESYLVAFLQVIAGRAEAD IDHIMPGYTHLQLAMPVKFSQWLLSYGFSFASDLERLREVLKRVNRSPLGAGALAGNA FGIDRQMMAKELGFEGIMWNSMGAVADRDFVTEFLSWGTMFMGHISRFSEDLIIYSTA EFGFVTKKNPDGLELLRGKAGRAFGHFAGVYVATKGIPSTYNKDLQESWEPMLDHVKT VSDSVQIANGILSTLKLRPDRMRASLNPFLLATDVADALVRLGVPFRETHHISGRVVA KSEELGIPMDQLSLEQLQAIDSRFPDNIKDVFNYEASVESRNAQGGTSRAGVLEQIEV LKGMLN FPSE_01837 MHCNTLSLAAVALLASSVNAHGHVAKVIAGGQEYTGGIPHGAPS NAVGWAAGNQDNGFVEPAAFGTADIICHKSAKPVSNAVTVAAGDVVTLKWDTWPESHH GPVTEYLAPVSGDFASINKGSLRWTKVAEKGYVSGSNPGNWASDELIRDGFAWKFTVP RNLKAGNYVLRHEIIGLHSAGNRNGAQAYPQCINLKVTGSGSQALSGGGDFTKFYSAT DPGILFNLYASFSSYQIPGPAVQRI FPSE_06064 MSASKTGIKVIIVGAGFGGLTAAIECHRQGHDVEIYESFPELKV LGDIISFGGNAGRIFHRWSDGEVVARLRPMCINIQDYGFRIHKWDTGEVVYHQKRPPP NPEAPVLNGHRGELHEIIFNYARDELGIPIHLGQRVSEYFENEANAGIVLKSGEKIFG DVVIGADGVRSKARELVLGYVDKPKSSGYAIWRAWFSNKDMIQDPRTKEFCENGDTFN GWIGQDVHFLFSTLKGGKDCCWVLTHKDDHDIDESWSFPGKIEEVLELLKDWDPTCRA IVEKTPSVVDWKLVYRDPLPTWISQKGRIALLGDAAHPFLPTSAQGATQAMEDGVTIA VCLKRAGKGNIPGALKAHQNLRYERVRAVQKTGESTRDLWHKTDWEKAKKDPSSIGFP REDWIHGFDAEQYAEENFETALGDTATHQDSERIVLGQQPAVTKVTS FPSE_06065 MSTAETDTIRAHWRCFVACGIIVLSPFQYGLDFGLIGGLQAMPG FLQVYGYEDPKTAIGWNISTTRQQLISSLMTLGAFISSSLAGFVAAKLGRKMCLWLAC LLCAVSNVIMMTTTHIGPLYVGRLLIGLANGYFMTFSQLYIQETSPAKYRGLFLTAFH LCTTFGTLVGTIIDWTTAKRPDRSAYLIPLGMIYIVPVIIFVSLWFIPESPRWLILQG RYDDGLKSLDWLRPKGADVEAELAEIRQAIDAEKDMASGVGPLDMFKNPVDRRRTMIS VCAVLLQAASGSMFIIAFKAYFFAMAKVQDPFAMSNVLSTAGMTATIINAFIVVRYGR RRVLLLGGLTIAGFFQLIFAVVYDRNPGQIVTGKVLVALSCLFMVAYNGMIAPYSWLV AGEIPSQRLRSYTFGFAAAAGFLGAWLITFTAPYFINPSALNWGPRYGYIWFPSCMIC VVWVYFFLPEVKGRTLEEIDAMFNERLPARKFRTYQVPQNVAGENLGKSSIELRVVET ERV FPSE_06066 MHFTTLFTATVFTLAATAAAVPASDTYFAKASVFAVNKVENAYK SVQVPLGELTHMDYQLTSLELRGVGFLLPDGQKVDPQEITCQMYKDRFGTQPGSAAFN MKTPALISLLNPVQFGWVLCYVNVNSA FPSE_06067 MRCYQSLPFLASVFVAPAFAGPGQNLKAICKSTEGVYGCEEYIK VPFGSEPITTCFDTSPCGVQVLTNNRNICDLVEEALPSDANYIGGYTAWCHRAPEAMD VIKSDKSVWVDGKLKDAGYIPLARALGIEEEFENQGLKFQNNKASVISNQLANKDGWT VLKAAEITTPKYFELVERVNEYQNCNSADCWDTSLQKVLDWFMEWIPRSTEIRTGKLS TMLKDWEKTFATPYKNRISAIKNGATTVQTRVKTVSTKVDAIKKSICAKNACTGKTAS TYLKNVATTLQAIKDLSNIAAGADKADQQRKDVYYNYLNNIQSPLNTPPQHLVPNNFG ELLDDGKFNTLRGIMNGFGFITSELSMYANDIRRTLTHLILLTKHEGRSREALKKVNN QLAPNWKTNKELAKTASSRKVRDGFVQIQALLKKELQGSLTTLINAIDAFDNDFVKFP LRRNKMEVAWGAAPFDRWTNLQFKYPCRKEVTETFTEAGFSEDFTWSKFSPCTFTAQR LDLPKGWIPYIKYRFVAA FPSE_06068 MGLLLLPAVALAALIVYYVLFPKKQTGLPLPPGPKPLPVIGNLL DLPPAGTAEYKHWAKHKELYGPISSLNILGQPMIVLNCPDAMHELLEKRSTKTSSRPS ATFGGELCGFKVMLPLIPYGDKFRYYRKLVHQQMGTKLICSEFRDTQDLESLRFLIRN IERPEELQKHIKTEATAIILRIIYGYNIEPRKVDPLVSLIETMMIHFSEAFVPLSWAV DIFPGLNNLPDWFPGTSFKKTAKEWRRVTDQSLDVPYDFVMSQMSTGTNRPSYVSNLM SKSFKNDQGTGKPTKEDIDAVKATATIMYGGGADTTVSTISSFILAMIKFPEVQKKAQ AEIDRVTGGERLPNFDDEKDMPYIRALCKEALRWMPVVPTTTTHMTEEELEYGGYRIP KGTYLIPSTWALLHDPEVYLDPSAFEPERYIEPRNEPDPAEYAFGYGRRICPGRYLAE DSIFMTCVRLLAVFNMRKAVGEDGKEIDIVVDGTPGLISHPVDYAYSITPRSDKHVEL VRAAERAHPWEESDAPSLPKDSFV FPSE_06069 MPLHKTNINGAAVSRLLPSFVSASQLHLHLHQQSLPLPSVVGSL LNECYLLGIEILAHNLRPTIPSHRNTTQRSLFRTLPIVRHYNSAMTKMTKVSRLYWEA TCRPPTKIPPSQISDTPKSIVQTLSLTGDDLERVKKLLDPAPAPLPEPLPNKEYEAPL VHPLSWELTYSKEAKARIQGQEKPAPESLPHPFPCYQTDIPRNTIGTPRLAPIFARQP ARRPQGPSTKNRVRSKLSSAAKDDEALNPHGKSDVIWRETRIQLDVVDRAHEKLKKSY VDFEHAVKSAEDKKK FPSE_06070 MGFACDPCSIRKIKCHEGLPCQQCSAASLSCTYDRKRKKSGPKG PRKRTKEAIKQTQHQQSGKRTRQETTDSEPDVADAIATSSSLDQTRAHSKTCDPSNSR DGDRSNSPPSIVSPQPDDRVSLSSLTYYLNIYNSCLYVVWPIVDHQKLVQRLHQGDNK IAYALATSICSATIAQLQLPPDEHGNSSHSMAYEAEKTRLMLDYPKHQTVDALLTCFF LHAFYANTGKITKSTLLLREAIAHAHILGLHQDLFYTELDAETIQYHLRIAWVLFITD RAHSLQQDIPPTFKLSPTLPQLQSQHEPGPGSAFRSLCKLFQTFDDACPSGVSSKQIG LLGAISSQLQTRHPLPLCENEVQRADIVVTDSWLRVVLWKAAIPFVDANTDPFDQNLS VSFPASVAHDLLLRLTTLSSCALETHGPGMVATHLVHTGVP FPSE_06071 MSPAIQNTHSPVTAAWWKEASVYQIYPSSFKDTNGDGIGDIPGV IEKLDYFKQLGVDIVWLCPVYPSPQIDMGYDVADYRDIDPQYGTMADVERLIEGLHSR GLKLLMDLVVNHTSDQHKWFQESKSSRDNPYRDWYIWRKPRYDEDGQRHPPNNWLSYF RGSAWEYDPASDEYYLHLFAKEQPDLNWEHAPVREAVHDVIRFWLDKGVDGFRMDVIN FISKSEGLPDAPIKVPGSEYQFGHDHYACGPRLHEYLRDIGSILKEYDAFSVGEMPAV QDPEEIIKSVGESRGELNMIFNFEIVDMDHGDQGKFSAKKWEMKELKTIVNKWQTFMY NNKGWNALYLENHDQSRSISRWVSDKPVHRELSAKMLSTFLCFQSGTVFVYQGEELGM ANMPSDWEMTEHRDVETLNHWEELKRTTGSDPKVLELARKEYQLKARDHARTPVQWDS SPNAGFTTGKPWIRVNDDHQQWNAAAQLNTKGSVFDYWRSSLSLRKELKDIVVYGDFE LLDAENSDIFAYGRSNGKQKLIVVCSFRDREIPWPVPASTGLQSSKVLLSNYPEIDLS QDVIYIRPFEAFICLVGSQT FPSE_06072 MSDTFHKFVELPTELRHLIWEAAFDRLPGVQILEVCISHPSNRN ARRNDPYTLQLALPKVKDKQHHLGTPRSRWWLNGNPSKCLADHRLWMACRESRRVIQR LNKSEEIHFFTHDKQLLAMRPYRDLSLIQDNVFAWADCVEPGTLKPHQVAFEYDSSWS TSKREYGDRFWLRIIGSTAIRFALHVEASEGLSIVDYSLVRRSAGTCGLDGRAQESLV SFYAGGYKLVQVDMRCNDSMELELVGWEYIEPEDGESVALTSIDILNKMGHLIERQFD LARRGSVLQQVKLLALEEC FPSE_06073 MSSKSVTVTKRHNAPFSLRQSSMQCTERKEATQHSACNAQEDKS SFMQRLAYHCETIWMFTKSDMPTSTGINTTFAIAGILAGPGAICNADIHWADMGKGIL VALYFTWHLTLCFNLGNQRQPQSVIEDGANKPWRPIPAGRISPELTHRWQLVAIASLL ALCFTVMGGWQETCFYLFCTWLYNERAWGDKSWWQRALMNACGINTNRVATLRIAVMA IQSNPDVKFEFTNKGLGWFLICAALVFTTIQVQDLRDQEGDKLIDRQTFPLILGDAPT RWITAAGVCIWSVICPFYWGLGAIGCMLPMVTGALVAAHMLIRRDRKSDQTSFRLVAV WWVALYFLPMMSTRGL FPSE_06074 MVSAEKQSSRRPACLSLTRFMRFISSEYRILFTAVFLSNLAGVV IFFWLWWLNGNPNPTTCGLATSINLVVSIAIREEHIVNGLYRIFTSIPTTWPLWMRER AGKIYHLGGLHSGCGVAAVFWFILYSIQKTRDVHIAKHRDGVMWAHIVVVYMLDCLLV AILAMSYPTIRAKMHNAFEAVHRFAGWSTLALLWCMTILEAKLNTAPGHSLAASVFGS ANIWLVFIATCCVVSTWLNCRKVEVQSERLSSHALRMYFDYTNPQPGTTIRLSSRPLM EWHAFATVNNPKEHGFSLVISNAGDWTKKLINDPPRHVYVRRTPTCGVLRIAPMFKSI VLVATGSGIAPCLPVILAKQTRVTLFWSTRDPFKTYGPNIASIIEEMGDDAHIHNTST MGRPNTLPTVLDLYKKTESEAVIVISNPKLTIDLVLDLQALGVPAFGPIWDS FPSE_06075 MRLFSFSILVTALAATFVNAASFADLASQIPACDLKCVQQAIPQ SPCAMTDTKCLCTDKTFAGLTQACVVANCTVKEQLTLMRVQSLACGVPVKSQQMKFRV NAIIACILAEIAVILRIYSKVKILGKLTVDDYLIMVAACATVPYIWLACRLADLGFGL NIWDITPFDRLYELLKLFWIDQIMYSIHLYSTKLSILFFLRGIFTTSEFKKLTLYIGV FVALCGMATMITTGLQCLPSTYNYTSWDGEHKGHCNDLNVQTYIFGGINMACDLIILV LPLPHLMKLQVKHRQKAQLFVMFSLGIVVTVFSIIRLPFLVSLGKTANPTWEYVDVTI WSIWETELGMVCASLPAIRHLCKHFWPNAMSTIASKMSFTGSNKDSNFDKSAGSWTGS RGTRSKTDKDNKSYYELDERSLIGKGPEAGADGNTTNVHSSAV FPSE_06076 MKAFTLATVASALLSNQVSALPTVNADTPIPLNAFKLNQRSGKE DPHFGIDPEIIRKYASMSPPALGKRVIDFDPKKQLIDVHGDHEFKAPDWDAGEIRGLC PGLNTLANHNYLPRNGVATFTQYINAVGEVWGMAPDLATVLTTLGVVIGGNLFAVSQG GYSKHMSNNLFGLLGTPRGLDGTHPLFEVDGSPTRGDLYDPIGNNNEMNMTYFMDLYN LQKDAEDPNYSVEVLYEHNKNRWYQTIGFNPFAWFGPASGWMVRASPYSFTARLAANY SAEYPAEGRLNKEGLKAFFSVYGPEDNMTYVVGHERIPENWYRRRYTDFGVAALAVDI VTHSHPEVLSIGGNTNGVNTFTGININDIGGGLLNGKNLLEGNHLVCFALNAVKTVAP NALSSLFATLSSVTDILFAALEPIMGAMDCPVYDDLSVNGTNWLEYNKKMYPGFKKAG MGW FPSE_06077 MYLHSHANKWGLHSFVVANQSNSVLPISDNYLLEAPTLTRFMIS QSSFHVCHIPGKIVSFLIFEPDHPGGRKVILKNAGKDSTGDFDLIHSIDILDKWLEPS KHLGDVDTSVVANEDPAPKARQGSQTSLYRKPKLSQCINISDFEHVAQNVMKTTSWNY YSTGSDDEFTLRENRQSFQQIRFRPKVMVNVEHVDISTNFLGSRTSAPIYISATAHAK IADPEGEVTLARAGNKHDVIQMIPLYSSFPLEDITKVREPNRTQWFQVYVKKDRNVTK RAIENAEKHGCKALCITVDNPHLGSRERVLRLQQSEVDEDGDDDEFEDLPATELDPSL IMNSTLSWDDISWFRSITKMAIVLKGVQRVEDVVKAAECGIEAVIFSNHGGRQLDYSE PPIEVLAEVMPTLRELGLHDKIEVYLDGGIRRGSDILKALCLGARGVGIGRPFLYAMA GYGQRGVEKAIRIYKDELERNMRLVGCTSLDQLHPGLVKMLGQVRQKL FPSE_06078 MAPRRFQAEQTDASPLAQPLKFEFSGETAKNRLMKAAMTERLST WDPKILEKRGIPTPELINVYRRWGEGDYGVILTGNVMVEYDHLEAAGNPIVPRDAPMS GERFEGFKEMATVSKKHGSLIIAQVSHPGRQVAEDIQKNPISASDVQLEGEVMGMHFA KPKPMDEQDFKNVIEGFAHAAEFLYKAGYNGMQLHGAHGYLLAQFLSPTTNKRTDKYG GSIENRARIIFEIADAIRARVPDKSFGLSIKVNSVEFQEGGFSTDDCKVLCRQLENHG FDFVELSGGTYQSLAFAHRRESSKKREAFFLEFAETIIPELKKTKAYVTGGLRTVHAM VEALKTVHGIGLARPTTNEFDLPAKLIKGDANAAIDTLLDEQNFGITNVAAGTQIRLV GKNKQPLDLSREDHKKVFEESMQKWGEAMANNEDKSKFGYVDVEGTKLEPFGAAYAAA FPSE_06079 MGILTLIEDRPTPPSVYNWRVYVLAIVASCGSNMIGYTSAFIGT TITLDSFRKEFGIDQKNAEERNLISENIVSLFIAGAFFGALLTYLLSHWIGRKWCLSI ASATFTLGAGLQCGANSSTGLGILYAGRVLSGLGTGIASNIIPIYLSELAPPAIRGRL VGLYELGWQIGGLVGFWINYGVEKHMPESHQQWIVPFAVQIIPSGLLFLGTLWLRESP RWLFLQDRRTQAMKNLCWIRQLTPTDIYITEEVAAIDHAHEVQKHTVGLGLWKPFQAL AQRPNMMYRLFLGCMLFFWQNGSGINAINYYSPTVFSSIGVQHDTVNVMTGVFGVVKA VMTFVWLLFLVDQLGRRKLLLIGGITGSICMWVLGAYIYIVDPTKNPQDHLTGSGIAA IVFFYLWTAVYTPTWNGTPWVINSEFFDPSFRSLAQACTTASNWLFNFLVSRFTEQMF AAMGYGVYMFFATLSFFAFIFAFFLIPETSGIPLEQVHRLFKIKPIWKAEGILKEQLK QEEQQFRHDVKGDVSQTENLEDSDKV FPSE_06080 MTIPLSFLAEKWGRSSILRFNLLSRSFLLFWAIVVGHFDDLLPT RAILAGPALSVLGGDCVFNSLTYSLVSNLTDDHVQRAIYFGYMSSMSYVVALLGPALA SVTMSISLLLPFCLGISLLLFAIPAIRMLPSTAEMSKNVDNPDVEQQEPLLSSPRLKA QEGDQLVFHSVAQRLGTIKNIVTSHPRNFTLLLFSFVLTSLASSDTKLLVQYISARYK WTFAAAGYLISGKAVVNFTLLTFIIPKVLRSARLVPDEAYSEAVDKSNLLNAMYCLLA SVFGALGIAVASKIWMLIPAMFVYALGSALPIFTLSLLKSPAISPQQTDITTNTSEIE NHVFSIVMLVKTAGSLLGAPLMATLWVYGLSLGDVSLGMPFFFSSICYTVAIWVFCNI EVDRYWMSRP FPSE_06081 MQYSMIMATLALSVYAAPAPMITGAPSLSRRQEGGAAGGSSVLD APMTIAAGESFDGGNAVFDRGVSCTGQAEGGDSDAVFILEKGATLSNVRIGPNQIEGV HCNGGCTLNNVVWDAVCEDAFSIKKQEDGETTTINGGGAKGADDKVIQHNGGGTVIIK DFDVSDFGKLYRSCGNCKSMPARHVEISGGSATNGKMLVGINPNMGDTAKISGIQVTG VSKQCITFEGVTDGSEPKEVGTCDGAGSNSGSGGSSEAPATPTIPAGGSETPAASEVA DPVQSSVDGGSGDDNESDDNQSGDDSNNDDSGDENKDEDKEDKENQDQDSGSNNGEQD LGNENQDGSNGQQPSQPPQFGAPPQFGGRPQFGGFQK FPSE_06082 MFAFKNIAGLALLLAQSVTVQSMDLDSRKGILEASKGLAGDLIE FYNGNKSGNTPGLLENTNGLGDGYYWYQSGAFMSAFVDYWQLTGDDTYNDLVSKGIQW QIGRDKDFMPTNQTAQEGNDDQAIWAMAAMSAAEYGFPAPSDKKAQWYDLAKNAWDTQ RKRWDAEDDSEVCYGGLRWQIMPTNTGFTYKSTLSNALFFNLGARIYRFTGSDQVADY VTMAYSWLESRELIDRKTGAVYDGAHVDDNCTDINRIQWSYNAASLSMGLAYLYNTTS GGEDDTWRKLTEKMVTTTLDTFFTKNGEFKEVACKTDTCPRDIPTYKALTHRWLAVTT QLAPFLSDKVLPVLVKSAKSLKAEGNGKDALEQKLANFAIVSNLLIADSAGPMIQNQT KTDEDSSDTTSSATTSSTATNSDAAASPTTSADQGNSAISIAGSSSFLAISMLIMGFQ WLQ FPSE_06083 MSSIQTLCRGVRAAPQTLRLGLTQTRRLSTTDPSRSVSNGRDTA VHDPIMDRFWGSTRVNMSESSPIVTETPSMSTSSSRDPPLGTNVFEPSSAPRWSGINI PSGRQ FPSE_06084 MNLRGDKVPKDPNAFPTRQLTILAICRFSEPIAFNSILAYTFLM VKDLGIDEKDASFYAGLLVSAYALAEALTSMGWGILSDRIGRKPVVLFGLVGVAISSL IFGLAKSYWVALLARFVGGALNGNVSVMQTMVAEMVKLPEHEPKAYAVQPFIWTLGGI IGSAMGGFLAQPAYWYPRFFSEDGLFGRYPYLLPNLVSVIVVAIAVIQGIFFLEETLV RPGDENATINYQDIPVVDDLESVDERTPLHGRARNQYRSSASRSRMRHMSPSTSRSRP RFAESSLGMAVEHDFIDLRRSSFGTVHSVLLPQDLLTPPNEEQENEGSESREKTFNYT VMMLIVALLIFSYHQMAASSLLATYLLDEPSETRGTLDLVGGLGYTVHDVGLYLAVNG VLGLLIQGVVFPVYVSRVGVWHSFLSMIVLYPVSYMLMPFLSAFSEPFTSAGIYLSLL MQSFCGLIVAPVCLILIKDATPSPAVLGRVNGLAMSGACLARTIAPPLAGVFYALAGS VTAWVSCAVVAIIGAIQLLWIPRRTLKRDDIVVDNALTTTVTNESHRGRRGRNSLS FPSE_06085 MSGRYSLAELAQTLIEHGPRKALETSRRIRAIHNHITVVDTTKA VFVWEHDNFPTIYVPSVDIRNGKLADKQNISVELKERAAISQLIVPAHDVLEEKRVDG VLRFFQDVTLGPLSGLVRIDFRSIDQWLEEDEPIFVHAKDPFKRVDILRSERPIEVKV GGKTVAKTESSMHLLETSLPTRYYLPLGSVDQTVLRKSDLKTKCPYKGEAEYYHVVVD GKTHENLVWYYNHPTHESGAIAKLLCFYNEKVDIILDGELQERPKTKFA FPSE_06086 MDNLPPEIIRNIVSHLIVAARKVPHINELCPITSLAPYASISQQ WRDIVESITFRHLILNSRRLTEADCKNYLTPLRLSYVRYIWYDFEFPAHNLAVTTDPE DYDDQLVFNRSVRQLLEVLSRFPHRDEPVVGLEMFITPPKKFALRLLRHETKRSRERT EVLFGNVTPAYVELFEDWDKGIAEIPAVSYFRVEPGSQSILFSPSSMNRIASKMPRLS RVEWWLTDEENIDSITDITETSQRTMFSRTLEIIPTSVRSYALSYLRQPPRSAVDRVN STVPPSTQDDIMSRSFYSFTQRIGLDDFYLLARVDSTILLPQQPKADAIWPSIRRYNL ELKEHLPSGECIAQLPSKGYAYDQKIMDRFAIAAATCAARMPEIEELNVIHHGRTQMG ICFNTCSEDEPCLEFFGQPDIPEPSEETLAIWKKAVKTHGLTWDVNITSEMGKVYHFY FPSE_06087 MTSNNKPERASFNDIEPIKVPLSAEWGIRVPGHEMIKLIYGFTP RDMDDRWMCCTDGPDKEGNIRVLPVEAYNNEKVIEREGGVITKIVWEKPGKDEWEPMN EERAKEFAVNFSKSWMKCDLKG FPSE_06088 MTLARQSLSSFRRLPTVTSALRSKPTYGPYTSLRMESGKVKTPV SSDLKHDKDATNHTEGAMASLKGTPEKQDANSFESQQNLTEKEKKTNSQNAQANDSKE GMGGETDSFAKSGRSHKV FPSE_06089 MASIVFVTGATGRQGSAVAQQLLDIGWTVRATARNMESPKAKEL QNIGVELTPGDWDNEETLKKVIDGCTHVFLNVVPNLATFTSEVPHAKRILDMAKVTGV KHVIYSSGMAIKDLEKGPYHDPEHPVCRGHGWKRDIENLVQEGGFDAWTILRPGFFMC NMLDPKVNMMYPDLVPQGILKTAYTPETRLPQVDVEDIAKFAVAAFREPERFNQQFVP IASEKLTLAEFTKQLGEATGRSFRTVFVTDEEIEEKMATDFFIAIQVMARDLEDKVDI EDVQSWGIPLTSFGEFLEREESWVKSTYL FPSE_06090 MPRVTHFDTDVGRLPNGMERISYDDRTNMYTFRAADGTLWESSS GNAYGELTEVGVRTPANPEFVIRNPQFYSPAEVRRATDLFPSRRPSTPPHHRAADAFT RGIPTRAATTRRPAGPSAEARPRSATTGTRPAAPRQERRPTAPRQEHQSAAPRQRQST RPREERRSTGPRQPQSARPRQQRRSTAPARQPGALQRTATSCLTSLRQRARSVRITLT GSVSKTLEEAKAAISKI FPSE_06091 MNSSETKSEISSQAWRKKPRQFAPKSRLGCKTCKIRRIKCDQAR PSCQKCQSTGRTCDGYDVAPHLVEADVKMSQHHHSDAIATATHGLPNSSTAWSVQKTP HPRYQRQQSLILHNPGALMLLPVDSAQAEAMSFFEVISVNHLNEYYPSQSWRETLMFF SQTTPSVRHAAVALSLIHRSYHDSCSSHSEYGALFHYNKAIQLFLSQESGDNIEVMAI ALLVCYLFTSFDNLAGKYQRAMIHLQGGVKLSRSITDAMLDSSSVSSANVFLIEVVKQ LRRLDMQAGAYLVGWSADNTQETPEQEALFLNNAFDSVEHAADCHQVLIARAMSLHWM AQEASFTAGVPPIASKQLVIEQLETWSHLFEHMLSTTNHHGDIGISRVEKLLRLQNTV LWILVSSLGPGREMEYDKFLPEFQRCVKIVDEVAFGHQRHEGSSKPRFTQDVAIVPIL YIIGAKCREPGVRQGVLRILRRQRLREAVWDSAFAARAVERIAEIEQDKIGGGCIETM GEIEVSQRVECVSWEQVINNQGARLELEYTLCKQERRYTESLFVH FPSE_06092 MKRTAVPLILLAGFGGRAECRKSATMVQSFDDIKPSAELVWTPC FDNFTCSRLKVPLDYSNPDLGTAPVAFMKLAGKNATSDSQSIVIIPGGPGGSSIDLLQ EYKDQVGQMFGETYNFVGLDPRGVERSGPKIDCFRNKEAQKAFNRAHYTGATNTSTNS FEEQYYSAEIYGDLCNEEVKTKSPYGYYVTSPAVARDILTFIEADAKLSGHEPSEAKL WGFGNSYASVIGTTFASMFPERVERLILESVLDVDQYYENNWRSNFIDSDKAFEQFSV RCHAAGPDLCSFWGPTPENITARIDTLIRDISSHPIPVSGIDGHTLPGLATYSDLKSL IAYGIYEPRTYFPIFADIFAELESGNASALIGWSEKLYLTSDVDVIIRCVDSYRTNKL VTLEDWKDYIEDSVSRSKYIGDVFPIWARTILCRSLKPRLPDSMMLQGPVTGIDKPMS FPILFTSNTLDPVAPAANAHKMASQFPRSVVLLQESVGHPVTREGGSPCYYEHIQGYL QGVVPPANVTCPQKYFPFID FPSE_06093 MSENDNIESPAMAKIPYWRLVADQAGITPAVQNYKYDGSGTEED PYRVKWIPNDPRNPMEFSQVKKWFITMTVAMTTLAVALVSSAYTGGIRQIIVEFNISQ EVATLGVSLFVLGFAIGPLLWAPLSEMFGRQYLFIGTYAALTAFNSGCAGANNATTLI VLRFFAGAFGSSPLTNAGGTIADMFPASQRGLAMAIFAAAPFLGPVLGPIIGGFLGMN AGWRWVMGFLGAFSGTLWIIGTLLVPETYAPVLLRRRAAKLSKMTGKVYVSQVDHDRG KVTLGESFKTALSRPWILLFREPIVLLLSIYMAIVYGTLYMLFGAFPIVYQQQRGWNT GVGGLAFLGIMIGMLAAIGYTIPMNKRYVKIEKENGGFAPPEARLPSCLIGSICLPIG LFWFAWTNGPSTHWLVSIAAGVPFGFGMVLVFLSVMNYLIDAYTIFAASVLAANSVLR SLFGAAFPLFTSYMYKDLGIHWASSVPAFLALACVPFPFLFYKYGASIREKCKFAAQS AAFMRKLQNDNAPASEEEKREDDVPEEETFDDDASTEVDEPAYAPITATRTHQSTRSH ASRASRASRASMASRRKSYEGNPYDIDRVNTRQSFT FPSE_06094 MSEPLQSQSQPQSPSHQQDGHNEKDAVGSRLEPVETGASYVPPH MTTLQRRLVVLSLCLTLFLCALDTTILATALPTIGNELQTSAREYAWIGSSYTLSTTA VTPVWAKISDIVGRKPSMMSATGLFMAGSLICALANSSGMLIGGRVVQGLGGGGCMVL ITILIGDLFPLKDRAKYYGITGLVFGIAGAIGPVLGGVFSQAVTWRWCFYINLPFEGV ALVALFFLLKVNIEKEPLIDGMRSLDWVGFAFIIGGTICFLYGLEAGSGGLAPWNSAF VICLIVFGVVILALFMVWEAKVAKNPIIPIRIFQSRTNMASFAVANLHSFIFISYDYF LPLYFQVILGFSPIISGLTLFPLILPMSISTGLGGIFVRKTGNYKILILIGASLMAIG TGLFISLGQRKEWVKLVIFQIIAGLGCGVLFQNPMIALQTHVRQRDIAAAMSAYTFLR GLSVSISIVVGTVLIQRTVRGGNLTHVSNDAEQGETDKQGYISGLRVMWAFYTALCGL MVVSAVFILPKPVKKEASSETGTETQQSDKTDAIA FPSE_06095 MSSTMDSISQESQSLSPTPRPPRSKRGRLSLACTQCRKRKVRCD ATTPKCRNCVLRGDECTTFDPRRPNAVAVRKWPHKSSQSTSTLSPVERRASIVSQHSS PASLMGSQHTATTPLSASGNKERVPSWIERAYQESQTSPESGTDGQTNDSPDVVMNTD ESSHRIKYMGSSSLQCLTRFIDLFLQRRGLDPIGRHFRWGMCFTEEFSLPLVPSLPDL PSMSVMEPCIKKYFSRTHPLIPVLDHTEFISDVLRFSDLQQTCPNGLQGAITSVDAPA VAAIYAVLSIGMDDHEGTISPAATGYLTGAYSLVSHLLSFPYMSSVQALVLLAVAMRA RGKDGQCWHLLGQAIRIGYSLGFHRKIVISNPNEDSGHQVDRQLHSRVWWSCYALEKS MQLETGRPSAIEITDCDQPLPDKSSGLNQCFIRWVSLSQLVGKISEHIFQRRAESALE FLSGIALLDQALIDWLDEGTEDAKTNQNAKTSEEKSFAVFLSLQFHQAQITLLRASLI FPETSFQNQVQRHESKIQSISRLLQSQVTCVEAARTIITQVAEFADSQPDFLLLTPTP TFLAAVTLALQTFKKPHKRMGRSDGELVRIGSDYVAECYRRVGQHSEFVKGVLELSLR VNQVLSGDTSTIQTPRLQQQDSQDASLDQRMLSPSQFCDPSVDSFVFDPLEYFQDPFQ AMPLDHFWAVMESDFATIGDQGMC FPSE_06096 MAPSATTTTTTTQEHPTLTLRPEGLDRIVIDGNDRRYGDWRDDL VRDGYAVIKGAIPRERALSYANRMYGLLESFDLGYNRNDRSSVHPDKLPVINEKGMLL GYGAAHEDFVWNIRAEPGVVGAFEKALGTEDLIVSFDAINYGFSNRENLPENKPWPHQ DQDPDKPGFRCLQGLVNLLPNGPNDGGLIVAKGGHLLSKEFHDDIRGTEDRIPAWTPE WYGFTDRGMKWLEEHNCEWYKVEADPGDLIVWDSRTPHYNVPVKGTQDRFAIYTCFMP VTEATQEDLIRKKDAFERRVGTTHWPNARHIGSNEAKRNGKPDHVVRDRPLHEPQLNE RAFKLTGIPYIKAEAS FPSE_06097 MGSLPDLHIVIVGGGIAGLATACALRHPNRRITVLERSRLLREV GALISLQPNASKIITKWKLNPFLESAEPQIDQGFRIFDADGKLVRELPFQKGQFGADR VLYHRQDLQSALGNAAVSELAQGKPVDIRTGCQVASIDCDEGVVTLDSGEKVHGDVII GADGIHSVVRTAVVGEKREALPTGTSAYRMLIPVENLKDLSLPEKVLDPADPKTTMIM GHDRRIIMGPGRGSKLYGIVALVPDEKLSEESSDSWVAPGSIEKLLEAYSDFPSWLHN IFKAAPDIGLWQLRDIDPLPNWVKGRTILIGDAAHAMLPTQGQGASQSIEDAEAISAF LSEVHSRDQVGEALKRVFAARYDRATLIQKFSRDQAKPATDGVSKEIKLDPAQFMKYN SDYDGAVEWEERQKNGTAKP FPSE_06098 MPPPVQVAFKPLNKPEVGNNGYVEPTIGKTEVLKKGSAPFNART LDSDIRIDHDVEVIVRDGCRLYVDIYRPTGSEKVPVIVSWSPYGKKYSALDMIFNVCV WACCLTKDDVSGLEKFEGLDPAWWVNQGYAIAHVDARGAGNSDGDAVCMGAQEAEDAH DVIEELAKLPWCNGNVGMAGNSYLAIMQWHAAAQNPPSLKAIAPWEGSGDIFREQFCR GGWFTMSNFDLITTLVIKGQHGVEDIAEMYRRSPQANAHWNDKRVDFSKINIPVFITG SDLSQIHTMGSVRGWMELGSEKKWIKWCGHQEWFELYGVKESYPELKKYFDKYLKGID NDWEDTPRVRWTTLQFDEGKVKSNIELPDFPVPNTDYRSFYLGDSSALLDSAPSSGVS TYNSEDRWSISKFRYTFDKHTRIIGMPKAELYMSCDDFDDMVVFCQVRKLDKSGKELS HLQFPIEKTPVKAISEMQEKERQSLTMHNGAMGILRASQRKIDEKKSIHPQFPFHPHD EVQKIPKGQVVKLEIGIWCMGVDYEEGESLQLDILGQYPGFSELAAFSKPRPESEKNK GQHKIHYGGDYPSRLILPIV FPSE_06099 MSTTVTVEPTQTVAARFETKDLVEFDPNVVYNDWRDEFHKTGCV VIKNVISPERAQYYCDKQIEWLKKFELGFDDKDPSTWNADHLPVSFKGGMYYGYGATH EKMAWEARTEPKVVEIFEKLWETKELLCSFDGLNVSLPNRTDITWSPWPHCDQNPERK GMQAVQGLLNFAPNGDKDGGLVLMKGSSKLFNEFFAHKRESADHEDAPPPEIKYMDLF LFSKKDVKWFEDRGCEMYKVNMDPGDFVLWDSRTMHYAKFPEGDQIRHVQYVCMTPRK FATEEALEAKTYCFNNFVGTTHWPHCNIRIAQEKPMRNGEICPKYRTEPFEKPEVTDQ ILRLAGVKSYDE FPSE_06100 MDTKSDVNHVEHLERDSLDVIQVSKSKVEISGTVKLTEGRTVFI PTPTADPRDPLNMKMWQKATVLIIISLFSTIGLALVSGFGGLLGFYIPAYSAAGKGYA DITHLMTYPTLFMGIGNLIGMPIGIAVGRRIVLIAATIIMIMSAGLCAGATNYEWHLA GRVILGLSAGQSEALVPMITQEIFFLHERGRCMMIQQTIQTIATAVFVIFAGPIAEAI SPGWWYGLGAILSAVCLILTFFFVPETKYYRPSSSYQASSGSDDEATVILCTERPELD YVNFAPRTWRSDLRLWVGEPEWNKVVEIFTQTFSLMLFPNVMWALLLNGLTLGVNIAI GTTYGHIVTSPPYNWPQSSASYVNAGQIVTSLVALPCFGYGSDMLIKWFANRRNGMHE PEVRIIPLAFPIIVGVFTAVLYGMGATHPENYHWFVFVWAVAAYYFAFVGANIVAITY LLDSYPQRAGPLLVIICAMRGVMSFGVSYGISPFIDRNGYDGAFAVFGGLTGAFGVIG VFIFIWGKKIRQFTGRWAMDKPKSD FPSE_06101 MSPPTKYAVALFPGFQALDVFGPLDVLNFTSKRQHMEVSLLHTS LDPVSTFVEGGPACIGQSVVPTHTYDTAPDDIEVLLVPGGFGARDPENVKRVRQFVKE RYPKLKYLLTVCTGSAIVAQTGILDGREATSNKRSFDWVLTQGANVKWARNARWVVDG NIWTSSGISAGIDMTYAFIAEQYGQDIADDTAKGSEYVRNTDPSADPFAV FPSE_06102 MNPTNAVNPNYFMGLNFYFALGSGVRFDGLFAKLKRDLAKAFEI IPELDGRMMLASENEFGYKKGEYRITIPTEPLHASSKPRQLVYKDLSQVLPSFLKMRE VGFPPSLFSDKLVLDCCPFPAMRADILFAQANFVEGGCMLATNFIHTCLDAVGVMMAL RVWAECCRYLDGDQTATCDWFDTESFNHDLSEIIWEQVGYAKPAHEVDPGTWAYLPFP EKIAETRLFQNGDSTAQQNGLSLSLPPAPIFAGSPVWPAAPSERSLETTVFLLPGESI HKHKQEALADADADAKNRFASIIDVVQAFFWRASIRSGYRVAQEHCNHQFKIDEMSIL EMPIDGRLYFVSQLPSSHMQSMIILNVPSMPIEMLCSSDTSVAQIAGIIPEAAARITP SLFHDTFALLCSIAEYSKPATANMGLERFQRKTGYVQQQDLHLSTTTVREALTFSALL RQPRITSRKDKLQYVEHVIDTLEMRSYADAIVGVPGEGLNIEQRKRLTIGVELVAKPA LLLFLDEPTSGLDSQTAWSIFQLLRRLADNGQAILCTIHQPSAHLFQVFDRLLFLAMG GKTMYFGDIGPSSKAMTSYFERNGARTCGPDENPAEWMLEITGSLSEQDWPAIWNESP ERKAVRAELAMKKAKLSQRAITITDPSDVDALHPFAASFTTQLHVVLKRVFQQYWRTP SYLYSKVALCLFSALFIGFSFWQLSNSLHGLQNQMYAIFMLLVIFANVCSQIMPHYDA QRALYQSRESPSKTYSWQVFVLSNLLVEIPWNSLSALLIFVSWYYPIGLRHNALEAKE GPEREALMFLFILAFMNFAGTFTNMVLSAVGSAEAAGNLTNLLHSLSLIFCGILVSPD AMPGFWIFMYRVSPFTYFFSGVLSVGLGNSQISCSTEEYLHFSPPPSMNCSSYLEPYI EAFDGYLTAESMGSTTECVFCSGNDTNIFLESVSANYNDRWRNFGIFWVYIIFNMAAA PVLFWLTKGPKDKMSIGKKGKGPEVKSDNVSAE FPSE_06103 MATAAPTITITAPDGTQVPVLVFAAPTTVDEAIPAAEFFSQQLS APASEPSSPTIPSF FPSE_08430 MDAVCLLPPTEGDGGLAPWMDNWNPGDRIYYSFPMSHGAGILMD VVIPALYSLQCILGPPSVLLDLGLLEARADHANIDIWSMVPSLAVELGEHLDVLSKFK PSKFICASGGPVSPLIVSEVNKVVRVLKLTGTTEGLFIGSLWVPREDWHWFAFHPYSG FEFKEIHPGIFEQWIHRNEHWKLFQGIFHTFPDVDSVNLKYMYVRHPDNPNLWAFTSR SDDVVVLSNGYKISPLDTEALISTHHEIEGCLLIGSGKPQAGLLIELKDPSERNNELF DSIWAMVERANNSTFQKTRVQRDCIAFAEADKPFIPTNKRTVKLRATLELYTYMVVLS I FPSE_08431 MGVPSKSVNDNSVVERHDSTETETPPAGGFVPEQTDVENHVGDT ASKILDIIFDYALNKFNDSKERLESGRPKFLAVVSKFVAAGTRVDMCLPAFPFKSANK AYKVFGILPDKAEELSLGRLNAMCVRIGEIYPPGAQCIIISDGIVYNDLLSISDRDTW AYGQALRKMASSKDFKHIGFSRIKDLIDFPMPPDLREIAYVANCTNFRRAFLNRFGKD DIDIDKEIKDNPDTMMTYLGYRRFLESDLKHIFPVGNGRTANDYRRDVRYLAKQMLIR GYAFAGAVKNGFPNHLRLSIHHSTGEHKISMSLLDTKTGFTTPWHCCVARMANGEWTS APMGEYLKDSNMKVVHENGRPSHFQETIDGASVEEVSAAQPVHMPRIKSRDTSPDTKS TILSPFTGEEEERLKVLAKSISSQLKQQDDKPINPFSGSENPALDPHSSEFSIAAWLQ AIMSITSRDPEKYPRGVAGVAYKDLSAHGVGEATDYQKTFGNYPPELVKFAKQLLSQG ESAKIQILRYFDGLSRSEDLLLVIG FPSE_08432 MASPISTPPVPIPIGGVTVQPRDMLAVRIRTSHKTDIELPDKTS ANVTISAGTLGYLVQERGANALCQLINDTGKRCLCTLPRSILDIGSPYSQFRIDLPRV VQARLSSQVSMARNDDPTARAIIALWDDIHNNLSELEQLGLRRIYRPILDDPLTKVES LEAIIASFPDNVWAALNTPSQTGFPVRVFRSLPKITATSPRLAPNQEIIYFRLYVGPI YGKYVGRTRQEFPFERQVQHEKGTRSRVHWTSHYQTASRYSEENRHAFHMMTFTQPHS SLVAMAETTLCCLLRTFVPHFYDPSQRSIAQAMSGGALGNRMVAISLTQIADNALRRS GYPRFSGNGCNFNVPLEDGFTTKREWLRYKVTTGSRAMHVYRWQAKVRRDRKSLTLSF TNTSIPGQRMPGVALHTSLGHLPGIEAGKPLIVSVEIMEDGKPHPMPYYRGPEHGAWS NCAELHSLCIKVEWQDEEAGQWYTYPVSSEKMYTPYSDVPAEAAVTRGWRHATSLLQF FKNRRYNNPPHYLQASYGANIKEMVYDHMSQTVTTRSVPETVVNPPTLVSFEHNVRHL LRAVETAWTGVAIGPRPDKTWFHTGARHAGGPRECLICNTIPKLSLYSIREVACNTRN PFEVGATEPQREKILQGSCDVCWRFLRRPCVWVQDSFSEKDSEGIHFLPPGYERLAFS PRYKGSAIPITAPLDPEQYANAETIAEQVVDDEIDEAEDGGDFGE FPSE_08433 MSQLILAVGSGSIMITAEIAILAAVSEQQYFAVAIALVSMCSSI GQAVGLTVSSAIWQDVIPRKLAEYLPAEDLPNLPIIAADIVTQLSFPVGSPTRLAIQH AYGDAHRLLFIAGTVVWVLGFVGAAMWKNINIKNIKQTKGRVA FPSE_08434 MNYPHPYSKAAELDQRIQIPRPCYDSALAPMLDYASLPEEFSLD LMRGVAAGVQECPHGSHTFNAETVLKDQPHLTHAEHVVAGPDNNDVILSVFTPKEPAK VPRPALYHIHGGGMVSGDRFSALTQVIDLLSGIDCVVVSVEHRLAPETRAPGPAEDCY AGLVWVSENAENLGIDPAAIVVFGVSGGGALAAATCLMARDRKSPAVPIKAQMLYSPL LDDRCESVADKQFEYGNPAPTTWLRAIWDHILVDERGSENVTPYQAPARETNLSNLPP AYIDAGECEVFRDVAVAYASNMWRCGSTCELHIWPGAFHGFDMMDDPQIPLINIANKA KANWFKRVLTTETKPLPAPMAHA FPSE_08435 MAEHELPNIRPLGKLEEIAAAAHHIDFFTNCAFSAHYSASQPLP DVDLKPLVLAALSQVLHQHPILFAIPVVPDTEQPYWGRLPSIDLNQVVSFVERSSSLS SDSQTDVELDSLLEDRHNTSFKAGYGTLPVWRLIILQDYRSKDGFMASFIYHHSMCDG VSSQIFQDAFQRALCDISSGLVEMQVVEVVSSDDCPISSPLEDLHPLPLPENPPVPDA ANLNEWRGSTVSVPSKTKYKSLSLAPEVLQSFAQECKMNKTTVTAALPALVAKVLYDI LPSETEGLTCNLPVSLRSDLPPNQVDGVIGNFIDAFKVQLLRSDLDQSSDGTTAIWKH AKKVQQATRRYFANASPSGEPYANVAIFKLIPDIKAFLASTVGNPRGESFEVSNLGHF PEPKNLKGNGNPAWRRGKLLLSRCAFAPGAPLIICVIDNEASVSFGFTWQADVGDDEV VEGVINGLKTFFTSYAGYRT FPSE_08436 MPTLQAITLAVFSGLQVVAASGYPRAEEPKLRAEYDFVIVGAGA AGLTVANRLTEDPAVTVLVIEAGDFDKNEDYVTIPGLAGGAVGTSYDWNLTYPATESL NGRNVSIPLGKVVGGSTKLNRMIYDRGSKSDYDRWAELGNSDWQWKSLLPYFKKNEKF TPPTAEIKSKYGVTVDPSAHGSSGFIQTTYSPFFWPITKNFVQAVGELDISVAFDQAN GNAIGGYFCPHNTNPKTITRSSAQDYYSAVSSRKNLQLLSGHQVTRVLTKKSGKSVMT TGVEFAKSKGSKKTTVKAKKEVILAAGSIHTPQILQVSGIGDPALLKSIDVPVVVDLP AVGQNFHDHVLLAVISTINAPLQTGNLTSNATFAAEARAQYDNQKKGPYTSPTGDFLL FMPLSNYTSSASDIHKKASSQDGTKFLPSGTPSEVANGYKKQQKVLNDKLLDTNSAIL EVIWSDGTAILGLQHPYSRGSVKAKSSDIFDSPEANPEFLKNPLDVALLVEGVKFARK LSGAPSIKSLSPLEILPGADVTSDSDIENFVRSNAATLFHPAGSCKIGSRSEGGVVDQ KLRVYGISGLRIVDASVMPLLPATHTMSTVYAMAEKAADLIKQG FPSE_08437 MDVFAKLPPELVAAILAELPDLQSLYNVVRASPGVSRFLSSPLG AGILDGLLDSWSSSNPEFPTTDRGSQNRRIEMGIIGVTPWVPYIMRLVALFRQCTTKN QPTDDLTSFLIRFIMPSRNVESIPELKPTPPHCVPSLRLQDVLGGTPAFSAREMLFLV RKLLVLAGECFDFFLERIKSTRPQHLSKKPSSVNQPLLWGYRPDGQPWGQPYEINAGH EASWYETQRLILGFCCLQLRYEISNAVCEKRLDWPADDVKKIRSMGQADACVPIFDTS NIHMALEPMWAAAIYVASLKGAPIDSSCVGDTNIESNRRSVFYDTGFQPLQEGHLRLP RPKHEDPSLEWPKMAVRHPEAFYYGKPLYADYAVVLFGSKGSRWAADILCPLQGGQMT EGLLFRPLRRLGFGIWDDERMAQMQMIDDPSAGWHIPRRFSHWGKNQAFTWASLLSDE EKQKLRVYQEVLRSKEEDK FPSE_08438 MSDQILYVTILVGLLLLYRLANSTDKPKIKGIPEIPGVPLFGNL FQLGTDHARVARKWVAKYGPVFQTRLGNKRVIYVNSYDAVKHFWITHQSALISRPMFH TFHSVVSTSQGFTIGTSPWDESCKARRKAAATALNRPATQSYMPILDLESFVSIKELF DDCENGTKDLDPSPYFARFALNTSLTLNYGYRINGDVNSELLHEITHVEREISNFRST SNNWQDYVPLLRLWGAQNSSAGEFRARRDKYLTDMLNHLKSRIAEGTDKPSEIKSICL TMVSAGLDTVPGNLIMGIAYLATPHGQEIQAKALEEIYKVYPNGEAWERCLVEEKVPY ITALVKEILRFWTVIPICLPRVNIKDIPYKGATIPAGTTFFMNAYAADYDESRFAKPF EFNPERYMDDKEIGTPHYAYGAGSRMCAGSHLANRELYTAFIRLITAFEMVPPKDKSD EAIMDSIECNAVPTSLTTDPKPFKVGFKTRSESRLREWIAAADERTKELR FPSE_08439 MRFSTLFSSAALMFQAVSAASEEYKDADTGITFQQFVDKSSKFS FGIAMPEKPSTDFIGQIIAPISEGYASVGMGSTMSKKLLIVAWPNDGKVVTSVRQASG YTNPDVLDDDTVKIKPIEKGVKVGADSFTFTFLCEGCIKTDGTTFKAADANAVMAFAF STTALDDPTDASGALNYHGAGFGGFSVDAGSSKSADYETWAAMASDSVAAPAPGSGGS TPPAGNFTTVVSNMTYDYIVVGGGISGIIVAERLLESKKSVLLIEGGKASFYSTGGKA VMDWNETTTQYDVPAMAYHLSSAKDTSEYCTDTASMAGCILGGGSSVNAMMFVRPQSI DFDDKWPAGWKSTDVEAAASRLWERTPGTLSPTKDGKLVDQAAYNVLSQFFSGNGFSQ VDVIAQPNKKKAVFTHPPMMITNGQRAGPVRDYLPLAQANSNFKLMLESKVMRVVREG KEITGVEVQSGPATRQIIKVKTGGAVVLAAGALSTPRLLVNSGIGPTKQIETVASGSQ RLTMPPKGQWIDLPVGKNLKDHPIFTVKVKTKSPMAALPDTAFTEPSQENVDLYAQGN GLLSQSGQRFIFWDSVMGSDGVERYVQGTCAPAGDDALKLKVYLTHGSTSSGALEVTS SGATKLIGEPYLNDAADKAAVKTFMQKLIDMASKPNSTIIIPSNATAESLMSSYVSGS HFVGSAIMGTENDGSSVVGTDTKVWGTDNLYVVDASIHPDLPTGNTQAIVMVAAEHAA SKILNGGSGSSSPVVPPTVGNGSGSGSDSGSSPVETPTNGNGPSTPTAPVETPVATPV VPPTTGGDNGSGNGNNNPNVPPTFGNGNGNGNGNPGFPPTFGNGASAPSQPVTTPVKK PGSKCRRSLRQRQRRRLQRSS FPSE_08337 MATEHSHGHARVPNTLEWLAKTKRGDYLVQVAWPLCWGEDRVAA QDEKVNLVYLVDGNAYFFTAVDVSRRLEYLNSTRTVIVGIGYPPSKYVYDFRRGPDLT PFAEEYDMPLDRHGKPRTDISFGEAEQFLDWMKTDVMPYVENELFPKANLHTGRKALF GHSYGGIFALNTMFTEPELFNSFLIASPVIWWNKDFLIRKPEKTFLARDKPVDPPFSL ALTWGTGKSELEREPQETDEEWWKRQNCAEHDEMREAAQTLASRLEKSPSVKKIWTRE FAGEDHGSVAVTGLQQSLMQFILGKI FPSE_08338 MGVLDKVQLTLGRKGKEGTAAEQPVTVTTSINEKDQEAGVFPDD GSNSDAVTENAQHGVQAVEATTLAWSKKALAGVFVFMWLIYLTNGFQGQINNTLLPYA SSEWESHSLMPIIGVVANCMTAAVYIPLSKILDLWGRAEGFLLMVLFATIGMIMMAAS QNLPTYCAAYVFWQVGWSGLTYSIDVITADSTQLKNRGLAYAFTSSPYMITAFAGPKS AEAFLLNGDQWRWGFGTFSIVLPVVAAPLYALLRYNLQKAKKQGLLVQESSGRSVMES IKWGLIEFDAAGAFLFAAGLVIFLLPFSIASMAPQGWQTPYIIAMIILGVVLLAIFGL YERFVAPKPFLRFDILVSRTVVGVCLLDFIYMIAYYCWNSYFTSFLQVVNNLRPSEAG YVSNTFEIVSGVLLFIVGYAMHKTGRFKWILCVGIPLYIFAQGLMIHFRQPGQSIGYL IMCEVFISIAGATFILCMQVGILAAVEHQYVATALATLSVTGNIGAAVGGTISAAIWT NTFEEKLFEYLPASAQENAALITGDLDSQLAYEMGSPQRLAIQKAYGYGQARMLGAGT GIMAIAIISLFLIKNYDLRKIKQTKGTVF FPSE_08339 MSSQPFQQKSPIKIRLPEPYLTTYYLEATAEGKQSYRLRKDESA KDGKPFPKALHGDGLVFSQIPTAESDKIPDSDNREYARARRSPVWSLSWDKETATLAQ TWMFLYTFFTYTFDVEQFRLRLEGAGAEELAKALVLSMVAINMPPPPKGVEPAPSTGV EVLVSRSAFWQGCASPLGQQPIWLPTWNSLNVTPHLEYVMEPTSEVTLLRHPRRTPKP AAGSCFYSRYIPSLDEHFNLVALDYENPEHLGLFNTWQNDPRVAAGWMETGTLDEHRT YLKNIHEDPHQFAVLGYFNDIPFAYFELYWAKEDKMGQHYASGDFDRGRHSLVGNDKF RGQYRVMAWWPSVIHYEFLDDHRTENVVGEPRLSSEGVLKYEMIFGLHQDKWMDLPHK RSNLVKISRERFFQICPFNQGKPRVAGTTFGFEPKL FPSE_08340 MAQHTFNRQPPPQTYGLVSFPAQHVMLVVFNRPKALNSMTSDGE YELEALWEWYDNEPSLRCAIVTGAGRAFCAGMDLKEWNQINQRKRDGIPEKRPMDPPT TGFGGLSRRSGKKPIIAAVNGLAMGGGFEMIANLDLIVAAKSAVFALPEAKIGVVANA GSLPRLARTIGRHRATEMALTGRSISTTEAREFGILNAITEDAPADCDVLERPVVKKA LEYATAIISNSPDSVIASRAGILAGWEHGSAENAVRVHRQTWDSVMADGHNFHEGVQA FVEKRSPRWVDSKL FPSE_08341 MTELDLSAEPNAAQTKSVRHNVPVLRKHHGQDTQELEILLLAWS LLLYRHNHGNHVEFSWGLTEIGSSTCRTFTLNTAKLQWDGSNSVASELEVFKTYIQQQ LQSEVPFKREQYKLFFNDEPATGDLVNQVTEDGDISVNWGNVQIQATLEDDALCLRPT WREPLGAEFLANHLAQAFVEVLNTTLADPDATLSSVLPLGKFDNSVIWNWNKDLPPPV PECIHTLISEQVRLRPDAQAICSWDGDLTYAEMDNLSTLLAQHLIDLGVKNGDIVPLC FEKSRWTTVGVMGVIKAGAAFVLMDPSQPIQRRQVMAQQVKATHILTSRDQAKYGPEI APEAKHVIVDTETLDSLAKTIEDPPRELPQVPPESLLYIIFTSGSTGTPKGVMLSHET YTASALARSTGIGYSSISRSLDFTSYAFDVSIDSILCTLIRGGCLCIPTDMDRVNDLS GAIRRLKVNMVNITPSVARILDPDIIPSLNSLGIGGEACSAGDIAIWGQHTRIVIGYG PAECTIGCTVNPSAAGKPYVSMGPGTGACIWLVDPDDHNKLVPVGAVGELLIEGPIVG QGYLGDPEKTKEAFIHDPDFLLAGADGIPGRQGRLYKSGDLVRYDPDGENGFIFVGRK DTQIKLRGQRVELGEIEHHIKNLLPSGAEVVAEIIAPRNQNKESMLVAFVADREAKDE GDTRQIDFPPRFREALEVLNDKLSKVVPVYMVPTQYITLSKIPYLVSGKTDRKSLRAL GAEISANMQASAAVNESSEIREPQSEAELFLRDSWCRLLGLENKQVSTTHNFFTSGGD SVLAMKLVPIVRDWGYTLSVADIFNYPVLSDMANSMQKGDSSKGVDMQIPEFSLLKDD MDRDALCTEAAQNSGCDVSAIEDIYPCAPMQEIHMAFYTRSKENYVAQRIADIPASSS IDKLKSAWNVVYKESPILRTRIVEFKQHGFMQVVVNEALQWEEIDSSLEDFIEKDKQE PMSPGAPLSRFAIVTDKALDKRYFVWTAHHAIYDGWSTDLIVEHARAAYKGEEVSRPA QFKHFIRYLAEDSRESSKAYWTTQLAGATGPQFPSLPSRSYIPDPTSLTERFIKLDKA AKSDITIATVIRAAWALLASQYSMSDDVVFGETFMGRTIPLPGAELIEGPILATVPVR IRLDRTITVQEFLRAVQEQSVKRAAHEHLGIQHIRRLSEDAQIACEVTMGLVVQPQDP DPTETENDALPSFRGGDAALEALHFNSYPLMLAVSMQKAGFRLLASFDSELLSHVQVE RVLSQFEVAINQLRGDMSRSLNELTCLGEEELGQIWEANKHAPVSPKDISRFLSTGDK YPAVQYVPWVVQPGNEKLLMPLGSTGELLLEGIASAGDDDVVDAPEWLKEGAMGYPGR QGKLVRTGDLVKYTDDMSLVFIGRKDAMTSVDGRVVDLNATNLELKRLLPSSAKAVSR LVVPKGSSSQTPVVVAFVQETSAKDTQSLKLGFEISDASIPLSQAVSVELATAIIGLN KAMVETLPPYAIPSICIPLEIPADIDSIAENITLSLIVELRKSFASLKKTIADTTVLT TKERVLRASWSKFLGIEEEKLALDDNFFRLGGDSIVAMRMVSALRQEGYRLSVASIFQ NMQLRGMADSLVEISTESVETTKKYTAFSMLDTKDVDSFLVKAIQPQLADPKWKIKDV LPATGPQAGDVKQSVFAPRSSVQYNMLYLDQSIDTARLVDSFQYLVSQHAILRTVFVE NEGQTLQVVLHDLKVPVTEQNVEGSIDAAAKQLAESDVNNSTDLTHGSSFIRLFVLRG ESENAFVIRISHAQYDGVSLPELLRQLELRYRGLEIPSSEPFETYIQHLAATKSQNVE FWRKTLQGSSYTEIAPAADPQKKTAFMTKDVDISGASPNTTHAMLLTAGWAKVLSQHL NVSDVTFGGIVSGRDIDVAGIDTIMGPCYQYQPVRVKFKPNWTATDLLDSVRSQSLEG SQRATLSFQEVLKECTNWPADTPFYGSFTNHLNKEFFDSIPFAGTKCRVDYSIPHPEP ATPPRVVSFLEDGRTQIGIEADEERQEFWEARLGELANVIEGFVKNPQALI FPSE_08342 MLFKSIFISSLATSALAIYLPEDHYMVRDNAAAPGGKCDCSGDN VRYNSTLAKDYICGDKRLGPSRLPTKLPLGTFVTGYDRFGGLSPNAFLGKWYNSTEGP DGREAGWIYPEKYGFELDEEKLPIKANVDLMPGTLVDRFGYNTGRYISPATAPFSQRA LHPQNLDNDVNKQFPNNYHVYNVSRMFTVQAGPIRPWFGQPGFGVQFFLGNGISVKDY LDNGHLVELKPSDLLRDGTGCGFAREGDDSVSDEL FPSE_08343 MDVKECVSCLQDFPSLELYQCPCSHKYCRECLTALLYLSLRDEW RFPPRCCREPIPIPLIGWFSEELLLRFRDRQLEYDTPDRTYCSAPTCSTFVPPACIVG TIAQCPTCSQLTCTNCKGERHEGFCRQDDALRELQRLADENQWETCYACNRMVELLGG CVHITCRCGAEFCYVCGTPWKNCGCPVEIENRGVHS FPSE_08344 MPPSPKAKSSKPVNMMDDRHDPETADDVEVSQQKQLAAQGNAHF HRLGWKRLAIVTIVEAIALGALSLPSAYHTLGMFPGVFLTITLGLISIFTSYIVGQVK LKFPHISHYADAGRLLLGRFGYELFGAALVLELVMVVGSHALTGSIALIDINGGKVCS IVFSAVSAIILLILAIPPSFTEVAILGYIDFVSILAAIGITVIATGIQASDSTGGLSG VEWSAWPKEDLSFAEAFVAVSNIIFAFSFAIGQFSFMDEMHTPTDYMKSIYASCFIQI SIYTLTGALCYAFIGPAVQSPALLSAGPLISKIAFGVALPVIFISGSINSTVALRYLH GRMFKDSILRYVNTPMGWVSWITLVTIFTIVAWVIAEAIPIFSDLLSLASALFVSGFS FWIPGVMWFALLCEGKWFEKKNLYMSLGSILAFIIGIVTLGAGTYATIKDIIDITSTG SAHAPFTCRSS FPSE_08345 MAPQTMAEKAKAKLQAVLEDPNRNDATSYKQRVMTTTTPATGSD WTNTWVRRSSNDRRASVGSDGSSGSPVRRRSSFQEWLYPSAR FPSE_08346 MARLIFAYAALFFWVTLAVAQYDYNNLLKGCYQGRSDDFALGGF ARTQPQREWCAIVCSQRGNTFVAFGTVHCFCAKSEPPSTNEVDISRCKAHGLDGWNAP KLGKVNHVEEFFVVFNIDPKRKSDDSKSAPQDESKSSPQSGPPAALSPSAGPSKPLGC YPGLPLDVHLTKITITEDERRECAKSCAEEGKAAVIFSGLKCGCTDNPPEASTRVEDI RCALQSTNKLYRTDLVYSVWSTGVEAQEKSTLLSKGPVGKCFSSRPLTSRQFIVRNNG HVREVCLDRCNHHGYPVALVHDTNCWCAKTYPRRIYSSGLESCDIPCHGDDGGRCGGT KGSKLYYSIYDTEQIDKAPVDLRSRPFDLPVRHETTWHGCWMEPPITEHHSLSIQNNT PARCASYCRRYKSKVAAVRQNSCVCAESYPERSRKRPESLCKSTCPGNPYEDCGGRRV WTVVNTGLDIHVPYDKLKRKEHPGDFNMNPGSKKASLSGCYAEESVTQGAIQVHIGGG RVELCASRCRNLKMPVSALQGNTCVCRDTIPRKDSKVDKFHCNHSCRDADEGSCGGKD TYSVYNSGLQGHIRIDTNTKQSHGCFRFDRFLSTRRTPELIRVDIHGPNRNANGGSCT AQCAEKGFAVALRHHTQCFCSPGLPQERRRVDDEFCSIGCRGDTLETCGGPSYAYTVY KTDAYMPDLHTEKKPKAKKPKQEDLSPSPDSRPQCLHPALEKVYEVFDVVSDRVAGVT QKVQHGFFWVRDKAQHIFDVCLWHVMLFFSNIMYRLGLLSAEGVVEL FPSE_08347 MSLAQHVTQPEGFDYEGPANPNYEGPTHPDISPGFGTPNILVPD RYEYESFPDRSSWRRLSFAPIEIAQKLPNLAAYKVSNFKRYAQVATAIIACWLASGIV FGFAALKPILISEGIYSELCDNDQKKLGHAPDFQVPCAAQDMRLNLLFISASISANVS SLFAGAALDRYGRRVCWLVSSFLLTLGSLMMGLSFSVPGFHGYLAGNILLAFGGTFLF VSSFQLANAFHTLSGLIVALITGAFDASAAVFLFYRMAYEASDGRFTLDKFFFGYISV PVFICLSELLWMPPHSYHTLPQLEKKLQKAVDQNRDIHASDDEIEDTDELRRIRSVRA DQRRAKIEKIEEVAGDSEEREMRIMEEEDRQETSGVWGVLHGVPAHRQMMSPWFILIL LLTILQMLRMNYFIASVRSQYRYMLGSDEAAKRINDFFDNALPIAGVFSVPLVGVLLN NLSIPCVFGVVTVCIAIIGVLNCLANIWAGYVTVIVFVVFRPLYYSAISDYATKVFGF ATFGRIYGTITCVSGITQLAQSGLDALTHGPLHGNPTPINATLGAVGALVGTIMTVFV AIKGRRFEEKKAEMKADDERERLLSNAQSNYGTRH FPSE_08348 MEFQKPSLPPKAPRTSALKPSNVASTHDLDFYVHPHLVPDQDPC KGRLLRATGPIREGTILLVDTAYAIVPSVTSDAEPLICSSLSCSRRVPQNGRAVRCEN ACFKDVVWCNIACRATDKLRHDYECAWLKKNADSMRRKESEYNFATLWHVVRLLATWN AELHSGNVVAQHRYPWEAHFLRGWKAVDMCCAYLDSWPEEQLTHWKRLVNEYLSDASV LPCMLTADEMLLLLCKEETNTFGLYPKATGTQFVNDNATSRGESYGFALYPRAAQFNH SCLPNVSHKPDGQARMVYTAARDISKGEECMITYFDLATRKDVSSRQKYAQTQFQFKC TCNRCLEEEAEENMDIMDSLPFGF FPSE_08349 MSNPAVPTTSTSLVLNSFNGRLDLENTPIPSPTPFGSVVVRVLS TPVRPHQRAGFHGKTSLSFKPPYNPGDGGVGRIISVGPDAVALKPGQLVYMNNFVTAR DDPNTRVLLGIHDGGGPERDIKLFNLWQGFWRDVAVVPMENVLPLDEKILVHEMGYSY GDLNYIQRLSVAYGGIKAANIQAGDTVIVAPATGHFSGAVVELAAQIGCKVIAISRSA SKLEPLTSRFPRVTALELTGDEKKDTEAIHVLAPHGVDAYIDVSPPAATAAPHHLTVS INSLSSFGRVIFLGMMFDIKINYASLMVRNITIKAKFMYTREELASLINMIEVGVLKL GKEAGHRVVERGYRLDEWEEAVTVAETAVGWGEQVLLYPSSEVIE FPSE_08350 MSDSEQKHAIDTVNVEAQRQASLKARWNRSPLYNATILGLCSFA APGLWSAMNSLGAGGAQKPYLVNTGNALTFCLMIISCWFTSGIVKYIGIKGALIIGTV GFAPYSAGLYLNNRSGVEWLVIFGAACCGVSAGIFWSAEAAIAIGYPEPRNRGRMVAY WLSFTRFSQVMGGAINLGLNVDQNEAGKVSYKVYLIFIALQALAPFIACLLNKPSQVQ RSDGRQVDMSIHDHPWKEFKATTRTFLKKDFMLLILWIGQGVYSESVFFTYIALWFSV RARALASFISGIVAVIISNLLGVWLDQHQISLKKRAGWAYMVIMTLQGGWWIWLTINV TKFNRQGALYDWADPGFGAAFGVFVFLVTGFQLNYNFAFFVIGEISTSSQETVRLSAL LRATESAWQALSYGLNALPIMATVGSTYMNLGLWALAIFPAWLVIRGLGESERQDGVL ETADTSENY FPSE_08351 MASQPSLLAATAKLNNGLIIPRIQLGLYMMSTREATDSVRQGLL TGYRAFDCAQMYHNERQAGKAINDFLASSENKSGLKREDIWYTSKLASCDTSYDTVRR SVKKSVEASGLGYIDLFLLHSPYGGKEARLTSWNALEDAVDAGEIRAAGVSNYGSAHI EELMASNPRISPVINQIEVHPFNTQEDIRATCAKHNIAIEAYAPLARAERMDHPAILD MAKKYSVTPAQIFVKWGLQHDFITLPKSTKQKRMIENASVDGFEISESDMKTLDGLDE HLVTDWDPTDAP FPSE_08352 MPTTTKTIRADHKKWKCNNNVNGKPCGTINNISDVMCSDCDVCR KADTEALAADGSTIGKMYRLNDDLSEDWEYFSPQPLKSRTSQPGTMGGLGQQPDLLPR SEHEDKPSSASSPNSRLNRDKIKCLDGLRGIACLLVFNYHFFWPWTPVIMLGYGAFPP RAPEPYWGWQSLPIICLLHRGRAMVAIFFAISGYVICRHILRLIHERKLDSAYQKLAS SVFRRIFRLYIPPTISMFLVAVLAQVGVFKSEFSIYKGPDSHYINATITHADLARSNI CVNGTAVKGAAGIANYLGIETTAYLRNTTGYPLGNTTEWTDQLLCVNHTTRSYGPSQL YTLESEYEAQFPSNDTNSTETIKPAKHMSAMERLASLSYYDDDDLDLSLNATNATNPL NLTWVQMGGSWEEHPFIHPNLTYAMKNFTRSYAEWANPFNFGHYHTRYDPHTFTIPME FRGSMVIYIFLLGTAFMKAKWRGRIGSFLSVYSLIIGRWDMATFMGGMLLSERDIRRS SDLPPSAAGEKGRGRDFQRTTRGTALRWAGIVLALYFLSYPDSGAEYTPGFAYLSTWV PRYYHPLSGWMFYQAQGAVLLVACILRSPFLVRLLESRFPQYLGKVSFSLYLVHGPVL HSLGFWIMPRLFDSFGKMGGYAIGWVILMAVTFYLTNLWNNRVDVWSVSVGRRVEKRL AED FPSE_08353 MEASQEYSSRSREIFEKAFTTLVEEEIQSSPDQGPIIEGAIETI CNYLAGDIGLTKEEHETLMEYTTGLHEDEQPVFANALANMIKVSRPDDRMTDADTEFL YFTDLVTYTVAEVGMADEGPDDPLSQFVDQELALVDGSLEPPMDFENMMGETHEVPQG FGFGAVDIDGLDFQAALDFDTTAEYAFLDGDVELAFNEMEI FPSE_08354 MVLQTIRRLVLGQTRSTTNYPIDPTDTVLPVFYFDDTPLLRNYV QCSTLRFNDVLDAAMLRESLSRVIALPGWKKLGGRVRMNSKDKLEIHVPETFSSQRPA FGFHHTTFDISIDEHPLASRLPKPAPDNPSIQEQNPEFSTLGVPPDTPLCLDDYLKSD HPQLTLHIVSFTDATLVSICWPHIAVDGMSLAHLGHAWSLSLAGRVSEIPPMMSANDD PMANAGRDSTFTGPHPLGKQQITGWQMYIFAFYYILGLLRWRTIESKVLFLPKTVVKE IRSQALSSLSKEHTAPFVSESDAIVAWLTIAVTSALLPKGSNRSVTIGNAYDLRGRAP SLFPVSGDKGAYIQNAVFPCWAIVPAKMIHGRDEDTLGSIALAVRRSIQEQTTEDSIH AQARLTRDSLEVSGIPPLFGDVDQFTIHFASVRAELAASLDFCPAIKTAFQSSEHQDS TRSVWNIQGSALAKSGINRGDRQPLASLSGKVLLHTDSPKLYLFGAIAPSCSRALMQS EGDVSARTAGQIDLNISRRMAVTTIED FPSE_03489 MNQDGPFGPVVNGTQIVFFEYLPNKPAAISFVVLFGITTLAHLI FIFIYRAWFFIPFILGGICEIFGYFGRAQAHDDPEEAGPFILQNVLLLAGTPFLAATI YMSLRRVAAALDSEHLSFLSLRWLTKLYVLIDIGCIVSQFIGAIIPASGDADAIVKGR IILIAGLVVQLCALSVFIITSLYLYLRVRKEAGPFLDSSFVRWRRYFRTIEAVTVVMI IRSIVRAVEYLQGQGGFVISHEVFIYLFDALPMFLVMLFFLVVHPGRLVKERMDPKRK QRQSEHVRLTEYSTRLE FPSE_03488 MFALRRLIARPVAMPIMRVATRQMHVTPRRFASADQPPNMESMM NDPLIRDTFEKLSRHPPAIAAMQRMGEVIKSKGLDASKPPSKMDLMKLMMDKEFREAS TELTTEMQNAGVEINPDVFMKMVQGEK FPSE_03487 MRHSLTQLSAVLTVLSATTNALPTDHEKHGSCAKTKVAILGAGA AGVAAAQNLTASGIKDFMIVEHNDYIGGRLRKQEFGKNAQGKPYIIELGANWVEGIGS EETNENPIWLLAKKHKLKSTYSDYDKYKTFDHEGQTDWSDKIDEYDAAYEKAAAEAGR IIIDNLQDTSARAALRTAGWRPEKDDMHAQAADWWGWDFEAAWTPDESGLVYGVAGGN ASFGYFSDVSNLVIDQRGYNIILQEEANEFLRKNDKRLRLSTTVEGINYNKKGVKITN KDGSCIEADYAICTFSVGVLQNNVIDFKPVLPAWKQSAIDQFAMGTYTKIFMQFNESF WDDETQFLLYADPIERGRYPLFQSLNAKGFAEGSNILFATVTGEQAWRVERQTDEETQ EQMLEVLQLMFPKKKIPKPTAFTYPRWSTESWAFGSYSNWPVGMTLEKHQNMRANVER LWFAGEANSAEMYGFVHGAWTEGRYIGHKIGNIINGKAGDDDFDMTRYETLHGTTFED EHNEDNGWLFPYNVEEGGV FPSE_03486 MLRSLALASILAGQRLHTAAQRDEVDNSNLDPLRFNKDGTFQIC VFSDLHFAEDASSIGPEKDARSAKVMADVIDAESPDLVVLNGDLINGESTYSHNSTHY IDQIVAPMVDRNMTWASTYGNHDHNRNINGTGMLEREHTWPGSRTESMVPGTNAGTTN YYLPVYASDCSSNCTPELILWFFDSRGGFYYQSSAQPNWVDKSVVEWFNNTNADLVEE YGKEIPSLAFTHIPVYASLQLQKESGVDENNHPGINDETVIQQGAGWCAEEKGGCDYG NQDMLLMQALVSTPGVIGLFSGHDHANSWCYKWDSKVGDMELEGNGINLCYGQHTGYG GYGDWIRGGRQIVVTQEGLKKNEVDSHIRLESKEVVGKISLNSTYNTDSYEATPNQKT FLSGASSSNPSPSDRPVSLAAHLGLNTAFKSPLFVFAGVLTWIMI FPSE_03485 MSPFDQILTKDETTPLGIHGRRSASIHGWIFEVSHAIQDRPLSP LDTSDEPKSPSSLTVEIQFQDLMAEYAEVRERKEKLEDKIADLYHTHQKNGDTTFPAS WSPSAFTSMTERPEASNHKGKSTAAKKQELPSTSSDYKEYSPADQVQEKVKDRKPRKP KTEEEKNRHINKVLIRNCL FPSE_03484 MLELRKGSKDLFEALELLRTAPEENVAAMLLNLRGRGSVSDFLQ SIDSAGTLASSAMEIDLNMRYPNAFPTLEALAITDVDIGLLADDKRSPRLPTSSEATS ISPFSPLDFSGRQPATPTTSESFSTPSDTTGDSSQQSYIDHRLEGLQIWQWTSVPIPE TLAEQTISFYLSNEHPLLAFFDANLFIKDFVAGGGRFCSPVLVSALLAWSCASYSQFE PRAQPLSFAFLKEAKKRWRDLPDYNCVTTLTSAMFLTLTCNQHGQDRVGLFYLDASAE IGRRLGLFGADDAKILGVDDDDELRSAASYAAWGSFGWHSLHSVNFRTKHRILHPPSL PIPGDVSGPPLFDHMGGTFTWICKFWLVTHTIFGEGFNNFSRMPMSHAHQMYQLLLDW AVALPDEVKRTDTCPHHVLVLHVWYHTSIIDIWRPFLERHQEEDAAQSSTAIAAHAAS VQQLKRIIYTYRTRFELTNATMVMTPGFLTLINEIYRNPEAPDAQFWFILASRGCLSI ASWCKGLRGITEGLMTIGWQNGTFRRQGWAGNSMIEDIRTATRALVQDGAYSSLYPIS LDSVSEDMNDIGMEALAGEFQRLTAQNEPRGQEVEMSIEQPVWKGDPRDLSMTLSEAT EEEEYT FPSE_03483 MCVANKELLQKSKQASDASEEFFSVSTIAVNPDRIDEAYACLTE VAEATLKEDGAKIYRFYKTEGKDEFVCMEK FPSE_03482 MPPPALDYLPPEIFLAISDLLPWTDKESLSIANKTLRNQLVPHL FRHIKVDCPLARENNLQSVIHLHGAYILSVRLNVEFQPNPPNSGLEGTEVDPASTEAW YWDDYPASVWAQDSVDIPIMQDVIQFKGMSKCTKLSIHTNGEEDFEVDGGWDENDLAD TSIYFCSWPESWERVKEKEKHYKWRKAWCELWKDVAKYAKTESLELLHFLPIKASCWL DPEWAAFLGKLKSLTIRAYGQDNGAGWAVNTLEGFNAFFVEMPDFLFQHAKNLEHLCI AGNEDGHLGEDALRFEPNTMPQLKSLRLETMTITQSLKEFLSESTPKLESLYLFNVAA WAEGTEPTWADFWKAVRKGNPALREVVYRYARIAPLCEFEDMNDDYDSAEMDSDEVAQ ARKKLTDDPSLVIWPYVTSDDKYGDVQAWEDVNLESLKKGDDNKEYKLLMDEIKQRQQ STVS FPSE_03481 MATSKTTQDEQSSSTNQQNNSSSENESRQMQKSNNNQSNGQDQQ VMQPAGQETEKKQKSSAPRVKLDMDLDIELELKAKIQGDLELAVLLDETIMINVTPAK S FPSE_03480 MVTHEEEADTTLRALPTTAQRSAALKIHRLTGQFPWEIVDFCTE TKWDVKLLEKFILLILNCFAGKLSRADVKRLRSAIYQQIEPKPFAKRMCLSQTDIQGA QRELKRSALQQSHPEKDETASKDPANQRKRSLSSSISSAAKRVRIQEQDDPPAFSTRS YKRSNGDPISTKPAESKSPAKFQRSPIGNNRVDTTDDEEDEEGEGDDDDDDDEEEEKE NDKETQQDGDVIETDQNDNDKAKQNLEVIEVHDSSEETLDLDQDQDHVQDSSPEHRDV EEPAVGSEDIPIGHGEVEQPIIEPNPPSQATACSTPVPKPSSQATTYSTLAPRPSDGK VLVAQLSMSDKDIADIFRRNISFIATRLQSANDKRLKDVETEYELCVRKRQKAFDATV VLIRQLGQLSSERAKIEQDLKKVDFTVEKTTASLVAYQELQAAGGGMRQISDMLDAAL REAISERVRAVETCSTHDLRINNTIKERDEAKASVTRLDADLKEISQRRMKLQRSGEH TFQCNIRMSIDARDEDGHLFEDLTFPLEAIAREQESPLH FPSE_03479 MIKLVAFDLDGTLAESKQPLLDSMGEALADLLSVAHVAVISGGD WPQFQKQVASRLPPRADLSKLWLMPTTGTKLYTHKGDEWKVEYAELFSEEQRKNIIEA FNASLDATGFQPEQTWGERIEDRGSQITFSALGQQAPVSAKEIWDPSFAKRKIIQADL YKRLPDLSINMGGATSIDITQKGVDKGYGLKKLSAASGIPLEQIMFIGDAIFPGGNDY PAKELGLHTVRVKNPDGTLAAIAGIVACLSETGPLN FPSE_03478 MRYSYTVLAFAATALALPTAKPADDGSWSPGKYEGGNTGYDDGK WAPGKYEGGNTGYDDGQYHGDKAKRYDDGKWYDGKYEGKPGTKYDDGKWYPGKYPGDK SKRADNGEWYPGKYEGKPQTPYDDGTWYPGKYPGGKEKREDDGSWYPGKYEGGDTGHD DGKWEEGKYEGGNTGFDDGKYHGEKKRNDDGSWHPGKYEGGDTGYDDGKWYPGKYPGK E FPSE_03477 MGIFKTAILLAGASLNVLASQFPLNDADSPLASTFQVHQSSLSP EHSIRIKEQVDDSICDARVKQYTGWLDIGNQHLFFWYFESKNAPATDPLVLWLTGGPG GSSMLGLLQELGPCLINEHGNGTVHNPYGWNANANYIFVDQPAGVGFSYLDKDEPIPG NSFVAADSMHKFMQLFTTEVFPDLANRPFHISGESYGGHYIPILGATIVAQNNLYPKR PQVNLESVLIGNGYVSPLDTAFGYWETLCTTNPGVSEPIFNSTRCDIMAANLPRCLDL ARVCYEHPDHAICSAASEVCWEGVIKWYDGESGSNGGRNRFDISVPCQSDDDLCYKES ALIQNYLNLPRVFKALGVPSALTNYSILSWDVAEAFELGIDTGISTQSQILYLLNHDI DVLMYQGNLDLACNTAGNLKWSNSMEWKGQPAYVAQRPKAWGAWGEEIGWYKEVKIQM GASDKKTTFSFATVDGAGHMVPQGKPKQALELVNRWLKKRTFAG FPSE_03476 MTAADAAQTKDAPTAQNSGTERMLAPTHWENTTADPANADADNS DTDSSLGDDSASSTASINSSILDYRTINGRTYHAERGDAQYWASNDNQASEALDIIHH TSCLIMDGKLNFAPVEAPKKVLDIGTGTGIWAMDFGDEFPEAEVTGTDISPIQPGWVP PNVKFEIEDFTLDWTFPNDSADFVHMRFLYGSVPDWYALYQRAFQVTKPGGWVESHEG DPVGLSDDDSVKSGSAIAEWGKFFHEGGKKLGRVFSPLPDNLQEKGMKAAGFVDIQSK TIKVPVGDWPKEERLKEIGRFTTLSILSDVEGHISFMATLLEGWTQEQVVLYCAQLRK ELKSKKQAHAYYLQRIVWGRKPAEGEVATEA FPSE_03475 MSKTKSKKRKQNAQAQAQTDNPRKIAKTTSPLIPTPPPDGSTFE PKNLHTVVSEEELEIAIDTLTSLAQYPGLIKSKLCKDLRVAVYDFRQACTTGVNNAAG ANLTAQVTAALADRKYTEARIHLAEMKIRGEQPKLGALCRWVRDLDVISGLSTVPDQQ GLVVRSEREETLIKVIDAVLRVCGHEDRNPNAVVQPSSIALQEIWDLRPDTPTEEVFA TVLDGSLIASAPDSLKKNLRIIETTPGPERKPPNHHDAILYASTPDAVPLSTTPPPTT YTPHPVVDGLSVATHVLYPEECKAIIAAGETVNFVPDAPLREDGDVSILAHNFYWVVD QTFHDTLWSRIRPFVPASMNGRLARGVNRRFRVYRYVPGAEYRAHIDGAWPPSGITKD DTYVYDNSPAEKKQSSLFTFLIYLNQDFEGGETTYFLPAAREGVLNAYPVRPIMGGAA VFPHGEINATLHEGTGVRKGAKYVIRTEIEYDIEPSEEVKV FPSE_03474 MEAEKEQGLMPGSERDASDDNTLTGRDEEELSRTKTSASIADSF SLPREILFIAIICMAQFMTQGALGNCLNIIHIIGDSFGLKNPAELSWLIAGYSLTVGT FILFAGRLGDIFGYKRMFVIGFVWFAVWSMVCGLASYSNHVLFVFARVFQGIGPALVM PNGLAILGASYNPGAKKAIAFALFGACAPGGAVFGSVFAGLFVLGDPSWWPWAFYSFA IGLLFIALLASFIIPDPPHKLSSADLSWGEILGQLDLPGAVTGITALVLFNFAWNQAP IDGWDKPWVIVTLIAGVLMVPVFFYVELRVASHPLIPFDALTSDVAYILTCIVCGWAT FGIWVYYTWQFFQMVRGASPILSSAWMSPVAISGACASMTTAWLLHVSHPALVMLLAM GAFTTGTILMMTAPIEQSYWYQTFFGLIIMTFGMDMSFPAATLILSNSVKREHQGIAA SLVSTIVNYSISLGLGFAATVEVNINKGGESQADLLKGYRAAFYMGVGFAGLGFAISI VYFIKSMWRKKKVEQ FPSE_03473 MDPSYNQPLTEDQMNMARHLIERNFFVDCLSLASDQELDYGISS PDHPSRPASLVNMPPTEPEVVAFIMSRKSNCTKLLNGFVKLGFEPRHAMVPLENRDSN DRQSAFVKFLEAIYEGNTLIRNRARIFAIFKWFIECQDSVHSLSASDLDREKTSYWTA PGRPRAPMDHLTEMLKWQASGSRVKKNGVRMKCDMIEMLCAKGAVIDLYQDRFCSGQR SSGLTPNNAVGIAMTPQCPASFLRLFLSNRTQEDQEFVAVGKVWKSSGADLPRGMHYA MTSMEYIVTHIYRDIFGAIEHVGGHLRIDQEYRAKLALLNQPQWTDNTEAQALRNLIE VVNDILHEMELQTDFSQVEEGAGFKTYSWFRLCRAVSGLANDSYRAEIKESSKYYGDR RHRFVMDPLWDPRLQWMESELDKQVLDSILLIAQLPRVEVANLWRQMILQRGNGRQWW EVEEAYNFHVDAWDVEKALEDGALDRFADLSL FPSE_03472 MTTGVFHYIDPTSSTDANGDRVKPWAKVDVDVSSFQRKLVKREV VDIRSAASLKAFDVDLSGFDVFKSPAKETEFTDESAVRNGYYAEVDALLKERIPAIKK IVIFDHTIRRREKTSPRQPVQQVHVDQTPGAAEARVRRHIDPSEAEELLKGRYQIINV WRPIGHPATDFPLAVVDYRSTKPEDMIKVDLLYPNRDDNDDDDRGKEVLPDEATLKST EGYSVKGETYSVAANPEHKLYYAKDMTPDEVMLIKCFDSKSEVHEGGKHGIAGYTPHT AFVDPNTPEDAPGRQSIEVRCLVFY FPSE_03471 MPAQDFKAIVVGGGPVGLSAAHALSRANIDFVLLERRPSPVIDA GSNLVLNPMGIRALDQIGLGDSLEKVSSTVGLVKRQDHQGRDLGDAHWFILFNKLLGT YPRVVSRQDLTKILYESLPSSTKEKILTNKKVSDIIPNDNGVRVSCVDGTSYEGSIVI GTDGAHSYVREKMRDLALNDKSEQVNEEKPFLTTFRALWLRMPTKFAGIDAGVTCETH GPGAATQLFAGEDSAVMGLYEKVDEPTRDRVRYTEADQVALVERWGHIPLAPGGKLTL KEAFNARTQSGLVSLEEGVVKHWSWGGRVVLAGDSAHKFTPSLGAGCNNGLIDVVALV NQLYALRQEAPTPTHAQLASAFQTYQDSRMATVVEACRSSGNATSNASWQSGVFKFID KYVMGFEMVQNVLVGLGAPKMAQAPRLTCIEGPERMVGTIPWAKTETKVH FPSE_03470 MPPPQLNISPPLLNSATPWATDLDDLLAIASSPSTGAITTRTSL INGFNHQHEQHQYLFFDPSSAIPSEGTSSDQTPPKGHKAEATSSLNNLGYSPIVLDGY LSFLTEISRRLPDLRKTFIISVTGSPEDIQESYKRIESSSKDLPFPLAMEVNLSCPNI PGAPPPAYDGPSLQKYLALLPSHPLLPIGIKTPPYTHHGQFATLIETLQQAESSISFI TATNTLGSCLILQDNENIHMSPQLPGTGVGGMAGPSLHPLALGNVSTLRKLLDQVPEL SHVQIIGVGGVRDGNGYRRMRTVGAYAVAVGTGLGKQGPAVFERIESDLKGKWDVTTS KL FPSE_03469 MSHYDSENQAAEPSKHHSGEDAAVTNDAVFGTITEDGPNYRNVG WLGTSVLMMKSQIGLGVLSIPASFDALGLIPGIICMLVIAVITTWSDLVVGKFKQRHP EVYGIDDAAGLVFGRVGKEFYGISYSLLTICIAGSAMLGISIALNSLSMHGTCTAVFV AVAMVVAFLTASIRTLDRVSWLAWVGLVTLVTSIFVVTVAVGVQDRPDLAPQDGVWKS DYKLFNTPTFAEAMSAIAAFIFAYCGTPVFFPIAAEMREPKHYKKSLILCQTVVTVVY VVVGIVVYYYCGTYVASPALGSAGKTIKKISYGLALPGLIVSATLYTHVPAKYAFVRI LRGSKHLSANTFTHWAVWLSCTFGMAVIAYVIASGIPVFGGLVSLVGALLGTLQCMQL FGCLWLYDHWAEGKDKSQRTTRWTLMVGWCCFVIILGTFLMIGGTYGSIKGIIDSYAV SGGSAAWSCADNSNST FPSE_03468 MAEDLKNPAVKPVPKGHRWILFVSGPTASGKSSVAKYLADELNL KFVEGDDFHPKSNVDKMSRGEPLTDQDRLGWLQALHEHAIHHPKGPGTDHLVVTCSAL KRQYRDLLRQGSENAGDLRVHFLHLDAPEEVLRQRATARKGHFAGSALVHSQFEALER PGEDERDVLNISVDQTVEEVQREALARVTELLDEDTA FPSE_11160 MAGTQDQSHDYFSKPTTPSTPGQAHLGNVANRIPSTIPDRESGT ERSKSTHSRHRVLHGLPSTFMSYMSRRPVASSRGFSRMSSEGTASRPTAPQHSSQFHH YAPAEDGYYQENPWFGEADKKPIFSLGKPLPHKVRRKALKPVRPDGKVDEEMAIVKEE ITNEPHPGYASRTTSGQPYRVETQSSLPSRDIQRQQTRTTAAGVAHNDRRNDAGQPVF EYIPGEATPTPGHRDPASRVQSKQDNGHSPPDFKVDGEPLGHQEKPCVESGDTDADEM RNWWARLRARHPEPLAEFLATAVAIFLGLTGTLSVNLSAKQSQPYGTYETSCWAWGFA WMFGIYLGGGVSGAHMNPAISVSLSIFRGFPWKQCAIYVFVQFIASIVAGALAYAIYA DSINYVDPDMTKMSMTFFSTPREWVTLKSAFFNQVVGSAIMMIAVFALGDDQNNPPGA GMHALVLGFLVTTLKFTLGYNIGSALNPASDFGPRVIAYAVGFRGDNVFHSGWWFYGP WAATLIGSLLGCTLYDGFVFVGSESPVNFRVDKRVKKLFN FPSE_11159 MSNSCDISPGREFSSKNVNDNPPTAEALKAADAIELYDGEGKKH TFKSIYNRPDLPRRVLVVFVRHFFCCSCVNYTSFLAKNATPEKLKELDTAIVIVGHGD VKQVDMYRLDTGWQYPIYTDPTEKLYSTLGMIKTWKEGPPNKYMPWTTTWAVYWSIKT AIWRFLQGYPLFSSGAPNLQGGEFLFEGEGDDKKVTWCHRMRHSRDHADTDEITEVLG LNKV FPSE_11158 MEFIAAGTAWALNRAPSPQKVLSRLGLASLVVTNTWSELSSKLS YNASIVFPDDPEFSGLVSRWRDWHAPQVGAVVTAFTEKDVQETVRYANKNSIPFLARS GGHGATEALQLAKDVLVVDIRGMNDVKIALDGNYATISGGASVKKVVDELWAAKKQTV TGICECVGISAPVLGGGHGWLQGQYGLASDQVISARVVLPNGEAMTASEESNPDLFWA LRGAGHNFGIVTEWEYRIYDVKNPNWSYEIFIFLGDKLEEVLELTNKMMKTQPPEIIH WMYIVNIPEIDPDKPIIWYAIISDGPVDEAREYAKPLHKMGPLNVNAGAVPMPELAHI TLMSEDAVGCTKGFTGLRYPIGLKKYDPPAVRQVYDSIAEISHRIPELAGSFFLLEGY STHGVKAVDASESAFPHRDDEILVTSYILYKPNATLDSLAQEHGEKLRGLLQEASDEP ERLRAYVNYAHGVESLESMYGYEPWRINKLKGLKKKWDLENRMRFYAPIV FPSE_11157 MQQQPVRATLSCSSCRQRKLKCDRDEPCSNCVARNVPCQYAPWP RGRAAAHRKDNRQTDLNDRVRHLETLLGSIVSQLPSQQGSSSGSPSIKSPASTYITNQ GSLQSSGSDSTEVKPGRLMANPNETIYVSSSHWSAICHEVEHIREHLDETNESADLGI TDQAQSQVPMLLGPGRLTPSLEEVLADVPPKHITDRIVSRYFNATEPSIMITHTGEFQ IEYKRFWNDPNSVSVQWIGMLFGVLATGTFLYIRSQDELPEGLGAPMEVAEGFHRRCT DCLLISKYSTAPGRYTLETMLFNIHGEFVRRRDAHLGVWILTGIVIRLAMRMGYHRDP DSYPRISPFHGEMRRRVWGVIQQLDILTSCQLGLPSLIQESQCDTKLPRNITDDDFGP DSTRLPPPRPDTQLTPVLYTRVKVRMMTIYRTIFNQVSLGKTENYEEIMALDQKLHQM HQSMPPCFQIAKLEDCIMVQPYILIRRYNLELLFQKARCTLHRHHMAKAYQDKKYDYS RKTCVDSAMTVLGHQASILKEVQVGGLLYKDRWFLTSLERHDFSLASMVVCLELSKQP EHENPNLVGYTRENMIQALQTSQAFWAALKAVSAEARQAYDMLTVMLKAVSVSDKGKQ SLPDMMSAEKGYMQNGNGNTNGHADPNDTPFSTEFQGIEAMLNSSDAVDWDMWESLVH MPDDFSNYEMSEEP FPSE_11156 MQLPTALSVLSLLSAAQAQTNVHFGPAFSLGPTKSWIREATTTL VLPRVPGQKDRLALWPGMGTSSGSLIQALAVSFANPRLECGGQPGQWCTWASTLQGTQ LGNKKVPASPGAKLTIHYKYNDATQKYDQSVAIDGTVVSTLSTASGRAEGWGTAVECQ DAACVSTVEAHNYINTVIILNQADPTFSNTLGLNQATSSGLRSPDGGKTWTVATISHK AFRFNFPK FPSE_11155 MPDEKISLEAATRRYKQHISSFTAFRCAVVYNILHYFIVIANKV LAIKERFVASAHPPTLVKKYACRPKLPIRIFYPKDFNSNSQKKLPTILSIHGGGFVVG DPRDDDLFNYNFANMHSVLVVALNYTKAPRARFPTPIYDLEQLVFAVLSDSSLPIDKD RVALMGFSAGGNLALSVSILPSMCGSGDGVRRIKTVIPVYPVVDVSVTDEWKTQTRQY KPSIGGFRANSVDYLSRFSSFFDAAYTLGGQDFQDPLLSPIYASKEQLPPNIFMIADE LDMLANESWRMICGLSGRQIEEQTVGRSRVGPPGKLILDDEKFSFGVKNHDVNYRWLL IPDQIHGYDHYDSMEMLHGDKELSKDAELKTKEAQRLIGEWLFEGPFA FPSE_11154 MALTNELQKHACTVCARRKVKCDRLEPCSNCQKAQTKCSYEAPP PPKPRKRAADEELLARLAQYEELMRNNGIDYTQHANVWVSPGSGTKPERDTSTDVDSP APTELCLWSTLCPELKYPPILTLRHKDDPFLHPSPPFNSILSISKNTCEFHPEAKHIY FLWQTFVETVNPLIKIVHVPSLQKRILEASWDTSRIDKSLSALMFSIYTLSVTSLTSE QCLSSLGQDKGALLMHYRTATLLALVEADYMETKTLEVLQAMTLFIFSNPESEIASTM TATSIRIGQIMGLHKTDLDTKLSFFEKEMRIRLWWQLKGLDSRVRAVSTPGIKVSKSD FGDLRLPYSMNDADLHPDMLEPSTKYNGTTEMTCVLMKFEVTSWIKSSTRAAKIFESM APGFSKAKTTIKMEYEAINELEAIYTEKYLSKLDRCVPFHDLTHTMANLALARMRFML HHPRSRSVSGEDVYITRQESDLLFNAALSFIQYVDMGFKSKFSSQMFTHLTSTYHIDA YIYVVSELRKRDSGPRIDLAWKLVDGLYADHHELIDNTESPLFVALGNLVLEAWETRR KVLTEADVIPSCIEMLWAKRRVANATAEVTADGVNGLEGWEFNVDDFDWTYWNNFMRF FPSE_11153 MAAQIETDPALDLGNETDISAESDIESTASITSSIFENHYFQGR SYANPKYGKHWAPNDEEQLEALDLIHHWLTLMLDDKLFLPPIGDNPQKILDVGTGTGI WAINIADEFPSAKVIATDITPSQPSFVPPNVEFQIDDATLDWTFEPESFDFIHIRFLQ GTIDDWDKFYGQVYKFLKPGGWFQHIEPDLQMYSQNPEVNVDDEQYTPHTPFKSTTTD SSYSIYTRWANVFKKVGKKTNRAFDFSDEKLEKLAKAANFTNVTYQSHKIPVGRWPKD KKKKELGTFVGLAFSQALDGFIKLPLCEILQWSPEEMQMFAVEMRQSIMDLKTQTLGY VFSVYGQKPEA FPSE_11152 MHLTSYLSVAVTLLGLTSAAPKEDCSTKRSPVPKLEKGHYIVDR STKFANKQVWTFNGNSLPEGLYASDYPVGKTHVFKSSNVKLRNGYLELSVPGGQKAKP YKSAEIATEMENIMYASVRTTAILSEPAGVCNGMFFYQSDSQETDIEWLSDPNSESNY DGVRRLWLTNQDRDGDGQPSHKAVLPPSNPTSTEHEYRIDWTKDLVQFYVDGVKMWST KKDVPNVPGPWIWNNWSNGDKGWSAGPPKQTAVFKIKKIEMYYNTA FPSE_11151 MPTTSNFSTAFTCFANLPAELRLQIWETTVAQEQDAAIYTYPKD HRLEYDIEWPDQPMSHAMVETCRESQEVMRNTCIGTILMPLDPGALASLESLDERVDA IATLWPEQNTLEDLQACLMKRAEANERHRTKTIYIGISAVLCGNETDLGDLGFKVYDL DDTSLPQFLAEVITSYYGRRLHASNECFIKKLKAYWEENQAVRELRDTWDRLRSGKEN VMPVLKPVVIFADFYRLFVGIETLIGSDQLSNGLYLDLFPEDDALMSEC FPSE_11150 MHIQSTLALGLLANTATAALTSCGTKGSSKALQKLSKDLQSGPL AIRDQGKNIEVKTFVHIVAASEKEEDGYLTDDTVKSEVELLNKSYNPWDFSFKLAKIT RTINETWAYNYGDWPGGNDTEPEMRAALREGSYKDLNLFFVTDMVPRGKCELPVPSAT DDTVLADGCIMKPKTRGVLPPTFDQVTIHEVGHWFGLEHTFHNGCEEPGDYVDDTPYE ASPVQFGNCAEPGRNTCPDKPGLDPVDNYMTYVDYECGPLRFTPGQAERMHKLWKLRA DSKV FPSE_04585 MSKYKKFVLITGANQGVGYETAKNLLLSSVDYHVIIGSRDEAKG EAAATELRSLENIKGTVSSIQIDVTDDSSVDAAARNLTSEWGRLDILVNNAGIVSMAS PPTREVFRTVLETNLIGALSVTEAFLPLLRKAEHLPPRLLFVTSSTGSITHAANPDSP YYNPYATEYRASKAGLNMLMAMYYARLRPDGFLVFSVDPGLCATNFTHDAESLRQRGA AEPSDGGERVACVVRGEKDKDVGNVIGIQGVVPWIMVLTWFVTGCSSGFGESFVRQLR AAGDNVIATGRNAQTKLSHLEDTGAAIMDLDVAAPPGVIKAKIDEAWGIYNGINVVVN NAGFILSGPFEEQRKSGTLLYVSSQAAWHSDPGASSYCASKFALEGAVECLAKELAIV APTLTVLIVEPGYCRTPVFDKVQYVTGSVPDYSQFNEAVRGGVATLSATSPGNPDMAV ARMIELAKGTGFAEGKTMPLRIPLGSDCWERIKAKCEETLEICNEWEGAARSIDYKIE A FPSE_04584 MSTTRFSTSLPLPGYGSQSLVAEVLGADATATTYVLNCPPGTDG NDCGTYNNTVTVGPWGSKTIPAGAATTGNLDIFITMPSDDEDDWKMSVHCKMSHTVAK ACTTINLGGNDDGSPTATVTASDELEMFDLTYAPVVITAGLDILNAKHTGVPEASATT TKGSEGGAATATPTTTSGASTRFTRVFGAMSVAGIAASVLLF FPSE_04583 MSSPNSSRRSSASSASSEDWYGRMHGGHKVCCERCYDGHRTRSK CDPTHSGMLIVLGKRSGRPKGAARGTATIPALILRDGTFLPETKVKVGHTKRKDKELR DRRVALHYKEGARKRSSQGQGLGMQPMTQGNGFSQENPNMFLNQTWGFQQPGPVSGGM ANFQVDAMPMPANAMSYNMANLFPQSNQQILATVDHVVPSVAPAFAPAPTPGFGLPAS LPLRSHNHPQHQGFLTNQQNPAQGANADNYDFVSLDMSLLDSPQFWKNWAQENPDPFV LEMAARFA FPSE_04582 MTKVKRDANGNTIFRKDSLGRKIACINCINNHRSSNCLPSHNND VRVVDGVGRKKGSKNLLPKDSQKAKHKVKKPACPQKGNQGRSLPLQNLQLENIVQVQP AIILQAVHQPVQVGVFLQQDIFEALPQVNNVHPQPVVNNMHAHPRACNFYSPLGMDNR VAQPPASLSRPSPVVDNGHAAFQSVVNCQVAPEFKAILNHWNSLGLSLVDSVNVPQHW GQMSATHGSGLNAGGTYFPD FPSE_04581 MKSLLALGLFAGLSVAQSSAWAQCGGEGFSGSTSCVSGYKCTVV NQWYSQCQPGTAEPPSTTLKTTTGGGSTPTGTPGDGKFLWAGVNEAGGEFGEKNLPGT WGKDFIFPDPAAVDTLISQGYNTFRVQLKMERANPSGLTGAYDQAYIKNLTSIVNHIT GKGATVLLDPHNYGRFFDKIITSTSDFQTWWKNFATMFKSNSRIMFDTNNEYHTMDQT LVLNLNQAAINGIRAAGATQYIFVEGNQWSGAWSWPDVNDNMKALTDPENKLIYEMHQ YLDSDSSGTSPNCVSTTIGVERLQAATKWLRANKKIGMIGEFAGGPNETCKTAVKNML DFMKANTDVWKGFTWWSAGPWWGDYMYSFEPPSGSAYQYYNSLLKTYV FPSE_04580 MAANPRAGPSGFLSFIAEDFQQSPGNYFIVLGVLLVSVLLHKLS TPSLETGEPPLLKPKFPIVGHFYGLMKYQNVYLKQLYDKYHRQIATLPILGGKLYVIF DPAIVQSAYRKKTLSFEPFAAEFAQRELLLSDRVQYKLKNTDLVPDFFAAIHPAMTGD HLHRMNANALNYISKDINKISGQGRLEHANLWLWLRDLVTMATSEALYGPKNPLGQDH SLLEDVWTFEAGLNMLLVNIFPAITAPKAHQARARLQAALGKYYGARSYEDEDAAEIV RGRAGAFVKYGVPDEEVGHIELALLHVGTANTIPTLYWFFANVFNRPDLVQKLREEVS QVVQKDGGNKAVIDVSILDVNCPLLVSCYRESLRVSNQATGNRRVLEDTTVTDNKGNS YLLKKGENVQVSAAVSHNLDAWGEDASTFRPDRFIETKDNNDVEKTRRSAFIAFGGGR NLCPGRNFAFAENLGLVACLLAGFDVILPDGPKLPASVDCSFSQAAVRPVNDGAGFGV RIERRLGWQETQWSFKS FPSE_04579 MSTLEPATDLDPNVWYQISELAVDTADKDLNSMLQPTAPGGDLR VWPGNKDSYWQFHKIGDKPGRYQLRCSNTAVKKQLSVCYRPDVIDEKRRTRPCLMATD DTDKQKWDVSLWGEDDTYKITNVQNGTKWNMDCIPDGPVFMSSNFEGKQPRQHWLLQS VGNVNNEMYSTTYSAPPPESTGGSTATTAGTTAGTTASNSKATATSDPPNNESSNKDS SSEDSGNNGLSSGAVAGISVAITLAVVALALAGFFFWRRNKRKHSPVASDPSDNKHNN SPIVASPDDGVHSYYSPEKMPGVAEMPQEQRPAELPNGYQRHELA FPSE_04578 MNKNVAFIVSQISDIHFTTTERDVLIRFLVFSSRLAAWFLSQKN ASPSTVHRWQLLMRQLSLTAKLLRVGKFTQQFRFAARSLTGRHQDLFLGYVTVIRQLL TAVYMTCDNATVLNSIGFVPWKGAKTLERRAFRVWFAAGVCGLVVQVYCLYQLKTSDA NDQGDRQSLFRKTASKLQLVSSLCDITVSSAAAGLVQWDEGVVCASGTLSSLIAIYTQ CKPSLKR FPSE_04577 MSHDIKKTTDIKVEVALARDVEAIEMNDMSASSSQYKDDGNNNT TKAWAVSVPSSEEQSKEQSDWLDGFRRADRRKMKKGWDKQYQSEEAPQMPGDNRYFDI RAANAKTATSALARELKGRHLQMIAFGGAIGTGLFVTSGTALHEGGPGSVLISYIAIG ALQYCTMQSLAELAVIFPIAGSFSAFSTRFLDPSWGFAMGWNYCLQWLFTLPLEIIAG AFTITYWNEGITKSVFVVIFLAAIFVINLFGVKAYGEAEFVFSTIKVTAIVAFILLGI VINIGGEPTGSYIGGRYWVDPGFFNNGFKGFCSVLVTSCFSFTGTELIGLAAAETANP RKSLPSAIKQVFWRITLFYIVSLMLVSLLVPHNDPRILDASSSNSAASPFVVAIETMG TTVLPSVMNAVILIAVISVGNSSVFGSSRTLAALADQSMAPAIFSYVDKQGRPLVAII FASCVGLLAFIVDLKAQNVIFNWLLSVSSLATLFTWGSICLTHIRFRKSWAHASHTLE QLPFRARSGTAGSWFALCGYTLILLSQVWTAVSPVEMKADATTGDIVQNVFLRLMAVP IVLVMYVGHKLWYRTSFVKLEDIDIQTGRRYYRVHLMNEEDREARGAWPLWKKIYRQV C FPSE_04576 MSGPLTPPSDGSPYFPITERSASLARIHIGVTSPLIAIALIMFV ARIWLRVRPAWRISWEDYSMTIGVAAACVDFGFLIPQMITQPTLITEEQRIWTRKYSF LAIPVWGIAMMFIKVSIAMMMLRIQPNMLWWRVFCYVIMSVLVAYGVANTFFILLQCR PLEASWDASVLQMVDGASCLPITGILIMSNLGSGINISTDILLSLAPTLFLWKLKRPL KEKILVGALMGLGMFASIASIVKATLVKEFGGSTKDPWALTNAISTWTALEQILIMIA SSAPFLKPLVQSALHRMGWTLSGTSAARSGYGNHYRDMNGQSVRQSRIVTNKSMTSRR DIYGGDEDPFAVGESADAIPLELKAFEGGKGTERDVATVRGAQGSMTTRSWESRDERG SMDREYTPSHAV FPSE_04575 MVAIKTLWTAVLLAGVALVESKACKKPFIRREWRSLSLSERDRY IKAQKCLMKKPSQSSPYDIPGARNRWDDFLGTHIINADDVHFVGVFYPYHRLLMHAYE QELQTCGWKGGVPYWDWTLDAAGPDNDTSVFYDSPIFDNKHGFGGNGAWVPGNFSNPE PGLPVNPPWDVPDRSGGGCIKKGPFAGLKSNLGPGNGTAYNPNCIRRDFAPLSFRDMS GPDAIKDGMRQKDFGFFDRVTESTFHSGGHWGVGGLYGTMTDKWQSPADPLFWVHHAN VDRAWWSWQIRDLKKREKDVSGPLVNFDYANQAAGNVTLNHPISIFETRQLKAKVKDV MHIRKGLLCYDYEDTY FPSE_04574 MSSDSSVVYHYLAIGKLGRGEVVKLFLKDAGLPFEEKRYPYDDT WKETSEKLQSQGITRTGLLPSIEYKGLVLSQHIPILRFLSRELGKYDGQTSHDKYLVD AVADIYIGWRAGWVSNLSNASEDYKNKTVPKYHDVLEKYYSEREGPYLLGDEVSYADF AVYMSIDNDTRTKTIPSKLPDALLKLKEAFENRPNIAEYIKQE FPSE_04573 MSSSPILGPSRADCQSPYDEEHMDWASSVPKKYHRVPRLLRRSN VILVLIDIFIVTVLVHIFWPLITLLRRNEELFGARLAFTLNDTSIPPTLPEQQTIPRI FHQTSANETIPEAWTDLVQSCKTTYSDFTYMHWTDDKARDFISEEYPWFIDTWDNFPF NIQRADAIRYFVLHHYGGMYLDMDTLCNASIPFEQIEAIGSKHHAVFKSTTPTGVSND MMITSARHPAFTKALSRIQLYNDITKPWRHIVPHVAVMVSAGPLFLTMALKNYLLEQP SLPEQTVQVINATSLEPYLTDYEGASWHHGDTKALMWVGDRPWVWYALGAIGLCAGLY LLNMLMMKCWTRFFDKTSSNDEYKESIKLT FPSE_04572 MAQTEQKDQDLGAPQFAYHAVVEPIFIVGVMVASCYFNRLRDFS IIPSSKQDQGLLGQSKLEPWDEEDVHDETERLNLAAAASPTSSLTEVHPPKQRSCCGA VIRTPNSSRYAKYWHSRFIQKFPFLVEMFYWAVNLVFYVGVKNASELVAATDGVWQTA ENHGKAVLWFEQEGPFSWMFPLREIDVQSFFRNDHQTMLTILNRAYSLIHIPVTVSFL AWYYYAAPTHAQFAEARRMMTLTNLFSFVVFTTYPCMPPRLLPEEYGFFDTVRREDAE SIYATNKFFNQLAAFPSLHFGYSFCIGTVLLYHSGLFRRRLAPREKRLSKLWQVFFVA LSILYPAFVLTIIVATANHYWLDAMAATFVVLAAWLSNPYKRCNSFVPHLTH FPSE_04571 MSAIPTSTSVIESAVIEASFSDVWHLIKLQDFSKFYSALESSEW VKGSSPDTDIVKWTFKDGTVLEVKQEEHSSIDHYITYSVISSQPALSYTSVVSTIRAY PITSGQNEGQTFVTWSGNFSSDADAGVIADAKFKRREALADLAKAASKK FPSE_04570 MAKEELGLKIGFYAYPCALFVTLLGVQSAQFYLARHGDPKTRQN AFDNDLKTKIEKLQRFYARLIWGFQLILSGLITASIVLATKEVFADQKNIEGKILFSF SAYLASFVGVLLYFLAGLLPDPEGPWNPNSSHLAAWCVAALAEIVIAAVLSVVEPQLR VSPDFVDTTNILGSARVFVLALMIGSFTLREHKMKALEPKSLPEERQGLLENGNGNGY GSVPPSAAEPRRTQVSGTGWLDYFAGFKVLFPYLWPKDSPLYQAIVLVCIVLLIGQRT VNVLGPLQLGVLVDNLGEGKLPYKQIILYVVYRALQGNQGALGAARSVLWIPVSQSLF RRLSSAAFEHVLGLSLEFHLNKKVGEVTSALSRGASINTFLESFCFQVFPMVFDIFVA GVVFFYKYDPFYLIIVFFIMWSYIFLTIYVAKYRGKQRRDMAVKTREMEAVKTDAIVA YETVQHNCAVVRETGRFREHVTVFQRAERLVQWSLAALNLTQSSIFSLGTALLVAVSA YKISIGEQTVGEFVSLINYFVQLQGPLNFFGTYYTMLQNNLIEAERLLDLFKETAGVV EKPDAIVLPPIRGEVAFNDVKFAYQTKKGGLVLDGINFTVAPGTKTAIVGESGSGKST SLKLLFRFYDVTDGSVTIDGHDLRDVKLDSVRRNIGVVPQDTVLFNATIMYNLLYARP DATEEDVFEACKAANIHERILNFPDGYETKVGERGLKLSGGERQRIAIARAILKDARI LLLDEATASLDSHTERQIQDALERVTAGRTTITIAHRLSTITTSDQIIVLHKGEIVER GTHNELLASKGRYHAMWEKQTTIEKKEKEKKELEGEASETASQE FPSE_04569 MAEVIGIISGVIAIVNLAKPTAKFVGALYKIAKDDGSREHEILS VAEHIESAYETIELARLRLKDNCDRIKAMEYPTSRVAKYIKRGNLKASIRKLTEHFEW QLTKAEKGVIESMSSNFRLVNGMKWYLWINTEMTTIFTTLDRIVMYLSIMGPILELEI TTYLLDRAEGETADLLRVHVHSLRDQLEHAERTLNKVVRSKRLQKNHGEDFVAEFEDC ATLLVGLSESMRRTGTVPSHNRERKHGERRRRRRHASSQSSSSRDSRSISTSGSTSTS GSSQQAPTTTDCSSVETAPMFTSYRVSDTHHAPPTPHIHIQPSVQSRQTPQTPHMTQI AHTPDSSTQTSTNDRSTDVGRQIEGGWIRVFGKSDAPPLKINATIDPRVFGNYIQYPT AQQLNLEIEELNQHDVHHPSRSREINNRVGRVMGKVLGIEWRETRHSKPIMVDFWVTD RYFSSMTGKLIFGNEFAKRLHRSTEIEG FPSE_04568 MAFAPIGPLTGEHGQALSKLLTEGIYSDLKITCGTDEYAVHKAI VCSRSPVFARAIGGVFTEAKTDIKLPDDDPVAVKMMINYLYTQSYVPPEAPAASESET TSTQKLKPEPISAKRQCVSQASLSTLFSAPTSTASPRPVPSQPRAQVSSVRRDSHWGS PSGPPKNPFAPTHAAPASDPPAPPRPPNLVLHAKVYQLGEKYGMKDLMALSLYKFRDE AVTHHHSDSFRHAARFVYGSTITQDRGMRNAVVQAVKTNIDLLDDKAFQVVIKGTELG FDLLLSLTQTRRGELKREASSL FPSE_04567 MTTHTFWQYIRLKIIVTLLRLINQVATRPHFKPSPTCNRKLVRI PSRDLNRFIDAWIYYPPNHADGDKRGLVINWHGGGCVLPNLGMDHEFCERIAVERNIL VLDADYRKAPDAPFPAAIEDAEDTFRWVESQSHRFDLDRVALSGFSSGGNLALVASSE LRREFKNINIRAVYSFYPGVDFSIPPEQKIVANSIRPLPFWFQRLIAEAYLPRVEDRK LPRASPMYADTMSFPARVMLFVCSGDILTPETEAFGEKLVKGGVDVEVVRVQGAHAFD KTTSRNLFNPGKRDIAYSMVLRSLEDVL FPSE_04566 MDKLKAADEDLPGSNKASLPVKERDKGKETRKRVGLTQVYPEPG SKYNKQELKNDIVALHGLDATSDKTWIAWAVDGDANSGDVNWLKDKHMLPRFMPDSRI LTYQWNANYDSFASSDRFSGHAKTLLQDLYADRFDEGRSHCPIIFIASCFGGLLLAQS LVIASHPTELSHDRYSLILRHTIGAAFLGTPFRGSWATGSEMAKVRYETAQESGRQSS RSLIELLKQSTPDRPTPLDDLVKQFTDLLGNDAYKFPFICVYETIHTNLSAFKKKLGP NFEEMGFDEKYHSIVVSRNSATIDGADDYGFDVRHNMLHKFNGPDDPNFKKLRVWLVE TANGAQSILDSKGHNGAIDNSYRNQSDDSSRADTRLADLPGSRVRNNPRNPEAREKLY RKLHFKRLENRFNKIGLEHPETCTWLLTNKEYQAWLDKENVKDHHGVLWLKGKPGTGK STLMRFAVSRLSLAHPEDIKINFFFNARGVGLENSTTGMYQSLIYQLFSQVPRLKSVF DNFTRLELPEADVQESWELSSLQRIFEHAVRELESHRLWVFVDALDEGDEDEVRNMIK FFKDLGGYAKTANVDMRVLFASRHYPQIMISHKVEIILESEKEHYLDIERYIQSELYI EDGMTAQGIQEEVINRASSVFLWVVLVVKILNKAAATGMNQKQKLQELPSDLSTLFKG ILTRDVENMKGTLLCVQWVLFSRKPLTREELYYAILHGANEEEAKSWTADYVSIEIMD AFILTCSKGLVQFSGETAQFIHETVRDFLLRDNGMQLIASDAARSTQGAAHDTLKSCC LNCISSTLKIIWGSGRPVRFDDLDSLRSTYDSIVESGRKNYSNSKPLYKTPLLHYALF HLLHHANAAEAEGVSQVSFIQTFQLRSWVYLRKVFLELGTFSSLRSTLLYTLAEEDLG SLIMAMLHADPHIDVDNGVPGSPIRKALRERHYDALIGLLALTRSNDVGGFDADRPAE PEIDHLLRTYFYAGPSILGPSILEGFFRWGDEMDMLTLLRSGRFGMDDNMTEMRCSPL SAAVERGYEQLVQFLLSKKDLDVNLRDDDKGLPPLSRAARNGRLEIARLLVKDGRTNV NLECKDLGKSPLSYAAEEGFIKVVKLLLSHPGIKVEISDKVGRSAMFHAAKNGHSDVV QLLLHTPGNDPTAKDKHGYTALANATLQGHEDVVKKILEYGQIPDDSKTQDPGKTPLW IAYQFKRHSIAQLLRAHRMTNIVDIVTGLQDANENAKAHNVTNLWWAAWKGNHLLVEE LLRHEDVELNFRDAEKGRTPLWCAAEEGHEAVVKLLLERQGVDVESKDTEYGQTPLCV AARFGYREVVRLMLTSSRVVLGSQDLQGQTAWTWAIIRQDDAMMEMLGNR FPSE_04565 MIQKLQNSPYKQLPTGHIRLLRISTNASDQNVGYLEAVPLEEAP PFYALSHTWGTQTCKQDIQIHGYTLSITTELAYGIRRFQQLAINGSALDPPLLHMWID NLCIDQNNIPECSTQVGLMRKIYSRSVRTLIWLGVDHGSHSTGWDLVDQIYAEFRSLH PTVSNPGQIPVKAYSDASHAVSKLPAWDNEAWGQMKSLLDLPWFTRIWIVQEVVLSSQ DPIIVHAQRLFPWHRLGWAAGWLRRNGYLRLSQLSKNFLNVNTISNIRRSKSLWPLDA LLSCTQIKFNATNQRDKVYAVLGIAAECENSAEVPEALRPDYSLETEEIYQKVARFLL QRNGSLAILTRARGTAGSLSRKQRLHDLEKLPSWAPDWSDYRVSNNGIRTSFSWIHYT NPEEPVMFGFPKQYAAAIDKRLKLFDNQGGSTLAVGGAIVGDIVQRVSFSNNEQTKED FGSFLDSRLESIWNLAFSTLKPTDEGGLERLAACLIKVTTADQHGLTGRTWEQSFKDG LTYILRLFEKANTNATVRMAGSDNPQAVAHLRRLASGGDAEEYRVLAGTFGFNRCFIV TSTGHIGIGTSDAQIGDYASIIFGSGVLYVIRKTGHEFTFVGELYLDGYMEGQGLQVE EQILRLT FPSE_04564 MLAAKRIVLQVILCCLLTLGLAKDDEKYDPADNFRPSNVTGLGS FYTWVGSYYNATAEVDIEFAYQYLTPSDEVCPELRNFTTTFKYDAILSVLESGTWNSG NNSVIFWLTLLPQTSSSFNVSSLPQDFMYKKNNLSFPIFSDDPTPGGYWRPHNKSFAP KFNFTTTQASSGAYSLNGTVLNNGDSGSASAWKNITMPTCNSTEWTNDYRFFMTTFES YFAEDWDDYKLPEVSMQFDDKTANLTVGGIFYAKPYRQSNMTGQPVGGVPEVVGYVSV RFAGVVDEYHSDALSLNESTPSWERTVGFESNPSNIGYGDSDSSAGKVVSGSILASMV VVAYVAMALF FPSE_04563 MLLQTLLALLLVQVSHATDTATATRTTACPLITEPPSLEDRAVT SFHTIVSTSYPQNRWSIGYGKIEGKEGFYTAACVDGLFALSGIWAGCGDLIFTRCVGA TAFARISTVSCMGTCVTHKIFDYKDTSQSSTRFIACNFPGTYDGAMTLLKTPIGAAAE STSDEASTATTAAETTTASATDSAAGIIRPEFQLMLIAVVFVLLF FPSE_04562 MSSLAFSVLPHPVPASANLTASTISIPDSEIERLRTLLKLSPVP EPNVWNSRDDGSFGIPRNELMDLVNYWENDYDWRKWEATLNSIPQYNITVTDDDSKSY MINFFALFSKNPSAIPILFLHGWPGSVVEYLPILQKLQSDYSPETLPYHIIVPHHIGY PLSDAPPLDKEFAHTDNARLMSKMMHSLGFGKIGYVAQGGDFGGWTAPVIANIDPACK LVHMNMLNVMPPAGEDVEAGIKEGRYSPDEVAAFGRLAEFSKTGTAFIQLDGTRPASA GYLVGTNPVALLAWVGDKMIQWSDRAPDRDLILTNVALYWFTRSYPTSIYVHRMAFEN PELLMAGWKDIKVPLGYSCFKKDLVTAPERWIKQTEQVKWYRMHEKGGHFPALEEPDA LWKDVQDFIGGFWDKAG FPSE_04561 MQLQSILALGIVTTARLAVAGPCDIYNSGGTPCIAAHSTTRSLY SNYNGGLYQIKRASDGSTTDIRPLSKGGVADASAQDTFCSGTTCLITIIYDQSGRSNH LTQAPPGGFKGPEANGYDNLASADGAPVTLNGKKAYGVFISPGTGYRNNHVSGSATGD KPEGMYAVLDGTHYNGGCCFDYGNAETNSKDTGNGHMEAIYFGDNTVWGSGSGKGPWI MADLENGLFSGKNPKNNAADPSINDRFISAVVKGKPGTWSIRGGNAASGGLSTFYNGA YPDGGYNPMKKEGAIILGIGGDNSVGAQGTFYEGVMTSGYPSDATENAVQADIVAAKY ATTSMTSGTTLSVGSSVSLKVTTSGYTDRYLAHTNSDVNTQVVSASSTAALRKQASWT VRTGLANSGCVSFESVDTPGSFLRHFSFTLVVNKNDGTKAFNEDATFCPQKGLNNQGS SIRSWNYPTRYFRHYNNKGYAASNGGVHDFDADKSFTDDVSFVVAKGLA FPSE_04560 MTILTEPKPMTMDDKATDESSIGQPVVVQWSGPNDPENPYNWSV KKKWFAVGLGLFATFISMMNGTIITTAHEAIGEDFNVSDENFPHSYWPVTSWGLGGAI FSLVLLPIMEDFGMRIVFLLVYTVFLCFLIPVGTAPNYETLIITRFFSGGCCTILANA VAGIIGNVFATDRARTIPTGLYIMIYLNSSSLGPVVGAAIFQYLPWRWIGYIELIWTG VFFPIFILALPETRASAILTARAKKLRKQGQNTYSQTELDSKSIMHDIWISIQRPLYM LCTESVVAISTIWTAFSLGVIYVFTQSVEQVFSELYGWNAVEAGYVQASIVIGEILGF GLCVLTNNWYYASASRNKEEPGTPIPEARLYVAVIGGFIGVTGGMFVYAWTSFSWVTW VGPAFGLMLVGLGTEAVVVSIANYLIDAYSNYAGSAIGATILGENLGVAFLPLASSSM YTNLGFHWASSLLGFISLVLAAAPIAVFIWGKEIRARSPFMKSAMSKPMEFTMTPLE FPSE_04559 MPDNRTILGPLTEIWTFPSRCNQLYLPDCSSCTVASQGQKCNTA DPTTIDSREDILCWPPAKPPNVFDPPLSGWGIYKPADTCPEGYTVACSAEGTTKSDFE FQFKVHDDEFVRGCCPSHYECAKYEGAQTCRSIFSRGRIQMFSCKSGSTVLNDLKAPT QWLGPGSTTIGSITIHAPMFQLAGLEKFETTTDTELASSTETSQPNMESDSRGGLSKG AQAGIGIGVGVVGLGVLVAAFYLWRRRERSKAPPKYMLEPPHLDSREVNPPDELGGTP IAPPPYTPIDLHGTTARQKLP FPSE_04558 MPSTIALLAVGICGVAAAKLPSTAQVIDQKSFNVLKDVPPPAVA NDSLVFTWPGVTEESLVKKPFHVYDDEFLDVIGKDPSLTLIATSKSDPIFHEAVVWYP PTEEVFFVQNAGAPAAGTGLNKSSIIQKISLKEAEALRKGTLGKDEVKVTVVDTANPQ VVNPNGGIYYKGEIIFAGEGQGDEVPSALYRMNPLPPYNTSVLLNNYFGRQFNSLNDV GINPRNGDLYFTDTLYGYLQDFRPVPGLRNQVYRYNFNTGAVTVVADDFTLPNGIGFA PDGKRVYVTDTGIALGFYGRNLSSPASVYSFDVNGDGTLENRKTFAYVASFIPDGVHT DSKGRVYAGCGDGVHVWNPSGKLIGKIYTGTTAANFQFAGKGRLVITGQTKLFYVTLA ASGPKLYD FPSE_04557 MAGVNTKSGTVAIVIAVFISIAFYNVLELNAYIFGSFKKRSGLY FWSFVIATWGIAFNGTGYLILHLSLSEQKYIYSTLILIGWCTMITGQSVVLYSRLHIV MHNQRWLKMVLAMIVVNALWLHLPVIILVYGVGSSNPTPFQKPYEVFEKIQLSVFFVQ ELIISSLYVWETTKRLRLEKSIGNTKTKMVLNHLIVVNVLVILLDVSILALEFANLFD IQTAWKPLVYSVKLKLEFSILNRLVELTRGRNESSYGPSHGATTQHADEIGLETINHN RRKQFTSAQGTITGDYEVRVGKGDESHRVFNNETDLIKTTEITVHSERYPGHDRESSE GDSPEGIQHMPRGSASSASSEVQFAKYGN FPSE_04556 MPRYTAAHANLQGPGDARPTALQIVKDEDLVGKLTDKVILVTGG NSGLGLETAKTLHATGATVYITARTSEKLEEAIKDIKAWPEAESSAPVYGIELQLDSL ASVRAAAKKFLEKSEKLNILVLNAGVMATPEGRTEDGFETQFGTNHLGHFLLFELLKP ALLASSTPSFHSRVVSLSSKGHRYGGVRFDDFNFEKEPYSPWIAYAQSKTANIYFASE LERRYGSQGLHGLSVHPGFIFTNLARHIDVNQIDVKGDTDNYMKNIEQGSATTVYAAL SKDWEGRGGRYLADCDEEPPLKPGVDPETFAPGYASWIYNEEAEGRLWAESLKLVGLE KD FPSE_04555 MPCHPTEPEFEVRDIPSLRGYVAIVTGGNSGIGYETTLQLALKG ARVYIASRSNDRVNKAIAEMHKNSPDLDLHFLKLDLQDMQSIKDTTADFMSRENRLDI LINNAGIMSSPWELTKDGHEIQWQTCFLSHHALTMSLMPLLVTAAQVSGRMDRVRIIN VASDMALRMGPEAIHYDDPNLTNETGKLAPWRRYGHCKEASIIAAKAITDRYQSLGVT AYSLHPGLVKSNLQSHNPTMLGAMSRMMFKVGPSSTPLEGAMNSLFCATSHKVYEHAG RYYVPVQKLDDRANKWLDDAQAVNKLWDLATRQCREHGFIIDDMPGYYN FPSE_04554 MCHKKTELCVACGFDAAAKITKCKDAPEDIHKCKNFKEEPVEGN NHCNACADDVPGGIPPCARF FPSE_06665 MRSDLFLLSTLISGAYTAQISVGQQQQSGGKNYHVAWWEGETPC DGLGHLLGSVDKSLCSFDFQLQNQGSVYHFAYCGTDDLAIYRNDGSLYGKCSTKDFGK KLKCQNSHDIIKQYVCG FPSE_06664 MQLATALLAVFCSQAHAAISFGQQEKLYDRENHHVAWWEGQSAC SQNSAVELGYASVNLCSMKFKLPDHAAYCGTNDLAIYRADGSLYGKCSGRDYGKKIGC GAVDHDVVKHYVCG FPSE_06663 MSPTMSKRTNDSHALFLRTFDDNLGFAPPNDPDAKVKHVLDVGT GTGIWALDFADEHPGADIQVIGVDLSPIQPSFVPPNLRFIIDDMEEEWQYSNLFDYVH SRMMNSSVDNWENYATKIYDNLEPGGYVELQEIDIFVASDDNTISKSHNLYRWAELLQ EASDKLGRPYFKPSGLKDVLTKVGFEDVKEYKFKWPTNQWAKDAKHKELGMWNNENAN YFLEGVAIAPLTRALGWTREEVAVFIAGARKELNDTRIHAYWPIISVYGRKPKAA FPSE_06662 MAEPLTPTHPSVTNAIKALTESIIRDNRLEANAQPSIKENAPPK PTPASGKKRKAAETSLEEEIAAYKADLDDVICTADFENDPLPSCGSIRAKMNKLFDSG VMNKAEFCRATGTNSNSLNNFLKQKGTYGGSGSCVWRNAYDWFKQREVMGLKMPDPKK RRIAEAKKNEAEGKTSKGKSSSTSVTGLPDISNIHLPDEEIDDVPVYDDCDEIRRKIN AHLMTTNVTQAQFCRDLYAQFKVPTCKGIQSKQLSDFRSTKGSNCGAKSSVYYAAYVY FEKLRIAQGKPMSQHHALNMDLNPGGLPRDVDGRAIVWYLGAA FPSE_06661 MSRIPNVWAAIIIPVPASALALILRIQARRMTRMRFGYDDGLSI AAWFVALGYSILLIVWTTNYHMGRKIGHLPDAEVDHILEKSHEILFVSEILYSWSIFL SKVSVLTFYRRIFRFSSIRVPIIILMVCAGIWITIRTFMTIFHCMPVQAYWDKSIDGK CLTNIGQYYLGTDLTHCLMDFIILALPLFEVVRMKLILGQKIAVIAIFSLGSLVGVAS VFQIAEAQKYTAASREFPFEFSLAMVWANVECHLAVFTSCLALLRPIFRKFIPGLSSG NTTYPTNGLSHPSNTNNTYRNSIALRQSRSPNDMDHYVIEGQRAFSYPDYDAISLPGA TKDGNSISRQGFMRQREESMTDTDVSPGVSPRRGSLSSV FPSE_06660 MEPLKVLIVGSGVAGPAFASWIAKTGAEVTLIERANQIRLGGQQ LDLRAQGIPVMKKMGIEAAVRAKAVHEPGTQLIDTNGQTKALFKAVKSGTQKQSFTSE YEIMRGDMVRILMGLTEKRKNVTHRFGTTVTSLTQDDENDPKGKVHVGFADGRKDDYD LVVAADGTGSRTRQLMLGPDAPDPRHNLGGYLGFFSTLAQPHDSKCFTFCHLPGGRYL GTRKDQADFTRVYMNSPRKSEALEAAHKSGNLAELKAAWANTFADGAWESKRFMTALK NSKEADDLYSTPRQEVRLPVGSWSKGRVVTLGDAAHAQTANGFGTSWGLVGSYILAGE IVTLQKEDPSTAVVKGVKRYEEVFRPQATAQHGGKNDSFERLFFPETALGIRILHSVA RVASYFNIDGGLGAGSAESKWTLPEYPALFEDRK FPSE_06659 MDAPPPYQAQPDSKAPALADQDLGIEAPFADLKIDPTPHDPEPN VCLAHLRLLFAFEALKEDIGYQDGLFGIWDSRADGNIRVRENGDVEELPASEKFTPDL EDKKKALSKLREKRWSLFVARAVDRYQDWWSSNFRTLSSLTEDKMKDKDSLSFGKFVS GESTYWWDQEALPPLDVLMVYHSHLLNPHNFLEDCLRRGLRQFWQSGMPWGRVNAAID GSFNYNVSDENKAKWLAQTDCGWENIHDSNFKRISCPNKNCRTKLKIPWTTCALEETP KTSERPGLTGTGYGDTKFETRCPKCNIKITKETLSVARFCKDADDLVFLSEPMPGTLL WPTSGTPVVMMDRTGNKFDQRMFPNRMIQHVLRTQIQGLLDNPDPENPPTMETVRKLI ETEALMKPSALETIYGKPTKLVPRFSKVATRKMMSRYWENFSPFALDLTGAVMRQGIF SEKMCKLDWLHSPTARETMDRCCVKYKRFVKIISKNPRRVVVPTLDVDLAWHTHQLSP LAYYHYTTRKTFRFIRHDDKIEDDKLNEGFEWTSKMYQDTYDEVYSECTCWYCEATRA AHVSAAGRFLKLSHNEKIADKFYESGKADMCPPDNSAHISSHNAVRTNDEALIATNQI EKVQNRMRAVHKKRLEENYQKACKRAEKKGRKLPPKDQYYDHWGYPYMMYGPFMAPTI VFVPVYAYGTAPTCAGGTCGGNVAAGACGGDDGGGDGGDGGGCGAGGCGGGGCGGGGG CGGGGGGGCGGGGGS FPSE_06658 MPAQFVIFDFDGTLFDTHQAIFHSIKLTFDTLLPESTPPESEIQ KLIGGGKGLLDVLTTLHPSFDTLNEDEWVSTYRRIYNDDGQRLTSPFVGAKELLNSLN DQGIPIAIVSNKGVTAIEATLKSNSLENIIPRDLIIGDNTPGATRKPDPGSFVNVLKP ALSARGFPTDLDISKVLVVGDTEADLKFASNIGAKSVWCRFGYGDKEKCEELGPDFKV DALAEVEGIVKTL FPSE_06657 MRIFNIIIALALSWVVAASAPTYDGFDIVWQDTFEGPAGSLPDR SKWIMQDWYKDLNGDWQTYTTSPANQQLTGDGSLLIIPLRDSSATKGWTSGRLESAYT FTPAPGARTIAEASIRLGSAPPSGKQGIWPAFWLLGDSHRKGTLIWPTCGEIDIMENV NGETKTQGVIHCDKNPGGICNEKNGIAGATGLADSGQDFHTYTAVIDRTPGNWMDESV SFYLDGVQYHQVTGDRIGNEEVWGKIAHNLIHFILNVAVGGEWPGDPDESTMDGLANA IEVEYVAHYQSTGSGGSPAYQGGESGYKNDGYGDVVEPVYPKPRPQYPQPQPQYPPSR PHSTFPEYPMPTTSNDGEGFSILEVADHPGLYHIPGVPGFREVKGHPGLYETPDNKRY QVPELPGLREVPGRPGFYQVYGAQDSSLDYPPRHKTPTHHNFPIPEEPPRHDQSQYNS FPSPKSDSYYRPPPSSGDRYYGGSGQRESTIVGYPGGSTHADHTGSRPAPVPGRMTDA DRMNQLPPMNPTRGRYGSHAEHFQETSHQAPWPPHRFQDGYSQAPKSFPQYPHGAFQE SHDSSIVYCSAPSAGDPNHGTYGRPGGWGPGTGKPCPDGATSRMKARQISPPNDDEEV ESKINSTSSKPDMPYRFKTPGYPPAPAPDAIAPPTVAPGEYGGVQAADKAKYLDPGMP NRFKTPSYPPAPASDVIAPPTVTPGEFGGVQAEDKGKYLDRRTDALGMPDRFKTPGYP PAPEENAVAPPTVTPGEYGGVQAKDKAKYIDAYADAPKMPYRFKTPGYPPAPEADAVA PPTVTPGEYGGVQAKDKAKYLDPRGVDEPAPVASQGTPRYGISRDASMLAFVSAVVAL LILF FPSE_06656 MGQLDNDNWLYPGDGLTSENGSAEFKIEGDGRMSIYWDGEVIWQ NTDEGREDIKGIHLQDDGNLVLYTHDDEAVWASNTEDHGDEVYLRVQDDGNIVLYKGE DDDAEAVWASNTVHEED FPSE_06655 MRSHNALLRGALTLVAIASCLVILLAVFHIKLADGLSIVPVQER PFFYEQKPHHQSPTKDDKQPSPDEDDAEAYLEQGEQHQEHDYRLLTSLRNNMGFYNKI DAKLTGHQLMNPTLLELPRNGNNTHDFLIIARAPHVFKKINDKRYKLARQVATFANLT YNNLGRPVLKTGKWSRLLVNDFGGPEHHCQKQPDMDRYIGPEDMKLFWTRAGEPLLIF THQVNDEVLCQGQFIVDVRATMPELEQALGPETTALLPPVRFSEPTLLRREAPEGQET HPRFQREKNWAPAQSPFSSDDELLLMVEPAQLYRWTSKEDPVQPIVSDKDQISVVQEP YPPNAAPGATWHARLRQTCMHDVMLDDQHVHQSSPMLSITLCNRGTCEPSEQNTVMVG IVQRRQDPPMSPFTWYDRRVAVYESAPPYRMISVSKKLTYHGESDGRYVWTGSMVYYT NQTRFPPSNHGFLDDEVWLSFGIKDAAAGWLDVRARDLVADHYLCQGASEGYRRYRQG ISA FPSE_06654 MTIHGMFKRIVRNDAIRVDPPEIYNWRVIALTASACFAGALFGV DAGIIGGVLAMPDFQREFGLNNRSDQARADLAGNLVTTMQAGAIGGALLSSPFADRKG RKPALLLVAITGFIGGIMQAFSYGHLSAFYIGRFIEGLGLGAGTMLAPTYVSENSPRA IRGFLVGFFQLLLVLGGMTAYFINYGSLLHLPGKATWMVPLGCQSICPALLFFSMIFC PESPRWLASRDQWEKAGAVLSDVRKLPVDHAYIQQELLELKTQIDQEKAVMQDTGFWA LQKECWTLPWNRKRALLTVGIVTLGQWTGTGAINYYAPTIFKDLGLSSTTTALFAQGI YGVVKVVTCLIFIFFLADSLGRRKSFMIGGAIQAFCMFFIGFYLRFGPEPGENDHPPP AGIAALAMVYIFAAAFNMSWGPVSWIYVSEIPTNRLRAYNVALASFTHWVHNLAVSKS TPVMLLHDPYRAYFIFGSFNFFMAVVAYWIPETKGISLERMDEVFGIADFSKVEDVGI AASRAKRIDDENVEDVQTDRPVKS FPSE_06653 MLKRIIRIRSIAASQSHVALGPGASQDQLQFNGSLNSDTTVQSQ QHLHAADWFTGQSESASTTPASSASAAAVRWFGILSNEAPNEEFLEAETLHGDLLDTS PDGRADSDITPLQRATRAIDTQPEISDRRNSRTSNISEESLWQASETRTFSTRVPHLA VRNAGLLNAILALSSYHRSLDESIPSNQRPDQNIALQYYYQTLHYVQKAMRYSTYQNS QELMATTLMVSTYEMLRGSRQDWQQHLQGVFRILRSRQIEVETSSLESTTWWAWLRQD IWVAFREKRRTYSTWMPKKGYAELDNHELASRAVWIMAQVINFCAVDSSIEMEDGLAR RIGWAKALKNMLGEWQSHLTVEFSALPAMGQYGIEVFKPHLIHPQCFGLAVQLHHCSR LLVTAHEPHLDGIQGLLKRQNDIQQSIDMVCGIGMTLTEDASSMLSSQCLFIAGMFMQ NPRQKEAVLEMLDSCQKRCGWPTPSLGSELEQIWKSPDALWGSHT FPSE_06652 MGDLDPDQPQASNPVSSWQTAHLHTRPKSFSTDILVVGGGLGGV AAALGALRRGRRVLLTEEYEWLGGQLTSQAVPPDEHTWVEQFGVTRSYRALRDGVRQY YRDNYPLTEDARRRPQLNPGAGHVSKLCHEPRVAVAVIETMLMPFIGSGLLVIKKRVK AVSCDMLGQVVQSVTFRKLDTPDNFTVDAKYVIDATELGDLLPMSKTPYVTGFESRKD TGEPSAPEEAQPQNSQAVSICFAVDHIEGEDNTIPKPEKYDHWRKCHPDFWGAPLLGL KAPHPRTLEIVEREFTPNPNDDPALVISDQRKSGGDMNLWTFRRIAAKDNFTSGAYQS DICLVNWPMIDYFEKPIIDVSETELQERLAEAASLSYSMLYYLQTDCPRADGKGTGYP GLRLRGDITGTEHGLAMAPYVRESRRIKAVTTIVEQDLSLDVRGSKGAVHYPDSVGVG MYRIDLHPSTGGDNYIDVACCPFEIPLGALIPLERPNLLAASKNIGTTHITNGCYRLH PVEWNIGEAAGLLAAHCLDQDLAPHDVQGKKELFKEFQDMIIKEGIETEWPDISGY FPSE_06651 MRNLQQNANDREHATAGFDVPPPYTSPISPTQANKPSFFKALKS SFRSKPDPLVSALCHATMRGDEQQVSGLIAQGANVHGRNDDGNTPLKCAILNDQAGTS RLLLSMGATTNKVPPLFKAASMGSLNVCKMLLDSGEDVNEVSKTGEPCFVEVVNKGNV AGVRFLLENGARAGASTLAGGSVLAQAVKKDQVDVVHALLEHGADVNSHDVHGNSVLN IAIQNGNAKLVGLLLERGAQTQPATILCTTILEYTINKKRLDILRQLVAAGVDLGLTD AQNQPVLIKVIRNPLLKKDDKLEVMRMLMDNGADYDAIDITFGLPAICHAVETASPEI VEELLIRGAETKVRMLGGQTMITYSIDVNHRNHVKSLLSYGVDVNEVDGLNRTPLMLA MLRLDFNMAKLFMDHGADPMADVNESAVKFIKALKRRDFLEILGLAGEPRLQQIRGLS QVRDSPAGPSTTEEHAPDVPPPSYEVAAGKA FPSE_06650 MTANTLFMSPEELARIQATVCDRLKQRRDQQGRPREQKDPKALV EQAVSESLMQDISSAAFGFGVSRKTKEAMPAYGIGAPYLPCIKTLDDLEPMKLKDLRM EIHHRGLFLSLRRISPVAILEASSWAVVQDTSSDDVERIELFLHKSQHGCDILGTASE FIVKEPYYTLNNHGESTIQVNHPSDILITEISDSPESWRQEQHGKSAFEPLSAEAYKE KGNHALLKKKDFPLSHFYYTRGLKLLSDSKSDETLRNDLYRNRSYVNLKLQRFDEAKS DALSSIIYGQRGDLESLNAKAYNRAGLAAYSQGEFLQAKAYFKQQKELQPEDQHVALH LRRIDARLREATEGAYNMSRIVSSLMKAGGRPDVASFDGPTEIKPSLGAGRGLFATRD ISPNEIIMCEKAFCVAWSHESETFSALVCDTREDIVIKVFPAGLHKAVVQRLLNNPSQ VERILGLHGDCKGIGQKLIEVDQVPVIDAFQIHDIVQRNAFGLGQQTEDEDISNASTG LWVRASYINHSCIPNAKKDLIGDLILFRATRRIASGEEITHAYDESTSYEARQAAFCR TWNFECRCPLCLVQMKESDALRRMRNEAEERANTFVENNDPSCAKTCHVRRWRQ FPSE_06649 MYRPPINFLTLHYAYIIFMGLMALPILYPYGNMAAIDAWFFGAS ASTESGLNTIDVKDLKTYQQLYIYFIPILTNLGFINIIVVIVRLHWFKRHLRRLAPQM LDGEQNNDVEDAYNESNLKTDTTGKSTTDGPSIATGTPNETTDPNDKQPNRERFATRT TTISFDVSTEAHTGNTALYIPGPRARDRDRIKPVNSATSNNASSSMRRRRLSNDGLQI TASKSIDRVANVAASLLLLGSETAPRPRSVNSTSIQQPALNDIPQLSRQATIGRNSHF KNLTEHDREVLGGIEYRTLKLLLKIVTCYFFGLHLFGAICLVGWIHTADSKYTDILAA AAQDKTWGFYSAQSMMDNLGFTLTPDSMISFRDAKWPMILMSFLAFAGNTLYPVFLRL TIWIMSKITPKTSPTQESLTFLLNHPRRCYTLLFPSGPTWILFSIIFALNFIDILLII LLDLKNAEVASLPLSARIPAAIFQAASARHTGTASFNLANVSPAVQLSLLIMMYIAVF PIAISIRASNAYEEKSLGLWDHEESLNEQNGKSYLLTHMKNQLGFDLWYIFLGTFCIC IAEQTRIADVKDPAFSVFSILFEVTSAYGNVGLSLGYPTVSFSLCGKFGVFGKVVICF MMIRGRHRGLPYALDRAIMLPSSQSPEKSR FPSE_06648 MSDQPATVAAPTARSDLASAVESAPAPQPELVPAADPQTGTDSR WKDGDESETDSAVGDDAASSTASITSSILEYRTIQGRTFHSDKHPTEYFTPNDEQQSA SIDINHQALTLLLGGKLFLAPIKPNVQRVLDVGTGTGIWAIDFADEYPEAEVIGSDLS PIQPTWVPPNVKFEIDDASLRWTWDNNTFDFIHIRYLFGAIKDWTSLFQEAYRCCAAD GWIQSGEADVHIRSDDGTTDDIDCLKIWAKLFTEGGKLLGNSFFVQEGDLQEKGIHEA GFTDIKSIEYKFPIGGWPKDPELREVGNFVRATLENDLEGYTLLLWKTILQWPDDEYQ VFLMEMRKFLRNRKVHPYMTVRYVYGRKAEES FPSE_06647 MATTIQEPIEPITADQHSEDENEFQTSDFDSSTLSSSSTSLNTS IYQHAFENGRRYHQYRHGTYPIPNDDAEQNRDDMKHAMMLELTNGNLYFSPIADYPQK IIDLATGTGIWAIDIADKFPSASVVGVDLSPIQPSWVPPNLKFLVDDIEDEWIHGDDY DFVHMRCISPWLKDEVKVLRQAHEHMKPGAWIEIQELDARANCDDGSLPKDAPLAKFF DTAATAVASFGMKFRAGENLREPLEKAGFVNVSCKVLKVPIGTWAKDKKLRLIGLYLK TAVSDMFGAMAAKPLRKVMGPDEIELFLIDARKDLNNMNYHAYENYYFWMGQKPE FPSE_06646 MSSLSSCSSSNTADVAQDMIASDVDSLITQDDIPVETQAKGQPK VQFLVALNLIGSDRSPPWTMDSICTTSVPRSTRKVDCSQRYVERIVLNLIKAGFLDID HVKEIYAGIDEYCSDYEILPPEP FPSE_06645 MSSLRELDLTGKVAVISGGSRGIGRAIAFNLASRGCSILGTCTS DASVKSLSTGLNDEITERVYKASSRNRPDSQKISGVIADIFSDHCAKTIADALKDNFN GRVDIFVNSACDPMPGIIGDMGADEIQRSLMGNIQTPVLIVEELVRRKYFQPNSRIIY ISSVRSRLPWADQLMYATGKSAGESLCRTWSQAFGGKDERYSFMAGTTANAVTAGLTQ TDAVMNCGPEVVQRFQDEFFPLQSMPQFGQPEDVADVVGMLCGHDGRWITGSVISASG GCIKIG FPSE_06644 MASSNESSDTQTETPGPPQKRKIPPWLNHFNTHDLKVFFRCWIA IWAMMLLVFIHPALTNLGQATFLGAILLFAVPPASIVFIYLLGALSLLLGMCLAWCWG LLTMKAAFATRPAAETQALLQQLKRQAVQSAQRTGQPAAWEAQILIHDGFLLDARITA VFFSMGCLFIYALARLRCANPKTVVLQIFGTIVTDIFLLFGPSIPTFKGDIASVLVKP GAVGIGIGLVCCLFLFPHSTSHVVLSGLERLIRMSNQGIVATRKGLQGVSSPVAELQG SRTGLISAFKAGQPAIAFLPLDFSRGLWGADDIKGLHERVRRVMYTSLYLIDFQIGRV RGREKEDEHRKAEAEGRLEPVGEQEKYHIGRRQRQETVTLMSAFERPEASELRSKTRD TLLETTAEVAEIGRQAVNLAADYVQAVNSCRWFRKTSPERFAELKNSLRDLIERSRIA REACAVNTTKGVLEAHAEVFDANGKLKPIQGIDRPFLPSMVIAMVVEERILSWATSVE KLLEYILSLSEKRTRGRIWVPSRLQYAISWLFHGQLTYPGHDIAAEEDPDKLMEADTF DEETKETKRRLEVGRGYKGYSARRNKFSRVLMATYNWLTNPAGMYALRMVVVTVATGI PAVIPSSAGFFYREKGIWAVISAQTVLLVYLADFTFSLISRTIGTIVGGLIGMVTWYV GAGSGIGNPYGMAAATGVAIVPLLWWRLYLPPSFQFATIMAGATFALVIGFSWDHDHI VQYGLPGKGYEAFWKRVVTVLLGFVAAFIVQLFPSPPSGTTHVCNTLANSVRSLSDHY ALLISHWGRTDKNRALASVAENVSIEVAEVLLALSPSIALLKGELSFGPFDQQVLHRT KEQCQFMNQALGGLLNLASTLPKELQDRLTHVAGILDDRSIGDIMAVLAVIEQALRTG SPLPERLPAPLIRRAMDLVESQSNDIILTAKLVQDEDHRRYCVAVTLYLKFLGSIDDL LIVLKEALGERHIIYQWSDVKDIA FPSE_06643 MKLFTTFATVLGLAGLVQAHMEMTYPPPFKSKKNPYANGDIDYS MTSPLSGNGANFPCKGYNSLFGTAAGAPTATWTAGKSYNIEIQGGADHAGGSCQASLS FDRGRTWVAIQSWIGNCPIAPLSTFKFTLPVDTPAGNALFAWTWFNKIGNREMYMNCA TITVKAASGTPKIAMKKRPAMFVANVGNGCGTKEGVDLMFPNPGPDVSVGSSNTGPPV GQCPAGSGVAVPPQQTTTTKAPTKTTKAPGGVFITVPTPTTTKKTTLKSTTRTTKTTV IPPAPTKPARGLITPGTPCTPEGYWNCVKTGMAFQRCASGTWSVSMAVAPGTKCIPGQ SMEFTIVYA FPSE_06642 MSAKLYIGNLSWNTTDDTLRNACQEFGMIIDSIVMRDRDTGRSR GFGFVTFGSVEEAENAVNGLNEQELDGRRIKVNMANAPGAGGRGGGGGGGYGGYGEAG GGYNGGGGYN FPSE_06641 MDGLDPNTLWTEEVLVVTVPRSGCVPLPRPFPWDAWPHWNTPLH RAIKFSDFETAEFLLQHGANINIYNAVGKTPLHEAIWNRKYDAIRFLLGHKTEMNNFT LGACIRYEDEDTDIHGIAGQLNIQQALSSSDLTSVQLLVQAGADLCPPSQHPWTTLDL ALLYGDRRIVEFLLKQGYKLPTGGSTSYQDVYQDSSRDLLRFSRDGNIVPSKDLYEVY CFVLGKVDQVAIIDVDILIKRFFEALRETANDPNGNKHTATCTSCQDFQFEARVIFEI EDYFTFDVDKNEQQLGFTFDLHPSRQHLIDSASNGCAICAIIADALDDHERNPRPAFE PFDSEFGPKSNSVKLQPARSWSLDCIKVSCDELGTEIRLFQVYDSLTSSFEDSYRMDT STGSSSAMVMAKNWIRICQEHHTECQEVQQMRQRKGILPRRLLHIGTSEIQPQIVHVQ DTVPYCALSYCWGVKDFFTTTRDNLVQNMRGIPMESFPAIMKDAIYVVRSLKYEYIWI DALCIVQDDEQDWAHEAGIMGDIYSNAELTISTLISGDCHTGLFQPRSVRISHPVPLN IWQPKTERKANASHALTPQWLEREVKTSGPVHSRGWTLQEQLLSKRILYFGGGMLHFE CLHDYVVEANPGGEYRQSANKRADDLQTRRHAKKALQGATNCDSVSSATRWRMQPFEL WKQQVREFTRRSLTRATDRQPAFDAISKSLATAVGCNPLCGIWNGDKLFESLCWQTKN CADAPLNLPNIPSWTWLACTGEISFELMSRAGREEVQTSSGATVVSINTEATGLSITL RGTLHRKQRIDDPLLEHWERLYGKKRHRLSVYLDYNMGDDRDVYTFDTLHFPEGPPYK GLGYPRWPNGRVAETLRLLLQRVDSKLNTFRRIGIGKISHRHSKGGPQPDPHEGTIPV PCISHEITQNKWLKTDEETLTDQQFTLV FPSE_06640 MKLLQLAALVASISPFANAADANAWKSRNIYFALTDRVARSDSD SGGNACSNLGNYCGGTFKGLEAKLDYIKGMGFDAIWITPVVENTDGGYHGYWAKDLYE VNAKYGTKDDLKSLVKTAHSKNIYVMADVVANHMGKGIQDHKPEPLNQQSSYHSPCAI DYNNQNSIEQCEIAGLPDLNTGSATVKKVLNDWISWLVTEYSFDGIRIDTVKHVEKSF WPDFQKAAGVYAIGEVWDGSPDYLAGYSKVMPGLLNYAIYYPMNRFYQQKGDPSAVVD MYNEISQKFDDPTVLGTFIDNHDNPRWLSQKNDKALLKNALAYVILSRGIPIVYYGTE QGYAGGNDPANREDLWRSSFKTDSDLYQTISKLGKARSAVGGLAGNDQKFLKSNDSAL IWSRANNDLIVVTMNRGQGFSGQYCFNTGANNKTWDRVLGQGTVKSDGNGQLCVSYTN GEPEVLVAAN FPSE_06639 MAIGGWENAMRRAADDSLQTVKVNWHLGFTSFGGPPVHFKIFHD KFVNKLKWIDEQIYQELFSVSQALSGPGSTKMLYCINLIHGGFLQAVLAFLIWSLPGC LGMFGLSVGVSNIGDTLPRAVYALLSGLNAATVGIIALAAVELSGKAITDQTTRLVLS LTAMAGMLYNALWYFPALIVAGGCGTVVYDYKWLHRPARGVRNAYLRVRRGRVAETEN VQGAENGIGSQDGINLHEHNTTDTREPEPRVVPQEYQLGFSWRSGAAIIVTFLITFIA VVSLRGTLPHAPLLYKLFANLYLAGTIIFGGGPVVIPLLREYVVAEGWVSPRDFLIGL ALAQSFPGPNFNFAVFLGGLTAVHGGYPAIAGALIAYLGIFVPGMVLVHGTMGIWEVL RNRPWVRSALRGVNAGAVGLIYTAVYRIWQVGYIDEGFQSGKSLADDPWWVVVTATSF VGARYFKVPVPLAILLGACMGLLRYGIVTA FPSE_06638 MPEDNTHQSILILGGGCFGLATAFELAQKGYKNITILEKDVDVP SRFSAAYDLNKVIRAEYADDFYTQLALDAIRKWQSDPLYAPHYHQTGFLNVTSGKAAQ NTKGAVESYFQSLQNNPAFNGQTTRVNSSQEIKKLVPKFTGPLTGFKGYHNKLAGYGH SANALRAVYEECVKLGVKFHLGKKDGEVDSLLYASSREGTRCVGARTRGGSIHTADKT IVALGADAANLLPRVGKQMTGRAWGVAHIQLTDEEAADLKGIPVTNVRDMAFFFEPDL TTKKLKFCHMGGAYTNYSFTKDGLSIPFPELSESQFMPLEDETYIRQLLREVFPQLAD RPLIDQHLCWFADTDDSDFIIDYVPDTNATLVVLSGDSGHGFKMMPIFGQFVHKLLVD ERQDQRKWQWKGGKAKAAAVWRSSESQELAGVPRAKL FPSE_06637 MVDIKQNPLEPVIDTELANIRATHPDPYLVAFNDLDSDNPKNWP ERRKWAVTNVLSVTGFNRILVSTVMAPALSVIAKDLDMTATQSVMALSIYLLATAFGP LVIGPLSEVYGRKAILHVSNIWFLVWNVACGFANTKELLIGARFLAGFGASAVYALGG GVLGDIWRPDQRGKSLGWYMVIPLVGAAVGPIIGGFMTYRVTWRWMFWSTSLFQVAMA ALSFTTFQETYAPAILKQRAQRLRKETGEPYYTYEERTQEEMSLAKVLGQAMTRPLRL LVFHPIIQVAAVISALGYGLLYIVLSSFAELWAQHYGQSVEISGLHYIACALGELLAS QLCAPLMDYWFHKMERRAGGQHVPEHRIPLMLMFAFVAPIGFVVYGWCAEYRVHWAWV DVAMCITTFGMQIAGMPLQAYLMDSYPDHTSSAIAAQQFPRSLAAFLFPLFTPQMYAA LGYGWGNSAMALVELALEIAAPIMLWKYGARLRSRATSSL FPSE_06636 MASTQQLKTMSSNEQDDGMKIIHAGLFRTATKSMTEAYRILGYK AHHALDDVWAVPWSGIEQAAEATFPHLSQLPDYTYKSPDGSAAPRPTFTRDDWQSLWG QYDVATDIASAFVPELIKAYPDAKVVVVQRQYESWWPSFRSECLETLYRATALQQFVV RYVVRFRAGYAMKKIHAGFFGADEYSLESVELRARDVYEDYYRKVREAVPLESGRRLD YNIGDGWEPLCEFLGKEVPDVPFPRVNDRADHSARVKRNHRKMTIDALKLISPIVAVV VGVAFYYWR FPSE_06635 MAIHRVLALLGLWLGLAAAIPSSHIYKRSVSSQPLPPSEDPWYS APDGYEDEEPGTVLRLRPAPGNLTSIVGNSSAIYNILYRTTDSQYKASWAVTTLYVPK LGSNSSAARVFNQSAVISYQVPYDSADVDASPSYTAYSSGGTDLFNLALGLGVFLNVP DYEGPLASFTAGVISGHATLDSIRAVLSLGLGLNATSPRVALWGYSGGALASEWASEL AVQYAPDLQETVVGAAIGGITPNITAVVESISGKDAAGLAPSGIIGITSQYPEVQKYV ISKLKTEGPQNKTGFLAVKGFTVQEAGAAYAGVNIFDFFKDGMGLFRDPKVLKVINRD GIMGYHGIPQWPIFAYQAVHDEISPIANTDKLIERYCAVGANILYQRNSVGSHSEEFY LSAAPAIQWLATVLTGQYASVYKTEGCTIQNVTRNSTALPLKKRNAPNGVFNLW FPSE_06634 MAEHPSFTLAALLAAGGTAGYMRTRSTPSLVAGVGLGVSYAYAG YLLKNNKDYGSELALANSVLLTGSAVPRIIKTGGRAPVPIILGAVGGLATYYYQKKFR EFRYGV FPSE_06633 MHMILVKCHRLLVPEIVLPSPSGPRYLNLSAAYCIMGATISQLW TPPMIDIAVVGLPSAGKTAIIHKLSGGQKPTQPLNETVNTTHFSQGSQQYFFFDYSGN EKVRAIWRVFLSRKEALVFVIDSTNRDNMEETKEALWNVLRNIMLDGQPVLILANKQD HPKAMNVLELIECLEIDKDLEKNKNFVRVLFHQSLRIVATSAITGENLVEGLEWMRQV YFQDDIDNNPIQDIQDYK FPSE_06632 MPRRRKRSKEGPLWSMYPDLHDQVADKLDEVQLDYTFNPNDDDL LALESYDTNIMGTFACNNQKCTKTGWKSMVIAITIRQYSRDRYNARVYHQRCNNCKSI GKPTLDEVSYVDRVSYRIKKWNGVEMKRPMWGGGSSKGPHEDDLCEGCKAGHCEKGSK KRSNDDAWD FPSE_06631 MTSINSIPDEIMEEIFIHVTDMDYDYIDIFQVRLVNIRFCKIAT PLRVRHWSDRGYHSPINTSLSRTTLNRFALELLRHPELRLQVRSVQIDWFRSDNGKET PRHLIQLDNLDLLAKAAEETLPDLARSTDLCDQIRQGSDDGIAVLVLAWTTNLTSLDL TIPSDSRVKGDKGYLMLVLYFAKQLALQFVSDEPKSSLPLPMEKLHTSIFRYWKFDRE IHVAHLSPFLHFPNLKSLNALRIGFSSLLHACKNLKVFDLQFASSMGTWSSTKLARAL GEHASSLEEVSLSIPDGEDSTWIPDSADTDELPECYRELKRLRRAGIPLGHLLQREDE NDPTTTKLNPGRLPESLEHLTIFKMNLQSVQVEWESFPESFDGADWGGFESDRHEALV GIQTILEETGPGGRLEKLKTIDFSDALSDDPMIEEIRRVKDLAKERGVGFILATASSL FNRRRCTMNATTLGLAERGSSIDAASYSIYILLFVLPFLVTYTFAVLGNKGYRDGTAA REPPKVPYWIPFVGNTIGFAYDTERFLFSSLRKFGKVPLRIFVGAEQMYFIPHGQTIV ELFKSSRYLTTKTFGIMTVRDAFGLPQPDVEIYAGDNSGVDVKPAPGWEHVEQNKRFH FVQHRQLNAYLSGNALSAIISKFTEGYSDQIAQDATFQEDEWVEVDDLYGWFKNHLLR ATTVALCGEKFLELSPDFLEDFWSFDYHLPNLFRRLPRWVVPKSYQARDRLLECLLRY HEYGQQNLDFTDQKQLEKDWTPEFGAKIMSTRQEMFKNIGLSPRGGAALDVGMIWAVN ANAIPAAMWMLLGILLDKNLTDRVIAEMEPSFHEKSLSFNNDRLCSGPLLNSVYLEVL RVRVAAPVGRSSLIPNLKFGKWQMKQDVGMLSTSWFGGHDPDFWNTGSTLPDGSEEHP VDTFWAERFLKYEDDPTGGPVRNTNGKPLTRPSKRTTEDDRKASVVTEGTQGYFYPYG GGTKMCPGRFFAKQKLMAGVALSLRAYEIELVDPEAAAKVGPNMDYFPFGTIPPKGKV AARIRRRRL FPSE_06630 MHAKTFLLAAGLAQLASADCPFAAKRDTQQNLLPPREIAEDFGR CRIASNQAGGGTRSSDFWPCQLRLDVLRQFSPQFNPLGEDFDYAEAFKSLDFEALKKD IHALLTESQDWWPADFGNYGGLFIRMAWHSAGTYRAMDGRGGGGMGQQRFAPLNSWPD NQNLDKARRLIWPIKQKYGNKISWADLMLLCGNIALENSNFKTLGFAGGRPDTWQADE SIYWGAETTFVPKGNDVRYNGSTDIYERANKLEKPLGATHLGLIYVNPEGPNGTPDPK ASALDIREAFGRMGMDDEETAALIIGGHTLGKTHGAVPGKNIGPEPAAADLGEMGLGW HNRVGEGNGPNQQTSGLEVIWSKTPTKWSNHFLESLLGNQWTLVKSPAGAHQWEAVNG TVDYPDPFVKGKFRRATMLTSDLALINDDSYHKICKRWLKNPEEMNEAFAKAWYKLLH RDLGPASRYLGPDVPKEKFIWQDPLPERKGDVITDEDVSSLKTAILGADGLDVSKLVS TAWQSASTFRGTDKRGGANGGRIALEPQVNWASNNPKQLKQVLSALKSVQKEFNAKSG SKQVSLADLIVLGGVAAVEKAAKDAGLKDVVVPFTPGRVDATQEMTDIAQFNYLEPLA DGFTNYGHGTARARTEEILVDRAGLLTLTPPEMTVLVGGLRALNANFDGSSNGILTEK KGQLTNDFFVNLLSPAYKWSKKDERGELWTATDLSTKSTKWTATRADLVFGSHAELRA ISEVYGSADAKEKFAKDFIAAWTKVMNLDRFDVKESK FPSE_06629 MAVKSSSPRYHGHQCLRQERVFSKQWLIDNQMGICLVLLAPLLF AQCNAVTRPYTEKFTALSYFDSETREYGVGFSDNYLVAVLILLLTGLRDGTMRFILGP VASAWGLSRNKSMRFKEQAWLFIYYSTCCSVGTYIYATSPYWLDLKAMWTNWPNREVS GLMKSYMLAQLAFWLQQIIVVNIEKRRKDYWQMVSHHIVTIALVYSSYRYGLTRVGNV VLILMDLNDLIFSVAKCLKYMGLQTLCDIMFGIFVVSWVLCRHVAFVMVCWSVYAHSL AIAGSTCYTGSGNTILGPGPVPQDEGYFYMLQPLIYDSGRICYDYTIKSLFLAGLLFL EGLMIFWLVMIIKLVVRVLRGGNAEDTRSDGEEEDEEHEITEPIEVVVDTDKLPYPLG LSSSGRGTSCVFQTAGGLTIAKDKKGYLDRIGCEQRISR FPSE_06628 MKAIQIKEFNAPYSVSEVDKPEPKPHQLLIQIKAGGFCHTDCMA LAGEFGSKLPFIGSHEPTGVVVEVGSEVEGFAEGDRVGCLNFDSCCGKYCLLKEYWDA RFAVKLPDALKFPTAACMMCAGATIYGGIKNAKVPAGGSIGIIGIGGLGHIGTQLAKA MGYQVAAIDAKKDTLDLVSSYTLKPDVCILASDPPEYSIKKITDVIKSDYPGLDAVVI ATDAPPAFDLAAQLTRKHGTMVLLGQPEKGITLSYQNIIFRDIKLVGSLLADRPVLEE LLKLVVEHDIQVKIKEWKIEDAEKMRQEYLTGKNSRKNVIVF FPSE_06627 MASNSINTVYVVTGGNRGIGLGLVKALVARAHSTVFATVRNDKS QSSLEAALEDVPRGNNSTISIVQLDFSNALSPEHIRAALDVDRVDVLINNAAISPPSY PALEIPISDLRSSFEINTIGPLTVTQGLWPYLKRSSAPKVVNVSSSVGCITFQEVVAG AYGPSKAALNWLTQALHLQNEGLVSFALHPGFVSTEMGDSAATEWGFPLSMLESVESS VEGMLKIIDGATREKTSGKFITNKGQELAW FPSE_06626 MLVLALLIFRCCYYKRYDLDRANQIDEELAEIRSGRGGYLPWEI QRSRIDGGDWNMINRYYRRHPELLVDED FPSE_06625 MRLKSAANGIDDRNHSTVPSRVWTSSRAETYRFCQKLSAWRSGS TPVTDVPFILLPGPFSLTAPGLVCISYFEDQHLTLFKMNRFPKASNQLGIHCAFMLGS TTESIFENKFEHAIASAIVQHPLFTVGRINEASKKQYWVRLDHIDFNNHITWQTVSEE EDHRKALDAALNWQANERYTELETRPQWRATILKSAKGNFVDVVFAWDHTVGDGKSGG FFHDSLLACLNQKPDNDTITLDDRYFKVPVTEFTPPLHHLLKLPVSPSFIVSTFSQDL FSQSKSDKLPHTANWAPIKMEPFESRLRSITLPNDVLKPVLDACRRQETTLTALLHSL ISVSMATRLAEDEARAFECGTPICLRQFQKPGMSKVDLKKTAINSVVYWPYKFEPGVV ATIRQQVSDAQASQELNKEIEDTVWSVAKSIRKGLSAKLKLGAKNDTLGLAKFITDWK SYLLDHAKTRTHSWEVSNLGVMQGDMAGNTGTEDYWRIEDATFTQSASVCGPALTFSV ISVKGEGITISCSWQTGVIDDGLAEGVSKDIGMWLNGLGRNGCINFTE FPSE_06624 MRSQHHSTVTIPIPRHIPPQVLLDYLQTYEPVLRHNPGMVSWAP STLNYETVIHDTFFDASDPNQSLRCFEAYEIIRLGPGVGRDCRWPIIFQRVPNGIVSR ADAPAKVISWTQWYVRARQNEQESTSISTPSTATPSSNGDEEWELYGIVTLEAHRMLI PWCRRNTTLYQEAIGQGIVNDVCLKHAAASGVTS FPSE_06623 MTADKAEKTNLPNHDLDAKTGETLSLQGSIQVAEEGVDNRARGR KVLRRIDLCLMPLLLVSYTLQFLDKQSLNFSSIMGIIDDLDLVGSRYSWCSSAFYFGY LAFSYPASFLMVRLPLGKYLAGSSLAWAIVLCCHAAVQSFEGLLVARFFLGVAEASIS PGFSLITGMWYTREEQPFRHGIWFLGNAIATMMGGLLAYGIAQIGGPLAAWRWLFIIF GLITLVWAVVLAIFLPDTPSTARFLDEQQRIDAVDRIRSNQTGMKNNNFKWDQVREVI TDPNVLLLMLFQLTFSIPNGAHTTFSSLVMAGFGFSRLEVYLLNMPMGAILAFFALGS TYLCSRYDGYRTIIGACLSLISLTGSLLVRYGPNQGSQLFGLWVFVAFAAGFPIALSM VASNVAGFTKKSVASAMMFMSYTAGNIIGPFLFFPSEAPGYSSGFLATTICFGVSTLT MLLLRFTIIRENKRRDKLQQNSVGVHEESDHLELSDITDRKNLNFRYVY FPSE_06622 MSFSTESLYDRISQSVAHHEHDLANILIQIHENPEYNYKEFKAH DNICNLMEQLGYNVKRQAYDIQTSFQVEFGKGGKLIVFNAEYDALPGLGHACGHNLIA TSSIAAFLATAEVIRDSNISGRVRLLGTPAEEGGGGKVHLIKAGAYEGVDACLMAHPT GRLSPPDSDNVDGVSAAKTSARRQLKVSFTGQSAHAGNTPWHGKNALDAVVSSYVNIS LLRQQIQPTERIHGVIRSGGSEPNIIPDSTDLEYYLRAAGVDQVKDISKKVEACFEAG AMATGCDVKCTCDSDQDYMELRPNMCMAGEFTKHMKAFGRDFIDDANQPPMGASTDMG NVSYCLPGIHPMFSIGTEDPEVQPHTPKFADAAGTKEAFIRALDCGMGLAATACELLL QPELLEKARDEFKQDVEPIGYRL FPSE_06621 MTDFRVRRLVLPVFPRLEVLGMSSSSPVADRRKARSKNGCLTCR IRKVKCDERRPVCKRCENSPNKCEWLEPGQSLSARGQPSKSFSSPVGYGSPRLIASSE SPNTSLTPTDSASPADYISPGGSREPPRPSLSAAHIALANSLHLSSEDCAAFAYIPGS MLVLCYGKLWPWSNFSYIYTHIASQYPGVMRSFIAVANMELRAKDLLAAQDAVDPAVV LERASRLGSAATVHYTQALQDLSSLLDHICQTAEQSCDIDALFTMWFLILRYEAHDSE TIGSSLLHLEGIRSFLRPYLQGDVQKMLPCVAQGMLLYTLYLDADSATGNINSGQFCL DFLSREAHDYISHEHLFLSVRSALPKMWGEHYPVSELLDDLKNYRPLRLYHLCQGAKL ELLRLARSTTHDGSEASSLKKLWRNVEHFGDEFVDILLLAKKTPSSGGKRLMWTVYAA ALDFHALQILCSSSDTYQETSFKPETSLSYIFTVATKALSEDPRQIYRFMWSLSVALG KTQSHGSQAWLSTQLARARVLLPRFGVPGLILEQCSGIQISNEG FPSE_06620 MWASQFLCLALQATGVLSRPAEPLLQEYPSPQGKGRGVWKSAYS KAQDFVSQLTIEEKVNVTRGFAADNVCAGNTGTIPRLGWPGLCLHDAGNGVRATDLVN SYPSALHVGASWDKNLTYQRGYYMGKEFKAKGVNVLLGPNVGPLGRTPLGGRNWEGFS VDPYLTGKLSAESIIGHQDAGVIANVKHFIANEQETYRRPYHGIEAVSSNIDDKTLHE YYLWPFVDSLKAGVASVMCSYNRVNGTYACENSKLMNGILKSELEFDGFVLLDWNAQH NLESANSGLDMVMPMGGFWGENLTMAVENGTVKEDRVTDMATRILAAWYLVGQDNDFP TPGIGMKNLSLPHEQVEARIPESRPTLLEGAIAGHVLVKNENNTLPFKKNPKMISVFG YDATVAASKNTGKLFELGYASSPEMAQAVLGDEHHFDQAARGGTIVSGGRAAANSPPY ISDPLSAIQQRASKDGGWVNWDLSSPDPDVNGASDVCLVFINAMATEGWDRDGLHDDF SDGLILNVASKCANTIVAIHAAGIRLVDQWIEHPNITATIIAHLPGQDSGDALVKLLY GEADFSGKLPYTMAKNESDYTPYEPCGREGDSADPQCDFTEGVYLDYRSFDDRDITPR YEFGFGLSYTKFDYSSLSVKVGQTGSAATGDLWETYATVQATVSNIGERSGEEVVQLY VAIPNSPPKQLRGFEKVKLDKGDSVEIPFEIMRRDLSVWDVVKQDWVLQSGNYTIFVG GSSRNLPLKESFTINN FPSE_06619 MAASSKPGSPGARARKIKSQPTRASQKSNSSSSSPSPAPSDIST TKAVYETPASSFSELERDAFTSTSTGIPRRKLPPSNVRKDKLCDRPSSCSSKKKTSSD EEGPGSSNGKSGAREGKKLQNPEDPGIRSTGTNDLLQWLKDATAELEISRLGETIFQS FHEKLSEEIRMRCRAEEQLKFVSVTSEKEEALREHSKLKAHTQDLEEKLKKSQDEFKK LQETQDKRLVLLPEYQGVRECSSKDVQEQGRPHKDTGDVLNEREIYLENMWDEAAEQR EAAMDQATVYERDMNVAMQKKDQLEIEKAELVERLERVKSNAFTQKLDLEKAEKESER HERENSVLMQDKDKLRMENAELVRKLESSESDAFRQKLDLERVKMESKQHERENITLK QENAELRKQLEAYKSESTKEQASQRDAMTQTELEIETIATSTEGTLGTFPDLTTGTIG DAATRENDEELNEVQVYEEDHPEEADEPHEDNQQSEEDKEQELREHDAIMKLSREKLE RLVATDNDVAPDGDAEPDKTEQQDTSEQQNTNKPSAEEDRTVTRSPVHMVSAAQQDVA PAETVPEPPIATPIPNSQRRRGNKAQRRPRIPSTERRATPPSV FPSE_06618 MSSHFVELYEQAPKSFILTLFLSIASTLYLIRRALLPKPIPGIP YNKNATNSIMGDMAEFRAAKNRREWWALQAVKHQSPLVQVFMKPFGLPWVFVADHFEA SDICMRRLKDFDRADITRQQFSGLTPLHQITLKTSDPQFKKNRELVRDLMSSSFLQQA TAPQIYHKFSTLMNLWDRKRDLSGGRPFDISHDIHNAAFDIILGASFGVDSGQGQIGK ELHELKSKTTIGGNDDAFEFEHVPFYDEELGCFDTLIESFNVSMRSPYPLFSHFLYRN LSPKMREANAMRAKLQDREIAKSIERREKGHPQRCALDSMMAREDAIAEKEGRKPDYR SQAILSELLGYLVAGHETTSGVLRWGMKYLTKDQRVQAILREAFRTGYADALEQKRVP TMDEILKAHIPYLDAVIEEILRHARVTPITLREAVEDTQILGHHIPKGTTIGFLGNGP GVMMPSIPVDLNKRSEASRAHVNKMELFDESNISQFVPERWLTTQTNEKGEEVTVFDH NNGPQQAFGLGPRACFGKKLAYMEIKAFFTFVFWEFKLEPVKAELATDEEMVALTRAP KNVYVKLTKVECCG FPSE_06617 MASETLTQTPIDTATLQSNADSPGESRPIEALQIEETSNEEDNI VFPTGPKLWSTMASMSIALFLSGLDITIVAVAVPSITDEFQTIADIGWYSAAYGMSLS AFVFFFGQIYTLFSTKAVFLIGIATFEIGALVCTLAPSSAVFILGRAISGLGRGAING GLFKLLKQCFPLSKQAMVNSLLSGVGSLGLITAPTIGGALVDAFSWRACFGVNIPLGV LCLILTWYGVHESSHHRENAPVLTLKEKLKKIDFLGTLIAVPAVTCLLMALQWGGTKF GWGSWQIILPLVFCALLFTAFGYLQYRQGDKALLPPRILKQRSIIAGMWFGACCEGVL AVTEYYMSIYFQGVRGYSPTKAGLLALPMVGGLSVAFVISGLGTTWLGYYYPFMLATS VLTPIVSGLLTTIDFEEQVTKAVILLTFLGLAVGLGLNSPLIALQAVLPMEDVSLGGA VLTFGAGMGSSLWICASATLFQDRLANEIKLSSPGTNVEELQKAGLSKMRESIGPERL KSVLGGYENAVIQTLYIPLALAVLTIVGSVSMERKSIKKKQS FPSE_06616 MTSSLGPYRIPQLEGGHRPGSDAIFNSRSKEDILTTLQKWKSNR ILLVHSKALANNTTIINDLKEALGDRLISAKEGVGSHSPYKDVIDIAHRITGENIDCV ICVGSGSYSDACKVARLMSATLPQGFEEKDMEALLDQEKGVAPQDKMKRAEGVKLILV PTSLSAGEWNHTASCTNSAGKKQHFTLKDGGAPDLILMDPWIARTAPERLWLSSGIRA VDHCIETLCNPECAKHPDVQEWCEQALRDLSKGLVEYKEGVNRGEEGKDELIHGISKC QAGSRMALMGFIVYRVNMGASHAIGHQLGSVGKVMHGITSCIMLPAVLRYTKDKNPEA QARIVKIFNETLGWNETEAGDCVARLVKIVDLPCTMKEVGVTQDEQIEQIIDKTMTDV MFSFGKILSRDEVSKIVYSAK FPSE_06615 MSSEILPQNGTVMQHDEKDNVLVLRNTDVLVSDGRIAEISQDIN KPEHASVIDCKGKIISLGLINTHHHRSQSQLQGLLGDCTMLDYMLAANLQSFNFTSKD IFWGQLAGCLEALDSGTTCVVDNAHTASQPEHGSAALSATIASGIRSVFCYGVMSLSA KVWTESSFELDRSPQPEWLLPQIDTLAAKAPFGDDQRVQLGFFFDSYFLPGNVISETM NHVKRAGVKLIASHYRHWPISKGQSRVPKQLSACGLLGPDILLTHGNGMTPEQASLLT SFGTYIVSTPDAEIFMASGADPVAFKEDLPLTCLGADCHSCGPVNMMHQMQIALASDR AMQNTKTFSSGHYSRKPRASIQAAFNLATIKAARAINMDKEIGSIAVGKLADLIIFDT SSPSLGCAAENDPLTAIVRQAGVREVETVIVGGKVRKRDGVLQDVYLDEGSREGGFDL QQEAAVVGNGYSWKQVAEELSLSRRDIQERIGKTNRDMAKSKLLGMLGGLDNTLVD FPSE_06614 MPRLAQVLLAAGVTLLGLTSAQCNLTSKASIATADGVEQKLLRT GLQRPRHLVVDTEGNLLIAESGNKGVRRVVLDGGKDLDVCIDSDKALISDANLNHGIA LTADGKTILVSSPSEVYAYDYDASKGSVGSRRTVITGMDGSATHSTRTLTIPLAGNPN LLLVAGGSDGNLDTATVDKNVVRSQVRVFKIDELLSAEAPLEYSKASLFGWGLRNSVG WAEDPSTGNIWSVENSVDNLYRGDVDIHDSNPGEEFNFHGLPNDTSSAQYGANYGYPG CFAIYDTSNVKEYPGGAEVGKQMAATPQGEKDLGFTDEECQEKQAPRITFGSHLAPLD VKFRDGSAAYISFHGSWNRNPGDGYRVSKVDFANGKPVSSSKDPKAEVPLMWNTDNTK CPSGCFRPAGLAFDKAGRLFMTSDSTGELYVLTGV FPSE_06613 MLDSFSPRKVLTTLALSAGLVAAQFPPQGPPQGQAALQTITDFG EIYNTQLKLQAYIPSNLPASPSIILALHMCGGTGEQYFQQTNYKSMADQKGVVVLYPS SPKDDNCWDVASKQTLMHDGQGDSQALINMVDWAIKQFNADPKKVFVTGSSSGCMMTN VMAATYPDRFTAATCYSGVAAGCMAGAPGSSPNSSGRECSDGKVRKSGEDWAAQVRAM YPGYKGAYPKFKTFHGTADYFVFYQNFLEQVKEWSAIHGVESTGKETDGQGYTTETFG DGSKFVSVSAEGVGHTVPVHPDLDFQWFGLN FPSE_06612 MAQILETLAPEAEEPLFPIDETIELIWPTMFSGQAILWTCQLIK NINHMLMSIKPPELPA FPSE_06611 MPILNPSVRIFTTYVKPSTFYTIKETLQIWFMADRTKGQRFVVV FTIPKTAFAPVEWLDEPSAEWRSLPLTPFKHKVKSVKGLLDGWRKAVSIVQLLEGITW TEPRNGLETLSLGAREVRELKIDHSQQTCRWVPRKAKGLQPAPALASWDENFKLMVEQ FGNGFTIVGCDPPDIKDKFWSALGVDLAWQTLDGVFGCDFCKYSESSRKIHCARYPNR TDTWVCTRCSKMNRPCTYTPRSVSLELWGDDPPYLGRSSSGLLHWVADKLTS FPSE_06610 MSLEHISTPVRISAPFVMQPLEVLDLEEEDNVLEADHEDEDDEE E FPSE_06609 MAGGIPVAAPALAGGYLSGRALIFPLSLVISLFFLWGFSYGLLD VLNKHFQTVLGISRLESTGLQVMYFGGGYLLFSPIAAEVLKRRGYKQTILMGLSLYSL GAILFWPVAKSAETSTNSRAVFGGFCCTTLVIACGLATLETAANSYAVVIGNPATANA RLQFCQSWNGVASFIGPLIASKFFFSGANQNSLTNVQFVYLAVSCAGAAVAVLFFFAK LPEISEAVIEDEAGHSNEGSIWKQYNMWFAFVAQFCYVGAQVTVATFFINYAHENGGV STASASNMLSYALITFTVGRFVATGLAVFLRAEFIMVVYSCCAIALTAYCSAGSGAAS IGVLIAIFFFEAPMYPTIFALGTANLGRHTRRAAGILVMGVSGGAVFPPIQGAIADAA STRISYVVPTVGFVYVLAYVTVCWVRGGMKILVEKTPESQVDEITGDEKNDVIIEQHE KKASDMA FPSE_06608 MDFHETRARIVEYDIYIHHKARKKGEFTDFTFICEGGDIQVHRI IICSQSPVFRAACAGQFKLIPFQEALSGTYNLMSDHPDMVQLMVDYLYTGDYSVDMDG TSEEDASDASTSLSIHAVMYSLGDKYDIEGLRNVSTKKYCTELHGSLSIDEFFSSVPF VYGLTPENSRDLRDPVLAFARNLLGGEGPTTLGFVREAMDELFIECPEFVKELLYSLL QTPLMGYCPCTGTLDMVPVEARECRCRKCVAKAERALQDLISSYRESDDPTKIPDIIS LARQTLAITIDGTEQRPMWLHALAWSLYQKYKHIEADGDCIQESSCLERKARDASPVD SADFDKYSYWLGLQLGDLFFHTEDISDLNESIALTESSLRKMRDGNPSKVTQQLNLGV LLKEKYNRIGDEQEFLDAAKNIEEALALATDEHHDRSMWYEIFAELYDLRHRNLRLLD DLAKVVQLRRKSLSAIENNNEPASSSTIKADQKAAKQTHQFNLALSLDEIYVERRSLG DLQESIAMVKEAISATPKEDPDWLVLIRFLYLRLHSLYLETGVLEDLEESISLNRQFI EKGGEEHPDMPAQLNNISVNLMAIFERTGDPAQVDESIRYGLESLRVVSKDDPGYKLV LSNLATALSVRARVTGSLVDLDDAIFYGRSALNATSEEDPDRHVQFHNLASALLKKYN RSYGDMDLLHEAIVMAKKALSCVSDDHPDRETYQNRLASALSSRYDALKLAQDLEESI KLSRDVARAMTDKYTGRTSARSTFAILLQKQVRDGGGSLEDLDEAIEISQKALDLTPE DSPSRTEHWTILGGFYMETAVEYYRSAVTHPTGDPLRRVIAATAIVHLCPEFEQAYEI GLAALDMVPMLASVRSLETADRQYLLSHAAGLAANTAGAALRIGKKPSEALSILEQGR GLLASSLDEIRTDIKDLQNAFPELADEFVKLRSELDSSDNTPSKEKDMLNGRRRHDAG KAFDDLLNQIRLKPGFEGFLGPLTTDQMLSAAARGPVVVINSSWMGIEAIIIQEEKLS SLAFANKMEYNAIYNVAENDYGSPETLELLWTSIMDAILKELGFTETPPEGQEWPHVW WIPTGHLSRFPIHAAGLHLQRSGETVMDRVISSYSPSVKALVHGRRRRMTPKGPAQAL LVAMEQTQNQAPLPKAMEEVELVSKICDSMGVKSVVAGSGKQDALDHLRSSQIFHFAG HGYTDSTNPSKSHLCFGDVNDPLTVANLLELNLHEESPFLAYLSACSTGRVQDEDYID ESIHLISACQLAGFRHIIGTLWRVQDEHCVDVARITYETIKEEGMTNDSVCRGLHKAM RLMRDAWLEVIDEDDDSASRTVREARDIISCEDDSVAPAYWVPYVHFGI FPSE_06607 MSSTKELRMAIEHTTRQFLGAYKDCGEANDPSIINRDVTEDCER HFLPTGVMALVGAPSVVKLNNAAYEAAVAQDMTKSVVTGTRISNLVIDTEACKAAATT VTDVKFHDGEVVAMEHSWVLEFNEDGSKVSKVVEFCDMDGVRRMVGKS FPSE_06606 MANEYDIDMLKEESQQIERAESKDNAETTQDAKFEKRVLRKIDT RLLPILGCLYTIALVDRSNVAVARISGMDEDLGLAQGNRVSIALMVFFIGYIIFEIPS NAFIHKLGAANWLAFLAVAWGLVSLGIGFLHNWIGLAILRSLLGVFEAGFFPGCVYLV SSWYKRYEVQKRMAGFFLTASALSAFANILAYGLIQISKHHHYKGWRWIFIVEGTITV IAGIGSWFIIVDFPDSDRNTFLTAEERAFVKARLAEDRGPEEHEKVTMKILATTAADW KPWAYSLMYMAGAVGVYAFLFFLPIILRGGLGYSLELSFILSTPPALFAVVEAMTISW LADKFQMRGPFVIFQGLVGIIGLCMTGFLNSPTPRYIGTFLGVAGANGLVVTTLAWQA NNIVGDARRAVSTGILISVSGVGGIYSSMVFRQQDAPNYLPGIIAVMAINVAAVVVAA LTMLVLRHNNKLADEGKYLCEGREGFRYTL FPSE_06605 MEMSRIRLQPRGKSTSMSREPNSSKKRSTACQRCNKRRTKCDGS IIGVPCSACKKSNNHDQCALMQSKRKRGPDGRYTLEPAASSPSLRISDDISVNNAIDG SMGSPTPRHEQVVGNGSERAPSSSQAGSPSQLTIQPSVVGESWYASYVMRGYTPGHSS VHRPIGECNETVQDVTFATGANDVSRNLPQPQDKPALSDLPRPDMVDSLIKAYFDRFH TFCPILSKRSFFTSIHDGTVSATLLRSILFVASLHCDLEVLHRMGYSTRLDANHDLFT NASASFDLDRDSSRLDMILSSYLLHYWFGNPTAYRDCHWWIAAAIRSAQCTGYHRSTS NSRMCPEQRSRWKRIWWCLYVRDRQIAISTGTPMVINDMDYDVEELVMDDLIDESAET AQYIVAQRLQRQCNKSRETKLVVDAATQVFNLVENSLLYWTPEHFPMIYVSALFSAMM ALAVDGSATAPRSDQMFVKIRRGLLALKQFEQVYNVARWIRNFFMDILNRSDSGGGSR GEVPAASPPSNGITSEIRVPEESARHPVIQEEPAHLLAGTSSEADQLTFVASDLNLGG TTFMLDHDSGDVGAEGGGFWPTYLANGVFSNTSTGDAIDFPQPDSTQYQAMYFLADLG LSNGTAGPVYDGLIRLWAYMLGLAVVGAGYAVTTFDWSKRPSSSSTQPLASTPTIQHD ITAANIRAATKEFAALLGAENVSTDQADLITHSGSDYQSYAWTEEQAICSNVILYPET TEQVSELMKVCSRRRLPVTPYSGGTSIEGQYIPHFRGICVDFGRMSSIVELNKDDLDC VVQPGIGWMDLNEELATHGLFFPPDPGPGAMIGGMVGTGCSGTNAAAYGTMKDWVLSL TVVLADGTIIKTRQRARKSSAGYDLTRTFIGSEGTLGLVTEATLKLAVKPPCEAVAVC TFPSLRDAASAVRDVLSAGIQVAAVEILDDVQMKSINESALTRIKWKEEPTLFFKFTG SDDFIVEHVAKKVGVITKQNRSTAYTFASDETERNELWSARKNALWSMLAMRKSPTDK VWTTDVAVPMSRLPDIIDFAKKDIEKSGLVGSVVGHVGDGNFHTLLLFPEDKRQVAED IVHRMVDKAIEMKGTATGEHGVGLVKRDYLEKELGKDTVDMMRSMKNAFDPMCILNCD KVIRMQAAS FPSE_06604 MWHTYVLPLSHEFESIKSAIGALGGAHKAFKLQTQTDSLTQSLA QSYELASVKQYNNAIQIMKQYMESPERNLQVVLTCCLIFICIESLYGRYMNVTRHLEA AFSLLNHDHISNYGAATGTLSAQKDLAKFMENIGPSLCGLTSDLFFFMGESQSSKLVS ELQKWLHRQDPIYLKEPGAPFFSVQAAASWRTQMETMNEVAMYTECPLCSSMGYPCGK DATVCSRFEHGLDTASFLRYWSARYSALNLTFDPSKASASDLSRFKVLELEETTWRAR FKLNSIKGDLEAPDCMDILKKAESIIELSKGETGHIFNFQANLIPPIAYVIISCQDEK IQWEGVRLLRSLGRREGVWDSKKVAEIYADMITAKANKLLSWDDIPPDVPQLTELLSS LKLVTLPESQQ FPSE_06603 MLGPLVFTLCLASSAIATPDDAGLVSAQVVGKSLNIRALPNSPS GGYAPKVVDCPSTRPKVRLANGLSDQEEAWVRRRRNNTIDPMTDLLSRVTISGFDAKK WIEKNKNNATALPNIAIAASGGGYRALMNGAGFISAADSRNNKTGPISGLLQSATYLA GLSGGGWLVGSIFANNFSTIPDLQKGEKHSDIWAFDRSIFKGPEKSGLGVLNTADYWD DIKDAVDDKAEGWNTTLTDWWGRALSYQLIDASKGGPAYTFSSIADTSNFKDADTPFP ILVSDGRAPGQRIISLNATVYEFNPFEFGTWDPTTYGFAPTEYIGSNFTDGTVEKGGE CVRGFDQFGYVMGTSSTLFNQFLLQNITKIGEENKIPSIVIKAIKGVLTALDTADEDI ADYSPNPFYKWDPTGKSYNAKHHQLTLVDGGEDLQNIPLHPLIQPVRGVDIIFAVDSS ADTEHNWPNGTALRATYDRVDSPLGNGTQFPSIPSAETFINEKLNQRPTLFGCDANNF TLSNGQSPPPLVFYIPNAPYTFQSNVSTFDLSYSIPERDSIILNALNGATQGNATIDK EWPTCVVCAIMSRSWWKANETVPKECSTCFDRYCWDGKTNNTAVKKYEPNFIISNETA AAEDNAATAGFAPSWYMSVFVGVTLLLSI FPSE_06602 MVKNIFARPKDDSANPAPPEVYNWRIYALAASAALGSSMFGYDS AFIGGTLSLPSFQERFNLASETGTALASLKANIVSTFQGGCFFGVLLCYYMTERLGRR WVLILCGIIFNLGAIIQLVADGKLSFIYAGRVLTGLAVGASSMIIPVYISESGPPAIR GRLIGIFEIFLQFSQIIGFWVNYGVNIHISPTSDVQWHIPFGLQLAPGTLLVICMFFQ PESPRWLLNAGRTDQARKVLQRLRQLPGDHAYLNWEIDTVLNQIEEEKSMGADRSFFA KLREVVGPTNRPRLLLGIALMFIQNMSGINALNYYSPSIFKSIGFTGTSVGLLATGIF GIVKASATGLYMIWGVDALGRRQSLMIGSTGAAIALFYLGIYSKLSGSFDAGMVPAEK TPGAYVAIVMVYIFAIFYAISWNGIPWIFCAEIFPMAIRSICLVFTTCAQWLGQFIIV YSTPYMMKDITYGTFLLFACSVVFGLFFAFFLVPETKGISLEDMDVLFSRKGMPRTWR RQTNDVIAQRRAGEEVYSKQGESVVHDEKV FPSE_06601 MDDSQLQQPRRTYLFGYPLQHSLAPLLHSTIFESLDVPWTYDLI ESIDKNDFIPNLKASDCVGAAVTMPHKVAWINECDEVTDEGRAIGAINTVYIRNDQVT GERKYIGTNTDCVGVRESFLQNSPGITEKSKGKSALVIGGGGACRSAVYALYKWLGAS EIYLVNRLKEEADAVIESFSGLEDGPKMTYISSLEQAKQLATPVLVVGTIPDFEPSTE GEILARDVVTELVQREEKGVLLEMCYHPRIVTRLYRIAEDNGWKVIPGTEAMIYQGIA QEVLWMQKPLSDMPLEAAKAAIAKVLGSQ FPSE_06600 MVANDNSLPLCQRHEDSQVSTTKDMRYTSVNKAQAVEKRQFFIF GHNISHSLSPTLHNAGFQELGLPHHYQIHETENVDQSVERIINSPDFGGASVTFPHKL QISKLLHSVSEQGNNIDAINTVVVKEKDGQRLLHGDNTDWIGIRRCILKSGSQDFTSS SALVLGAGGAARAACYAIKTLGFRELIVVNRTLSKAEELASKFSELKTRAVTSLEEAE KTGNADIGLIVACIPADDLGEDKVPSGLFSKSRQGVLVEMAYRPQVTGMMTVAQRNPG WKVYRGVDVLEEQAYAQFELWTGKQAPIKAMRSAMQVRVASA FPSE_06599 MSTTPHPDDETANFTRSPYTPSTRAGTPKDLPEYSNAPTPKTPK SRTYSPDAIRRATEYAPDASIALIGMRGSGLSTLAVLASSTLGFRVLDADQYFYKVTG LSRAAYKAAHGISKYRHEELQLMRSMLFDNPTGAIIVCGPGAVEGKGKEWLTEFAKEH LVIYILRDAEDIQRHLRVFDLDTIRNLIRRATPAYRRLSNFEYYNTSDTSLQKSDTSP SRGDLSPSALALKNVEKDFLNLIHGIVRRDDSYGKYKAQHSLSCLPLVSRSFTYALSI PLATLIALVSELREVDIEADAVEFSIPMSTLCKDGHELDDTATDSISRQVSIVRRNIC LPIIYQVNSYDMQQVNADEYFRFLDHGLRLGAEYLCVDLAFDTARIQNLVSSKGQTKI IGHYSASDNGDDGWDSPKQWAMIQRAHTLGCDILRICKKATSLADNFAAQHFVHRVKA SQQYTIPIIAYNTGHLGRMSCYLNSILSPVTHPLIRNLAPDCPSSHSRLTVKESQKAT FASFLLDDQFFGIYGNNTSKSLSPAMHEAAFKLLGMPHRYNIYTKDSMDDLFEMVKNV KFGGASITAPFKTAVIPKLDFLSEEAKAIGAVNTMICLKSPTVDSLLDRNTAGPTVAL FGENTDWIGIHTCVQRNLSPINAVRRRTTGLIVGAGGMARAAAYAFLRLGIKTIVVHN RTRSKAEELIKQFKSQENSTNRHDEAGFPGSERKSESPDRMVDPVFKILDSKKDKWPE DLSLPTIVVSCIATKDVDGKCSVDTSLPGDWLSSPTGGVVIELSYTPLETPLLQQAKQ LADRGWIAVDGLQVLPEQGMMQFELFTTRRAPANVMRQAVFRAYNKRVRR FPSE_06598 MSTTTFPVNNSLPAKPLPRGPKRARTEPDESDEGSYGRHRHRVT RACNECRRRKDRCGGQRPTCMSCTENDRVCSYGPAKKRGLRPGYVRAIEALLGLMITT IEGSESWICGLLQGNAEQISLRPASLELQESDISVDFLLETWRKCNLAKKAGELLAPE SLEMDEDGTDSTRYFDTKVVEALALSQSSRDGDTTALLTPMNTDTTPHEVTTIDSPHT LSVPTSNHSVEAVSQVSTICQQNDPAPSCTGSSLPPIPQLPTNWPHLMDVYFETTHSW LPISQKHELLRTAYTVSNNPSTSTNAPSSGEMAFLHAVLMYASHQASTIQTLPKPSLD DNYGDASPQALTRSSLFSNPVTYDLGHIRAFLVLSLLDMDRDCWDKAWISIGRAVYTA ISLGFISRNSTEGQPVYNDDIKRTLSGCVTLETIIASRLSTATCLQSSDVSLESLLIT DGIEEWEPWQPRILVDTRSNATRQVSNPHMPGHVISTFNRLLQVIAHLNDLVNKKKQP ISDEALYGIMIACQQNLLEDPTTAADIPPQKLGIWMASVATLEIAAAERLASHGTHSR RPDGYWQSISSLVQLIETRAHFLGRCSVSPVVQSCLELLNKATARHQLQYADAAFRDE FDLLHRTIAASMGSLQAVSNRELQTDVTSITPTTNIVEPIAHAFANESTPLRADTISD ISAVAMSLGTQLEMIHSTIADGNVTTTFLPQSLDVEENASFETTRSPLEEDLEDDGLF DSLATLDSTDWLANPPEFMQHLGLLDNPSKTMENIFDMEF FPSE_06597 MAAYQPAILSASLGRAWVHEFAEKAKQASCQGFQGIEVFYEDLE YEAKRLHNVETPSDGQILSAASSIRQLLDELNLTVIGLQPFLFYEGLLDREQHARLIE KIKLWFKIAKLLGTNTIQIPANFLPADQLTGEMDVIVSDLVELADMGLEQDPPIRFAY EALCWSTHVDTWEKSWEVAQKVNRPNFGLCLDTFNIAGRVWGDPASPTGMTPNADQDM KESLERLVRDVTLDKVFYIQVVDAERMESPLVKGHPFHVEGNPARMNWSRNARAFMYE EDRGAYLPVEKIAKVLIQDMGYKGYVSMELFSRTMSEEGKDVPQKHAERGIKAWHKLV ERLQLN FPSE_06596 MASQDQERRTLHLVGIGVGHSIAPPMHNHIAKSLGLPWTFYATE CGTLDNLMDLARKDTTSGLVVTMPYKNAILPRLDVLDDLSTTIGACNNVYRDWEDPKK LHGTNTDWRGIKGCLLEKGDQPGVPVLNKPALIVGAGGASRAAVYALNSYFKSSVIYV LNRDAQEVEDLVKDSQKLSPIPKIVHVKEGEAQNLETPYYVVGTVPDLEPKTPEELAV KASLQEFLSRPEKGVLLDMCFKPRRTRMIKLAEGKGWPTVEGTHVIGYQIEEQWKLWT GPEKVKKLDKEGAWKVLMAGAESSAGINF FPSE_06595 MTSTKPTCSLCDLSFDNSQEHRVHAKSESHVAALRQRAVASGLI DETIDDDHTYSSHTQAMGASHGQRSGSDTDTADEVSDDVVPDFEPAKCIICTQSNESF DDNVQHMKTAHSLRIPYENHLSVDLETLVWYLHFVINTYRECIYCGTRSRTVQGIQQH MVDKGHCRIELSEEMLEFYDLEGLKKYKTDNGVAIDSETLRLSSGKLLSHRTAPAPKQ PHRQTAQDDTENQDYPASLPGTVSPDALTKKDAALASQLARLSVRDQQSLVHMSSSEQ RSFLLQRKKELETAQRSERKMRLKTERVNNKTFMKHFQNDVPGPKNG FPSE_06594 MASRSMESLPDSGHENGSTSNHSSHDPQHSTGSTYGQSSISRAN TMIMDEQDRQELHRLATGISQHRRQSVSSLASTIPVDEERDPALDPTNKAFDLSKWLP SFMHRLQDAGVGPKSAGVAFKDLSVSGTGAALQLQKTLGDVLLGPLRIAQYLRSGKKE PKTILHRFDGLLQGGETLIVLGRPGSGCSTLLKTMTGELQGLSVSQHSIITYNGVSQK DMMKEFKGETEYNQEVDKHFPHLTVGQTLEFAAACRMPSNAETVLGMSRDEACKSATK IVMAVCGLTHTYNTMVGNDFIRGVSGGERKRVSIAEMMLAQSPMAAWDNSTRGLDSAT ALKFAAAIRLASDYTGSANALAIYQASQAIYDLFDKAVVLYEGRQIYFGPANKAKAYF ERMGWQCPQRQTVGDFLTSATNPQERKARPGMEKSVPRTAEEFERYWHNSQEYKILRE EIERYQGKYHVDNRSEAMAPLRERKNLIQEKHVPRKSPYIISLGTQIRLTTRRAYQRI WNDIVATATHTITPIIMAVIIGSVYYGTEDDTGSFYSKGAVLFMGVLINGFAAIAEIN NLYAQRPIVEKHASYAFYHPAAEAISGVAADIPIKFVSATVFNIVLYFMSGLRREAGA FFLYFLISFISTFVMSGIFRTLAAVTKTVSQAMTLAGPMILALVIYTGFMIHVPQMVD WFGWIRWINPIYYAFEILVSNEFHGRDFECSTYIPAYPQLIGDSWICSTVGAVAGQRA VSGDDFIETNYEYYYSHVWRNFGILLTFLVFFMAVYFTATELNSKTSSKAEVLVFQRG RVPAHLQSGADRSAMNEELAVPEKNAQGTDTTTALEPQTDIFTWRDVVYDIEIKGEPR RLLDHVTGWVKPGTLTALMGVSGAGKTTLLDVLAQRTSMGVITGDMFVNGKPLDASFQ RKTGYVQQQDLHLETSTVRESLRFSAMLRQPSTISTHEKEEWVEKVIDMLNMRDFASA VVGVPGEGLNVEQRKLLTIGVELAAKPKLLLFLDEPTSGLDSQSSWAIVAFLRKLADA GQAILCTVHQPSAILFQEFDILLFLAQGGRTVYFGDIGENSRTLLNYFERQGARACGD DENPAEWMLEIVNNARSSKGEDWHTAWKASQERVDVEAEVERIHSAMAEKASEDDAAS HAEFAMPFIAQLREVTIRVFQQYWRMPNYIMAKVVLCTVSGLFIGFSFFNADSTFAGM QNILFSVFMIITVFTAVVQQIHPHFITQRELYEVRERPSKAYSWKAFLIANVVVEVPY QIVTGILMFGAFYYPVIGIQGSARQGLVLLFMIQLMLYASSFAQMTIAALPNALTAAS IVTLLVLMSLTFCGVLQPPGELPGFWMFMYRVSPFTYWLAGIVSTILAGRPIECSEDE TSTFNPPSGTTCGEYMAEYLKLAPGKLQNPEATQECQYCALVNADQFLANSKIYWGER WRNYGLVWAYVAFNIFIAVLSYYAFRVKKWNLGKKKRA FPSE_06593 MACTAPFLLSGDAHINNELTAEGSENGHSELRKPSTRKVARKRK ATDCQSNAKKQKSIDWEFGMFDDMFYKGDGSTSDDIPNKGKSGPGTAKKLIKKPRTTV TILIH FPSE_06592 MKLSRVSLTLLGLVPLVSGLGQQAIVSFNSSDDAFQIVGGDAGK GQIRVSEDEYWGVIRAAGDLAVDFGRVTGTNFTLSNGKKDASAAKYTFEPVDVKNNTF YRTLKEESFSGPAYSDPDEANTVIIVGTIGHSKLIDSLIDDGLIDVSKIEGKWESFVS QLVKDPLNGTAQALVIAGSDPRGTIYGIYDVSEQIGVSPWYWWADVPVRKRDEIYVTS KPKVQKSPSVKYRGIFLNDEQPGLSSWVGSRWKPTWNNAAPYNHEFYALVSELLLRLR ANYLWPTVWGSMVYIDDPFNQPLLDAYEIVLGGSHTEPMMRAQNEFKNFYEGDWQYHT NNETIDEYFRYGVERAKPYARNSMWTMAMRGTGDTAIEGNIGIDGIVDMLETLVDNQR EILKKGLKVDNLTDVPSLWCLYKEVQSYQERGLEVPDDITLLWADDNWGNIRRLPLAN ETDRSGGAGVYYHFDYVGDPRNYKWINTIQLEKTTEQMTLAYHRNARRIWIVNVGDLK PKEIPISHFMDLAYDTERWETSTTKWVEAWVEREFGSEHVEAITSIMTRYGMYAARRK FELLEPWVYSVINYNEAEAILEQWATLREDTQAVYDKIDSEFQPAFFEMLLHPVLGGE IVNKIQIYGARNQLYAGQKRNSANDVIWKSLDLMYKDSNLTQRWNEVLDGKWAHIMDQ THLGYDGYWQQPMRNSLPDLRFVQDVFPSLGGQYGLGVEGSNATIMGDSKWHSLSSNV LELPPLEPFGAQSRYIDVFHRGPKSCNWFAAPWEDYVKLSHYNGTVGGNNGSDSRVYV TVDWDKAPKAPNTTEVFINVTSDCQGFERYSGRDPKIVATVINRELPESFEEGFVESD GHVSIEASHYQKIREGDSNSSSLEYRTIKNYGRTLAGVGLYPLDTEKLDVGEGPALEY DMYLYTNTSAANVTIFMSPGANYLGDRNPLEYAIVLYPSGEEQPDTTLVRPIGPNVGT NMPDGWGYAVGNAVWGLYGNYTTSSFEVPKEGAYTLRIWALLPNILIQKIVVDLGGVR QSYLGPPESFLLGRDEQGKYNGTSFASTSGILGGTYDKSS FPSE_06591 MRYTEILALAMGNVAAAQFTTTRFANTTTAAFETETATGTVTIG TETTGTETTAASTSTAAGGIGNPDGFNFLGCFSGNGFPSFTLAYTGEDNDADKCAEEC AGSNFLGLFDDRCYCGSDLDLDTANSVGTSECDIICPGDDSVNCGGRTSSSRVMRRQS VDINILLSIYVAIGVNPGETDTVINTDFITETLPASTTTATVTFTESGEVATKTVTTV LPVVPTSVIIICYGNYCAPQVHCPTCTKWQVVCEDDLCAPRECYDDTWNKLKICKNGS CYYSDYKNEECNQKITCHGSSCKVDYSVDYARKFVCDVEEDRYYFDQCKDDCYSYEKC SHGECEPVHPPVSPPKPVSPPKPMVPGKPPVVVVPEPKPEHPVKPEHPMKPETPMKPE APKPSKPVQPEHPVAPKPEHPAPPATPEHPAPPMKPEHPEAPVTPEHPETGKPEVPVV TAGAAKSFAGLAAAIAGFAFVL FPSE_06590 MSFEIYTGSWTDWSRGRVLGATLTLSSRDASLLLAFIAAFVTVV AIRLWLIIAFTTHQLAAAGGKHDGLYYQRQVILRNVKSAPAAAWLFLQQAWHWRGIAT SSFSRTLPLALFCIIYSVGFAILAVFSSQISDSASTYRLLRSPSCGFQIPSEEYQKAT FDNQRAALYSKECYSNTSSPMCNTLPTRKLEWASSSVDCPFGGKVCLDTPSLKMESGM IDTHYDLGLNNPPKNRLKYKRETVCSPLNTGDGFTQYINGSEADSLGWQDNVLIRYLY GRNLNGTINHTHIYNTFGRNINIGYSTWTFFYPYNSVWQPVDELLVPDTDLTLMLIAP NSVVHLKPNDDPVFAASIPMNAQGAFGYLPDRWVSPIACIDQHQLCNPNNDKCTPLLD RQRFVESAMKDSLALNVAQIVTAQRLRLVLWESSLFYHTIWTQTQSFLRAQEKVAGIT GLPLPSNQWEIEMSALFNTTLANLQYHMMEYAAGSSVPTAVNITEPWDDPSADSGWAT AYKNMCYNQRTKETQGTLNFSILGLGLLFGLGLYIIVLSFILEFLMAWIQKWLGRGIL RARRWERDATLQQMRLLYEIQGSGDWKGTTEDFPCTVTGEYFGHDEEVISSTTVEVRQ ARPS FPSE_06589 MDSENIIFYDISPRPPVEKNAHAPNPWKSRLALNFKGVPYTTTW VAMTDIAKTRIGLNVPAGRKFADGKDFYTLPIMQDPTTGAVLGDSFDIALYLNKTYPG GGDLFPAQKLDFDYEQPYILIPLSDCSNKEFPDYAKFNMNIDAAFTAHLQLGVQGMPF HPATEEQTKAEFVRRAGVSGWDDFALSDEGRVKLLESLKNMLGDLAVLFTRDNSGPFL LGSQVTYADIIVGAWLRMMHVTFPEDEWQQVISWHQGVFGKLHDGLEVFAEVK FPSE_06588 METEFPLSRYRAGGGHPSGQRNGIEETLEESFLSHYAQLFNKYA GADGKWRRDQIGLFMHHVQAENPNGLAAYLTDNEELNLHELIKYLTSPCGNILEMAVP QDLSWPLNNYFISSSHNTYLTGNQLSSDSSAEAYKDALLRGCRCIEIDVWDGEEKWKP GFENEEQNDDGNNREVGAEQQKIGLRQRTFIKFGRWAMNKFDPVDPDGKTFDDRLEDC IHAEPRVLHGFTMTKEVSFRDVCETVREYAFTTSELPLIVSLEVHCSPLQQNTMVDIM KETWSDYLLDEPECDPAHLPSPHELRKKILIKVKYVPEDKTESDASDDGSLLEVVTEG NEKKTKKVKAPKITSRLSRLGIHTRGISFKSLDEPEATMPNHIFSLSEDAASAIQRRL PKALFSHNRRYLMRTYPHGMRVDSSNYDPVLFWRAGVQVVALNWQSWDVGMILNEGMF MGSDGYILKPKGYCHESASNDDDVSIPSKTLERLAITVVAAQNLPLLKSTDDPAKFIP YVKIGFHTEPNAFSAMTDEDQSPEKMKQVGYSGQTCKSKGTSPSFNEETIEFLNVQGV VPELSFLSFRVMNDVPGLDVMAAWACVRLDRLRLGYRFLRLLDKDGMPSKGILLVKVT MREGSTS FPSE_06587 MATQIANPVVNCSDPSSSSDNEKGDDTDHSQLGCQSPTATPPPF EFDDPKGWIAVVAAACSLFVYLGVIYSWGIMQVRLVEVTGTSLTTLTFVGSLATSFMI SLSILSGMAVRKLGYQKTAFAGGLLMGLGEFLASWTTKHVGALFVFHGVMFGIGGGLS IFACSTAPLRWFKRHRGLAMGIVFGGGSLGAAIMSIATNLLVKQLDVAWTFRILAFML WGVCIPASYFIQQPTGSVNAGLKLQWYRVKEPRFLLIIGGTAMSCFPLFIPPYFIPIF SRSMGYSNQIAIVILAAWNLASTVGRVLGGYTADHLLGPLNSLIVCLLFIGLSSLVVW PLASSVGIFSVFLIFNGIGCGAFFSLVPPMLGATMGPENTLGILPIVWTTWFCGFFFG TPIASGIYSLAGSSGDEDVSTFRPAAYYAGCMSLAGLIFIIIIRLSISKRLLVCI FPSE_06586 MAPSTIADFNDVPCHQPSTPIKPIHREGALMHRSLVQHPYMVES AQGIELKLSSGQTIIDACGGAAVALIGHGREEVIQAITDQARKVSYVHTQSYTTAPAE ELADVLLQGNPYGLEKAFFVGSGSEAVESALKLARQYHYEKGDLEKVHIVSRRQCYHG NTMATMSISTNIARRAPYHGFLYPNVSHVSPAFAYHYKDGSESEDQFTARLLVELEEE FLRVGPQNVAAFIAETVVGATSGCVAAPAGYFKGVRALCDKYDILMILDEIMCGIGRT GTFFAFEQEGVVPDITTVAKGLGGGYAAIAGVLIHKRVVDVLRQGSAAFNHGHTYQAH PISCAAALAVQKIIRRDNLVERCAEMGFVLERMLREDLSGCRSVGDIRGRGLFWGVEF VKDRQSKQPFDAAAKFGLLVQQLAFEKGVALYPGGATIDGVKGDHILLAPPFTVTEGQ LRTISQTLKQAVEAAERTVFLD FPSE_06585 MATTMEASPDNDKASVNMAEHVDKVQSVEGAGWSEADTNRLIRK IDWSIIPFVSILYLLSFLDRTNIGNARLDTLELDLGMTGLMYNHALVIFFPFYIIAEI PSNMAMKRFRPWIWIPSMMVAWGICCTLMGIVQSYPGLMVARGFLGLTEGGLFPGIAY YITMWYRRHECGFRMAIFFSAATAAGVFGGFLARGIMELRGEAGLAGWQWIFIIEGLL TVVVALVSFKLMSDYPDRAKFITEEERKHIQERLQLDRSGLAEEFEMRYARDAFRDWK IWVHMFIAAGTFTAVYAYSLFLPTIIRDLGYSSTTAQLMSTPPYLVACVICVGAGWWA DKIQQRGIFMIIFISMSALGLILLMAVEHPGVRYFGCFLLASGTFPCVPQGIAWNSNN IGGSLKRGVGIAMNVSCGNIGGVIAAYLFLAKDAPRYFPGFGTLLGLQIMALILCIFM SIYLRRENARRDTMHKDPSLYTEAEKHAEREKGDNATFFRYTI FPSE_06584 MLDNSPPDRQWWKEAVVYQIYPASFLDSNADGLGDLPGIISKLD YIRSVGATAIWLSPIFKSPQHDMGYDISDYRDIHRPYGSIQDVEALIKGCHERDIKIL LDLVVNHTSHEHEWFRESRSSKTSPKRDWYFWRDPQFDADGNRKPPNNWSSIFGGSAW TYDEPTGQYYLSLFLPEQPDLNWANEDMRQATYADMKFWLDKGADGFRIDSMNLMSKH PDLPDAPITRPDSEFQPGDKYFASGPRMHDYIREIREEVIDKYDCMTVGELGFTKDEA SVASYVAKERHELNMLFTGDIVDMDFGANHKYERDDFRLSKLKTITSRWQGAMPKFDG WNTIYMDNHDSGRSLSRYGSDLPQFRKEAAKMLAIYLSTLSGTLFLLQGQEIGMANVP ESWKIEDYIDVEGLNYYKSQLEKRGPGADMSDIMREMRLKARDNGRLPMQWTADKNAG FSEGEKPWMRVNDDFEEWNVAQQENDSDSVLAFWRQVLELREKEKDVFVYGLFTNLPD YEDSEDIFAYTMTGFDGRSALVLLNFSDKEQKVEVKNGLWGKKLIGKNKNEFSKEGVL LQAYEGVVYAGW FPSE_06583 MSTKIEALATVSDHVEKAAVIDPVGVQKAIDAQDLTPKQQYQRL WTNLKKDKRYVLWSLYIMSLVFGWGYDQGLSGVAIAFPEFRKVYGEYYEVGDQYVIPA LWQSLWNAASTIGQIFGAFLAGQFADWVGRKAVLWTAIALSLAASFALVFAPSLPVLF VSKLLLGLSVGLSTVTPPLYVTENAPAALRSSLSSLTNVIIVLGFFLSSITGWGSSKI PGEWSFRLAFVMTFLVPTLFAIGLPFLPESPIWYVKKGRDDDARKAIIKLYGDSIDVE ERLNFIKSELEVAAGEASMAKQTSWRAIFSKEHRSRTLVAVMGLQSQNFSGGYFANTY QTYYFELIGQADPFGLTAISSTLQFLSNCFAVTVSDVLPRRKSLVGGGALLCCWSIII AGASMAGTANHAANTALLTFMITWSMLYTATVGCFGWAVAQETASQATRPKTIAFSLV CQQLTALMLSSVFPYFINPDQLNWGGKVMFLFVGAEVFILAALFWFQPETKNRTYHDI DCLYASGVPPRKFSEFVVNNGTVVQRPQA FPSE_06582 MSAPSTPKILPPSPAQNDITLPSADFLISETLRSRRHCKAVEHP SLIHVHTSFFLYAAFFSMDKDNPAWYYLQEAITMLQTLRLHEEVTYDDFLDPIISIYA RRTFWVLFITERAYGLQRNRPIRLQETLELPAIDPLSQDADILLGFHDLISLFRPFDS DFITNWNQMTPSTPTDSVRLSHLQGLLKYSLPNLSNHSQVQQADLLISRQWLKTVVWK LCASKQILSTASSNNAMSLHYPASIARDIVLISQLVPTQAFEANGIGILEKVFDVGCS LADLMLLVPPDFQASAMDVGAIDTLVEMIEVCPCHQVMMVEKGKMHGQLRLPENT FPSE_06581 MRHTSAIFAIGALGTQVQAATTKLLSGATIIGWDDSKNEPNIIQ DGHLLISGDRIKTISTSEPSNLPNDTEKIDVTGQIITPGFIDTHRHGWQTAFKTIGSN TTLAEYFGRYGEFAAAPHYTADDVYWGQLAGLLEALNAGVTTSLDHAHHTWSNETAYA GLNASIASGARVFWSYAFHDVPALNYTVRDQIPNFIEIAESESFKDNNVELGISYDSF GPNPPDVAQDVAHLAKDYNVSVVTTHALAGPFGVNNLPEDVHALDLLNTSIPVVFSHG SFMTATGANLLRQTNQYLSITPESEMHYGHTHPHSYYIQDQAALGVDTHFTFSTDILT QARIWLQSARYYFFDKVLDNLEVPNKSPMNVGQAFYLATRAGGMALRRKDLGIIEEGA KADLIVWNAADSPALLGWVDPVAAVMLHASVADVLHVMVDGEFVKKDGKLSASDYKET KKSFLKSAARIQKIYKELEYPELEGEFNGGGFYYASPRIVSTETGLVEKSD FPSE_06580 MKFSILATFTALVSSATAANQAVVINNCPTTIYVQSFPYNGAAP GALTALKPGQKFAENMRSTGSTVKIATSRTLTKPLFFGYSSTSKPNYVYYEFSTEWGN PFANKHNILSPGTGCKQFDCRAGQADCYSRPSMKKVFGCPSPTTVTATICAK FPSE_05380 MRHHTAFGALALASFAGFAAAKAKGDGYYGYKLNRRGDDESAIY ETENTNSGVPPLNQEPDVYLNANVSVGEISVEVQNITAKINLDAKVLNLLNFNAGVDL GIDRVKLGIYNVTAKVELEARLGNILRMVDDVLESIDLNPIIATLGDGVKDIVGDVGD VIGGGSGGGSSDEDPEAVEKRDLTIRLENNILYSMNDFSGSAHTNRVLTQDGFLYNIY LDNQGREKNRKEVGYYSKDMKFTGHNRTITVDGGVEYELQYTYAPYHGLSAYCNIYTD PAGKVLRTKIVAEAEGGGAATIANEEEADL FPSE_05379 MRISLLQSLVLAQLFSFGLAQAQTPDSTEPATTAESISIAPTST PVADDNGGDSTETGSGGGGSSTRYNSSQGPPDVHLKVPELSVGRIELDVDNLHADINL NADIAKLVQLNAGIQIGIEKVNITIADVEAELELVVRLGHLVEIVNRTLASLDLNPAL INLLDSVGDIVEGVIGVVDGLLGSITQGDTKLNFLIDNLGNIVQQVTKAGGDAVNSIV GDYKQNMTFTGEEKTLSNGLVQKTYEYSELSALVNIVFNKAGQIVQAMVVKGGGSGGG GGSQPSGSGTASPTASATGTSAAGEATTASAT FPSE_05378 MHLSWLLVAWACLVGRALSIDVASFLSQIPDCAGSCLLDLAANS TCGIDVECLCADPNLQTVAASCVQSKCLPREALYTLNVTSVACEYPVRDRHQKFDTLG ICLGVITTLVVGARLFQKLRFERLLRADDYMIIICWVTCIGNTISCVYGLSGNGFGRD AWTNSPYTITEFLRVSCFPRIPMRCTFVNNLQYVYIGQTFYATDVFLTKICVLLFYLR IFPVRSVQILIWTTIGVAALSMVVFIVLAIAQCQPISFFWTGWDKLHEGHCIGINPLA WSIAAVSIAMDFWVLAIPVFQLLRLQMKWQRKLAVAMMFLVGTFVSIVSIIRLQFLVA FGKSTNPTWDFFDTCYWSVIEVNVAICQGIPKTQQPERFQTIKEHEFVEEIRCITAS FPSE_05377 MPGLVSEPNWSGLADILPQDQVLLPGTEAYSKAVFVGNLNYRYT NPAVVVQARSVQDVRSAVIFAKQNGVKLTAKSGGHSFMGYCLNEGGIVLDMSQMKGCH VDSDNMTIDMEGGLLWEDVYNKHIQDKRDIVIGGQCASIGVSGFTLGGGISPFSRSYG LGCDNLLEMTVVTASGDIVTVSRDDKDEKKRDLFWGLCGGGGGNLGVTVSMKSKLHKL RDEDGKVVSGQLTWNLPQQQQAFDEAMQIFNSNKCPSELTIDALWSHGPNKQLTGGMT VIYNGCMEKAQEVLKPILAHGPINNTLQEMSWTDCVEQSEGWDAESKVYHHHASFIFA EGAITPELTSTVAGLVKEATDVVGITEDNQVNQPKCHFSWSHIGAKTEEIAAQDTAFH WRDGHYVATLNAQWTDKTKRSDVMNFMAKCQAKLSPFAIEQKAAYVNYIDGTVQNWQE AYYGENYSRLQKVKAEWDSDNFFNHQQSIRPVVDDAKSLPTHGLNETEVLEKGQTQEM DNWWEDSVFTIAGGAWHAQGGQVAVLARG FPSE_05376 MNAPESDNPYAPKQALKPTPELYGDLVGDGTEQLASASISFINF SSSSDVVVHDIGCGLGAVTAAVVAASNVNNIKITGSDIDDNVLNLYREEISKNNWPAE AVKADATALAFADETFTHAIGNALLFVLPNDGIDAMKEIYRTLKPDGVAIVNSWAYTP TLPAIIAACEKTRPAGTPLPRQGLEKWESDDFLRSIVEKGGFQADKVKMEKRDVLMTI GELKHFATMLWSFIGGTSIAGWLESDEENWDVAVDAVIEALKKSEGFEELEGGKNKIM FKAHIAVAKK FPSE_05375 MSDAKKGADLFDMAKDGTSIPGDAGKMNTIKSVPNPHQRDGKAA GGLGSTSLANAADNALNENEGRESGIGEVVSATGHDVPNSVTGKPGGRGGTQGKDDIR ADTGGFTKDRSADKS FPSE_05374 MKVSTNTLLSLFLLTPLVAAAKEKNPWPEPEHGFFNIANFTFDT GEVLDDLEIHYQTLGKLKVNKDGSNNAVVLLHSTTLSGEQFLSEDFGAVLFNKGQLLD AEEYFIIMRDAIGHGNSSKPSNTGLRASFPKYQYPDMIRADHILLTDHFGLNRTRLTL GVSMGGMHIWMMGAEYPGFSDALMPIATSPVEVAGHNRLFRKFITELITLDPAWKGGD YKEQPVAGLGGSLMIQLTMLSSPAYWQRGFATRQAMDEYVDQGIIPRLAEFDANDQLY AWNSSQTYNPKAGLKKIKVPLTAVNTADDWMNPVELGFLEDGVVNDMTPGYGRAIVLP ASKETTGHDSYIKAELWKDELEKLLARSSS FPSE_05373 MCMHDHQDNAGTEPRLSPLEPFPWHLGVFDAHNHIGERVYSVSD LPTMKSRSVAIMATRTQDQPLIEAIVQQHGVKGPECFSHDKTTVIAGYGRHPWFSHEL YDDSLENPTYIPSDDVDAAKGRHYKAVLSPAPEDPAWWHDQPVPIALSEFIAETRARL IRDPFAMVGEIGLDKPFRLPMQWTDPRPPRDAARTEGGRERRPLSQHRIQIPHQKAIF MAHLKLAGELGRAVSVHGVQVHGLLYDALAECWKGHELKGRRARDKERKENTQTADVE EVTSKPYPPRICLHSFSGKEDAVKQYLKPSIPAKIFFSFSKANNLGTDMGTEKTKDAV KAVPDNRILVESDLHTAGQRMDNELEEMYRAICEFKGWTLEHGVAQIAKNYTEFIFG FPSE_05372 MKDVAETSSATAEPQPSPNFLSIPGPSTVTLSKSRSGWFCVDDN RLRNNIFAAVGFLELANAGDFAANVWNETPVPIYAIVFMVIGGCSALTIAICAFFDSR KAWRNVKFLKRQKQHLKAQEASLSRDVFVEITTRELRIEVINRWLMDLLMGGGAIMIS TGTFMAIGGADPHVFLASNILSGYLGNAPIALFGLLSAIWATMVVVKMNSHRSAARKE LQGSPMLPALRNRCFNVQLFFILNGVSNILGGVASMLTAERWWGYVILIPVIVSSIFC NIWWRHRVGYDRTYISTLPSINLEIITETIEATSQLRQGIQDDAGVSLEHIFGDSVSL QEVLKIFVKNDLFEQFSLRLVTNKQVRHLFVNTEDTSVEVSVEGILAVAEEHYPAILG VALTFLKKHGPRQLLDRERFLLEVLGTYLAEQKKKEEVATEK FPSE_05371 MKLSNLFLAALAAGSCHAVNIVPRGGRPSSIINNAHKRELLQDI VTFDEHSLFINGERVTMFSAEIHPFRLPVPSLYLDLFHKVKAMGFNMVSFYVDWALLE GKPGEFRSEGSLDLQPFIDAAQEAGIYLLARPGPYINAEVSGGGFPGWLQRVKGFLRT NATDYLESTDNYVAKVGAIIAKAQITNGGPVILYQPENEYSAAQGTPFPNHDYLKYVN DQARKAGIVVPLINNDAWQGGTGAPGTGPGAVDIYGHDGYPVGFDCTNPYKWPKDGLP TTWHAEHLKKSPNTPYSIIEFQGGAFDPPGGTGFEKCYELTNHEFARVFYKNNLAAGV TIFNIYMTWGGTNWGNLGHSDGYTSYDYGAAIKEDRTIAREKYSEIKLQGQFLAVSPN YAVATASNFTTTKYTTNKNIAVTALTTKKDDAFYVVRHEDYRTTSSASYTLKVKTSAG QLTIPQLGGSLSLSGRDSKIHVVDYPVGKYKVLYSTAEVFTWKDLGDKTVLVLYGGPN ELHEFAIKSTSNSKVIEGDGVKVEKKKGVSVFQFKTSSKRRVVQIGSLHVYLLERNAA YNYWVPTIPGKGDRAAFGSSVMNPKAVIFNGAHLIRSVAIKGSQLSVQADFNATSPLE IIGAPKGTSRLVINGKDTPYKKSKIGNWLANPAVSLPDVKITDLKSLDWKYVDSLPEV KKDYDDSKWPDANLKKTFNSKWPLNNSVSLYGGDYGFHSGALMFRGHFTADGAESKFK VWTFGGMSYGSSVWLDDKFLGSFVGSGPRNNDTTTYSLPKMQKGKKYVLTVIVDNMGL NGNWVPGLEEGKQPRGILDWSLESSSGKETTKISKWKITGNLGGEDYVDKFRGPRNEG GFFFERQGYHLPSPPLSDFKSGSPFKGISKPGVAFYTANLKLNLPSDNFDIPLSFEFK NNTVSTGSYRALLYVNGFQYGRYVSHVGPQSVFPVPEGVFNYRGDNWIGLGLWALDKG ANIDGLSLKAGVPVQTGREPVKFVNGPKYAHRRGAY FPSE_05370 MLLHFKFLSLAFLSLASGVTSLPQAHEALSAVSLRNTDVRRAAA LEPFEAYAFTYFTGNSIAGEKIYLAASKGNNALDWQELNGGKPVLSSTKGTKGLRDPF VIRSVEGDKFFLIATDLSIGSGTSWGDAVRKGSLYLEIWESNDLITWSAQRHIKVSPN NAGNTWAPEAFWDSEKNSYVVFWASSLYNTADHSDNSYHRMMYSLTRDFVTFSEPKIW QDSKTSRIDTTVVKSGNSFYRFTKDEGSVSGCTDIIQEKSSTLLADVSKWSVQATCIG KNAGLQAVEGPTAFKSNPKDVNGDKFYLFVDEYGGRGYVPLETANLDKPTWKVSAKYK LPTSPRHGTVIPITKAEHAKLMSAFGA FPSE_05369 MKENNKRTLQRVFLWLGVLFILWHTLSFLFSGGSPNPSQSELEE SANLVKTPGDAQRAAAIIDAFRFSWDKYEKYAAPHDTLLPVSKTYEDDRNGWGATAVD GLSTAILMEEAVIVNKILEQIAATDFTVTVVPNQPISLFETIIRYLGGLLSAYDLLSS SHKHLVRDHMQRKNLLKVAVILADRLSIAFDTPSGIPDDEVIFNPNPRRYGNTDNSIT AFGTLVLEWTRLSDLMGNKTYAALAQHAQDHLIHPKTNQPFTLPGLVGTFVKLRDGWF GDYQAGWGGGSDSYYEYLIKMYAYDPVQFVEYGDSWIQAAESSMKYLASSPSTRPELT FLGELRGSALVPMSSHLASVCGGSLILGGLLLQNQTFVDFGLKLSESYFETYRQTPAG IAPETFRWIDNGNNPLVEPHANRPPPPKWQSFYEKSGFFHTNPEYILRPETIESLYYA YRATGDKKWQDMAWEAFESLNKMSKVEGGYTGLRSVMKSADDKTRGFVDKMESFWLAE TLKYLYLMFAEDLDVQVRSDGKMRWVLNTEAHPLLIRGQ FPSE_05368 MRFVSLCTIGAALLPMVSAAPCKPSSPTSDLSATTTAESETLTT ATATETDFHSTVTSDATSVLETTITETATWSETTTFVSEYTTEYSATTDATSIEDFTT TITEIPEPTNLIRNGGFEDAKNVDWDTRTGGIESDPGKANSGDKYATFELVNADGSGG NTLNQTINGLNTDRRYRLAFSAAIFDDPAIVLGESTCVIEALQKQTVIDSWPLNFAGL NEYKPYDVTFSLIDEDLVLSLRLRCNGRNKVTLSMGIDDVSLYDAGPVPVPVVTITE FPSE_05367 MKLTSVFTAVFATLAVGSPLETRDAHIKRQAAEACSVGYCTQNG GTTGGAKGQTVTVTTLAALQEAAKRSGPLTIIVNGKFTGSDTIRPSSDKTIIGAAGSS LTGVGFYVRRQKNVILRNLKIAKVDASNGDAIGIDESTNVWVDHCDLSGDLSLGKDDL DGLLDISHGADWITVSNTYFHDHWKGSLIGHSDNNASQDKGKLHITYANNYWKNISSR QPLIRFATVHLVNNYWDKILLSGVNTRMGAQVLVQSSAFANSVERAIFFADSKETGYA VVDDVDLGGSVNSAPKGTLTAASLPYKVTLLGSKKVASVIPGTAGQKL FPSE_05366 MKASLLLSLLPLAHGAAIDTRQSKTGDGKQGDTDATQGDLSQRP PPRFPFPITKFPVAKETVVFKNAKYIMPGEVFDGKMKRYERPEGSCNEQAEGTDADTV FNLMPGATIRNVIIGKHQAEGIHALGDAWVENVWWEDVCEDALTSKGLNTQLRVIGGG ARSATDKIFQDNSLGGKYNITGFYAEGFGTFYQSCGNCLNQAKRNATIQNVVARDGLR MGIINPNFGDEFRLKNAQIDNVTSICDKEIGNNVQTVPYYVGNGPDEKYALYNETRDN ITKFKGEVKLAYEPEDPYEWLEEFWPEGFN FPSE_05365 MLWRYSLLFAAQVGSVIGKGQHVLGSSGDGLNRIRDKLLEAEII PTVIDDFPPALGFRASWKHDSADLGNTLKPTHLKKAPKVHLDRVESDDSLEAILKKHA TYVVVLTDPDAPSRDDPKWSEFCHWIAAGTKISSSTTSKHHLKDIVKYKAPAPPPKTG KHRYVFFAFVAANGTTEKLHLTKPKERKHWGSKDAGHGVREWALQNGLAPVERGAVAY TQIHMSTASLDHAICPFPLAHRAIFLFQNTSGNLIVPASTKTPSYPIRPTIDTTNKMA SMYYVVDGVMREGPTTTHFLQLFESKPPAQHLDNSFIATVLSILPVVLALPTGEDASI SKRQSVNTVTDQLLFSVTLPQFTARRNARDPPTLDWTSDGCTSSPDNPFGFPFVPACN RHDFGYHNYRAQSRFTVSGKARIDSNFKTDLYFQCQSSSVSGVCRGLADVYYAAVRAF GGDDATPGKRDEALVKEYEEKVEVYNKLVEEAQEKGDLPRLD FPSE_05364 MVTETQVTSAKAPKARVRVVEGSCWPCKKRRIKCDLAKPICSRC VKVGAACDYNQRLIRWSTRPAVTVPAIYQISTADEQLAGSLAVYEKRSLDYFHGRFWP LLTTSTKPCAPPTMIALRHRVVLLATCVLADSHRWLQDGRNSRSILNVKRAECLAALR AEVDGCCSKEDGSLQTLLFAVLLLYFHDGFLECAQTSSSTSSHRDGVLAIINQLGGMT AVLGTGQDPLHMMLSEFATTDLTRAILTGQPPSFPPTIWEVVDRGPVWWGRDTQGYCS LSTVFQEMSSMAFYIQSTTNMTEEFSIERVRVYEAALRPTYAPLAVEDVSSPRASPVD QPPIECDKESAQAYSLVRLFQHAALIYLYRAVCGLPANHPLVQQHTQSCLDSIFSIQK PSKILNCAVLPICIAGAHSQCPKEQKTVRALAGFIYDEIRFASVHAVIAVLEDIWKRA PNETMTWNEMFVNLNDQALVL FPSE_05363 MESSNTIPLTMKAAQWRTIRGGIERNLKFSVDAKLPKDSHSLAN GHTLVKVAYASVNHLDYKVAEMPLASMFFTKPVTPGLDYSGTIISTTLEEFGAGHRVF GRTELPTGGTMAEYVVVGEKGLAAVPDGVSLRDAACVGICGTTNVQYLASVIKTGDKV FINGGSGGVGTFAIQVAKAMGCLHITTTCSASNSEFCHALGADETIDYRSENVLEILK NREEQFDLVYDTIFSNPSLYWQSHNYLKPHGSYICVGLPSIFQFIKTFLSIKLLPRWL GGGKRTFKFQSVVANRQDFEQMAEWIREEKVKVVVEEGFVLEDADRAYASLKGGRTRG KIVVRIGGEPDGEQ FPSE_05362 MAFYATLTGDTSSFSSMHWVCSSSQAISWGTDLHEFKGGGFLNE RHLVYQWGEGVQEAYDNTPTGKRAAYYAYSYLTVAAELLSKTLFDRFKQPSQQIQYFQ KARLSPKTDNMKFTSIFTAGLLSASALAKQAAYKYERLDKDDALLLVVDIQEGLYQLT RDWDPTLYHHQSMAHAAIGKAFDMPVILTTSADQGPNGPLMREIRQMYPKAPLVQRQG QVNAWDSEEFQQAVKATNKSQIILAGITTDVCTTFLALSLRDAGYSVWANIEASGTTT PLIRDVSNDRMRDAGVQVVSLFSIICELMRDWRNTPGSKEIYPWLGQYFPIAGYMARG HAAAIANGTIQPGEEGYLNYP FPSE_05361 MGDIIPQSIPRTSRAWAVPGSTNIIPAKFPSASPKPTSSDPQEI ATSVVNAFNKALQSKDYPALSQLFTDDGFWRDHLALTWSFRTVQGPNNILSFLKASSE SKNGFRLANIGLDTTSALRKPQAIPIDGKGEVQGIQFFFTIDTVLGTGLGIARLAEVN GQWKIFTFYTRINDLKGHEETVNDHRPRGVEHGGMPGRKNWAQRRAETAEFKKDDPAV LIIGAGQAGLTAAARLKMLGVEALAIDQNDRVGDNWRKRYHQLVLHDPVWYDHMPYLQ FPPQWPIFTPKDKLAQFFEAYATLLELNIWMKTSLIDTKWDEATKTWTVTVERKNDDG TLEKRTFHPRHIIQATGHSGKKNMPDMKGISDFKGDRLCHSSEFSGAKENSQGKKAIV VGSCNSGHDIAQDFLEKGYHVTMVQRSTTHVVSSKAITDIGLKGVYCEDGPPVDDADL LIHGLPIPVFKALSVETTKKQAECDKDILDGLDRAGFKVDAGPDGAGLLMKYFQRGGG YYIDVGASQLIADGKIKVKHGQEIETVLPHGLRFADGTELEADEIVFATGYQNMRTQS RTMFGDAVADKVNDVWGFNEEGEMRTIWQKSGLPGFWFHGGNLAMCRYFSKLLALQIK GLEEGLYTYDDI FPSE_05360 MFFIVRIIVDLLNAGTVGSMSTKFFLQSVALLAIAGTSHAAPFV SEAQTTVSSSGVVAPIRPYSDVTSHGPYTGTPTTTGAVSTTILASAIPESPPPPGSYD YPADGKLHAPQPAPYTPDGGVGTNGSAPVYRVQSDFDYQSLALALYQEWIELDLFRWG LATFSKEEWEAYGIGAEDRFLIEYMAEQEIGHATVISNMLGPQAPQQCTYNYPVSNVP EFIDFNQKLTRWGESGVYGFLPHLNSGPAAQLLLQSITIEARQQLIFRQFGGNFPMPE WHTPGIPQSWAWTLLAPYISSCPADQTRLVWQNFPSLNILNQPNPARIDGSNVWNETT GGYANTLSITDVKKDELCVNATDDTLDCPAAITNNRSIPLSYPGRQVFLNWDAPGQAV GPNNSYITSTNVKAPKFAAWVSQLNVTYSPLQNVSLEDRTAYTIQPNVSTWMGDPAIN STMFLALTDTDLYVTPYNLTMLNPHVAALAVYQAG FPSE_05359 MTPSLQDAKITFLGGGNMAAAIIGGLLAKNTNKQNIYVSEPWDV NRNKMADLGVRTTADNKEAAADADVVILAVKPQVAKGVCEELSSAWAGRDSLPVVISI AAGITLASIAQWFKGDSGKAPPIVRVMPNTPALVGEGASGLYAAEDVTAAEKELTSAL LGSVSKATEWVDKEELLDVVTGISGSGPAYFFAFVEHLISSGVSLGLSEEQATRLATQ TCLGAGKMLVESSDEPSQLRKNVTSPNGTTHAALVSFENSGLKDIVNKAVKAAADRAQ ELGKN FPSE_05358 MVAAADNSSSYEGVSNGQPQRPACKMLHTEGSKIVDSSGKQVIL KGTAIGGMLNMENFITGYAGHEFQHREQMAEVLGEEKANFFFDRLLHHFFTDSDAAYF ASLGLNCIRIPFNYRHFINNMDPDNLKQSGFDWLDRCVEICAKQNLYAVLDLHAVPGG QNQDWHSDSGLSRAAFWDFKDHQDRAIQLWKALASHYRGNPVIAGYNLLNEPADESKT SSGHYGDRLIKWYERAEKEVRAIDPDHMIFVDGNTYAMDFRAFPEKPFPNAVYACHDY SFLGFPIGEQYEGTDDQNSKLRSSFERKVEFMREKNVPIWNGEFGPVYQNEHKEGEEG VKTNNKRFNLLQEQLAIYRETDVSWSIWLYKDIGYQGMVYVDPESPYMRLIAPFVAKK QRLGLDFWGVVNKDGVKHLYEPFIQGLKDEVLEKYRETKYPKVWTFERQFERVIRECL MSEYVGWELAELFRDKSKDELEELASSFTFEKCIKRDRLVGILSHDSKLSSGKQ FPSE_05357 MTPQASADQLRDQEPERRPGKRPAPRGTAFYPRKRANTACQVCR SRKTKCDNLKPTCSYCLSVGANCIQSPVDLSAFDPASLKILERLDELERLLRDTRDNA SHAEPAVSVVQANDHVQELSPVEESPIEELDPSPMSDIPLRSILPEKMESLLLWSVLS RDMSAHATLQNDAPQPSPSYSPGCNSLADIADLDTQGVKALLDNFFAHVHCKNPILEE AAARRVVLNVVIEGIDWSPGSCLTLIICALGKIATSLDATFHLRPDSTQYLEAQALFQ AAQKRIGTLMARSDIISAQCFFLSGVFLMSTFRPFEAWRYFSQALATCQTLPFLQKAQ FLSASDGPEFPRPELGETQQEAVYWSAWKSERELRGELSLPDFSMPYASSVLYPPFFP TPPHPLESTKTTASSRQHTAWLFYLSEISLRRLSNRTCNDILELHRASPSNVEFLKQL ALIIPAYEAQANEWSESLPPELSITSNPNQDNVCCFVLRGHLVNFFERLYWPFVMAHL AALEGEMTTPVPGLQYVTKGLEYHVLNVDVNQAGFLHRHHGTWFMIRALVRSATVLLA ARRLGSVMPNGWREACERIMQVLRAWEDDVAGLSQHRIFLEEVLHKLDG FPSE_05356 MEASNKQSATKSDLELQAKVSGTEYIDDKSHAIITDRQAEHDLT FKQCFQRHPKVILWCFYWSIAAIGWGFDAQINGAMISVASFRRDFGYVENGEAILPAD WQTAFNTISSVGGFFGGFICSWLCDKVGRKNSLVMALLLATGGTIGECMSNNNVSFLM SKLILGFALGFFLTLAPLATSEISPVVLRGIATAGVNLGIAIGQLVSNGVIKAFGERT DHWAWRGAFATQGIFIVLLAVGLPFAPETPWYLVRKGKVDEARKSIISLYGSEVDVNA KLATIQETIAEEQAMSTEAPSWAQCFKGTNLVRTTTSMGVFICQHFVGIIFVLGYSTY FFQLAGLQTSRSFDLGVGVTACGVLGNICSWFVVNSFGRRKIFLSGMGALTVLLFLIG IMDVVPTGAAKWVQAACTVIYAYVYFATVGAMAFAVLGETASLALKAKTMALATATQS VMGVAMNFAIPYMVNPDQGNLKGKVGFIFGGLGLIGFIWSWVYVPELKGRRYDEIDHM FHNKISPRKMGEYKM FPSE_05355 MRLLKGLVIGLLLERGLGQTDSPPSDGDAVATVADPPTETGGAD VPVSEPSAPPGGDAGDDGGDDEPTSDPVSEPAPEPSAGDGARVPADESSPVEPPTEPS DAPEPTDDEQPSATSDPEPTDADADPSPTDAEPTDDSAEPSPTDAEPTDDSSEPSATD GPEPTDTNGEEPVATNSEPDIVPSDALNSAPEEAIPGPTETGQDEPVSTPEASDPRPA LLPTATPGDSVTEPPDEPTADSGPEDEPSNTRAGIVAPSLTGDNNEPTVTEAAPTSAA SGGADQGTDSQVASPIAPSETKGDEDQTDTPATTGGDGPTAVGGNGDSTKTTDLPDEP QETNEPDSNPDTISAGSSVKPPKASAPAATGKGGFTTVTGSKPAPTSEAYEVPVDLEE DTVLGDYAEFKEASNGEQVVLLKPPPNGKANCEIAPTGGEVDKIPAGEYVRVLLSVKV QKVGSPNSKDGKTGSRLKMLVDENTVYDKELISTGEDDTQDIESDPFESAKNQKIRMI QESGKEPVELTIQDANIRVTRDDKSGGGGGGGGSGSGSGSGDKGGDDESGSGGDSGGD NGSGSGSGSGSGSGDKGSGGGDGGSDSGSGSGSGSGSGSGSGSGSGSGSGSGDAASPS ATTVSDGQTNTAGKTQT FPSE_05354 MLFSSFFYSLGLPLLVSGLQKNLKSDSNLRYPEQRGRGYDYTSF EPDQNKRADEIIEMFRFAWNGYYEHAFPHDSLRPKYNNYTDDRNGWGVTAVDGLDTAI LMEQTDIVETILDFIPTINFTKTNTPKPSSVSLFETNIRYLGGLLSAYDLLKGPFRHL KIDEKKVDTLLTQATTLADTLKFAFDTPTGIPLNDIFIENRTSSDGSDSLTQDGQRTT GLAVMGSLVLEWQRLSDLTGDPQYGELANRAEDYWLSPSSEVWPGLTGGNFSIETGEI LDNYGGWTSGNDSAYEYLIKMFVYDPERFGHYGERFTKAADSTIKHLVSHPSSRPDLT FVSTFAGTEQVNYTEFLACFIGGSFILGSSAMDRPDWLDYGLSFSEYCANGYRYTASG IGPTLYSWDTDLLSQPENNNQTELYSRAGFFIPDGLFAAGQSPEAVESWYYAYQHTKS QYWRDVAWAYTLAQNRTMRVGDGFASISNVLSPSGDGPRGGIMASFMLAETLKYQWLI QTESKGEWDVLGGDEGTNLFVYNTEAHPLKVAARRPV FPSE_05353 MAQGTPLRKRVVIIGATGLQGGSVARILAQSPDRYIIRGLTRNT SSPKAQELAKLGIEVQQADVDDSKSLQDAFHDAHVIFAMTDFWQHMSGEREEAQGKSI MDIAAGLPHLEQLIWADLPDANKISEGFYPHVYHWQSKAAVSKYIREEKPELWKKTTA ILFPNYFENCITQPSTYLPIKQADGTYLRSFVLPETANLPNVAISDTGKLVQYILDHP DQCLEKTIAFYSEAISEGEKIRSMAKAYNIDIRYHEMSSDEFQGILKKHMGDVTALDF TEQLLIFRDFGMIYERPEFVQANQLPGLKLTTWKEFMEANDLHSYMTSA FPSE_05352 MPDPIQCDRALPKCDYCKHHNLSCSYKQAAKARNAASRSADSLH LSEASGPEPYQEELSNSNSDYAPDSSERHIGVASARACIRAMLLIADVFGHHIAEVGV STPQEYAREIERSLPVIVREMTMDGFEALTMLIIYKYFIGDLHSASFLISIITRMMFK LGAHISPPTTEPYDKGNVNHHMRDLFWVCYSMDKDLCHRIAQPPAINDAHCDLSLPDN YAEMQNSNILSLSQFSPYNPATVPLYPWDIRLSIIKSRIHDDLYSMSALRQPEAEILR RIRYLDEELEVWRLTLPLDHRPTLSFLDKTPVDAHTNTQAIMLRLSYHHCVISIHKAR CRMFNPAVGLVGDGHEANLQLLVDASKSILTYLEKALPVVAHECFWIILFYPMTAIFT VFSIALVNTQTNSVHSNLALLQDFTRVIRQIPIRRLTVAEVSHLAFIEDLVAEMSRLM VAAGEKEGY FPSE_05351 MEFPNTPLIGGAADIPVNSPTPIMSFTPVTLPAQDRRVPLDIKI TAPATGSNLPIILLSHGHGPSNYLSSLKGYGPIVDWWASHGFVVIQPTHLSSRQLGYQ LSGENIRELFMDERVKDMHRILDNLDTIEETVSFIKGRLDRDRIVVAGHSLGSLTANI LLGAVNTDPRDGEKMQLADSRIKAGFIMGALGNGTTDMSEAGSTMMPFYNIDFSNMKT PCLVAWGDSDVSPHLTSRGADWHADPYTESPSPKASWEIKGGKHGFGGVSGWDALECQ DESAERLAAVQRVSWSFFRSQLYPGDDSWEKAKKAIGQHAEIGKIEEK FPSE_05350 MATSKNLALCVTCGTQFGIPFDERPSTCRMCNEPRQFVPPSGQS WTTLEKLQVNHRNEFKQDEHDGRIWSIFSKSQVAIGQRAVFIKTKHGNVLWDCISLLD EETIEFVKSHGGLKAIAISHPHFYSSRLDWAKEFDCPVYLAAEDQEWLNREDTEHRRV FFDGETQDILPGVTMVKLGGHFPGSSVLHWNNNIFTGDTIGISLSGLNRAHHNENHQV FFFHYAFPNFIPLGPTAMRLMWKRLRPWDFTSVYSLFFTTTVHKPNVKEIILESMKRQ AMHQENDAHPLMQEQMEA FPSE_05349 MARTKPNFPGFKSLSKRVFVREGEDLGGKQPIPGHPHAVVVYGW GDAPPKHVSKFTDGYRQLYPHAKQIAVLSPIGQGFFNHVSKRTEDMMPVVNELFSQDR ASSSDSILLHCLSNSGVGNYSCTLNAYRELYNEPMPHVLTVYDSTPGQTKPTWSNLKR WSRAMAMGPAANFPWPFFITQSFCIGFFIFIHLFDLIAGRESNPKFCERLFFDDKWES KDSTRLFLYGTEDILIPAEHIEEHIARGRRLGYKTESQIFESGHVDHMRKSPETYWET IDNVWKRAITES FPSE_05348 MSATYANDAKDIRSRCIANIDPLRVHPLYFLSIIYENRYERWTN WIAKIWNEVALIETVTNMTHPDWRAGSVQSHEVRSMSAVESFLHQLHATNLELCHSRT VLSYAFRFGNRCLEIINETDELRKGLGMLSLSARQSSELKDGFNAILERLTPLSDRVS ELKQRLSSQITASSSLIAQKDSRVNLAIAGLQANDSRTLKGIAILTLLFLPSTLVATL WTTNLFEFQGATNWKVYISITAVLTFLVISCSWFYTRLFQRRDNDRWAGFAAYDLGFN ANSKPM FPSE_05347 MAIQVQTQVEEHYPTRHALSCVLMRAGTSKGLFIHRKDLPQKES EWAPHLISALGSRNNDAKQVDGVGGGSSTTSKVAVIAPSDREDADVDFTFVQVAVGKE SVDFSGNCGNMSSGVGPFAVQERLVTPQQGQTTIDVRIFNTNTSRIIVETVEIDRNGD FKEDGDFFIPGVKTSGSEIKCAFVDPAGSMVGCLFPTGNKQDWLEVDPSAQLPNVAPF KIRATLIDAANPFVMVSAASVAEHLKSDDVPETKHALVEAIRCQAAVAMGLATSTQAA GLTRGTPKIAILSPPKRSPDSKTPDIFVLSYSMGLPHPSFQLTGAVCLGSAVSIQGTI AAELSAMVEGPPTPERTPSPVSQEDQRETMSNKRQVLIEHGKGTIPVLVEKKGDDEIA SCAVSRTARRLFEGRVIYYL FPSE_05346 MPDAQPSSTRVRRRATEACTFCRKRKIKCNGQRPSCINCQTYEK DCVYEPVPDVNKAEGRQRHQRLKSKNRSVSVKARTVSPSASATSGVLRQSNEVPAPAS ASSATGDSEAAAVPDERSSQQSRRKSHDGTRGRGSSSSGDTKPAAVAPRQQSWQEPAP DSSSRPMDPGVARLLVLANGESSYHGRTSALFEDNAQDQERPVEQEGNPRMPDHWVER GLIAEAAKQRQLEDIHYSKGQLDFDGVDPDLGMHLLSLHWNRQHHSFLITYRPAFMRD MACGGPYFSKLLLNAIYFGSSKFSPRLEVRKDPSDVRTAGWRYRERVRELLGGSLDRS DITTIQALLVMTNSLFALGDERSAAWLYAGLAFRMLIDLGLHVDLTNSHIFSDEDLEI RRRVFWGAFVVDKIQSLYQGRPVTLKEADAMVPIKFVDTYSELEFWQPFAYSTSKNDY TGSPAYSISTFTALCKLSIVMSDIMSCIYTVRTTDQNPGELSTMLDKLQRKLREWQDG LPDHLKPEAASQPGAEVPPPHVLSLHAMYYVLVILLHRPFVADGHLYNTFRSISVDSV IKCSAAASSICTLLRAYHRAFSVRRAPYLISYATYVAATIHCRIAAKNGKGSTAYLNL MTCLSVFKENQETNSAVQKAAVIIHRLMSKYGVVVEDIPDDALEAEPATRTREQQPQQ QQQRIANQPNNNYEGLPNNTNPRNNNGTPSSQELHTVEVSPNSAVPSPGSDWINIDGI IQSFLRDNQQGDFNNEPTAYGANSNQVGPYPHPHAQFQHQQQQQTPKMAQQGPDGEHY LHQQQQQPVYFPANNFPTGMNPGLMTPNVSVPATAAEMENGNSWQQQQQTYWPSNQDA AFLEDPIFGFNGSNSGEFQYMGR FPSE_05345 MDAAQAQQPIAQQLPKQLTAPKITPQQKKKITPGISLAAGAVAG GIEATITYPFEWAKTVAQLGARQPGVKTSRSPFAVIGTTIRSDGVRAIYTGCSSLIAG TAAKAGVRFLTFDTVKAQLADSNGKLTPGKNILGGMIAGAVESVTVVTPTERIKTALI DDARSGTKRLNGGFHALRTIAAERGVREIYRGLTSTTLKQSATSGVRMGSYNILKEFV GPDAKNPFVTFGIGAVAGVITVYATQPFDTIKTRSQSAKGVSMGEAFKAVVRDGGVRA FWSGSTMRLGRLILSGGIVFTAYENIAALLMGGK FPSE_05344 MVATHNNVSDHDVRDEKLELAEVEVVHARGNIPPIEIQARFPLL RDLSETQMAALNKSVLRKVDWHMMPCVTLMFLMNYLDRINVSNARLAGLQEDLGMSDT IWNTGISTFYVGYLIGQLPGNLIMAKTNPKLFLPVVMLMWSAGTICMPAMTNGVGFCV VRFFIGLMEAPFFPGLTLMTSSWYTKEESPFRMAIWHAGNTISNIISGFLAAGILEHM DGIAGMHAWQWFFLIEGIASVVIAIVAFFVLPSWPKDTKFLTEQEREMAQYRILVSNG GIDEGVGGTWDGVKDAVRDPFTWYFCLMHFALVTAQSFKDFLPSIMKTFGFNKMTTYL IQAPPYALAYIAACLIAWSCGHFMESTYHIVIPIILSAAGCGILIGTINVAARYIGII LLVCGTYNGLNLQLSWETTVVPAPRAKKAALIAIANCISQVSHWFSPYFYPTSQEPFY RMAGGLLLLGCALTIVSAFLVRWRAIKLNKKLDEQEGWTENSGVERGWRYKY FPSE_05343 MVKPDREMYYICIISNSIAMSVCSIFMLLRLWARYRLSMRLRID DIACVIGYIGFMGYCVICLLMLRYGGGFHQWDVPEDLVPKYSQTVYATMVTYGPTVFA IKAAILLFLASVFAPYKTYVKWIYGFLIVMGIYYVVMLFLKMLICRPISMFWGATTDG QCFNQRALILVDNIISLLSDIVVLLLPCPLTKKLQVGLMAKLKIVAIFGVGGIACIFS LVRLVFIIQKGESQDQTYVFLQINLTGIAECGIGLVCACFPFIPMLWKSILHKEKPGY SSSDSRSQFEMMSGSIKRSRSATDNAESAHYKQNMGSDENILIPNGKSYVTTKIRAGD DGAQRNSVGNESGGHGASIDESHILQTVEVVRQYEER FPSE_05342 MAGLMLAIDKHAFSTINCSVSCGYTKDLAKTRTILTLFGLGSGK AYDLLTQQDGDHDYVCINKDQIEYGILLFLHPPAKIIIICALNSITMDPSIMEQRWDE LRDPKRVWVGEPGSREEGLGRLVLLTPERVANAAQSQIRPCELKMVPLLGGVAFDDIY VMNPQQSSQWDGLRHFSMPREDGSAERVFYGGTTKEEILDRSNDRIGIHHWAQEGITG RGVLIDYASWAEKRGIKYTTFSQHTIKLVEILEIAKECNITFQRGDILLVRIGVIKEW EHEMDVAAKQAYAVSTSPKHAGVEGTMDMLKWVWNTGFAAVAGDAISWEVYPPLEGTI LMHEYMLAGWGMPIGELFDLERLAETCKQLNRWTFFMVSSPFNMKGGISSPPNAQAIF FPSE_05341 MPQTGNQTSLYNKLVITFVAIGGTTYGYAASIIGSTIGQPGWYQ FFDLPQNGEPGYDTITTPAIATANGLFSAGGAVACLILMWACDYYGRLRSIQFGCALG ILGGILQCAAQNLAMFQAGRWIMGMCVGLMATVTPMYLSEMSSPLARGWLVGHHAIFL VFGYMLSSWIGFAVYFSPNLEFGWRFPLAFQVLPPVVLLAGSPWIPRSPRWLMSKGHR DEAWSVLVRLRKSPNDPEDLVAKEEFYQIDKQLELDAKKLEAYGGSPWKAVVMKKSYR TRMIIGFMTQWGAEFGGPLIINNYAVILYTSLGQTGHMPLLLSALWLTTAGLIYNPAG AWLHDKVNSRRKMYMTGFIGIVITTSIYCAMISLYAGTDNKVGNAMGVLFMFLYLAFQ GTFCNTTMYLYVSEIFPTEIRSIGIGWSLFGQFAATIILLQSAPIGFNAVGWKYFLLI ICWSVLFIPAIYFFWPETARLSLEEIGKQFGDEVAVHINDATDEERAAIDRKLIAEAH SADKGPQTGVNDVPSSKSSQNISSEN FPSE_05340 MGRLDGAASGFGQGIAEHFAEEGAKVLICDINEEAGEKVAAKSE AFAFQSMNVTKAADWQGAVEKAVQLWGHIDILSTNLVTEEEFDKVMSVNVKSVFLGSN AFTTQVKKQGTKGSMINIASVGAHRPRPGLVWYNASKGAITNATMGLAAEYGPDGIRV NSICPLATMTGLLPKFIGKEITPEVQKALGNVPLGRMGEIRDVTKAAVFLASEESSFI TGVNLDVDGGRAI FPSE_05339 MAYDLPFKLSRPDLLITDSYIAGQTKSALSGATFDVLDPGTNKP WAKVTDNSADDVDHIVQVAYNAFQTFKKTSPRSRAQWLLKWDALIREHKADLATLLVY ETGKPYAEAIGEMDYSLTFTAWFAGEAERIQGTCFAPSASNRRVFTIKQPLGVAVALV PWNFPVAMVLRKAAAALAAGCTMVVKPSPETPVTAMALAKLAMEAGFPNGVLNTLPTS LDNTPSLSEALCKHVLVKKVTFTGSTRVGTLVANLCSLGLKKVVLELGGNCPCLIFDD ADIEAALRELVGLKWRHAGQACVTVNRFLVQSAVYDKFLQRFVEETAKYVVGHGASKG TTLGPVTKSESLDRAERLIKDAVSKGARIVTGGNRLSPKDGEGGYFFEPTILADMTHD TLISCEECFAPIAAFYKFETEEEAVRVANDTPMGLASYAFTKDADRIWRLFENLEAGM IALNTGNSSAAESPFGGIKMSGYGKEAGKDVAVNEYMIHKTGTITVDGLV FPSE_05338 MRTEAYKLTATEVIAKVRDGKLSVEAYAESLLARIKERDPVVKG WVYLNPEQVLSEARRLDQIPKESRGPLHGVAVGVKDVIYTKDMPTQFNSPIYEGDAPQ VDAASIIILRKAGALILGKTTTTEFAATTQGPATTNPHDSSRTPGGSSSGSGAVVGDF QVPIALGTQTGGSIIRPASFNGIYGFKPTWNSISREGQKMFSIINDTLGFYARSVADL NLLADVFALEDDQLTDQPVLLKGLKIGICKTMVWPQAGYGLVNAMIKAIELLRSNGAT VTEIEFPDHLQDLPEWYSTILSSDGRTAFLPEYRLDKSLISNKIVGHVENHNKISRAA QLKAFDNVAAARPVVDEMLSQYDAVLTPSVPDEAPEGIESTGSAAFCQIWTVLHNPVI NLPGFRGYNGLPIGLSLVAPRYHDRKLLAVSEVVGVGRIGLYKLQSRKHNFSQLATAT FSHSTTPLFHQSKFQDRFLKSHNMGMNVSRYDIGPDGRVRVYNIMDHPPMSRFTDTLP PMYRLYPNDIEYKRLAQLFNDNWQHDDKKATINGIFKCRPEELGKSKQMHAFTKYLNI TGTTVEAAKWHFHCTSRKCNFDESVDDDRLLQCDDADTCAFCSILRESLSMTYASQGG WFGAGIYSSNIASKADKFSHDPDGKYHTGLHAVIVCRVIVGRVQWTKKKLVGVSGPKP GFHSIQAVTKKRGGPVYFPETVVFRDEAILPVAVITYNKTQWNLRTGRVMY FPSE_05337 MIPATKAMSSISPTVGMEIVVCVTGEEYEPPFSTTSLHLTAKMY TGQFFCGDCYDADVLKRCTDVRKTIESLDFSQMRLSAIPGKDIPSKLGAIIADILGIS KFDFIAVPDECLYPVFDPSLTRVPSPVPSEYFIKRPCPVEHDGKDTRDAQSVLQEAKV GELLAKNPHPNIAQYRGCEVVDGRIRGLCWDRYAVTLHDHVRSRKPIDSERILRGVQD GLRHIHSLGYCHNDINDSNVMLDDNGNAVIIDFDSCMPQGEKLLKGGEHPGYSLEGME FSDPKNDDHSLNFMAQDLLYWNTVNGGQ FPSE_05336 MTTRKGPFRLVTVNTAPERAQRLIGRLITELQDDYEIIHVDNCQ SIDEVIPKVTEHKPDVLFSASMWSPEEAQQIHAMAKSIVPNIKLHAIPTGLQVERGPD AIVEYLVEKVPALLDS FPSE_05335 MADTTFKRLVRFVPKSDSASILIGEPSQEDLDIGVATRDGKDVT VNVFTGSSVLSPGTRTERTESIERILSPLAQEEVGTIRCIGLNYVQHANEVKMDIPKI PTLFLKPSTALGDPWPAPTFLPKITQNDGSGDYESELAIVIGKAAKNVFEENAMDYVL GYTAANDVSSRVSQLNQSQWCFSKGFDGACPIGPVIVSTSAVPDPSKLHIRGLKNGKV LQDCSLDDLIFSIPKLVSFLSQGTTLPAGTVIITGTPAGVGMGKSPKENLQHGDEFRV EILPHIGTLVSKFENE FPSE_05334 MSQSGHDEGFRQYLPNLNQPRFQDMKKQDAYEYAEIFKKGGQPP WLHGLYLHWRKLFNEPYQGVTSDGVVKDGLFELQDDGIPIESIVEAANSLCSSLSEEQ KNKTFYHIDSPEWRSWSNPEFLLSDKGIRLDEVTNDLREKVLKVLGLTLSDEGYEKAI GAMRVNHFLGELVESPSVMNEFSYNFALFGDPSTTRPWGFSFYGHHLCLNIFLYKSQI VVSPWFTGAEPNLIDDGPYKGTRILHREEALGLKLMQSLSPDQKKAAQVYELMKDPAM PHARWNHDDQRHLCGAYRDNRVVPYEGILVSEMTEEQHKYVLDIAYEFLLYLPAKARQ IRLDQIKEWFHETARW FPSE_05333 MDKTKQSPKPRLIYLAHSPSTQAVLWLLEELEIEYDITIFDRPL DKKAVGLGETHIQAHAPQLILPDGQVITQMSACMLYLVLTYDTERTFHKTDVEYRVRE DYLVSLATADLVARLGTKHLFMVLGAVTPFFISPAIKVLGYVLNKAFLDEDVNNALHV VEMELDGREWIMGGDAPSRADMALKIALDMAVQPNLIEIDKWPRVKAWLERCEARPAW KRSLDKGIGYQLDWNARLRESKKSGSWTWMWVPVALTVAGVSYFAAYGTW FPSE_05332 MPSSKSSIAGPKTLAISALAIGLGVLVARPINRFTTVLGVFRQP ANTVVKDGDFVTIADTMLCEDLHLHKPSGLLYTACEDSYESRFSWFPGLGVLHDPLKA SKSRGSIHVIDPKTFKSKRLEFQGFAGPFITHGLDVISDPEDDSSVYIFAINHLPHPE YLQHIVDGQSYDKYPGNKAASQIEVFHHKTGSSTARYVRSVSHPLIQTPNDIVAQSPN SLYVTNDHHYREGILRQIEDVYYGGKWSTTIFVQITDSTSQDATAGLNASVALTGLHN NNGLGHGRTLNEIAIGSAASGDIHIATVHSNNSLQVLETIDFDTAVDNPSWYSDSFSD VNGDSSGYVSAGLSKAGDLAKSVGVATGTEPVMVWLAQPKNGKWEKKLLFEDDGKRLR SAATAVLIGIDPKLEGGKKKGWLFATGFYSQNAIAVKVDL FPSE_05331 MSHVQVFSITTALVASGGIATLTFFDIPELQSQPAYRSLSAVRW LFSRGSHVFPSASIISTIGFIYSASVDNGSFSSLYSLSNNTSKANGFLLAAALSFGIE PFTQLMIPTNFALIRENNKLGGARSKKAAAEGDASADRTALDSVKGSGEGFEFTDLSG PQERTTVDSNEEEDEKVYKLLEKFRWLNLWCLVTIYKENPATKCQDDINVKRTAYWCH SGPLLDVSKALPPTFYEFETQVLTGSIHNILIPFLAHINNLLVAKGVRHYFFTIRASV PTHEFDRPRWHTDELFFTEAPNGVLLGTRLGLKSISDKYAHNDGTNWKICTTLLGPST LFIPPNHQSEARKRQDSASKNASTEHECLSIRCVGCAAAADAVREELATTLGPFGAEA ASLGECAMFKIGRDFGAVHSEPCMSEGSSGRIFVNVVPGTEKELGQLMGKWGMKFPRQ WWVGGW FPSE_05330 MSSYKNIVVVGASGSTGKIIIDGLTSTSAFNVTVLSRKESKATY PPNVTVRTTDFSEDDLSEALKGQEVVISALGVEGFDQQQKLVDASVRAGVKRFLPSEF SSSSEDPAVLKLFPLFEVKKNLIDYLKTKEKDGLSWTGLATGLLFDWGLANGFLGYDI KNRTAKIWDDGNKKFTLTNEKQLAQAIVSTLQHPEETRNRYLYVYSVVTTQNEILQSL EKATGGKWNVDKTSTDAEIAEAQKRLGAGDFSGGFILVHATTYGNTDGLRADYSQEDN SGNDVLGLETILPKN FPSE_05329 MTVHVIKNLAEFRNHLEKHEVVIVDFWAPWCGPCRFISPVVEKM SEEHGNDKVSFAKVDVDEAQDISQEYGIRAMPTFMVFKDGEKADEVVGADPGKLEQMV RQYA FPSE_05328 MSHVAEDLVLPRPGAETSPQEPIQGPSEESFTKTFGALLPPAKF LKTAHGKVAYYETLSSASERPIDRVLFIHGVQTPALGMLPLSRTLGKSFPHAHLVLVD LWGHGLSDTPILPHEQSLFHQLIDDLLDHLQWPSAHLVGFSFGGVTTASYVVKRPARV KSFVLVAPAGLLRSSDFTQEEQSHLHGGDEIAARKWVLDFLEGGDLVVPNDWEDKVAK GEIVAEAVRHWQMQEHSGHVASVVAIVRDGGVMDSHAQFSKASQMGIPNLAVLGELDG LVNAQQLEEHGFKNVFVVPQVGHGVVRDKVDEVASQIGTFWDKLDN FPSE_05327 MAAISPEPPATSSEQTIQSRETPQPWSGPREGEGPLDPIYVFLM IAVPIIVGALFTYDHRNQGVRITFIILCGAMPAKDSTFPTQIERNMDSESFTYTSIPP GRNFRLLRILPGASDDALACEVFVSDLDNSTPYTALSYVWGNPDKVGNLELSGHACRI TRSLSDGLRRLRKPDEAQVAWADAICINQNDDIEKGHQVDLMGDIYDNAHHVVVWLGP DPTTSASEAFRCLQTINYKLYTKTDKEYFYPDEKEMGTVYMKTEADPEPRAMSRPVGR RSVHRSVLGEKGTDCVGRLFDLSWFSRVWVLQEVGLAGSATAIWGDSSIEFGEIASFI WNVYYTEELRHALGDEITQKLSGAPLYSVWNVWSTYEKKESWIYRTPLLRSCAELIAG QCSIDFVLVLEASRYFNATNQLDHVYAFLGHPKARNPVTKAPWLQANYSLDVQEQHRL LAASLSQDSLNFLVQAHQTEESLRLESGYPSWVPMWNEKTENHADAFWEAWDASLRKE EGKTFKTYADGHRLRVSGLIIGEIDQFTRTMESSDFDVEKDFGGLLIDLCWDLAGRRP NPYSPKEVLAAFASTLSCHYKIRGNQPLDGRERVVEQLAGYCMHLNNGFYQAHLVPKH GDGFWNLNTVLEVGKSFTPSFKSHAVNRRFFNTTDGYWGMGPSAMQSGDICAVLLGAD VPFVLRPKGDAGEYQVVGQCYMYNFMDGQAVMASRAGESGYDEREIILV FPSE_05326 MEANKKLATLEGDDFAKEMIAIMKVACDANSEQNYDDSKDEFFS IIRTRAMEPPVTVSLSSVLTSPIEDGDFTPLKMHQLVFYSIISKLSLEQLQPYRSAIK TMMGFDISSFKSRSSHYAQTMHLISNARLLDRFIENPEDVWVPENKFDCISYRTLWER IHTAEQMRPYMHGLFNWQVDPCHPPFKPCREQLARFPEVSAVVAAEVMGMAVDDVEHQ HYLIDFVSECVPVGKAWYPMRAPVEQMVRVLEGKGKDQLTKAGEEDYLDEAKNWLEVL KKWESNN FPSE_05325 MDSPRTSQADDKSAPISEAERKKLRNRLSQRAFRRRQAECIREL RNRVNADQRPDSERVEALQKENKILRQQLIDVQAKMSKVLASVQLLSDSVTKTLDDTK DGSHSPEEADLEGHVLDQKRDQHASISSVSSLDLDSFDPSILDFNVPFASADAAQSAP EPANGLTSELVNVTGTNPLYSQIPNIWSHEYQMGLEPYITAINATEETNLVLGKDYAF TNSPFSDHIQLLQKMLKSKLNTLGFVPESHHPMQSVYQPVLMVLSMFNSMTRPDVMAW YAKTRFYHIIELTAWQLYPSAATYNKLHPRYRPTKAQLENPHPGIIDWIPFPSIRDRL IQLHSANPHIDQIFCDAVTGYVVEALMSDLILGAPPITVYVRVTDLINTMSRSSGDES VSALLPAPDISTLFSSPAYARAAFNKLNMDKGAGYYKIDPAFFEKYPELWDQAGDLTA SGMPLKPKYQKILTYPKPLDPSTVETYRSFIDFSLDASSTISMGPAV FPSE_05324 MPSQIPTPISRYGFMDDYSEGAHPALLKALIASNTTQETGYGND TYCDLARKRIRAHLGRDDVGIFFVPSGTSANAISIAACLRPHEAVIAASSGHIVTRET GAVEASGHKIINVAPVNGKLTPQSIERALDDNWHFPHMAKPKLVYISNATEIGTIYTR NEMAAIKEVCEKNGLILFLDGARIGTALASKFNDMTLSDILELTDIFWIGGTKNGALL GEAVVVKDARLASEYEFFVKQHGSLLAKGRVIGAQFAELFEGDLYFDLARKANLAAET LSNGIADAGFSVYAATETNQVFAVLPLGLIKTLNEHFSFYVWEKCGDDEAVIRLLTTW ATDGMQVQSTKVEFGIG FPSE_05323 MAPKQNGRAHLSSDIDFIQTPEAPADTLSTGKNPGVPLTNSPAI KHAPLPADGPGYEQWSNRFLVAALLGIPQWLSWKLGGGLKTAIFLSIFTTIPVLTVIW TVMSRYSPRINHDVKLPGRPVEFYLTFKSDSHRARWTGRHKIPMATFFELYFTGQVDL NGDALEVFEYRYDWATFNFTSETFRYILFTFFPDVVLHLRSQDEEQVRNNYDSGNDHY AWFLGPRMIYTSGIISDPTREETLEEMQDNKLAIVCEKIGLKEGDTLLDIGCGWGTLA KFASLNYGANVTGVTLARNQVQWGNDGLQQAGIPEEQSRLLCCDYRDIPEDRKFNKIT QLEMAEHVGVRRLTGFFRQCYDMLENDGSMYVQVSGLRKAWQYEDFIWGLFLNKYIFP GADASTPLSYYVGCLESAGFEVKSVDTIGVHYSGTLWRWYRNWLGNADKVKAKYGVRW FRIWEIFLAYSTIASRQGSATCYQVVVVKNLNSTHRIDGVYSQYGLHGALTAAKAVGK NMLPK FPSE_05322 MSDKKLEVLVYGLGAIGSFYAFILSRSDRVRLTVVARSNYDAVA ANGISINSQNHGKHHSTVLRHVKDAGHKFDFVICTNKAVDQASTAADVAPAIGDDTSI VIIQNGVGNEDAFRESFPKTTIISCVTWVGARQPQPGIVEHTTSEDMQMGLYPNKAGD SALDKTHLSQFESLLSIGKTIFQIVPDIQVQRWEKVVWNAAWNSLTALTLTDTHSWLS SSDLSTPMTRRLMKEVIDVANALDVSLQYELIDQLLDKILGMPPIGSSMRTDYENGKP MEVEVILGYPVKKGRELGIEVTTIETLYTVLLAINKRLMSSADK FPSE_05321 MSDAHSPRHDARSHDHRRSTQSASAPPGDVSQLPKGQCRYILTL PELKGHRCGCMGFHHNTSLPGATCYCGHFACFHSPHSIQRTGDDLAVLKRRVKDLEAM LQQKGSYQPESLVCRISDLEETVESNEEESAMQMKALYQNSSAAWEVIEALQERTKTL ETYCGLYREQMAATRGELRELYNRQLELMDGEESLEERLHRLEDPGDILPAFPTTPMG SLRMDTR FPSE_05320 MMFKSTTAAMLLFGAATATPLFGRAEASQTKSASQSSKTSESSA YSWSEGWTKDYPIHQSCNATLRHQLSNALDETVQLAQHAKDHILRHGHKSEFFTKYFG NASTSQPIGWYDRVVNADKTGVLFRCDDPDKNCATQDAWAGHWRGDNATSETVICPLS FEIRRNLDSVCNLGYTVANSKLNTFWATDLLHRVLHVPIISEKTVDHFAENYTDALAL AKSDPSKSVIDSDALQYFAIDVWAYDIAAPGEGCTGEVEDETEEEKPTATKSDSSKPS ATKEAPKECHTHDDGVVHCS FPSE_05319 MAKITSIVYYRVKPRWLMVKVVDENGEHGWGEATLEGHDLAVEG CLDEMIPRIIGEEANDIENIWQTFWRHGFYRGGPVFMSAMSGIDIALWDLKGRNLKVP IYELLGGKVRNKVQVYCWIGGDRPSDVEAAAKKRLEQGLTCVKMNATEDLGWIDSPSA LDSTVERLKQVKALGLDVGLDFHGRCHKAMAKQLARALEPHRPLFIEEPILCEHPEAI KKLSDQTVIPIAFGERLYTRWDVKRFLEDSSVDILQPDIAHAGGISETKRIANMAEAY DVAIAPHCPLGPVAFAASVQVALSTPNFAILEMSLGMHYNTEAGDIDLLTYLKNPSVF DLDSGHIKAPTGYGLGIDIDEEMVIRIAKETEPWQCKTFHGPDGGILFEHSDSNAHTG VFSCV FPSE_05318 MSRNSKFDDEPSQQQTEDIESNLAKPVPVSDHADRAAEMIGAQH IEVTEEDNKRLRRKTDKHILSILVWVYFLQILDKSVLGYGAVWGMREDTNLSGNEYSM VSSMAPIAQLVWLPFSSWLMVKIPHRIFMSSLVFGWGTAQACMAACTSYEGLLATRFL LGLFEAACLPLFSVITSQWYRRAEQPMRVACWYGTNGAANMFAAVLSYGLGQINGSLA SWRILFLFAGLITVLTAPVVYWALDNDIPSARFLTEHEKLQAIERLRANQTGTGTRNF TWSQAFEALIEPKTWLFIGMALCLNVTAAVTNTFGPIIVGGFGFDKGVTSLLNIPFAA VQLLVIFPASYLAHRFRIKSAFLIAVMLPVLAGAVMLHQLNRDNTAGLLAAYYMLAFL FGGNPLIVSWMISNIAGTTKKSVIMSLYNIGVSAGNIIGPLLFSSKDAPYYKPGLTKT MGITGAMVGVVILQLVNLMFLNKLQERKRVKNGKPAKIRDLSMEHRYVANAAEGADNE LGQNAFMDLTDSKNDEFVFVY FPSE_05317 MDSKELGPDVPQASHDEKHALDGAHNDVPPGSGGRRGSTALNIV ENPLQRVTPEKAVNDARLFAQNHGMPEHAELFGRAALVARDLDRFNNVKELQEDERQA LEYERDHKWHGPKMLWYSIGLCAIGAATQGWDQTGSNGANLSFPKEFGLDEEKSIRDQ WIVGLVNSIIFLTAGLIGAFIVDPLNHYFGRRGEIFITACCLTATPIASGFTHSWQTL FAVRFVMGIGIGAKNATVPIYSAEMAPARIRGALVMFWQLWVVIGIFLGFCANVIVKD TGRIAWRLQLGSAFIPSLILGIGIFFCPESPRWLMKHGKHAKGFQSMQRLRAHDIIAA RDFYYSCIIYEEELLVARGAGYFQRLWDCFAVPRIRRANYGASTVMIAQQMCGINIIS FYSSTIFVNAGYTQVEALYASLGYGAIQVIATIPTLFLIDTKGRRTLTLITFPFMCIF LLAAGLSLLNHSDSRGARIGPVVLFVYLFTIAYSLGEGPVAFQYSAEVFPTIQREQGM AWAVCINNTFAGVLGLTFPRMTAAMSPTGAFGFYAGLNLIAWGMIFCFVRETKQLTLE ELDQVFSVPTSQFISYETKVWLPYFIKRYILRKQIEKPPRIIERADKSQDSA FPSE_05316 MRSIETRRLAAFLALPFLCNGFTVTVDRDTTGKSIAQAIFNGPG LTLVNWDLRAPADSLGAFTDGPFGIGNGGILTTGQASGAMPGGDGNVDNGGDGADIFC GLGSTNAAVVYAGVTPGAGYNGIRIEFVFATNEPDGGNPDNIGIFNFDNTPSQFATFN VVTVPVVPELQADIYIVICDKGNSGDDSALLIKGYGCTDCESTPNGAEINYVKQTTTL ERGEQPYTQTISASGTASGTFIYFVAPEETTTTMAAETTTADTTTTTAMAEDTTTTSA AADVPTDTTSSDGSTTINDETSFGSTTAMVTDPTTSTASGTTAEFTTTDEPTSTIESA SEQTTTKRSPCRPRRHLH FPSE_05315 MVQAFPIYLSRQRELLATWIILPMLSTITVGLRLYAKTKTRAAY GWTDWMILVSLFFTDLFFVLLILMLVYGGIGIPGYPYSSTTEPTDVSLIHDQIGFANN VVLVLAVYACKASILFLYLRVFTGHNGPMNIIIYAASAITMVCFLGSFIGYLAAVRPL DKWWAWGDATAEQFLAMQNINIAYDSLTVFTDFLIFVLPLKSIWGLNMNRPKKIGIIV SFCFGFITCFISLARVVFTHGYQWKNLYDEGTVWSLAGVEPVAGIISACLPGLRPLLP KTFKMTSYGTPANPSRFRTTGTTTTDNAKKSTIKDPNPFTVLNDDSSVTEFRHTVREV PSRSEVSYNHYLSYRDSINVSVLGTLAFVRNVNTIFNVDRFKL FPSE_05314 MRFTAFLLPIVLGAVSAGPCRPSSAESSAETTELGSVTTTDLFQ TITATLSTDDAATAVPTTEIESASTTTHIIESTTESAAATSSTTAASRQCIAPASLQC CQSVGKANDGPVGLILGLLGIVIKDLSIPIGLTCSSIPNAEACVANRTPVCCSDNSHG GLIAIGCTPF FPSE_05313 MKERDIQNMNGTQIELDSLPTTDSIKHEPPILYGAGNWINGFRR AEPRPGYLPTDAPERFYDLRAANAKTANTALARELKGRHLQMIAFGGSIGTGLFVASG GSLYRGGPASLLLSYILIGGMQYCTMQSLGELCVAFPIAGSFSAFSTRFLDPSWGFAM GWNYCLQWLFVLPLEIIAGAFTIGYWDETIDRSVFVSVFLLTIVAINLFGVKTYGEAE FIFSIIKITAIVGFILLAIVINIAGEPEGHYIGGTYWRNPGAFKNGFKGFCSVLVTSA FSFTGTELIGLAAAETANPRKSLPTAIKQVFWRIMIFYLVALLLVGLLVPSDDRRLVG GDNVADATASPFVIAIEKAGTSLLPGIMNAIILIAVISVGNSAVFGSSRTLAALAEQS HAPHIFAYVDRQGRPLMAILFASCIGLLAFLADVNSHDAIFNWLLSISALSTLFTWGS ICLCYIRFRAAWSHNAHTLDQLPFKSNVGVTGAWVALIGYILVLLSQIWIAASPVYAP DIVDGASGVAQNFFLKVLAIPIILLFYICHKMWYRTQVVRLEEMDVETGRRYFRVHIM AEQEREERLGWPKWKRVSGDLKVIIPACNYWVGPVSISNGGVSQEQDTHVCEKYFIPF FLQAFAVQYMGDVRMKSMEASYATILWGAALAVLGLISHRIIAIALRPHSSQFKGLPG PKDHQPFVGHALRILRGGGPNDVYLQWTRQWPGAPFIRCLSWLNEEILLVNSLEACRE VLQTNAYFFAKPGFFHTLVGEFLGLGLLFSVGEQHKRLRRITAGPLSRPSIRKLFPTF VTYSQKLNREIGEALEGSKSGIVEIEDLITRATLDIIGVSLLGRELRDFRSESSPLSF EQCYNAILAQPLAGQIISFINPFIPLRWLPVSANLDFIRAKSALKTMMEGLIEQRTAE VGATKLLKEDDKLSDDLLTRMIEASAGESQKLSKEELIDITMQVIAAGHETTASALIW TAYSLAKDPASQQRLRAEIHSLGTEMSAKGIDELPFLDNVIREAMRVHSPTLAIPWEA QKDMAIAGTHIPKGTTVQIVPAMIQLNPEIWGSDADVFRPERWEDMDSNASSPYAMET FSNGPRICPGKALALLNMKVLLVGLIRDFEMEIADDGKEVELRNPSLTLKAKTPIQFK IRRLS FPSE_05312 MSLYSDAPDARAFDEDKPTLLVSWWITAFCMAIILTRMAGRYVR VEKLLKEDKIVAAALVPLVLRAVCVHFVLKNGTNNVNLDGLDLSDEDIEKRVMGSRFV MASRIFYASVLWILKLTTLEFFERLAGATRRRSHRVMIHVIRGTLAATFLAVLVSDLA ECQPFPHYWQVTPDPGPQCRQAFVQMLTMGSCNALTDLLLIAFPIPIILSTQIPTKRK VLLIMLFGFGLLTVGITLYRIPRILQAGGSQVVRTMWASIEILAATAVGNIVALGSFL RDSGVKRKKYKDSASYDGYSNSRSQSQGTRLTRTAGQSQWEEDEAPVRTTEGIWAKSH DDSISKGSGERPVSPTQSHDSLITREHFNESMEIPRDGFAYRQPPTAVIAGDVREVQR AQTNH FPSE_05311 MGKSTWESRAAAKRAEVLDKIRPEWRLSPKDLERARQQRDITGP FIQQFLDERDVSVTSMTSAPILKALGEGKLSAVQVASAFCKRAAVAHQINNCLHEVFF DQALERAKYLDDYFAKHNKPLGPLHGLPISLKDQFHVKGVDTTMGYVGWIGGNLGVSD PDKTHKVESQIVTELLSLGAVLYCKTSLPQTLLFGETKNNVIGETLNPNNQNLSCGGS SGGEAALMALGGSSVGVGTDIGGSLRIPTGFCGIYSIKPTSNRLSYRDAANTNPGQDT YRSSLGFMGTSIDALEIVFKSVLGTEPWLRDPAVLPIPFRKEMMDSYLSRADSKGNAK SGERPLKMGILWSDGMVGPHPPVLRGLRVVAEALKKAGHKVVDWEPPSQERATTLIGG FFSADGAHDVHSHLDRSGEPLIPDLEDGFKLKTPTELLKYQELTMQGLEYEREYSDYW NSTQESDAYTDAMNVTNYSVVVIPTIRADANIDVFDDSYKPLGDLDTKNWKAYDPAIY DGAPVGIQIVGRRFEEEKCLALARIVHLVLRGALKQQHGLEHSNFGSLRKELFMGRFV KLDLLRG FPSE_05310 MAARRVVLFGGQGSRSIFSSSTTSIAEQDAQSSTAGIILLSKCH VAILREISSLDVQSRLILAIDPVSFPTPRHLLQIADKYHTHPVLQATTIYLCQILRYL SHTLQQDDTFEQCFERIEATAGFSSGIIPAAVVACSSTIDEFVVCAVEGFRLAFWVAY YSFRWSLLLAEQNGHNTSQDATMSLATRGLSRTQVEQVLYRMKAERGLQRMAISSIAI SGSVSISGPQAELVALQGELQSLRYVTTTFAYVHGWYHGGKQLEPVVKQVEETINRRC ICFPSCDGSSKPIYSTLDGTVLDLFGGSSNKPLSSLTRHLLIHCVNWRDTSRAIAADI REILRHTPMAVDILSFGPASSSIFPTIDSQNPRVNLVDMSSFKSQEGSTTQHLDRPND IAIVGMSTNLPGGHNAAQLWETLSSGLNTVQEIPESRFQISDYYTSEKGEPRSMATGH GAFLDDPFSFDNAFFNISPREAKSMDPQQRILLHGAQEALEDAGYVADSTPSSQRATT GCYIGLATGDYTDNLHDDIDAFYPSGTLRAFHSGRISYFYQLSGPSIVTDTACSSSTV SIYQACRAIQNGDCTTAIAGGVNVITSPDMYLSLSRGHFLSPTGNCKPFDASADGYCR AEGCVLFVLKRLSDAVAEGDRIHAVIRNAQINQSGNSSSITHPHSPTQTDLLTRLLKQ ADVDPASISVVEAHGTGTQAGDAREIETLKLVFSQYHSATTPLVVSSIKGNVGHCEAA SGAAGLAKLLLMLRNDEIPKQAGLENMNPALGDLQNSGLVVPRQNMPWNRSRTVPRRA VLNNFGAAGSNASLLLEEWLESPATSKQKNEEGKRSSYVFALSAKSNKALQLSVGRHI ETLKKNMELGTSLEDICYTATARRQQFDHRISATCSSKLELMDKLEQYQSTVSTPAQM VSSTVFIFTGQGSIYSGMGRELMSTYPPFRDIIRTCDRIVQGLGLGCPSILNYILPGT EGRLASMSHVEHLMVSQCACVALEYALAKTFISWGIKPDYVMGHSLGEYTALCISGVL TPGDTFRLVATRAKMMGEHCAANTSGMLACHLSSGEIQSIISDDPSFCQLSIACLNGP HDCVVGGPLTQLEALRTRCKTGNIKCKLIDVPYAFHTSAMDPVLGLLSALGRSVEFQD ATIPVISNVDGQLFRKDMTANYFANHTRRPVRFHESIMNLQDLIGQSSLDESLFIEIG PQPAMLPMLRDSIASASCTYLSTLQKGRDAWMSISETLSAISLRKMGINWREVFDGTS AQVTDLPGHPLQGTRFCIPFKEPRGITNHAKSSAIAFATSVRTGCRLLPWVRADTNLS KEHIFETDMTTLGPLISGHDVGGSPICPASVFHELALEAAKSVLEPGKEDILVVKGMK FSSPLIFLSSTSNTTVHVHISKKGIATTRTASFHVKSTSPASPVESLHCSGYVTLQNL EQQSGQWMRDHALVTRQARLFSGAGKDLLSTFRRRVLYENIFTRVVRYSRDYQTLQFL DVADSNLEGMGSFNMPSDSIAQTETAYIAHPVFTDTLLHAAGFIANLAIGSNEVGICS AVESIEVAYHEINYEDTFKIYCSLLEVKGLIVADSFALDSSDNIVAVIRGMEFKKLQL STFQQALSRISSNSEPEGPEYHHGVSSSAELQLQTSVAACQPLTVDTAIDAHKHQDEN GISQILKDVVVEVGGFMEQDIDYTMSLTSLGIDSLMQIEIVSKISRLFPEKTGLDHNA LAECETLQELNDMLSSVLQPSVKQRSASQASSSKQTAVITPTSSDSSVEGDSAHGSVV LPVALHTSDESRTPLCLFHDGSGQISMYKRLQGHDRTTYAFFDPKFECSDEGRSFYSS IEDMAEDYASRILSTRPPLSSLILCGWSFGGIVALEVARLLFLRGIEVRGLVLIDSPS PINHEPLPAQIISSITRFTGRSESTNALEEEFLSNASLLGRYKPESLSLTTGRTLKTV MLQSKGTLDTESLCGVRYDWLSRQDVRDAAIAEWESLMTRSPKRHHNFGKHANTSNSL TDKSSASNKAHISMHQRIDLHCHAVAPSYRQYAIDNGHEKPDGMPALPQWTPEQHIGL MKKLNISKSVLSITSPGTHLTPQNDENATRLTRQVNEELSTICQKHPSYFSFFASLPL PSVNDSIAEIDYALDQLGALGFAVLSNANGVYLGDAELDPVFAHLNARKAILFIHPTT CNIIASSGQVQPVKPLEKYPRPMMEFMFDETRAIANLLLSGTVAKYPDIKFIMSHCGC ALPSMLDRIGAFATLISGAESQTAEFQRLLRERFYFDLAGFPLPNAIHGLLRILGEGA EKRLVYGTDYPFTPERLVVSLADVMEKGLEELFDEGQRADVLVRVAGTIQDEAMRTTN TEDHSGTLS FPSE_05309 MPSTGLEDTTTYNDKYVVLYDFSEIDHDTAVKEVGTLLQDLEEV GLHTEVRAGYEQSLLIFVRAPRELLGNWVVKDWLYGIVPNHPGGDKDTVVDGAFEAED ILSVYHIVNWSKEIGGAGITPEIGQWENVKAIFPLHNPKVNQSLLSYLSRRFFLTHDD IDSIRNLHGSKVAFYFAFIQAYLLFLTFPAFTGVVAWLWLPQYSLAYGILTSVWCTVF LEYWKIQEIDYSLRWNVKGVQHLKVNRPQFKCETVYTDDTGRKHYYFPRWKKVVRQLA QIPFLLFAFAALGVTIIAVFAIEIMISETYAGPYKDYLEYLPTILLAVSLPYITSALE DAAEKLTEYENHRTADHHEMSLTQKFFVLNMFTNYLPIFLTAFIYIPFGGDVVPKLES VLVKAFASVGKKFVHQPFHLDADRLRNEVIALTVTGQISGFFEENIVPMLKHRLSGWY RDYRRSHSKGDMLLAVVKDEPEESAFLSRVRNESTLPKYNVQDDIAEIVLQFGYLALF SPVWPIIPIGFLINNWIELRSDFAKLSLEHQRPAPVRSDGIGPWIYSLEALTWLGSIC TAAIVHLFSGKAIGGALGSWAALPVTVFISEHVLLLLRSLVRFTLQQIGSDRIRADHH QRYANRVKHLEEMETKRQTGLAVREAHRERRKSVLVMERDPFWTRQVESGASELAGVT LIQQVKKNETSEIQEKTD FPSE_05308 MKLSFISSLAVAMHVVSAYVAQHRLALNTLAFDGKQQSNNPRCD LSPPVDPSRDGLVDSHQVFSWEKSIATMIDRLQSVVRLPTVCYNDMGNFDEDERWEPF NKFADVLNNSYPNMQVIHVHDYTTPDIVNKFGLVYTFQGSDKDLQPILLTAHQDVVPV DEDTLGEWDYPPFGGHYDGRNGNLYGRGAADDKSAITGLMSALEALLSQDDYNPRRTV ILAFGFDHECSGKRGAAEIAKHLEKQYGQDGIAVILDEGGAGLQQIDDVLYALPAVYE KGYMDIWFNLTVPGGDSFAPPPHTAIGIMSEIVTTLEQSQFDPKVERNGPVHQGLTCF ARYSPNAFPELTRSIVRGDLNGAARFLAKLSPETQYLVQTSQSADLFTGGKRIDALPE HVSLGVSHGLAPQDTVGSIEHGIVQLVQNIVNKYNLRFEPFEDDGDYDDYLSSEGLTR QARQQGSSSGTLNVEAMRKYFPEAPAPTSGRVWDIFAGTVRYTWGRESPYVVPAPGAM TGNTDSRHYQNLCKNIYRWNPGTRKSIAHVHDTNERIPMGAQLNMAKFYYDFIRNFDQ ADI FPSE_05307 MRTSFSTVLALAAALPTAFAHYNFEALIVNGEVTEPYQYVRKTT NNNSPITDVTSKDIVCNAGGLDKDIRAATKTMKVSPGDEVGFTVASEMGHPGPLSVYL SKAPDGTEASDYLGDDEWFKVYEMTWKKIGADGVEWANYMNGQSGGIKNFTFTLPKDT PKGTYLMRAEHVGLHGAGEKNGAQFYIGCAQITVDGNGAGKPSPMVKLPGAFKATDPS LLLSIYYPPVTKYDAPGPRTWPNACTDHTPNFAGQASDGDCTGDKKGSGSDSGSAAPV ASDAPAASAPAAEAPTTSAPAATPTAAAVTTSAPAAPVATKTPSNKCKAKRAAKRAAK AKLALKK FPSE_05306 MHFKNVLVSLVALAVSVKAIPDVDTDFSLEARDDAAPIEARDDS EFLAERNYYPPPSCKENEYYNSHSKKCVCKDGYEAKYGKCVKKTPSCKENQYYNWHSK KCECKEGYEAKYGKCVKKTPTCKDNEVYNWHSKKCECKDGYEAKYGKCVPKCKWGQYY DQHAGKCVCPKGTSEKYGKCVKNCPEGQIYNKHSDKCECPHGTSWKYGKCIKNCPKGQ TYNQWSHKCECNKGTEWKYGKCVPKCSNGQYYDNHAGKCVCPKGETFAYGKCIKTCPK GQTYNQWSHKCECNKGTEWKYGKCVPKCDHPQYYDNHAGKCVCPKGTELKYGKCAPVC PEGKYFNKDSHKCVCNKGTSEVYGKCVKDCPKGQYYDRWSKSCKCPKDLSWNGHQCAY NCGHEAEYKYGACVCKKENQVFDKHSKKCSCKQGWYYDQHKGGCKKGGY FPSE_05305 MPERRQSHPKAPTGLNDETAEEVHDIIHRAEVEEQKMHGKDALT QEDIGVDEGNQQQDKSAMGKVKEALNLGK FPSE_05304 MLPRLSLLVHSLFVSLSIARDSNSNSTTNNNNNQYAATSGTVWA TPHDSYSSSIGVLGCKIDTNRVAYWPNSIDCDNICISLTYQDRSVYLLRIDQSQGAHD VSYDAWNYLYTGYSATDKPTAGGPIEMTFENVDASKCKHLIDTKGSKLPLSAANSMNF LTSCLDRKDSTWVADNYVLYNILDSICTLGHDEKCELDYPAANQAECPHTMGVPDVLK GQTVYNIRYPSGEKVSAADGKPADEDGASGVKQPSGKALALVVLFSACLVFGI FPSE_05303 MTPLHSIIISTLFLLPSALADHSCKAYPGTSDWPSHKTWSRLNS TLSGHLLAPTPPGSVCHKDWPAYNKDTCSNVAASWKHYDFHTENPVSVIYDQYPSWTC LPDADYPCSDAGYPAYVINATTAEHVKIGVDFARKHKIRLIVKNTGHDYMGRSVAPGS LSLWTHHLKDLTYHKGHFKLYNSETTIYGDAVTAGAGSQMYDVYTYLDKYKRVVVGGG GKSVGLGGYVTGGGHSLLSPRFGLAVDQVLQMTIVTPGGKILTINENNHQDLFWAMRG GGGSTFGVIISMTLKVYKTPKISASILTVGTSSEAPFKYDLLAYVLSQFPSLADAGLS GYASLSPRVANPSPGPEAPKEVAGISGIFAAQDVQDPNYIHKLLQPLNETLQKRWPGF VQFTATSETYSSFLKWYDVYFDQGTAGDTNYIVSRLLTKDSLEKDESKLSHALEQGCV PSGGMIAHLVSGKGVHDAKPRGGSVSVNPGWRDTYVHALAGHAFQPFNRTSEKQAVQS LIKTWESFRELSPKAGAYINEALPFEPDWQHTFWGDNYERLLSIKKAVDPDDVLWCFP CVGSEKWKQKRDGRLCKVA FPSE_05302 MVNILVKIGLSVVVILAVLFQVYLKEAVWLGLGIGKVMQPISDF PYTCRKIVDPRMEACEDMWLSQSTRQLFLVCSDPIARDAWFPNVGALNISGRSQRDSI VALDIDKPVGASFEPRTLKRGGFTGTSGDGLINIAGFTGIDNSDGSIDLLVTNMRPSV DAESGKYLDQFVHGANTTVEHFTTGTGPNELKHVRTFADAGITTPNRVAVMDDKTFYV ANDHGPHMMGWRHHLSPILGFANINVCKPNTPCKEVSSSLKFPNGLAINGNTLYVPDS IRGTLTIYNILPNKDLKKVDEIKLNYGLDNVSIDENGDLWIAAFPVGIEIYKANQDPY NAHPPSAVLRVRKVDGEWKVDKVLEDKDGEVLPAATTALHDAKTGRIFLSSVISPWIT VCEAKA FPSE_05301 MSQMAQMAQTETLLSDYINFNDQNIGLSGNELINKELTFCAAAA VSRLCDEQEKGVDIDECLQQREEFKFIKELGIISAVYTTAPISKQKEIKSTPPILAWS DRLQTVFLGISCTRDMNDPKLNLSVRAQAADAVGSRFYQGLIDRSGEFVPLIEWLTRQ YKLVVCGHSFGGALATASSYLAVFDHQSVPNAWEESQCGISVITFGSPSCHFAEPQGT STSWKMHLSRNFHHIVNPHDYVPFALNDASRRFKQNLNAIRTPLETISPTIKMFWPIF ENCLDFLLNKKGKFCHFGCMYSIATEVPGIQNCLQIQNDQDLPQIPGAREVDEHHKMS HYFHCVKGSIGARIPHKTLSESHLETNSHGFPSMILPMPATVTDCSCVVENDRLTVSF NVSTAIIQYLLREAFFMKDGKEIPLNIIGCIQHPEDNCQASVVLEYIIEDNEVFEHVG KASVALQQGVTLRDIFGRSILVKVGSVKHLGLDKASQPEILESIRLAMVRALVAQTSK VQSIRTQDASINADTPKAVTELDEKVRLVVDRIDSLVSDASPHLFIKQMGHAFAILKA LWPRDFETDQDYMVSVPGQWEIGESDTGLLRDVPVDCPRVLRELITNMFENQKGSTIT QARASLKAWTKEKRPTLTQQFENVPTLANALKTFSHDLYHRSTDSSKRTEQATSQFQN VMGVVQLCHLIIITQLDAPYEWYCRSTEGRKKTSAALGILPSYISWTSAPALLETVGL SALSVSSLAMAGGLGAMYYSYRIFNHFLHLHSEYLDLSFPGVLAATLKALGLPPAKPG EMVEKTLLNHLKEDAQSPRTPETLEKWRVELEKGLENYPGAKDNQWSIIPDYFWAKWL FTVTEVAQLRIQLLDKICIGVQGSTEAGKSQMLTVLTGASKNHFKPGSSSLCRTLGIQ SYNSSELGAIFLDSPGFDDQIPQIKYMADVFQELFAIVIIVIPMERTRSEATESALRI AVKSLLNRDDKRPLRILLSQADGLDFHRNNKEVFRATLSDVKDQFMSKLRKEVGENFT SFRQQRFGDGIVCVPETLEDIVKPFSTHAQMDLDGIRALSDCGSKYSCKIERASHFEN LCELADAGEIWDIESLRSWLRELSPNSVPTSSGRVHEYCD FPSE_05300 MLSTLFLAVAAPALATAFNAPNVPVGTDDSSPDGLIRFPLKVST GAPVVKGVTKRQNEVALESQQNGFFYSIDVTLGTPGQKVTVNLDTGSAELWVNPVCEK AQQPAFCKQFGHFGESSTFVDLNTTGGVVYGTGYAYWNYGYDNVVVGSAAIRNQVFGV AYDSSFTGVGIMGAGPDLNGWDAPYPLVIDSMVKQGLIKSRALSLDIRTLNSDRGAVI FGGIDTAKYTGRLQKLPIIPAASSPDGLTRYWVNLDGISLVQEDGTVDSAFSGSTPQP VLLDSGYTVSALPGSIFNKIVAAFPTAKSNGGAYVDVDCSVADIKGSVDFKFGDKTIK VPYADFIWHNDERCVLGVFQDDEFPVLGDTFLRAAYVVYDWDNRNVWIANNEDCGTNL VAIGTGPDAVPDLVGQCGSDSETTTSASETASATGTETETETASATESEAVTATTEDV TSAETDTTTGYFPTTRYSNGTVSVTGKGTSTTKKATGGSGESYELPSYTDSSASATIT STVVTSKVYTVTACPPSVTNCPVGHVTTETITSLTTYCPGSDGSAPHPTTVEGEGAPH PTGAAHLTSYVTATNMHTVTSCPGEGACHTSVITEIVHNTQIASPEEPTGIFTIPEAI QCGAGNFGCKEATTKGYRTVTITPVVKAPKPTPVPGYCATCGPLKGHGHHNDDDDKET ATGGYTAPGKQTGGYDQPTQAHPGQDYPRPTGDSPKPTGGSDKPTGDSDKPSGDAPKP NPLEELQTYRPTNVFPQIPSSVATVVKPTGTPQAGGPSEPAGTPPVVINGASGWNVPV VAAVVVGAFVAAL FPSE_05299 MDGLDEFEKTLAAEKAERERAERHDDEKRHRKHRHHHRHDSERD GEHDKDRHRHRHRRRHDEEDDGHRSKRSRHSRDDDDDGHRSRHRHGDDRYRKTRDAKE DLPIPDEEQPQSQDTVDRSKSNQRDAWMTAPSSIEVDYIHRPDKNKSPPPKEEPKRVV SKREINNHIQDINDGKSLDELDIPSQPTVDYTFGDAGSSWRMTKLKAVYTAAEESGKP VEEVAMERFGDLRHFDDAREEKEEMERRRIYGKDYKGHDKPTGDLYRERIKNQHKDSP PPEPEQGTIVPDAHPQTTTTPAMDQTSLNRLRAQMMKAKLRKAPNAAQLEEEYNKAAA MFSTAQSNPEAVVLGVMDNRQLAGRRNEAKVITNKRGRERGNVEENTEMTIDDMVREE RRTKGQAGGEGLRLAERIAKDGKFDNDLDYMDENAEKLAKRLHKSDINLKNMAVSEFQ KMSRALDNCPLCHHEDKNQPPLAPVIALGTRAFLTIATEPEISSGGAVIAPLAHRSNL LECDDDEWEEIRNFMKSLTRMYHEQGREVVFYENAAQPHRRMHAAMVAVPIPYEEGAM APAYFKEAFLSADEEWSQHRKIIDTGAKARDGMGRSAFRRSIAKEMPYFHVWFTLDGG LGHVVEDAGRWPKGDLFAREVLGGIVDAEPHIIKRQGKWTRGDPRVEGFKKGWRKFDW TRMLAEG FPSE_05298 MNFDSADVPPIPHRARGHHAHGYSHSRRRSLQGPSSKRSSNVPP ASPEVISNLITSLSVISAPATSHFEASPHSLSLPASPGYSNGSFGVDYGAFHQPSLDD LRQDPVSLDELAALPPVIRTSKPPSGYSALTAAKSPKSPRSPSREGGLRSFIRNSTSR PSSKGSSQASKNDDAQSIGGLSIERRSSVVTPELNHKQSHDSWGKKTSRSAKGLMYMS SRERLREKEAERKRVSGGVSASSSGAVAGESVHSDGRNDPFFAETAIREESQYELPNE RPMDGPCAIPARGSSLAKTTQPLRSSGRRSRQDGAVTDVIPEGDEHMRPRERDTDRRR PPHNTHQSRSSTRSPVYGLKDASPSKTTSVAGRSFLDISRDDDGLSDEEDLGAPFPAV AQNRKRDQSNDRQDRRRSGQPSPAPTEGVKVKRSSSRLKRLSEPLNLKSEDRIRAPSP QPQVQHQSRNNHHDRPQSADSVDGAVDSYLCSPRLSQKIRHPQTGRVISFSEVGDSEG SAVFCCVGMGLTRYITAFYDELALTLKLRLITPDRPGVGDSEAYSDGTATPLSWPDDV YAICQTLKITKFSILAHSAGAIYALATALRMPQHIRGRIHLLAPWIPPSQMNVFGTSQ ALPPTNAIPTAQRILRALPTPILKAANSSFMTATSSSITSSLPKTPRRGKKKNGREST GSTRNVTPSIDKENIYNKATTNGHGDEVDHHPASESMDRMSIPQGGRGESDIIAAASD AMADKERQMNYDLRLTHAIWELATTGANPAVDLLVCLERRHTIGFRYVDITRPVVIHH GSRDTRVPVDNVKWLGKTMRRCEVRVLEGEGHGLMASASVMGSVLMEMSKEWEDWMKV TGAEGGKKDRERGRRGTLVR FPSE_05297 MDVLLSLPILSYLLSPASASWSTSLNLLFFYMTWTTLVLSHTSL RIRVLGTLSIRVVLYLIPSLLTLLLDTSVPSAAESIKLGGRASLPQRNGRFIARQVAL VLINLALVTAAEGASSLGFKYILGEDDFKTASVLPLPWQLAKHILLMLTAREVLTYYI HRNVLHSKGAVAKYHQQYNHARSAAPYSLQLFADHPIPLLFHRFLPIYLPSIALRPHL LTYFLFLALCTAEETLAMSGYSIVPGIIMGGMTRRTAVHYASGGNCNYGAWGLLDWMN GTGRGRDVLDDVKAEAEKHRVKERSANKMNSGMGAVKGGIDKFTNSDEGGKRRSSRLR SKRAS FPSE_05296 MSRLAGWFRSGTATPATDANSDAGSISTKDNMAREMEDIDDAMA SCGLIMNDDIDGAWERLQTGDSSFHQLGLAVTIFMRSVLGFEKAVMTETTTKLDECEA KAWADYKKAQKHGKARAGSKLYPPGTEFELVRAETQLMGAVVGVLHESLIDAMKSFLK LRKAFMTLDAIIEAETKILGSMPQATSEASLSAKPVTDVTKSMEKLDIESASGTQTPA NVDSSSSSNAGTNEDEKVDEGAPLKEARSKATESDSVLLENPLDIFVHSGANMCFGMI LLMLTLVPPAFTRLLSVVGFRGDRERGVRMLWRSTSYSNVNGAISGLILLSYYNALLG AVDILPSANDFDDGAEIVGPPRAKCEKLLASMRSRYPDSRLWLIEEARLYSNERNVAK AVDVLTSGGESKMKQVTALNNFELSLNSMAMQDWVLMRDSFMRCLELNDWSHALYYYM AGIASVELYRDAVAAGDEDEARRQKVKAEEYLRKAPGVAGKKRFMARQLPFEVFVQRK IQKWEDRAKSLSVDLADAIGASPAAEMSYMWNTIKRMGPAELEKAVGGMSWDRCTAKP EVVEKIKAEIDEMGSWALITASLYRNQKKFVESRELLETHVLKHDRFSFKGPTKDDYV LAAGVYEKGAIAWSECCNPPEGTPEEIAAYRKEKYDECVKQMDVAKVWEAFVLDTRIG MRVQSGIETLNWFAKKMGYK FPSE_05295 MSLAYSSSSNYARDTKGKATSPGTDRILPTTCLTNIERRYLFGV DDTGKRYNLQSNSKSKEYTWIASYQGIDPADGNSFSFWLFETGQTGSLFSSHTFNITD PTSTTQSATQFTRTRHPQTKITTKATETTEIAGEPTSSSKIVDATPPPGLSSGAIAGI SVGSVVGCLLIAGAALMFWRRTGRKEALQPTEPMEVAKFGVVEAPNDSEARHGPWEMG DATTQAHELSATEQTYNYRTERWA FPSE_05294 MTSLHLDPIATTPKLDNGRNSSTEDKAQPSGAESGETIDSSTPC ELQDQSQRLPFARLMVAYLCLCLAYFISYLDMNSVTTSLPTIAEALDAGPTVTWVGTA YLLGQTAFQPLYGRISDITGRKPILLFSMGCVAIGGLLCGFARTPIWLYACRTISGIG GGGISSSIAIIVSDLVSLRSRGKYQGFISLAIGTGAASGPFVAASLIQTRGQDDGWRW AFWIPSILAAFCFALLLFLLPLKPVPGSFGEKIRKIDWLGVITSVPAIVLVIMSINSG GSIWPWDNIKTILILTIGVVCLLLFIGIEAFVAKIPIIPLRLLRTKSALVLILSGFLH DFVWQTTQYFIPLYFQTVRGYSPLESATLIVSFLIAQGLAGAASGPVMARYARYMPVL RCGLAVWTLGVGLKLLFGQNTHISIYVIVLAIEGAGVGWVHQPGLVALQANSTDEDRA VATGTRNVFRSLGGVVGVAVSTATYYAVLSKALGEAVPDWVRDVVLDGTWRIGDPGTS EYESDILNARMEGFRAVFIMSIPLMALCLIASLLVADIVLKGDNDNVSEDARSRDSEQ EVGAS FPSE_05293 MTPSPSADVLGYEKSIFDDGLHFARPAFTFQSSQWESLAKETLS ATSWGYIHGNAGNASTYQNNINSFSRWLIVPNRLVPSRKDENGNEQFANTTTKVLGQT LPFPVAIAPIGVQKIFNPEGEAATTRAAASLGIPYTLSTASSTSIEDVAAANGENAPR WFQLYWPSREHDDITISMLKRAKESGYTALFVTLDTYVLGWRPSDLDNGYNPFIHPDH IGVEIGLTDPVFKKQSKEEHGYDITDAPSGVYQAAQGGSAGAGLGPAAREWAKIVFPG HSHSWEDIEFLKKHWDGPIVLKGIQSVQDAKKCVKVGVQGIVVSNHGGRQQDGGVSSL GMLPRIANAVGDKIDVLFDSGIRCGADIMKALALGAKCVLVGRPYAYGLALGGEDGVR HVLRALCGDLTMNMHLAGLRDISEVTRDILVKESDLF FPSE_05292 MAASDVNAPPGYSHDTEKDSSKAAPSNTTNEDIAQRNAFGDETH RRLKGRHIQLIGIGGTIGTVLFVQIGKGLMQGGPGSLFIAFSFWCLIVLTITMAVAEM VSYTPISSPFVRFAGVYVDEALGFASGWNFFIFEAALVPFEVTACHFILQFWTDAIPV GATIAVIIVLYALINLMAIQYFGETEFWASVGKVILIVGLIFFTFIVMVGGNPQGDAF GFTYWKSPGAFNTLYYDGALGKFVGFLSCLIQAAFSISGPDYVAMAAGEAENPRKILP RAFKTVFYRLTCFFVLGTLCVGILVPYDDPNMIAAFRDGKAGAAASPYVIAMDRLGIK VLPHIVNAMILVSAFSAGNSYVFCATRSLYGLALEGKAPRFLKTCTKTGVPIYCVAVV LVVALLSFLQLSNSSAVVLSWFVSLVTASQLINFCVICFTYLCFYRAMKVQNFDRSVL PYRAWFMPYAAYIGLVATFIMVFVGGYTVFLPGMWNVPNFLFSYTSVGLFPILYIGWK IAHKTKVHKPTEIDLKYNLAPIEEYEQNFVSQPPKNWFEKILHFLFE FPSE_05291 MRLFRSPLLGVASALWLSLCTSVQAQNPSASGSLSLNTNQPSWT FDYSTQNANDQNWVGLYYTAGGGPVEQKKGSSNSIKWKWAPKSQGSVQIDSGSLEPGN YTAFFLANNGYTWLAEPLQVIKGREFGGDVSFIVADVSLPNARVGDKYSHSIRGLVRG GNSDMSFSSEGSNANWIKISSDGIISGTPTSSSSEAVVTVRATGTDSASSAVFTINVA SSGKALLSQLKVLSLNMWNGGTGVTNYHDKQVKFLASCGADVVGIQEDQQGRHVPRLA DALGWYHWSSGGDVGILSKYPITADYGVISGPARSGGVKIALDGTNKEINFFVAHLGY TPYGPYDTCFDHYPVDKIIEREAQSGRTPQMKATLAGMKSQLDNADEVPTFLVGDFNA PSHLDYTEGLKNKNCGYSGIKWPTSVLPEETGLIDSFRVAHPDPVKEQGITWSPIYIW NGGRRRPEPLDRIDFVLHKGKGLKVTDSQTVVVGEPKPQPNHKENEWFSDHRAVLTTY EI FPSE_05290 MDSARPVCGSEGPGEEYPLGMHIGGLFAVLSVSCLGAGFPVAAK RIKWLKMPPKVFFACKHFGTGVLVATAFVHLLPTAFGSLTNPCLPELFTDIYPAMPGV IMMTSMFLLFMVELYLNAKTGGHSHGGPTGTTITVAPPPRPQRPQRPFPQDENHGHAR NDSFNSDYSDDEVTYEKAMAREMYEKEQRPHAYSKDDSNSTMPAWFVVFYEQYVRQRS EMIEMIKESQYSQAAIDSKPHAEVSESYFDEENNSIDHETFKRMSMNITLLEGGILFH SVFVGMTIAMTTDGLLVFLIAIMFHQMFEGLGLGSRIAAVPYPKGSVRPWLLVFAFGC TAPIGQAIGIASRNSYDPESEMGLIMVGVFNSISSGLLIYAALINLLAEDFLSEEAQH LMKKKDQIFALCWIFLGAFGMSVVGAFA FPSE_05289 MSQYTTIMDGTVVTDNGIPPPVNWVNTYEDMGGDMLWGQDGQME DEVRSRGIDGDIRPHYDMAPYTGDALYLFEVGSSQFYFFNAIDGSMLMIRDQTDLKSI VDVLDDDDRGLPALDIEEI FPSE_05288 MCDFSSYGGSCEEWLNLEQTLAPTTGPEMPTADMVKLANKEREA IVRQSMIKLAPQVGTKNHTVPSRDGSSIQVRSYRPINVTEDEILPLYIHLQGGGFMYG TLDAEDAICARIAIGSKVTVLNVDYRHIPEFTYPTQWNDAEDAFEWAHDNMDKLKCDP RRVLLGGVSAGAWVAASLTLQRHLNRTSDPLPPIAGQVLMIPCLAHVDCYEPQLKKMK DPSISSYKQNELAPMFSLAQLRWYTSLLNIESPSVDDIKINPGNATPEQVKGMPPSVI GIAGLDPLRDEGLLYAKMLTEAGQVACSR FPSE_05287 MSTPFVIETTTLNAVRSLPTDVYLVHATNCIAEWGAGIAAELAR VFPAACAQYKAFCNADASSRWPSPKLAGQCYIIPPQASDVARGAPKIHIVCLFTSYGY GRANTRTGKPGRDAAGKILAQTRMALKEMRTQLDMEAGKIENGPVTIYSPMFNSGAFR VPWEKTSKVIEGEFDGFEGRWLVMAPPPS FPSE_05286 MTSTDPKTTDDTPVEQKPEQKPAALGEDDEFEDFPVDDWPEDQT EAAKGSGETKHLWEESWDDDDTSDDFSQQLRHYHTCMMASCGLVRQARPQVGESSKTG QQLIYQSNTNNYDDLDNAGAPTAGENVTADGQGSGEPPRGPGNLYCYCDCNWESTTCR CSNCYCITGLMLPALTARDEVADELQTGIRALSLERLPDTGYAIDGRGPDSNFDTPHE MRLPSPATGFSPEGSLATEQVPLENQRNSDDPSVTPQIGTATPVGLSAESQGEHLADR TEDRGTLDVSDATDPVTSSQHRLLYIRRLRRMGLTPPFPRPPNCPDYTPSFLRPIDEY SRLLTIRTPNSDSDETDDRTVSEDWAAERAELEQGEREEAEREQAERERFQMVFNNEV LESVDKDESIKEESDSDVNMEEASDLDVTKEESDTE FPSE_05285 MSLSNKLSIADVDVKGKKVLIRVDFNVPLDADKNITNNQRIVGA LPTIKYALENGAKSVILMSHLGRPNGSPNEKYSLKPVVAELEKLLSKKVTFAPDCVGP EVEEIVNKAEDGSVILLENLRFHIEEEGSSKDKEGNKTKADKAQVEAFRKGLTALGDV YINDAFGTAHRAHSSMVGVDLPQKASGFLVKKELEYFAKALENPQRPFLAILGGAKVS DKIQLIDNLLDKVNTLIICGGMAFTFKKTLENVSIGNSLFDEAGSKTVGNLVEKAKQK GVKLVLPVDYITADKFDKDANTGYATDKDGIPDGWQGLDCGEESVKLYKEAIADAKTI LWNGPAGVFEFEKFASGTKATLDAVVDAVQKDGKIVIIGGGDTATVAKKYGVEDKISH VSTGGGASLELLEGKELPGVTALSSK FPSE_05284 MSNVEVTENGAAPTIHKPHHNAALPYQSVGDFLSNTNNFKIIES TLREGEQFANAYFDTETKIKIAKALSDFGVEYIELTSPLASPQSRKDCEEICKLGLKA KILTHVRCTMEDAKVAVETGVDGVDVVIGTSQYLREHSHGKDMAYIKESAIEVIRYIK SQGKEVRFSSEDSFRSDLVDLLSLYQAVDKEGVHRVGVADTVGCASPRQVYDLVRTLR GVVGCDIECHFHNDTGCAIANAHAALEAGATHIDTSVLGIGERNGITPLGGLLARMIV NSHDYVTSRYKLHKLKEIEDLVAEAVEINVPFNNYITGFCAFTHKAGIHAKAILNQPS TYEIIDPADFGMSRYVHFASRLTGWNAIKSRVEQLGLNMTDDQIKQVTAKIKDLADVR PLAIDDTDSIIRSFHFDLQRS FPSE_05283 MTWELSQRRFIRSINSKYIYGRIPLLHTIVFLIEMAFIARLTAR FNSYYDERPLMTMMVTNAILGGVADTVAQSITAIRERAIRQPGGLKKNDGVAIEIHEL DRKNPFYERDLIPDSVGLPPPFDFERLTRFMAYGFCMAPVQFKWFRFLGRIFPVTKTS AFVPAMKRVAFDQLIFAPFGLAVFYTTMTIAEGGGRRAVSNKLRDMYIPTLKANYVVW PAVQIVNFRLMPVQFQLPFVSTIGIAWTAYLSLTNSASDSIDVQNTYVRLFLAWPFVP HAMKIAKSQRQDKANKHTTPDANANANANAAAATTATQTEALSNTDWEYGTLQSNPAK NKGPL FPSE_05282 MAEFAAVGVAASVLQVIDFGTRFIATAWQLSRSEHDFLMSLEDL RKTSDNFRDAQRTLESTDPGSNKAVESLVQKSVAISEEMTNSLDKIGRGRSALKKAWL TLWKEEKLKTLEVRLREVQLDLTFHMTVDLRSVVRDSAEKQDQILQELRKSSSNNSTE KAEAPIDSNLGYGASIVECLTDGLQLHEKIKSELIGDLVANIYNLEGEYPLLSDASDI QLSDERRTKLEQLFISRLCYDTMQERELTIKDAHKGTFRWVFEDGDVTGFKNWLVSDE TLYWITGKPGSGKSTLMRYLLQPVSQNQDGNSGSTTRSTSSEIAILPHGRCEEHLKQW AGNNDKLTVVSFHFWAVGSKLQSSQEGLFRTLLVQLLRAHPEAIPIVAPLRWESLCLF NLDPKGFSHIELGDMFQQAIAYISTRAKLAMFIDGLDEFEGNCHDLISLVQRCVESPI KICISSRPWVEFEGAFGDCPRLKMEDLSHQDISNYVSAKFEADAQFKSLQRRQARVAT ELVESIVKKSSGVFLWVTIVVASLLAGIGAGDRVEDLQKRLRLLPAEIKNLYERILEN IDSGYREHTAQLLKLMAAFETQPSPLLFWYADEVDFMGRAINEDLTTVSRHEVLERIE DIRRRLNSRCKGLLEINKSVTTTTHSSSHFGGTVDYLHKTVYEFVCSRRTQRRLRRYL KKPYDANLRVAAAYAALAKGAVNWTNTKSRIRDVQKSHFSLSLISCLDYASRVPPGSS KQVVRLLDHLTCVGPVLPHDIWQLVNDTRPHILHKLPTDSWAKHLASLDPYTVLKNSS SEKMLCLATSMSVVEYVKARAKPGGCVATHRATTTSKRNGLEGLGLLAGRMLGKWFGY NKVSLLSLVCLSDSNSKRILEHLLDKGAKPNMRFSKPSGFTLVRLTPWEEILAEAFRY CIAYEEDNKQKDNVLRCVRLMLDAGAKVNLKTVKTASKHASSNIDEEDAYRCLKRMKA DPDARLEVVVNEGPITSSYCTQLTRSISSVSSYTISTASSV FPSE_05281 MESSRKASHNLFEVYLRLRPPPLRNGDGERILEVESLEDDSKST HVVLNPPTDRRRAIEKFAFTQVFEEEASQLDVFHGTEIVPFVEGVLAPEGGEGADAVV ATLGVTGSGKTHTILGSKSQRGMTQLAMDVLFRSIGENMIDGNDIFTAQDSLQECDEA ESSLTIASHFLSPSFRDSVASRAPSRAATPGLVRPPTSALSYINSPITQHVSKLASAL SGDCTPSQKSGERNIMSPPPPRRATARPSAFPDQPDVSNTSVPCDPSAEYAIVISMYE VHNDRIYDLLTPAAKSAASKEPRRRALLFKSTELSPDRKVVAGLRKVICSNYTEALTI VETGLQERRVTGTGSNSVSSRSHGFFVFEVKKRTRSRKPGPWGGSKFTIVDLAGSERA REAKTAGATLAEAGKINESLMYLGQCLQTQSEAASSSKPNIVPFRQCKLTELLFSNSF PSSSSSQPLAPRRNPQRGVMIVTADPRGDFNATSQILRYSALAREVAVPRVPSITSTI LSPTQNRSISPTLNYNHLRPVVPSHHVSYRNYTPQMNVDDRATMEVAALEIARLSEEA DQLREEVGRQAEARFTAEAHLLTMEDRMLDLEAAIREECANEFEQRLALEMARWKNSM SIEQERTEEHWDRKIEVLERGLAADEDGDKENVLIEDLEEEVDRLRRENGILKRELAS RSPTKRRPLEEREDFAAPTAKPPRGDTRGDSVTNLGRKLERMRVGGEKAKTVPVVGSG SPKKMRKLGTRKWEHEEDLE FPSE_05280 MARALSPIDGSPVTSKPDAPYLLVREASKVRIRGPVKPQNESTT LMSMSMGRQSNSSAVLGRRFTYVQDTS FPSE_05279 MSRNIKRKFAAPVKVKTANRRRRVSDTPSTSSLDLSDDGGYSAV EDISDSSDDDEDDVAAAEEENIFEEALPPTPQPAPRPQPTIEEDDDDEEEENDEDEDE QEGLDIDEDDDAGSWGGIVSDVEDQPDVYQDANIFGTDNPVERHVHFDVPSSDSDDTD TDDEIGGFFPDIFVAQNTLDPSFRREIENDPDESSGSGSFWDFNNQYEEQEQESDAEE IFRHIDETPLATPMASQPATAVTTPVPFFEEPTELDGYETDGDTTEEDEPEPPVRRKT RRPSHPMSDISDSEADSPVKAERGQPRLGRYNLDRSDKKPIAVLNPVTGKMMIFTPHR RHQLDLSPEQFNFPWTTEGPESPIMSHSANLMLSAMFSANSFGDFFNTAQVMGPAEAF FPFPSEPNTADESSTAPSLQDEEEEDEELNLDLNDFIAWEENDSSGEEEGGDNWDPAS TPARPTTANSEKEVLGHLRPENVGAFRRNQINQQLILSNQATQDSLAFSGPYNYTALK GLKSDRFDTAAIPLTPARRHKRQMSDATRSPLEQVSAKRKAPTEAGDSHKRHRSISDV NYLQI FPSE_05278 MAPEASSQTDNAPPTSPNPAFPPNAQHPAQTFDQQQQSQQQQAG FQQDPRSYGPAFAPYPQQQQQQPPIPPQWTPPRVQETKGWMITKLALHGIDIATCIVG LALTGALKNVETLGLVAVGACPLFVMAIIWDIAELLTRFGRKWKAGIHPGAHVAIHLF IWLGAAIVGGLESTFSAYYTSFDYLDEDCEYNRKERRYVCTSSEGVASKQSLFVALSV FTCLLWLWQFVLFVGACIDTQKRNAALRKPVVVWGGPPYWGPGTQGFQQMPQYYAAPQ GQGFPMQTWTPPQNAPGNSKEAATTTQPQPAAERYA FPSE_05277 MLSSLGNPRQAAAQVMNFALILSTAFMMWKGLSVISDSPSPIVV VLSGSMEPAFQRGDLLFLWNRNLMAETDVGEVVVYNVKDKDIPIVHRVVRKFGKGDKA QLLTKGDNNLSDDTELYAKNQDYLVRKDIIGSVVGYIPFVGYVTILLSEYPWLKTVML GIMGLLVVLQRE FPSE_05276 MADNNNQQPGLIGSHAQYVKGAAEATIGAITGSQPWQASGEQDK AAGLADMKKAGELRAQSDPNNQNGYGKAEELAGKLTGCQGMEREGHESAAQKKE FPSE_05275 MDSAPLLQNADGLPSPNVMQDHPAFLRACHSPWSCIPQNVLVIL RGLVLTFLIAVGILILNFELHEHSEYSKWRIIFDFANISFFFIFLYHLQTFSWTFTHL YYPHHHDRHMGGVEGLLIRCMSLPKHMGNLRKQFHFTLFYTISVVFAFANSTIYFFIS RQQKGEGCCGEPQPELRRPNSTAIPIWAGYAEEHPEPPFTDIFGEGWFRAFIILSLYA FGTLIMVIEILCLNSIRRPYAVGLHLIGILFFATAYLGWAAFGRLVTDYFPFFWLDKK QVGSDEAITLYSIGFVLLMPIMYILMQGFVASRESFTRSKNEARAIAAAQAALDS FPSE_05274 MFKRSFRSQDPGRVDKSARHKRSGSHRDQTKMSKLQRSLNEQDS IPQKSAPIPPPAPAPAPSPIVTLTVGRDGRLFAAHEEVLRQSPFFEAACRGNNFEKQS KRISLPDEEPEVFSAVLEYLYKGDYYPRLLHNKQRNSWELEDQARTPHTSPNPETGGG RADNPSEATIYLSSLDMAILRDTVVYCAADRYGLEELKRLALRKQGLQSGIDVGTIIR SAQYAYAHTPDSDSRLRAHYLALIIRCRKTFKRSGTMQAEMEAGGSKLFFDLFVAMCN HLDDVIDVSNARTPKTV FPSE_05273 METPFTVKAQPGTDIWKQPPSTDVFTAPFRPHSIAPLKHFISAT ITFRTTYVHQYDQACLLLTFTKPGAPRKWIKTGIELYNEHPRYSTVTCDSWADWSVEA VGPNDEAGVKSGEKSVTIKAMKVEDALGVCLWVYRVDENGEKTPLRQTNWVYGDEGGE GWELEVSAAVARPDPHKNIKDDLEATFDKLDVEWEKPTA FPSE_05272 MSSFLTPPKSGPSGRAPVKPRFAKPNPVRAMREREERRAAAAHT QSNNLRVAAVNRNAALARPNRPQCPNKACPKPNVVDGTCQTCGRISDDSNIVAEVTFG ESSSGAAVVHGSFIGADQAGVRSMGPAFRRVGGSEDREKSIREAKGLMQGYAQQLNVS DSLVTAGTQVFKLASSANFIQGRTLASVAAVCLYAACRAEPPCKVMLIDLADLVQLNV FKLGRIFKKLNEVVPIGNDGLIPVYPEDLIWRFATKMEFHQDTAKVAEDAVRLVKRMS RDWMVMGRRPSGICGACLLMAARMHNFRRTVREVVYIVKVTNHTIQNRLQEFKVTESS RMSVEDFLKQDFLESSHDPPSFYKQTAEYKKQLAVKNKKRKRPSTDEDAEDNDEDDNL ADRIDPRLIEGGADLSKAPVYEYRRDDDGFIIPPLPSKIAKDPSLVNRLNENSQDEEN DDEEEDENHVVRLDSLVEEFGDALEEEMAEDGAQDARARKGDKPQLPINEEWEQDEQE LEGVIEEIFNDPLTYEHAMAYTTAEQRARIHTVWALQQRPQKEVSMSADVTEDEFADD PEVNNCLLSPEEAQIKEMIWVNQNKEWLRKHQEKVFRKKVEAERPKQTRKRRKRARMG EGQTSPASSAAEAAVNVAKDRAWSKRINYDAIRNIFDLPNIDGPGSEATSRKTSAAGS TRGVDDIAEVPEESVAGEEAEAPQEDEEEDYEEEYDETQNYNDGEEFGGGNEEFGGGG EYDEDDPEMDAEYGLEEYA FPSE_05271 MKLFVAVDFGETNSAVSYAAMPKTVDPKHFPSGDVQSISRYKSF PHDRFSPMRFEVPTKLLYPRGWVFRPLEELWDAPPGSINRNTSGVKTESPEPQLLWGW EVQRQMSMFTFQSNLDGTLIYRFKTLMDQSENHKEHKQDLSVDLESLSMNRSCEEKIS VAEMTMLVTVHYLTKLLDFTNREIQKNLGLEADQIDATELAICVPVIWGQMAIRNMQF CMGLAARLAGFPGVTVKNKSIDNVFIVSEPEAGATWLLSAGLGNIHVGNTFVLVDAGG GTVDAQTYTVTKEAPLRLQNQAIRHSGDSCGSNALNELLFDYVLKILDEHEYLDDQNG MNREGFARKAAFHDFETIYKAEWDMFNPDNPDCLFEIPGLRFNPQDKESVSNRLTVPA AFINAIFHHVCAEVGEIVKNQLNMAHENKVDVDAVILMGGFAQSRSLCDFIQEMVREI GQMHGRTYRMLDPSQDESIGSVVDAVAAGAVVRTLNKESGPRRVAKTAYGLGVFEPYD KEVHGSQATLDGVCDPREKYVKCIQWVSKIGTLMKPNFEIHIRRRHQFPCTDDNGVLT KTFAICDEIWLSDSDSKDHYSWDHEYNKGAELIYTIETDVSHLFKPGPNNPFQRQWGS SSKASRNKKRKTQNRYWELYYDLVYMIDGLNMKCIQKYEGEVLGELGFNIASALPPGA V FPSE_05270 MPPITRRKKASQKSPIVTLKYRRGPKTQRKPTRTRDHSPAKDEY YRILAYVKWGVDNNLDFKHDACPMIKSAQFSTYQQLRNKLYREWRKTYANRGGGKFSC LYENGLESLDLNEDELAKIEAFYKDIPSPDALKCIKDSHDENDSDRRTTDFGLPITES NTASTVGGLDLQPSLLTIPLSTQDIAVQVAGSAQPNGTTIPPNIVTLQRPSVTQIPCK SAMNIKTSESELENEITSCKARELELETEVIRLKAREFDLENEIARLKNEYEGLRSTC SNPVDAEVRHILQKQAKLDHRFAQREKKFDPDDPSMSKDHISDRFHVLFHRIQDTCSN VVNSWSHKAFRSELNKWRGTPAGGSMTVENLTVGLVGAAVIDLVFQPAFPDILSTRSV TANLYREFILRWGGAESLQKADFHMFGSLMENRKKTIIDVGAAEIADRIAKQLQQFQE PQHQHAEGWKLNDNGATIIYKDVKSSSFMDMLRRALNLKAEMTESASRLRFMYFMPGQ HFEGIYMKRCSGSDDSISRIKACLFPALFLAAGTVGSDEQVLQYNAEYNTYFTELLVG YIPFPSTLVAKAMVLT FPSE_05269 MEDTKTGRKNRRWTRKRGKKAKTPSNKTTTECSRSNTDSVKPAN ASHEMGAGTPDHTTVSRKVRAKDPNRAQYWDANTVFAPSAIRQQLWKLQQHYAPQETD AAAGASNSVNRC FPSE_05268 MTSDNKSPTNNALADYDTCRIYFQGQGPCLVTRTAINKCPILAA RIAAKPFFSISRDTIDVKEIPYDVGAAVIHFLDTGRYRILDPKLDSHDERLCSDLGTA FRVYAAATMLELIGLKNAINNAMAILEDMMDLGMVARSLKETGLNLEEYPTLAMHLYS YIQISEDVSSKEEMTSIIAKLGFPASVDIDSFQSCLVSKGSPVEEGNTKVDAVSKPKT TPEPSPEPKPAPELSCSKDKNNIVTTVTQSASEKDLPETPAPKTTATKTWMSLLPKVD LTKAFRLKKAVLEGPIELGDRTKPVDEIMMPAQGLSEESMVSARKLALSSVGQDSEEG DISLQEVTFQAPPPG FPSE_05267 MPSNNAAWLVTAKTSPFEVKEAPLPEVTPGHILVKNSAVAINPV DIANQHVGIFIAESQYPVILGEDVAGTVESVGSDVTNFQPGDRVLGYATSLASKDNAN SAFQEYTLIRADCASKIPEGLSFEQAAVLPLSVATAGWALFGDATLKLKFPSLNPEPT GETVLIWGGAASVGGTAIQLAKAAGYEVITTASTKNHEYVKSLGADHVFDYKSPHVTK DICSLFMSKKLAGALEASGSEEAMNSASQSIAHGDGLRKVICVRGPQSQLPEVKMQPI MSTSIIGTPVSKAVFGDYIPEALEQGKFKAVPEAEVVGKGLEAVQLGINTLAKGVSAK KIVVSL FPSE_05266 MAKEQETITLIGLGTIGISFAALHLRYSNANIRLYDVRNDLQQH IESLLPVYLDSTKKEGNSDDLSVQQLISDGRIVICSSLEEACSNATIIQEQGPDNVDF KKSTWAQVIEYAPSGAHLWSSTSGIQASKQVEDLQDKSRVLVVHPFNPPHIMPLIEVV PSSHTTPERTQFAIDYFRSLGSGHRPVRINKETQGFVGNRLAFALFREACHLVANDVV SVQDLDTIVEASIAPRWAVAGPFNTYNSAGGTGGIAAFLHNLADTMEACWDDGAKVSL KGTSATRSGEDRDESEDWTDKISKETEKVYGRPTAESLAKRDGNLQKIIAAQPHEA FPSE_05265 MRLIDLMPLGTEPKASVTIDDIDINALSVDAMPHVKLLSTADLP GDIKYLTLSHCWGESVPIKLSNKSKSVYAKQIPREDLLQPEAKVFREAIWVTRCLGYR YLWIDALCINQEDDNEKAIEVARMDQIYSGATANLSATSASCGAEGMIFTRQESLYEL FPCDWKTVEMGQEKHDYLVAYVEENKLDEEPANTRAWVFQERILAPRVIHFCKNKIFR ECSKEQMDELQDYSPCISIPKQANQAHINFISDEGFDGLRKEQDFYHQFRSRLEIYSR TALSFPQDRLPSVAGISKAIGRYMGLGEDQYFAGVWRNDLPKALLWKTRYNLRNKNWD PKNAGYISPSWSWAGCEAPDIRQKLDTPPFEELIEVMYIWAVPEKSGGSHFGKIRDGG LTLRGRLFEIHRKRIGDGFLISVQDGNTDLKDELYFESKSLQTTRESKKTTEGSLKEV DIYWDRYAKDRERASLPLRQANASLDSVPWDKVDPEILYFLPTSHFTYQERAETRILM VGLVLQHDDSTGRYRRIGLFETEEPKWDGSNHKLGMRLLKGSMVELTIF FPSE_05264 MAPKRFGSEVVDPSRLLEPLEYVFAGQKAPNRFLKAAMSEKLAS WDANDVSARGYVTPELLTLYKNWGAGGWGSILTSNVIIDGMNLEAAGNLTIPADEPFE GRRFDGYKELAAVAKKSGNLLIAQVSHAGRQVEEWIQPNPISASDVQLVSSSPGKTYG VPTPATKEDIATVINGFAHAAEFLDKAGFDGIELHGAHGYLLAQFLSPRTNNRTDEYG GSRENRMRLVLEVIAEIKRRVSPKFIVGIKANAVEYTPGGVDVEDAKALAIELEKAKV DFLELSGGNYEKFAFAHVKEENRKRENYFLTQAEEIVKGLTRDMKVFSTGGFKSVKAM VDSLDIIDGVGLGRASAQEPRFPELLKKVSVTGTIEQCFDHDDVIKRFAAAGVHITQI ANDQEPVDLSSQENTDSMWNDLLQHFQKVAVDTEHKTFQWPVVTQVTQPYGVDV FPSE_05263 MKTVLWLAFWNLGLIALSICVLAGCRTSAEKNYALIQWPRSTIA LVWGEECEAATVDIIPDWYKFGVCRVTDGHTSCKSKFPPTFNLAQAVLKDLDEAESTE TLEKCKEAIDKPINHKTPKTLGAVMVAFLITSIFISVFSVGIAAAEGSAWALWTTGLL AIDMLLIFASLILCVAMMNYEGGGYLTGVHGQEFSDRAMMGIALWMLLAMLLGRLFSN PWLIILFIFILVTIIVVSVVLIRTRGFFYVVRTMATAD FPSE_05262 MLSSWFIVYAYLLAIAAATSAPNYSGYKRVWQQGFEGRANTFPS TSTWHIIQRQKNFNNEVQAYVKSTSNLRKTGKNSLQLIPRKSNGRWTSARIESKYTLT PKAGKVTRVEAKLKLGGNSARSKQGIWPAFWLLGESIRRGVEWPACGEIDILENINGE KIGYGAVHCDKTPGGICNEPGGIASNIDLPDSNFHVWRVQFDRRSSNYRSQTITWYRD GKVFHRVTGAQIGNLNTWKTLCQSPLFIIFNVAVGGDWPGWPNSNTKDGIGNHMEIAY VAHYVSK FPSE_05261 MQDEHNKDKEKRSKWKLFSSSKDKEKRKSQDIQPPPAVTSSSSA AAASAAEAAETSGDSTYGSSEPNHSLTTDGGDLNNTRSNVNSTGLSPGKTPDATTPTP NPNTNNNSYLNNSGPDSWTSPTIKRETVTNPNTGQTITTTTTTTTTTTTITNSNGTTS TIEEPRPVMELPTVANDEVTPVSPHPPPQQQAPVLQPQSESLQPQYQPPVQAPPQAPP QAPVPATAPPPAPVFVSVPQTTPTPVEPSPITPTARRKSLETPGRRIIPPRDPVPSQV SVSSSIPPPVPQSVPPSIPPSLAPSAADNRNSPAIPERNARRSAEFVPAPLQIGQGAF PPPPPPQDSSKPVNYSRPASKSTFANLKTAAAGIHGAGETLRGTLNSTVDKHFGGTPA AIEKNQAAIEAGRYEIDKGKFYHPNQYRLSRPHEDSGPSSDAPPIPDAQYDDPPFNMG NTTGSPAVIDNDRERRRSRLGSFFGKSTGRASSQPGADPMTPRAERQKLKKRSSSGPG TPKLSVVGERVSE FPSE_05260 MSKRNMLLCFDAFGTLIRPVKPVAQQYAQVARQCGLTNFSDEDL QSTLISTIKQESKKNPNFGKETGLGATKWWTNVIHDTFAPLLKDGQALPQDLAPKLLH RFASREGYETEKGLVDALKGLKGNSSRHYDQLVVGVITNSDDRIPSILSSLGLTVSPL RYGTHSDANHTKTNTYDIDFHCMSYDVGVEKPDMRIFNAAEYMLAQIISARSGRSLSE SKSEAGTWQKVYVGDDYSKDVVGSTNAGWNPVLLDPKDECDSFADLKRWRSSPDEKFQ NKACRIEDHPTETIEGLSKSYDFATIHSIGNLVSWLKNKASN FPSE_05259 MGHTDISIVESARLVVQCEEKAVPLSLLDCTAANFSNTTAIWLY EKSTILARNEYLFGRHLRRALSSTLQSYPQWCGHLKAVESTDGTVPPEARHFPPHARR FGRLYAHFGTENDPGVEFVYAKSSVTLDSLYPSNRVSKQPVWPCDSSLFRKFIPSVPI AQPLKQAIKDESGRLYPLLAIQITELSCGAFILALNGAHPLADATTLITLLKDWASVS KSDLHGESNIHLAPVFDPTLVDKLAAGDINAQHADESLKEQAKSLPMHRYDWWAPGSA PPWPFNIPLPFDKPDLEPEGNAMPWAEWDITSAVSKSVFHFRKEQVATLWKKANEGSP QKLSQHDAVLAHIWSCIARARRLENDKSPFHCDLVYGVRPSFQLDNKFLGSPIVMINI ELPASQVCDRSNSTEVATQVRNTLKTISNPYNISAHLHALAYEKSPQRIWQAFLGHRH VLVTTWARAGVYGIDFGLGSNCVYAEGVVPEMDGNVLIKEAPGPVPTYWTDNGVDISV YIRTDDMGRLVRDPVLFPTTIPDEKETA FPSE_05258 MSSALTTSIPWLTSLPAGISLTGKVLTTKSSTTTYILQAKDDEA GDSFSLSVTVEPQDSTYNYDSVFTRVYKEGNEDAKHTVINSLHCEMSRKMPQECTISI GGEESTQVAYTAGGTDGVLPRSFVDVRVVITGGMEYITGSPSTPITASITSGLSTGKE TQGSAADAGITSAPTSTANPTTSVDESKPTSGALSSPRNVLRSIALAAVAMLVVSL FPSE_05257 MPFQIIDLRQARDPARQFYEYPINPTLVYNLDRLRNGLEMTCGR NNFIVYGDIMQLTIRVETYQNQNLIAQLQTQGALRHQATEELPQAVRHAIMRNGWYQI QPHDRE FPSE_05256 MKLLSLSLAAGLIVNQGASAKPNPQDEPLFSYNANLLPLEKNVG SSDLFPMADCNGFKLEEAAFTQMQNAMKAGKLTSVQLVTCYLIRTYQTEEYINSIMQI NPDAFAIAAERDAERAKGKVRGPLHGIPFTVKDNIGTKDSLETTAGSWALLGNVVPRD AHVVKKLRDAGAVLFGKAALSEWADMRSNDYSEGYSARGGQVRSAYNFTINPGGSSTG SAVGVGANAIAFSLGTETDGSVINPANRNALVGIKPTVGLTSRAGVIPESEHQDSVGT FARTVRDATLVLDAIYGLDERDNYTSAQKDKTPKGGYAQYLSKKKALKGATFGLPWKS FWVHADEDMQSQLLELVDLIKSAGATIINGTEITNYETIVSPDGWNWDYGSTRGFPNE SEYTYIKVDFYRNIETYLSEVENTNVRNLEDIVEFNKKYDGTEGGYPYKDGKGHPAFA SGQDGFLASLKTKGERDETYWQALDFCQSSTRKGINDALSYKGKKLSGLLVPPQVAQA PQIAAQAGYPVITIPGGYSKESGMPFGLGIMQTAWAESELIKWASAIEDLQHSTDAPS KRRLPKWLGYLERNVPVPF FPSE_05255 MPDPKVTKAFEDGRVPKGITADYLNQSRDASAIAGIVFVTALTS IIVLGRLASRAFLMRRFGFDDGLTLISWMCFVAFVGLCIELIELGSGRHFAYIEYVLD MPTVEYTEVLDFVAHIIYTTALLLCRMSGLAFYHRLCAVHDRLRLAISVVFGILIAGY IPQLLLIVFHCTPVTGLWPYEWQRGFEDYTCLQWGLVYSVNSSVSLICDFLLFGIPIV MLRVLEMPRKRKIQLGCILLPGISVIAISIARLVLVIEGQWNTDMSWAYNPMLVIEVS EIGATLIALSVPGFKPLFDKVVLQRDITQGESNVKSKYEKQQSSKGGTALRSLNFRPE HDALTSRDTSAARTNAHRTSNATADNRSENSADGILVQVDFRIKEDIQDANSTSDTER VWK FPSE_05254 MFRVTTRSLRSSGLALKRVPFSTRFSSTQTSTSTSGNARYVWSA AAILGAGSGAYISSGKSTTVKGSNNEVPQLSEYPTEEQIPVNSPVKSIILEDANAKLR EDAHTFVFEGHAGAKGRVDFARLGSNNPIEDDWDLKIAKGVGGTKTLYAGVYDGHAGW ATSQVLRNALVPYVSGALSSLTPTTSTELVDDAIQKAFVRLDDRIFNTALKAVESGQD QGTASVISAVAPAIAGSCALLTMYEPVTSTLRTAVAGDSRAVRGAWSSKTDKYETDIL SIDQTGFNQLEVERLDKEHPGQLHSMLNLDSGRLFGLAVTRAFGDHRLKWPAKVIRKV QDDYYGTPPRPNYTTPPYLTARPEVTTRKIQTDDFVILGSDGLWDMISNEDAVTCVSR WLTAKKSGKPEPFKETKFEGKLEDGWQATPEHHVIEDLDNAAVCLVKNAFGGSRRGLF LGAMTTYAPMSRNVRDDITVQIIFFKDPYEKK FPSE_05253 MARKRTKKRTHLGASNPDVDSAGHASAKDPKSMVIRIGAGEVGS SVSQLAADVRKVMEPGTASRLKERRGNRLKDYAVMCGPLGVTHLMLFSRSETGNTNLR MALTPRGPTLNFRVEKYSLCKDVQRAQKHPKGGGKEFITPPLLVMNNFTRPDSDNKSK VPRHLESLATTVFQSLFPPINPQATPLKSIRRVLLLNREASEEDDGTFIVNFRHYAIT TKSTTVSKPLRRLNAAEQFVTSKTNRKGKMPNLGKLEDVADFLIGGEAGDGYMTDATS GSEMDTDAEVEILDSTTRKVLSAKARQAAAQNETEPEAEEENVERRAVKLVELGPRMR LRLTKVEEGLASGKVMWHEYIHKNKDEIKELEKRWEQRRQEKDARKKEQKANVERKKA AKTKDDNKGEDDEDEDEFEYDSDMDVDEFDSEGLAGDAEFKVNEKMEEDGEWEDEEEE IANS FPSE_05252 MNGAKRRKVAQDAPRKTKPVAQEKPARAEPEPSSDEESEEESAT LEEPSAEETAVDAPKKTFKDLGVNDALCEACEKLNYKYPTPIQEQSIPVALQGRDIIG LAETGSGKTAAFALPVLQALLDKPQPLFGLVLAPTRELATQIGQAFEALGSLISLRCA VIVGGLDMVPQSIALGKKPHIIVATPGRLVDHLEKTKGFSLRTLKYLIMDEADRLLDM DFGPSIDKILKFVPRERRTYLFSATISSKIESLQRASLRDPVKVSISSNKYQTVSTLL QHYLFIPHPQKDVHLIYLINEHAGQSTIVFTRTVWETQRVSILLRTLGFGAIPLHGQL SQSSRLGALNKFRSGTRDILVATDVAARGLDIPSVDVVLNYDLPQDSKTYVHRVGRTA RAGKSGVAISLVTQYDLEIYLRIEAALGKKLGEYPTEKEEVMAFQSRVEEAQRVARIE MKSFTEERGKKGSTLKKGGRGKKGGKRGRDDMDREEG FPSE_05251 MYTSTLLLTLVASASAHIASWNKGMYCRGGNDSSVDNANTNLAV NPLYDLPKSKWWMQADRGCDVVPPPKGEFLELPAGKSFMTELANNRAFTTLSYKGALT TDWQDGKNRSMPWRGPEGGCLMDGGDGSGGELHTKNIESTGGTAWAISYESDISKVTM DNLVVFSVRYYSPFFRETWYDVPADMPECPEEGCYCAWLWIPDGCGQSNMYMQNHRCK VTGSTSTKKLGKPKPAIYCRDNPTKCVPGPKQMMVWHQAEGNNVDPPNGKTPTYNQRM GFMDGAQDDIFVQ FPSE_05250 MPAFQAINLEPEDNIDEQIDTTKEIHVDEALKRFQHALKLHAQG PRSREAAEAAYNELFESEIFKYREAKTDYERAERYADGQPEVSNLDPSLTDGGLDVDA GGADGVAASLAQALYLSYKNYGQFFVDKFKDASTSDTAWKEKAQLKYHDDGRKVLDSW MTALDQDPSDPELWRKAARFAGAINSNRIKRYCLEAAIELDDDPAVMELDPPSLAEGM AGEQLKDQLKLLGDDMALSHPIMAPWLKKKMPALLKRHLDPIPFLPDPTKSLIPPKVS DTQDQTQEDTEMGDADIASLTEPKSVSSWAELGARLINCLETTAEAFDTCDGIVESSL EDVPIETPPNEIESVASEIEVAVTKPTETEKTPEKDATPKEQKAGSEGTEDSQKTTDQ SQKDSNGSSARKRSQSAAGLPDGAEEENAMEKRSKRVRRRETLQAEETTDPNTLIANQ LQPYQGADQNLFQMTKSVLEHLGVENKSTFAFITELIDSCATENRPGKITNLAACDLS SVIVNFREEVAKVFLHKNEQASLGPSSFLEHAKTGSQDQPSMPSFNEKQGLRSFARKI GGCRSWMTAEDVAYEWVRAVSQSYATAKWSDKMKLSVVQMLNKVDSALYRKITEEMEL AAGSAERLADLETIVPMLFELHVDIYELITNPSSVVDYATRMDTKYRLGRWLDIASAY LRLTDRPADDPLIARFLWASVLVSSHAEQPLREHILLMWTSLRDHLADEKVPAISLPN NVVMPTISPAAADREISKLTTMDFFLGLFQDNMDDPVFVIDTLEPVLNPSTVCAPNQE TDSESGEDSDNSDSSDTTGASTVGSSDGSTDDNDASSDASSNQKPKKSISDCASQSLK DLWKFLHNSSTELRLFLWSRLGDAYDAIKYSTKKFSCCLKSIELIVSDLEGETYTNTA PESRRLLFMRTLKSLDELLITALGSALNDNTAFEIIDDAHIRSTSAALAKVNCLLHVA SLCEDEVRIGIKPERTGNAASKAAFQALKNKLKEMQIRAWCLQYTMFKAGINKDNCII GPENDLADYLAAIHQVIGIRKFCKASNKIFLKVMRVELLKLKNIENWEDYLGQVLYDL HGLKLGAGVWEVQDHACPPEKLEKRQTMQLVERVCILANRMPMKDLLKSDLKTTIDHM QQTIGQTKSTPQMYHNLRNFTEYLKKPIHPLRLYRALIGDVSVDAVSVNTPETILATH GWFFLLGMMALTKFKGVELNRRQTPGATDDLRIGATFLRLQLQFTADRWDAWFRLAEC FDYELDEAVLWTADKMNKERPELVKFQRNAIHCYTLALSHSRNLDIETHDGDPLHDLY HKFAMRMYASSREPFAMEPFQHSDQERYFIEDMGIGTFKRILHSQMNEYKVWKFAAKL FRMAMDRKPDNWKNPYMLSKCYWKMYQTPDDQLDVKDITSKIGLMTLIDTLKKAVEVA HNARRGRNTDPIFEPHYKIVTILHKLVMRGDVPAKDAAEILSEQPFGLEVNPDDHFAS FSAPEDWEEYIIRNLSKLKEKDKANWQHRIIIRHAKILFDEATEVSSEDRLVNAKAAF GVLRDSMFTKTMVMNVWKCDAERPGRHHVYTEQYVRLMTKLLVIMNDRGNLEQLLRRL RKKGADFYHFTDLWQSCCMAYLKLLRQGYNVSPVVDDAFKALSSEEFEVVGERIADWA ASDGAEVPLFNCMKETIELKKLNANLMKVAPIDDLLNDCYSRIYSEIAKTLPGPDPSK VVEERHHAKEVAAQLEAAQTETKVTSSLASILNAPNGQESITGTATPMETEKQEAAPR SRKTGVRRPDVLRKAEQAVIRALEAPKPNKSRVGSVSSGKRGSRTPNQRASDAGSEED GPDSQIRREAGHDGDVDMKDAGDENEEDHGEEEHDEENEDEQDEEHDEAAEDENAEEH GVEEKADSETGSIEDSADDESDLSDVPEDYDEEVPPGLMFPNLGHQSEESSGEDADSE SEGDDEAEESDGEEEADEEAEGEETLGIEDTELVDDDDEDEDEEQPKRSGSWAANDSI RAVGW FPSE_05249 MVAPAVPEITEEILHESIDARTESLAGLRELGPPDLVHLLKHGV RNPAKQTGVYHHVTGVDASSSASLAAYINTLTYKESGPNATNKIVEGVFCCYNAFSRL DMRVHVSIPGTVESYCVDERGEKRKASEDLWLETYLCSVLRAYSYADDGSGDTIRKIM GVRRFNPVTNTETEHRFLHAAEQLFFRGWQLGSDSVVQVPTNVSNHLTTGLLKYLETT GRYASGINLFEKLRTQSVEVSSLLAKVMFMGHEEVAGVRTLHQSLKETPMDYVMLDTQ AEFLLGKAKGSATPELKEERLKLSLGCADRATVAAPTEFSTWARLAQVYVAMEDWDNA LTILNSCPMFTYQDKDTPLMPEPKEVILPTLPETRLDEIDSEPESRYSEQVDPSLLNL RAASYRGTFKKAYEILTEMTAKIGWDQLLKIRSNVFVMEDEYRTEKQESTHPGASKRT PSTDGLRGTPDQTVNRDNEAESENTEKPAEEVSEGEADADADAPATNGNGEQSKIEKP SNVIDPGEAKTDPETTKSDENLSKLNTKRLCERWLDSLFMVLYEDLRVYTIWRTQMAQ YRAQQMQYKKSAEEWEILGSLAERLQHMDEAVEAYRACLSIRFSPKALAGILRVFEKT KSTRETVASVIRLVTWQYRWYSEFSPELLHTIRTLIEDEGAVKVRSIIQATSLPQNVL DLTHHYAALCATFRSSGTDG FPSE_05248 MAKRITKEFAEISQNPPEGFSVSLPPNESIHTWHVTLSAPASTV YHPGKFGILLSLPTDYPFKPPVVKFVTRIYHPNVTNDNLGNICLGLLKPDAWKPSTKL YGVLEALRNLLEEPQLDSPLEDRIAQQYQTDRAAFEQVAKQNVQSYAMDPPVFPPVA FPSE_05247 MSPLAISVPDAPGSPNATRTKFAYYGNRQRDSENSLMPGTSTAD ASPYASPMTSPMMSPLASPRMQLKPFGAMYRHVRQPSDESLSHLPPLPISPRWDSMTN PSKFMPGQSISQRAASPFDSPHSPSPLSYDTAASTPVDSRNTSPTFLTSKSSLADLRA YDTLPPAVENFSRPRKQSIRQPITDATKPRPAQNAPGTITSNPGLNSADQYQDLVTSS QHPLWPAPQRPRGPSVSSCQSSSTYQSVLSPPSSDFYDPRAPRIRTAAGATSSARPPL NYNGGSASPSPVIAPWMSGEESRSSFRSQFTDTTGPGTAVTERSSVLTKDSSVGSLYA GPESAEREADTNNEPDAEHDEPSLEDVMGMYEQGFDSDDESVNYSNNNTANDRPVSSA SDMGPRRSVRHDEDDEDFPQEQVSESTSTLDMEIRMSKMIFTSPAFTSSVPHIAEKHV VENYSFEIPEKRDSVKSLDSEPSVKSQKPLSLIEADTARSGSFAAPLSPPGSTLAPVF EVKDRPETPESRNNSEYASEPAPEPTQAPESELKPKPVSPPPVAVPEPVEPEDPGARD RYGFKKENQYVTRQQYDNWNTGYTEYLARRRKKWNGYLKDNALMTDHPNRFPAPSAKT KRFVRKGIPPEWRGAAWFYYAGGPAILAKHSGLYDKLTAKRAKDVDAEAIERDLHRTF PDNIKFKPPGGMETMSSSARESQSTMTDSTRSSSPAPLGVEGETPIITSLRRVLHAFA VYNPRIGYCQSLNFLAGLLLLFVDTEEQCFWLLNVITRIYLPGTHEMSLEGSKIDLGV LMTEMRTSMPAVWDKVGGELEADPNSRPNTSKSIRLTRSRRKELARMSTPTDRLPPIT LCMTAWFMSCFIGTLPIETTLRVWDVFFYEGSKTLFRIALAIFKLGENEIRSVGDPME MFGVVQSMPRRLIDANALMEACFKRRNGFGHLSQVQIDEKRQQRRAKAQLDRVRQGKT WNN FPSE_05246 MSRKRKQDEEEELVSLPEDSDGEEEEEYVSTADEEEQSEEDEDA EEEEEEEDDEDKEADAKVEPPVKKRKTAEADDQELNGDAEDDEEEEEEEKEENDDEED AEGDDEAADVPAPTKEAVKTAEAPVATETPESKAVTAGGDE FPSE_05245 MMSSNFLETAGDGDGAARFGRMPDDWMNGIDTAAMPFSGLDASY EASTQQNPLQSPETPSLDNTVFPSPLTDLAYLDRSFGDFSSNYAIHPTTPGQFPVHPP HRTSSNFSEGYDLSSASPSLGPVWPAHVSPAATFLPTPPMADELSAASSEPEEDNQDD DDYDDDSSEPASKKRKRSTASKITKTKGSKDTLKRNPTQLRTASRAPKKRGAAAAQPR HPSETSEEVKARAAHNQVEQQYRKRLNTHFERLLAVLPPPGTEGGIANDRRVSKAEVL DLARERIRALEKQTARLERERRELRGRIAPDGRRVLV FPSE_05244 MAPDVRTFTLNTGDEMPAVGFGTWNIPRSQAAKAVETALRAGYR HIDTALAYGNEKEVGAGIRASGVPREEIWVSGKLRNNWHKHARKCLETSLKNLGVGYF DLYLIHWPCSRDADNSAVCYGDWDYVDTWMEMEELLDEGKVLNIGVSNFGIQQLERLL TSPSTEIVPAVNQIELHPCNPSPKLVAYCNNRGIHAEGYSCLGSGHSALHRNKTLLDI ADAKEKTVQQVLLQWGIEKGWGVVCKSVTPSRIKSNFELSGWELTDDDMEAIDGIKKR FKAVGDGFLPHRVFFGDDE FPSE_05243 MFDPSAPPPTATCPFCMISSAYEPFDPLNPPPLTSSLINPELAS PASFVVLSTPVLVAFLDILPLSRGHLLLCTRPHRPKLSDVTASESAHLGRYLRILSKA MARATGIEDWNVVQNNGAAAAQVVPHMHFHIIPRPEIRASGRFSESFTMFGRGKREEL DDDEAVVLADEFRQSVAAVMREEEQEESQNEEKAKL FPSE_05242 MSREGYQIPTASTGQRGGTRDDQQVRHVMSYLCGDCGGSVTLGK DALVACPHCAGRILYKERTKRMVQFEAR FPSE_05241 MAESSTTAGEAPKASSPPVAIVCVGMAGSGKTTFMRRINAHLHQ NDTPPYVINLDPAVLSVPFESNIDIRDSVNYEEVMKQYNLGPNGGILTSLNLFATKVD QIVNLLEKRAQPDPEKPDRKPIDRILVDTPGQIEVFVWSASGTILLESLASSFPTVIA YVIDTPRTASTSTFMSNMLYACSILYKTKLPMILVFNKTDVKDAEFAKEWMTDFEAFQ EALRKDEESDELGGVEGGGHGGSGYMGSLLNSMSLMLEEFYAHLSVVGVSSRLGTGVD EFFEAVEEKRQEFLRDYQPELERKRAEREEQKKVTREKELDKMMQGMSVGGLPVAKEE DDADVASDDDGDDTDSDEEIQKQGLQDRYEAAMGDQDDSVMADASFAKYLHTQR FPSE_05240 MASQEAQMPFIRNLASSDRKLRTASLETLTTFLSSRSSLSDIDA QKLWKGLFYALWMTDRPLPQQRLATDLANLLFTLKPVCAIPWLRAFWTVLGLQWTGID VLRLEKFLLLVRRVFASHVCFLREREWKDGDVEAIVGVLAEFPFDKEGDMRKNPVGIR LHALDIWVDELEREKALEQPEAEAFVKSLGDVVIALKRCPVKPVRARAGDSYEDERLP WVQAAGGGEEEDDDEWGGIED FPSE_05239 MDRDRSSLLDRSSQLVVKQSGRKPLFASNIPRGSDRNKENDQAL QSNSKLEYSVRSNGFRRSITSDGDRRESIGSVGSRDSKLPTPRFSSSARKRLSMSDAF ALAAREVDEDSEGSQAVDASPSPAPRTWRERNQGNESQLRQTYNQSHLETKAPNRPFS RGSFAASRIPEAKHSPGTASPRQRTFYGKSRAGLSFGLKEQTLRPETNGVQGGSRPGS AAGIPRPESASGIPRPGSNWGLRHMPSKDDLTKQGRIPELVPGIEDLLPSIEGPDAQN GPVNPEPAEASPEKSFAWQVDDDFTAGELQMSDSPRIRMGERPFANRIHFDEASEIDI NSRTRVAHPGARNTKLDEIRSREVKTGSDLPVEPWQSHNTKIDEIRAREERAEHQIPI PDRNAPRPRNTKLDTIRQREVNGIPRRSMASIRLDEIREQNAMTRSRSPEENRPQTSR GMVPETKSNVEIETQEPPPRPKSAFETAGERIPDTPVTIYKSQRENVEPHQTEEEFLN NDQNPARLGLSHRRTDSRDLLRRLARAASSSPAPEPEPRQVADTDKPASVPQDPKPDT ASTISQPSTSNGFRNRQQRRKDSDTKPTVGFTGLPRSRSTDSVKSKKSMNSDADPTAR LEAEANLFAPGDNHSERGSIKAPSPEPDSDSDDEKNVEVTPRPSKPDPLTMPTPKITG AYVETPATVKVEKFEVKDKQQKPKREERPLRPRSASFRDKRTDHAMSGSDRDTASDPG TDDKLGTKTSSSGVRKRRTRSLSRRRGTLKNSARLPTVKDDLKELQRTHHIEDSTLDD LEEVILGRRAPSRQVEALLDKLPQDSSFDDDFDFDLELDTKLNTRTNQIDPQNNDNSD LDRLTRMNKTLKSSLYGIHTAARGIERLSDQVSTKNKAADKAAEEKAIEDKAAEEKAT EDKPMALKIAKEEPVEKNLLDIIKPEPVPEKMVALHDSDGTCPAYITHPDAKTVSYIQ FPIPQLYRRKPFFRFTFLGLVLFLLGLWYLAESAMCAQFCRPVRCSGSPCVWSVNDPT FGNAIPVKLDQWATGGRGRVLAEQCKEEVGDWVADMVDVYYDREITDIDINRLSFEQK RQHRRRLRKKGLVKPRVQTAEQRAKWEAWRQARREQERQKEAREMGYEIAEDEDETVG GDQKVW FPSE_05238 MTATSEMGTVRRLGLLEAGSAAFHLMGLYRSVVVSATYSIPSRE QSKEAILAALGSLITENPMLRVGIKDEGSTVAYFTHITEMKLDDFVEFRTSATTSDLD FRKEVGDLHCWCHDQIFQDVETRPPWRVFVLRSEQQSPAFEAVVFAYHHSLMDGMSGR LFHEKLHAKLNSLPPNPTAPGVLSFPECPELPGPQDDVIDYTTTFAQRFSSLLNWMRP SFLTPTHEIWSAEPIEFSRPHKTRLATVDIPPAAVLSVLEATRSYRTSFTGLLHALAL SSLSRRVPDAPGFTSSTPISMRPYISPTADPALKEALFGCVTGTAHEHPFAEIEAFRN ATDETLDDLVWSYARKIKEELREKISTLPATDSLKALSSITDWTDFLVERDGNKRRRT WEVSNVGILPQAAENEPDKRTISHAMFTNGPMISSDPISISVVSVKHGMLTLGITWND GIVDDKIMEGLRGDLEACLKQLHEEGDLGMHLLYEEDSDEDTEEDSDKGSEKGFGEGS EEDFSAKE FPSE_05237 MDGSAHLSGRNIDTGHELLNLLQSPFSGQLQAASLYSALATSLP VTIGIAIFFSILRPYHQAIYAPKLKHADEKHVPPPIGKAPWSWITTLWRTNEDMLLPL IGMDATVFLRFVRMCRNMFLTLCVTGIGILLPINVSRFKNYEGPKQTSWVISITPLTV YAPAIWSQVVIAWCFNFIVIGFLWFNYRKVHQLRRRYFESEDYQKSLHSRTLMVFDIP KKGCSDEGIARIIDQIAPNSSFARTAVARNVKELPALIEQHDHAVRKLEKILAKYLKD PNNVPIARPMCKPSKKDRSYGTYPRGQKVDAIEYYTQRIRDLEVQIKQVRATVDKRGS MPYGFASYADIAEAHGIAYACRKKKPVGATVKLAPRPNDIIWENMPLYSSTRGRRRWV NNMWITLLTLFWIVPNLGIAIFLVNLENLGKVWPAFQTELAAHPKVWGAIQGVLSPAI MSLTYLVLPMIFRRLSVKAGDQTKTGRERHVLAKLYFFFVFNNLFIFSIFSTVWSFVF SVVQDATGAKKEDAWESIKKQKIASGLFETLCNNSLFWVTYLLQRQLGAAIDLAQAWP LIQAFFLKKFSSPTPRELIELTAPPPFEYASYYNYFLYYATVTMCLAGIQPLVLPATA LYFVIDSWLKKYLLLYRFVTKTESGGMFWRVIFNRFIFATILSNLVVMLTCWAHGNFG THIEFWCVVPLPFIMLIFKIYCNRAFNDKITYYSIQDVTKSPENGVDPKENRMRSERL ANRFGHPALYRPLITPMVHAKAQNLLPAIYKGRLTDGREVDSGDMMTVSGYSDMVMLD PMQGGKPGKAANNVPGFEFVNDTQMDFEYYKNRAEFAENHGGGEIYGRPGEIGRPGTP GSMDGSDFSRPGTPVGRSASPAAFPGGAAQQQRLMSLASNVSGDTSYSSYRPGANTGF TQQMTLGQEPPPARGRSPLYAQNNGSSSSLGLIQNAAAPAYSSNLNTPGRMTPAQSPG PSVGAMGGGPQGYSGLAQHEGGEQSYDYFRGSNRPRRNPGEGW FPSE_05236 MHLAAVTFPSHPVHLFSSFTVSVSVKKRHAAFVGMDPRTQLQML FLTPLDPPNSGVRSSSFMLNDISVNKTRNRSQSGKQNTVKSGNPLAHSPMGPVGATKA C FPSE_05235 MSDGIAPAIDKVGAPDPKAPEAEAGAPTMDTTAPSEPAKSEESK PTGSAGGLHAPPKPVEIASVPQTPVNNMTPAGGTPRPVLNIEEEPKDSPKNEDENAFV TTGVETTSAPTVSEPKDVNGTNKPESTDVAEAAAGEKRKAEEPPAATNGASAPIVAEK PESEEPVEKKARVEDVADEATATEDAAPNGKQEGGKTIKKEKKVIPAVGKTARKTRSQ GPVEV FPSE_05234 MAPKGNKYSVILPTYNERKNLPIITWLLNRTFTENNLDWELIIV DDGSPDGTQEVAQQLVKAYSPHVLLKPRAGKLGLGTAYVHGLKFVTGNFVIIMDADFS HHPKFIPQMVALQEKGNYDIVTGTRYAGDGGVFGWDLKRKFVSRGANLFADTVLRPGV SDLTGSFRLYKRAALEKAIATTESKGYSFQMELMVRAKAMGCTVAEVPISFVDRLYGE SKLGGDEIVQYAQGVFNLWLKV FPSE_05233 MSDRVGQLAGHLNYPKGLLAGQVAIITGSGQGIGAEAAKLFAKE GAKVIVADIDAEKCKAVAESINKDGGQAKAVPGDILKADYIETLIKSAADFGDGKINI IVNNAGYTWDGVIHKMTDKQWDTILALHCTAPFTLVRAAAPYFRVRDGAPRCIVNISS TSGVHGNAGQLNYALAKAGVTGFTKTIAKEWGPSFGVRANTVAFGHILTRLTAAKEEG AFVKGPDGENIALGIPAKQKQAAGDAAFADIPLRRAGTPTEAASAILAVASPLFSYVS GQTIMVTGGRNM FPSE_05232 MSEPQRTGSQGHSRNKSITKTRPRSSTKGPLDLDDDPLLSPPQP APQRTSSITQRNRLSSPHPRSSAGTPISQLGEAKPKDFSFLLRPEIYHPLTPLNVPVA FRNPQKQPNPEAPIEELVANGHFRAAAIAAVQELTGSGASGGISPTNSKRIFELLYTR LACLTLIDATPLAAQEVKAMEDLNDIRKYVDETSGEHLVPWELRVLNIRLQSLGFGDY RRAVMSYHDLAREARERITKAAAQHDNSAREVWKARLHDLGIQVAGALIELEDLTGAA QHLNTLRDRGDGKMALTKALLWLHLGDVESARACARQAVDSDENVEKLILALCDMADS EYESALDAWKELKETMDDEMVGVNTAVCLLYLGRIQEGRAVLEELVDSGLSSHTLLFN LSTMYELCTERHKNMKIKLTERIAGMEASAAGWEKTNSDFKL FPSE_05231 MAETPAKRQKVDCNSQAPSEIPSFEDFAPDGDVIFIVQGKTRVR VFSAVIKCASPVFAAMLKPNFKEGRALAKSGDSPIEIPLPEDNPEELGWICRALHCQA HTMLWKPTIPQLWSVWLLVDKYDMKDSMQLSLKLWTSKKLPTAINFQRGHDLWILTVL SIMNRDADNFKIASCELILKGTKPFKALASNMEHDTQNAASEGVIYRLAGELQAIRDC AMMKAIKFVYSDLLATLRVGCTKCTETYFQAVERELVKRTGQPTFMEDNSRLAVVPHV VSAIKKWALESCKDYFTKCAANRRIIREQASKFPSDVIDGLCLDCFDKKDRCSEH FPSE_05230 MSASSSTLIRGSGGASNITKICQNGDVILAVGPKEKIQVASDFL KHISPVFKAMLDGPMSEGEALRNKPPNSPITISLPEDNPVAMRRLLRILYGAGGLDLT FKELYDVIILTDKYDMTDRLKHFGLGWVRMDVDDNHPFDADFR FPSE_05229 MCPVNGPSDQGIPFCQLARYAWSNLNLGLIQPLKQDANHPLTKN SDQQVVEDLVPGGDLVLAVGPGRRLFGVSSNFLCEISPVFAVMFGPNFEEGHRLRSTQ PEDSEMVLELPDDNAQAFSDTIRVLYGADPVTVDFEPAEIQKITVVVDKYDMIPRFTF ASAYWFAKYPWTDDPEETWQLTTAAYWMQNPDAFFNYSKKLIRQMQPSHLSFVDDMPD KVLGLRLCLAIEEQRVNRLMHSTKAKGGLCLHCFSRSKVSFTLRIKGCKQRKYHY FPSE_05228 MRTRKSNRAKRYTIEQYDFEGSSDEESLKRATQKAERDENFDEN VVAEESAEEEELALEQEQEHDENEDPESEGPVSEPNGVPDRFARQRVKPIRPFNVRAA GLTGYLDLEPVADGRIVRSYWGPYDRGSKGKQLVEAWYGRHEDGVDLVKGMLDRWMDW TVLPPKIPGDEGQKDRGVWSPSFFEREAYSAEHWYERVRESLPDANARIRLSVEEAEL YRFQREPMPVLLGPPASQQEIRSQPGNAYSISQDSLPLQDHSTPVGWMLDAGGIVTGM DWAPLHSVNAPQLLAICVIPHSDQELYDYEEQSISPDFQKYGTVQLWEFMGERQDDGF ARPSAQPPTLRKTICLEHGRARRVKWSPACGFLAILCDDGNVYVTEAGDDGEGGYEKV VEPIAVFGFPDEDAKATSLTWVNFNRLVVGYTDGSIAVWSLRPHRLLSRHPVHHNIVV DLVSGYPAMPYLIASTPVGGTVKLLDLRGPSYESSEVQNLTVGTQPNLLGYSDHLLGF FSMYPSAGVLNTHVGFMHHSQFPVARRVFTGESYPSCLAVGRNHPYLLVGSLDGSLWA INPQVEIFTTKREPTDRIRICHHEHRPGKFFPADSPAAARGVSRIVTSFILERSLTKH SAHKPPVKKGKKPKKKETDTAVGDDEEDANAIMDPTRAIIYEPLTRVTVAEWNPNEEY GCWAAAAMGSGLVRVMDLGLAQTDE FPSE_05227 MTSDTQPYSVRNRRPSATENLIDAAKNIESKVEHSLLLLWDDLP AWRRDNGFILSGYRQSQNSYAHSFRSLFYLHNESVNIWSHLLGAIVFLGSAAYVDQVV RPRYASASSADVLVFACFFGGAVVCLGMSATFHTLSNHSDTVAKWGNKLDYTGIVALI VGSYVPALYYGFFCLPNLMTAYLWVASSLSSMELSSMASKALKIG FPSE_05226 MGGANREGGKVKPLKQAKKAQKDLDEDDMAYLEKKRADEKARKE LAAKAGGKGPLNTGGQGIKKSGKK FPSE_05225 MTASSDEGEIVENCAEDLKATSLQHTGGSSVDRQNRQKDRLSTP DHDTASRYSNGSRRSISPRGYKRPHDDRGRDRDYHNPRSRDQDHRNSRHNYEDHRRDD PRKSRGQYDDVDRPAPRTSNYGYAGRDRDNHRDGDRDRYSNKRPRNRSRSPQRSRRAD RGRFDRFVKEGQYDHRDDEPRGLKYDDNSRDGDSMSKRTTVGEASRARGHNAKPEQGL TNGHGLSKTSQRQPERKPEPEPEPDYEEPEPIDEEAEIERRRKRREEILAKSSSATPL LLHAVGAAARAASPASTLPDTPAKTRSEVESPRTPRSDIASPRSPASHVEMSPGGINL LDDNQLMNSHGKVQENEEDGPSAADYDPTGDMKEDERRDELRHGHVVLHGEQHPIATE PQPQEKDQEKPAENAGGEDDDDDFDMFADDFDEEKYATKPVEPVAPIDGDGKAPDMPT IKGGILEGDDKDGYYKIRIGEVLNGRYQIQAALGRGMFSGVARAVDITTKQIVAIKMM RNNDALRKGGYTEIAILEKLNEADPEGRKHIIKFEHSFDYKGHLCMVFENLSMNLREV LKKFGNNVGINLGATRAYAYQIFVALAHMRKCSIIHADLKPDNILVNESRNVLKICDL GTAIDKTDAATAHMDVTPYLVSRFYRAPEIILGIPYDYAVDMWSIGCTLYEMYTGKIL FAGDSNNQMLKAIMEIRGRFTPKLFKRGQLAPIHFDDKGQFVSVERDKVLDKTTVKTL AVVKPTRDLRTRLAAASAGMNDAETKDLNHFIDLLEHCLALNPDKRITPADALRHPFF ALKAGPIRR FPSE_05224 MQQHAIFGYQTPPPSPGFDHPKVPVQQPFAAPRHFQARCIPLAP EARLGRVLEGTLQLTDILGTGAYGVVYLAVDLKTGGKYAVKCLSKFNPDGTPLEQRQF AYQQREIRLHWKASEHHNVVQMLKIVNDPDCIYVILEYCPEGDLFLNITERGQYVGKD ELSRNIFLQILDAVEHCHNLGIYHRDLKPENILVTDHGDTVKLADFGLATSDDRSEDY GCGSTFYMSPECLDPSARKPYYLCAPNDVWSLGVILVNLTCGRNPWKQASFQDSTYRA YAGSKDFLKTILPLSDELNDILGRIFEPIPEQRITLPELRHKIMACSMFTMPPVSAVP TPPASPNHIIEYVSSEDAIIDDYDYDSPASSDDEGSLTSSDSTIDDLDDEFEQERQMP QTPPEFAPHTFDPEDPKEHQLIYHSQEFVPQKYSGPIPVPVAVPPQPMLCQQVPMPVQ AQVPVQAPCQPKSYFPIWDMVKYVQQVPMLQHHVPFHQQVPFMPTFQGCY FPSE_05223 MSADVLAGVKPAPTSDVETAAVLQRNHDGDLVTATEEENLQRGL HERHLSMLGIAGAIGTGLFLGLGQSVQTGGPLGALLGYATVGLVVCAVQFALGEVAAL LPVTGAFVRHAEFLVDPAWGFAIGLILVYGNILSIPSEITAICVLFEFWTDINPSVWI IIFIVLTTVVGLCFVRVFGEVEFWFALLKILLVVFLIILGLVINLGGIPGTERIGFRY WKNPGPFVEYIASGNWGKFLGYWSVMTSAVFSFAGVESIAMAAAETRNPQRAIPKACK NVFIRILVFYILAILIVGMLVRSDDERLNDQSGAAGQSPFVIAASAAGIRAIPSVVNA VVITSAWSASNQSLLAGTRVLYGLALKRQAPQIFLRTNSWGVPYVCVLFFTCFMFLSF MSLSNGAMTVFWWLVNLTAAGVLVSWSSILLNHIRLRLAMKKQGIPIERLPWHNSWTF YSSCVGLFMTLLILFTGGFRVFTKGNWDPVGFVSSYLVIPLVIAAYLIWKFVKKTKVV SLSDIPLHDAFKKSEEDHEVVS FPSE_05222 MKSRNVFLGHLFLQSVQAATLRALDSSTPTITWSQCNLDIGNEI WNRLQKAYDCAKFSVPLDYTNEDSSRPLVLDLIKVNATKHPRKGSVFYNPGGPGSSGV SSVIDSRGRLVDVLGGHYDVISFDPRGSGQTLTATCGGYFDSSLSTLSPQQILYEGDD TVNDVDWKRIKCDAWRNAGELADACYKDHADTGRFYGTPFVARDIVKIADALGEGNQI NYWGSSYGTVLGQVLAAMFPNRIGRMLLDSNLLADEYFTGTWKSGTKDAEKAILHFFD ECIAAGPQSCSLAANYSTKASLLKAYSEAQMLYRFDDTLSTTGRPNSKANQIKGALEG NEAVAFLPFSSYDDIDSNGASALYMIACGDASFRPNTPDDLFSIYQANLDQGPFGDTA ALDRFQCARWKFQAAEQIDANSLRRIDTKNPVLIVNGIYDPITPLISAWEVSSRFPGS RVVVHEGVGHSFTTHPSNCTDDIVARYFNSGSMPKVDTTCKPNLSAFEYIKLLRGYRR DDDLD FPSE_05221 MDSPDWDIDAVFWFQLYNDTDVKGEDPIAITQMFNVSTSDSSSN RESDTFVYINRGLSTGATAGIAVGSVAGALLLAGLGFFLWRRSQRAKDAAVVSQQASE SQLHPQPIPQQQGVYEYNHQHSASPASIVIKPELPNESANIHEAP FPSE_05220 MGLSSFLFIYPNGKLSAIDAYFLGVSASTVTGLTTIDVPVLRTY QQLYLYFIPLVCNTVVINIIVVVARLIWFRKYLKKAAPTLLSRRRAADPDPKEDPEIG AGIPVSEQPEHRPSIARAVTDRVPRNTAEKDVLPQIEARSQTLPAPDQNSQRSVEDGT PPVKDDKVAEHGTKITFDPSTDHHPRHEHQDSTLYIPGPRARDQGLPFVELNSGRPFS RDTRDDDTIEPISRTYSNSIRTLRHRRSGLILSQVRSVERVATVASSLFVIGNTAQGP KERPLARAPTLAVGDFPNLSREVTIGRNSIFHNLTSDDREELGGIEYKSLKLLLKIIV GYYAFLQFLGAVCLIGWVNHAPSKYVDYIAECGQNRFWWAIFSAQTMVANLGFTLTPD SMISFNDAPAPLLIMSALALAGHTFYPILLRFIIWSASRVFPKQSSLQEPLHFLLNHP RRCYTLLFPSGPTWALFAILTLLNVADVLFIILLDLDNPTVTVLPGWQRFCAAVFQAV SSRHTGTATFNLANVNPAVQLALLVMMYVSVYPISIVVRSSNTYEERSLGIYEDEQQP DEEDGGSYFVTHLRNQLSFDLWYICLGLFCITIAEHKKIMNGNDIAFTMWPILFEGVS AYCNVGLSLGYPTISASFCTEFTTFSKLVICAMMIRGRHRGLPYALDRAIVLPTEKSM GDETTSTRTRSRESHEHHE FPSE_05219 MDFNFGHSAPSASASASSSDWLGHGQRVAMPNSSPGAPHAMPED DVSFAESYRPETSPLFNPIYAPRDNAAETAPPVGTSPGPAPTWPWQRDASTLDKTLNS DNFNSPDASPLPTKPTSHEPVSTERPAEAQEAFSSQRAPLSPPEQPAGEETIVQQDTT EEIVRVESDIDTPAAEESRVEVYEHSETIIQSSEKQPEETPSQNDRDNEVATGETDRV EETPTDAHIDLLSDAEKLVDGQKTPLQEQSNSPEPSSAPAVGPGKLRSALLSVGRGLM KRMSAPAVATSEQDDVQTGRAVSSQPEQQTETGASTPSLVVELKKMSDTEKASFTEVS DKEEEDMPAPSAKRGRPRKQSLADKTTASVTKSARGRRDIASAPASPAVKPPSVAKRA RKSMPASTTVDETPKKRGRPRKSDALATPAPPPSTAKRGRPRKSEATPIAVPSASKPL SARGRRVASASKAAVTPRRPATARTPKTVIGLQAAATPASTPKPRGRPPKNPKPTEEA APEVEVPKRAGRTSQASNAAEDTPAKTTRAVKPRAVPSPAKRGRPPKNAASSPAPKTE TQTATKRGRGAAAEPKEDAPVEAPKRRGRPAAKVEAPATEEPASEPKTRKRGRPAATE ETPAEEPAPKRRGRAAKSAPEEQPVTAPKRRGRAAASEATGEPVAEPATSKKRGAAKT VTKTEDTTSEPVTPKKRGRGAAAAKAVEDVPAETTTKRGRGKRSAPEPEPEAEAPEPA TKKRRTTRKAEEPAPAKSAKATSAKKPGRPAKATKEAVEESAPKRGKSARSKAAEAAS VEEAPKPAKRGRGPARKKAEPAVEAEAEAKAEAEAEKPKARGRPAKGKSGKGELPSTK NAEAPAAKRGRGAAAKTTAAAAAPAPTKVTKTGRAAQTGVRRGLRSRG FPSE_05218 MVSPSTPDSHKSSSSPAESDITPSPTQQNTPFTEMGTHTNPRFN KTMDYSKLFTESNGARQDQIPAVPLSYVSAATTQHTPPPSEQGHHLFFYQRNGTAGST FNPRSSSNNFSIKPSADGLAQAQAQLQTQYPLAVNHMLTHPPVPANPVRQPAIPPVDH ASPIRDSFAGSLAPSPAIWEGLKGHLNQLNLGEHQAPTSAPRQNALSPDQEVRSFKLP SYLSSYQARQPFSCSNAPVPMHPQPDSPTLRQSPTPRGFRPMAAINHNRTAVGSENGR EEPEINIFSDNYKGEHTMRNASAMDLSPEQNCALWLTNLPPDVTHNQLLAQIRNVGRI WCTVVNEPDYDRHDTAAAKVVFFTPRPAQLLLSKSLTQGLEVSGYPVRVTHNRVKYGE QPMVGAASRVLIITGKSSFVNPDSLTDFFKERFVFEIDEITPLIVQPARVKGGRSVVE YRFGSFRCQAQMGKMALEKDRPQGFEKVEFGEDPCEVGDTLSAYGIAAERIQGKGM FPSE_05217 MHRTIFVLCVPLVCFLLVSTSLYLGRDHITRLSETYLPLYAPGL ATTSTEITSSPSSSTSILAYTVYAEKPTPYTNIDSPTSTASSSTASATPTKSAIPIAN GSVLSTERLTPYIHAILDPLSKERLRLQCPPLDTKRYKSLQSNKNTRKNDDDEQPIDF YFALNLRNVVNLLPRLMGSIVETIKYLGPERCALSIVEGNSPDGTADVLVALHPFLEE LGIRYFYNNSAINPSKGPRIRKLAQLRNLALEPLFKKKVPASDETTVLFINDVAACPD DLLELVHQKRNLNADMTCAMDFTYAGPDPTFYDVWVARTLAGDTFFPIPKDGSWDNAW DLFRGNREARMAYDAHLPFQVFACWNGATAFTAAPILHGLRFRDPMKDECFQGEPQLF CKDLWHKGYRKIAVVPSVSLEYTDARGHDIKKLKGFTNEVVQHMDESKAHIDWQYEPP EKVKCMPSFDRQSWLPWDESLKGRR FPSE_05216 MDEGHKYTYMACGAVAATDTFFMTPKVLQLTKPVTETLTAETSG PSSSTTDETTPSETLSETATEASDDNGSRRSSNVGAIVGGVVGGLAVVCGTAIAALHL LRKNNEHKPESEKGTAEAAVPTPPIDGPKELAGSNPSELQGTAIPTPQIHPFELS FPSE_05215 MKFITSLVILAAATVSAKPAGTKECSGIYQRCLETITPDECPKG DRDCLCQAWDDMMPGALSQYAAILAFKRK FPSE_05214 MSFSNQSQEVALSDSLDSSKMRELDNIKPHPITGRLIDSYPVTR TKPIRVLCLAQSRTGIATLFAALKQLDYTPYHMSIAMGSPKINYRLWREALDAKFHSR GKPWGREEFDKIFGSYDAVLDIPAICFVEELVAAYPEAKVIVMQHDVDSWLRNMNSTS GRVLRWPLWDTLASWDSIHAGPFWEFSKTAIPASFHTVSDFSTKSPTRQAFHDHYELV RRTVPVERTLEYRVEEGWGPLCKFLDKSIPDQKFPRIDDSKKYILAHKMIWWMAFAKM VGKGSLASAIAGVFTSMIAMWRLRYAVNVVSMLRPLAGFS FPSE_05213 MKFLSILLSIPALVAGRYLSDEVSSGTSTHYGGNVGGGACGLVA YTIPSGIYGTAFSGPNWDTAGVCGNCIEVTGPTGKKIKAMIVDRCNECNKGHLDLFEN AFTAVGGTNGQVQTSWRAISCDITTPLVLRNKEGTSPYWFSMQVRNSNLPVKSLEVST DNGKSWIGTTRKEYNFFENPSGFRTDTVDVRVTSSTGSTIIVKGVSVKPQTEFKAASN FP FPSE_05212 MKEAKSIHDIIRQHPRERVLVQPLEWVQLHLELLKCSFKDVSPE TLSEASDTTASEASGSKKTIDRDARTAERLATSDMKNAAIKKLISEDGGPLRFQRPFG YFCFGKKHEYRLHGAVFSMRLSGSLAPVFAFLQRGMIRIQREGVFQPPRPRRANPPAE SLRKMRIKQLEPEDQWREPYILAVLIGLAQSQAEDKSSPQTRFRQNRVFKTCAVYVDE DNSEFMYFYNAQISLAFLSKFEYPQTLHKPTGAMSSELCIRMKKLTFKPFSTLKSRLL AEIQDCYKDDNEEHKEEEGPTEKGAAGQGSGESSQPSHDKKQVGAPCRRDNLVIR FPSE_05211 MEPPSRTEPAKHKRTRSGCLNCRRKKRKCDEARPSCGTCRRRNE SCEWGLKIAFRAEHARCLNPHHPSMRKMARRKPPKEFEILDVTDEVIRDYNGAETDEG EEDRSNLTGCYTQSRNHGRPSLLFSERPSDTSSNTTDGYVSIQTPPVIDISSPASQRR TDTAVADLLYFSQNGQSHHPVEIDPTIHISMDTVPIDIITEYPYIDQLQPFTPEGVSE DGIFLPGSAYHELHSTLRNHLIQETRSIAPTRSTTPHVEAEAEPDDAPLDETEDTLPI NDFQSSQAPLLSQQEECALWKNYFDEIAPWLDKFDRDRHFQQIIPTMTKDNDHLRYSM LALSARQLELKHTLATSRSLALYQEAIHLLLPHLPTRGTAVIATCVILCVLEMLSCSP KAWQRHLDGCASLMEAVGINGFVGGTEQALFWCFARMDICGGLISSVKTLIPINHWAS KTSSIENDVELFKNSSNFEEWANYAVYLTAQVLDLLAPSPSEPTRNEVKFRTKWLKLW KHICEWYQERPAPLYPIMTIPSNETSPFPTILYSNPAAMSGNQMHHTASILMLQNQPA MIRLGHSSKPRSILWHARQVCGISMSNEHHGAWTNSVQPLWVAGRCMSHPSEHKAILD MLDRIEKESGWKTKWRADDLREFYKCSLSTI FPSE_05210 MTILVGKPLDWAITATAGSGFLLFGYDQGVMSGLLTGTAFTNTF PEIDTTEGGNGSSSLQGTVVAIYEIGCFFGAIFCLAFGEYFGRRNCILMGCVVLSIGA ALQSSAFGIPQMIVGRIVAGLGNGMNTSTIPVWHSELMKASNRGKGLAIELAINIFGV MLSYWVDYGMSFVSSDAQFRFPLAFQIFFAILTFIGILLLPESPRWLINHDRHEEARE VLWAVRKNAKSISKDDESVSRAIAEIQHAINEEREAAQTSSFKAMWKNGEQKFLYRTM LGIGGQFMQQLSGINLITYYAPVIFQESVGISHNLSLLLAGFNGVAYFFSSLIPIWII DRLGRRKLMMFAAAGQAVCMAVLAGTVSTGQPGPGIVAIVMLFLFNFFFAVGLLAIPW LLPAEYAPLAIRTRAAALATASNWIFTFLVVEITPVSISSIGWKTYVYFCIFNACFVP LIYFFYPETRLLSLEQIDKLFTGPKILLHWDHSMGVPGEASEKSTDGETGKAIHDEKT EAEVHARE FPSE_05209 MASISLSPNAHKALESSHLQDRIIGTIFGSALGDAIGLYTEFLS AEVSSKAYPDRTFTLLPVEKATPFRRDHHRNFHVTGDWTDDTDHAVLILLSYLHGDGK KLGPQDFASRLSVWVRMGLRALDTLPLGLGRTVGGIVRSKSYLDDPEETARKFWVTGK YNAAPNGSLMRTHPLGLMCLGKSVEETFQTAADFSVVTHVDPRCVVSCAIGTALVRGL VLGEIYEEQHVDELIEIGLAWYTQLREKEIQEPDRQDEPRLDLAEFRKHATAQKLVDL RLDESYKIGYVYKTFGSGILLLRLALRQFKSSGQICSQLAIFEKLITDLIMEGGDADT NACFAGALLGALLGYKALPPHWRDGLRHGVWLMEKSEGLCDTLGVTKGSYVGSKDKDT AEDGGRGFLTDAQMEEKCMRMQAWMAQEETEWKKRQEADKKKPKWFNWK FPSE_05208 MAPAIVSDIELPANVPESQKTPASKASTYKLFSLENKTIAITGG ARGLGITLALAVVEAGGSVACLDILEEPSQAEWAQLNKIATANKVSVSYRKCDVTEEQ SVEIAMKEIAAEAEKFGAPFWGTIACAGIQQQIAALDYPAADFDRILRVNVTGVFNTC KYAARVLRENNSPGSIVIIGSMSGSIANRGLSCTAYNSSKAAVQQMCRSVAQEWGQYG IRVNTLSPGYIRTAMTDQLLRENPEVEKTWMAGALLGRLGAPEDFKAPAVFLLSEGAS FVTGTDLRVDGGHCASA FPSE_05207 MAPSAITPETQYEHTALSNVVKLIKNDDAQTIDLALRQFRCLIA DLCEQFKGGHPGGAMGMAAIGLALWKYIMQYSPNDPDYFNRDRFVLSNGHTCLFQYTF LHLVGYKAMTFDHLKSYHSSNYDSLAPGHPEIEHEGIEVTTGPLGQGIANAVGLAMAT KNLAAIYNKPNFELVNNMTYCMIGDACLQEGVALEAIQLAGHWKLNNLVVMYDNNQIT CDGSVDLCNTEDVNAKMRACGWDVIEVEDGCYDVEGIVKALIKAKASTDKPTFINIHT VIGVGSKVAGDAKAHGAAFSPEGVKAIKEHFGMDTDKQYFVSDEVYTFFRDRKARGDR LVEDWKILVDSYAKEYPDLHQEFIKRVEGRFTEDWRSIIPAKESLPTTPTPSRKSAGI ICNPLAAKLKNFMVGTADLSPSVNMIWKDKVDFQHPELRTTCGINGDYSGRYIHWGIR EHAMASISNGLAAYRKGTILPVTSSFFMFYIYAAPGVRMGALQNLQAIHIATHDSIGT GEDGPTHQPIALPALYRSMPNILYIRPCDTEETAGAFITALEAKDTPSIISLSRQNLE QYPQHSSREGTQKGAYVFIEEDNADVTLIGVGAEMVFAVKTREVLKDKFGIRARIVSF PCQRLFNSQPLEYKRQVLQYRSNAPRVVIEAYAVNGWERYADAGYSMSTFGKSLPGRD AYKYFGFDETVIAPAVARLVEEVKEEGIESLRGEFRDLNNVTHEH FPSE_05206 MDISNHAKFSDSELGVSVIQTRNLDIVPQQESQEHTTPELENAS SYIPTEGENDIPSEEEDELVIVETQMVEAAVEFAAASQAAQRSQHSHRNTEFSKDSLL LVFDDTEMMDIPEDRDPEQDYLEHNAKLNRPNLSGYDIPIGPFESEEYQQDLRVDKKV SLFSLIPGVQTAEQVFSASKRLAQSGIGNVTESIMSLAPRTRKAAVATRQVGQFFADS VLNAGQDFTIRVQESWWTWPRGINGGVRNIS FPSE_05205 MLARTPPKPAAKKPAAAVPRKKHVQAKSENFYRIRTLRQNMFSP APPPLRMARLRYLRHWTIHRAWQLFRRQQHQATERERHRIYSGMYNACEELRKTVGPG NRDEGYLYRVAMEKKGVWGTDAIPIEYARYQTDFPAKNAWNHDWKRHSN FPSE_05204 MSANPSNVIRRKLVIIGDGACGKTSLLSVFTLGYFPTHYIPTVF ENYVTDCRVDGKSVQLALWDTAGQEDYERLRPLAYSKAHVILIGFSVDTPDSLDNVKH KWIEEAQRLCTGVPIILVGLKKDLREDPVAIEEMRKKSMRFVSEHDGESIAREIGAKR YLECSSLSGEGVDDVFEAATRAALLTFEKGEGGGCCVVL FPSE_05203 MPANNQRPATQTPVSPRNTAKYTNKDGSKFITVPKGTPSESSLP PTPTSAKPNGPPLDVSNVDDGPAPAINRKKQKRRQKAAAKAAAQQAANGHNDHASGRS TAGSSLPGRGPADHEEVESDDENEYILASELTEPTTNGHLPSDSRSKKNRKKKKKGTN ADPTSPIPEALEHEPQPNLSQGAGMSRDKIWSTSNQEERERIKAFWLGLGEDERKSLV KVEKDAVLKKMKEQQKHTCSCTVCGRKRTAIEEELEGLYDAYYLELEQFANQGEGPPM LPSARDFALRRGLPSSYTNRPPSRGRIVEQLGDEEDDEEDDELEEVYSEDEVEDDDYS DDEPPEEYQTSHERDVADFLTFGNSLQVKGTQLLESLLRRYGNMDLGGILTVADDLLK NDGKRFIEMMEQLAERRMAREEDAREHFSRGYGHPNGSYSNNPHNHPPPEEDEYDEEE DEEEDYDDSQDEEYEEEEVYSNPSVMPSQSHTECCCQDQMTEEQRMEEGRRMFQIFAA RMFEQRVLSAYKEKVAKERQQRLLEEIEAEDRESELKKAKKAKDAQKKKDKAAQKKQA LAEEKARKEAEKAAADAARLEAERQRVAEQKARAEEKRKQKEAQKKAEEEARLRKEAE RQRRLHEQREKQAEQERKARETKEKEKKLKEEQRQRDKEAREQKEREAQERKDKQERD KRDKEARAAKAQKDAQAAREAKEKLREEKAAAQKAASHPSQPIQIPKRPSQHSAPIPT VLPQNASNPASYASPKIPVVTPVLSKAPTPIRPRTTSQQQDVALPSTTVSANSQDPSP HSMTPQSPGPIGQHHGSASTQHSHSVSPTNGHSRMLGHSPFQIPPMGMQPPPGLAHHP PPPGFANRMPHEAIFSGFRHSPGAMMPPPGINGPPGRGFSVPLPPGFTQPIGDQFDMG GFPMPTDLPPPTHHRQSSLQFDTPLTPSQPIGRPAPIGRPGSLSQSRASDDQDDETAH LGSRALLEDDEPLAAEVNPGSLRNQPPGPRGDFTTSPFLPSAFPLAHNPWGPPAVGGH PLPPPGFNNPGWGAPSVPPGFGMTSPIAGMSSIRAPAQPRYVTVRAMLCQACKELASS GDPEGYIDIASLKSRIDAMSGDHSISELDLLNLCETEGSPSNGGGTFDIRRDAGGPGK HTVRWEPDLSDGFGTPYRAVGAPGEIGSPLVGHAALRGGL FPSE_05202 MRFSTAVLFAGNAFAAVQQECLANHSEDLAAFADCANKGALSLC LSNLKETDEAAIKTCYTSTGCAEEDAAREAHYTLERCAELAKAGELKKRYNIAALPTL IPHPEAAGAITEGPKATGGELKKRATDTSRGVKCFTTFKKSTEQCDLETVSDKTQTAT CYSTEISTSSCRSDVICTTDAAAEDICMVKREMDTAGIIIAIVFAASAASMLGYLTFM CCRDRKEQKRLVAKSEAVALARAATKKQRAAQRQPLIRNASGQSAPGAPAGNPFQDRI FPSE_05201 MPLVVPGINSTSGDKAEEWQNKLIGKKLSDDEASTETVFAKRDL PQETRIIEPGMMVTKDFKEDRLNVHLKDDGTVSHVVKG FPSE_05200 MFKKEIQPAPKQKLKSSVQRTLRKDLLDTYPLLNNYIDEIMPKK ATLSSMKLTDRNTLYVLDSTPIFFQQDLTGILIPHLRLVHRFPQAFPSIRIDRGAIRF VLSGATLMAPGLTSAGGRLPADGAPEGVPEDKMDQKMDDDFRWSRELVKGEPVVVIAE GKDEACAVGTLSEGTKEVKAKGKGPVIEDAHYLGDGLWMMPTE FPSE_05199 MTTFSSIPSYVLGAACVSRGTMALLSPRAEYGHVGLLLEPGKTP SEAGYVSPLMYFKGIREMSYGMTLVALQWQGNESAVTAFSAILSIVRIGDGLVVWLNG GDQLRYKAAGHWITGLGFAGWVIWRWSF FPSE_05198 MLYSRVRPLALRVLYLATLQSNTLKSCHAQGTSVSQFTHSIPSF KFYSNSTSPLPPAYKTIKVTQRSDVERLYPLLLSYITEPSKANSLREVIVDTNAWPNF YSCFYDEGDDPGPRQDSGKELNKKRSNRQIDQDAHSALERHVTSLGLGDQLTEKIVQA LTWKEKHWSGQVPDNAKDFDDNEREFATVALIILFSLCEEISVLRIADFPTLLQEYLL KNNYGQLKRTALRQLKTVEFIKESPLDTRVYEIVEYLEYIRHFGKLPAIENLTMEGVM ESEVNALVSPGTSNIKNIHLSHCEISGSTLSKMIRSSKGLEEFRVSHGGLWHMDIGAW NIRPQTVGKSLLQHRDSLKVLDLDIADFDYTEVQSWENSRFEDLVENGDEYFELDRES STLPFWQEDIPDDRPYSLTIGPLHDFNAMTHLSIGLNSLFGPAYRRTEPPFRLVDGLP PNLEYLCLYGYVRGECEEIDSHVNELLEHKGKRLPRLINIQGVDETVQGVSDLYADDN SQMEDNLWQWPEMELEWVEAS FPSE_05197 MQFKNLILTPLVAAGIASAAPNPEVKVFELVALRSTSPIHHTRL QASNNGFALKLKDQGASCDSADKINSATFTLNTKTKEMLLYATSFPRQSAFTDRSGMG QGTMGYRTGAQPLCTRCEDNKWSISEDNFLKYDDIDNFIACPQTDGSYTVFTSAGVPN PGGNKDCVSVTFAVSEVTKPVGCLYSQQGQ FPSE_05196 MCNFFVACPRSGSTLLMRLFAENPACSVTSRLILMGNADSTEDF HLDYQILEDPSHHKVFINAMEGGKRFLICKEELEEHPGRSYLRCWRDDVMRLRAIFHE KTWFGFDLDDTLHEFRHSSGRATNKVLEKISGRYGTPMSGLREE FPSE_05195 MPQRSFSLQLQSVWDRLRRRRDTQRPRRYADVAAAQRRSQARDS RFHAGELMFFGQPLDESRIGDQPVVHTPHVSSHTGGPSDQALIDRSINRSTTLGFPPP KAVKIPVINRATSQPDAPDEGEEVGSQVWCIPGDESYPQIYTQGRLLDNELFRHGFVG HEDGINLPLMLTALSFAFDHNMGFEIDNLKATINRYIIARMFYHNPHSKFFSLGKEYS EFRSEEIYRAWVTVTNDQRLQEALTPDDLILLYLCMVQYKWWRDLIRGYEYQFNSNLL QEYENFKGDLRGNFEEAFWLFYSRTCFGSHPWMDDITESCLPEDTSERGRHSPTAGPY SILQPPVGNPDNSGNTERHLRHVRRETSVIPEADDLIDLIELYK FPSE_05194 MGGKAFSRSDPPLETPRMPKEVYLEVKNKVVRALTPAFGWIDSP LDGPGKKDFGDIDIIVSGLNGGDMRKESVLTLVNQLLEAEAQITEPGSAVSAHFAIPW PKDLPQPDIHYPLQDDASNALESSATPLGAIASAGTPSVDLSRPQSGAQETFESNAEP LSLKQLREKEKELPQHVVASQNELAMDLMANSPGSQLGTPSPHGTFSPSPDSGTNKRS SWSIKGKKLSIPHSRKFSSFANLVSKIGQSGWNSADSSDDEGKKKKGTSSTSNSITDR KSGFFIQVDVHYCATVKQAKYLRFHQAHGDMWQLLGSVIRPFGLTVDNVGLWIRIPEV ELVDKKRAKILLTSVPNQILDFIGVSATEYWRPFADVDAMFRYISKCHMFYVPSDYAA DNELASAKSNDRQRLAKRPVYGQWIHEFKPLCRSQGLFSKALTTREDVRNKAFETFKI ETEYHQRLREFLFEKQKNEIIKEIRNIFPAPAQPTNKKAVQMRTLHIKAMKEIIIERV EAPRYNIVAPNGLRLPNGLFNMDRVRAFARTIANDVVAAVERRNNPRARGGPVPQARP GRDARAITPEIPLPRGGPAIRGRPPGGA FPSE_05193 MRPFVLSTAVLVTGAVAQNAWKTCYDGLFMIAARGTNEEKGSGR IGEIAEAVAKRINGSHVYGLDYPASFQDPAYEDSESDGVKKLKDILGSYFYQCPKNKV AVFGYSQGGQVASDVFCGGSGGDFPTNKPLTVNDVEKNVVAIITFGDPSHVANVSYDL GSSINDGIFQRNDTKLCEDKYSGILHAYCDTGDVYCDRGDDTDVHKSYFEKYGKKVED LVVENYESAMSESTTTPTADATSSATSAASEVTSTDAPGNGASGLVPGLVLSIVPLAL GLSQLL FPSE_05192 MTQMRKQYSKLTGFPHSPDIFHKPSPTISPPPSFSLLHNILRLL PTPNLPPLLGSIILTCLWCPLDFGAGTYAPPTTKTSSVGPTLLLAQAVRLLSVLAARS ELQWLTLTGCSTLRDLSYTSSPSCIAKVWLKATLRVVISAQPCVPDMLVEVKSKIKSF KLVDHTDGRGLVANVSHSHPGGEKLVWQTEASPYVSSTPGSLSCRSGRFPWGTKGHLP HRLD FPSE_05191 MPTATEFFGIAITNFSPLTTTYTPPPSCTTATTDHLIYAIENVT GPYHGAPSCEHNLVQDCIPDGSSYDTLADKIVNPWAYGFFNYHSPGIYCPKGWTTAAV LGHGDKTGSELRSGAFTNTQAPSNTFTGPSIIQPDKVWLSVLEPSETLAFCCPSGWDG IEWGICATYIEHFSSSNHTGICVRDYYPPQYPVVTVHTVEGEPVSGSAGVLSAVSASG TWSDQVEKLTGILLSPDLTSSLIVVRTLPAVPLVYKSSDIEATETGTGKKDSGDDDNK DDTKKVDENGSGASTSPIVYGLAPAVAVIVGMLTGAGLLMPW FPSE_00098 MDEAPSPKPPTRPLSDSCPFTDILKESYSGLGLYKVGNAHLHIV RNVRAQEHNTLKAMAERNYNFMVPTEYCHGVYSDGHLYYIAYTLLKNFKDINIDCSEI VFAHNKMMPLAFIVDGDQGLVGISTWYSAGFVPKGWIQTLPLGNSFTESAGAIRDTWT DFECMDWSRKIDDGFEERGLNGHRWESWADWQAKRVFTERK FPSE_00099 MSTLQKPLIIDTVSLPKDSSSSFIEKDRGNATWHTLLSAPGTIS NSFTSGIATCPRNGALALHRHTQAEIYYILSGSGEVEVEGVRHSVSKGNLVWIPGDAE HGVFCGGDELKWLYIFPESSFDNIVYRFSSEMENAVKKIKSKL FPSE_00100 MYQVQSPCRVEDGQYIAQSKVAAFWDEAWWRLSWTGRAKEYMIR GITDRSPKNLLTDRDVRRHQKAVHSETGDIVGYARWIMPESHKDSWLVAQTPDVSAEQ QEIFAKRHAETEWDPSHDNDELDEHMDGWREKYKHADCMELHYIAVRPEHQKKGLASM LVTSGLEVADQLGIDVLVVATGRRGQGLYSKHGFEILDEKSQSMSKFGVDELYETFVM MRPAQK FPSE_00101 MFPFPAQDEPVRPLTEEDQQILLGLARRYGVSSIVCALTGLGAS SRASTFSASTLLSNTSNTSAPSLSWSNSDVGHARSDAASIRTQSTWQDNAADVPSIHD GEVGKVTDRTWLDSPNLMQSPIPSCDVTSHPSPRHVTPTSKKYQCPMCFLDNNPVGFG RKSDFKKHLYNFHGADVTWLCKTKGCHLSFATERAYSTHAKETHRMDALPNSSAKTEL CPQVVFGCGFGNCKDRVFEASTNEQASASRDKYFEHIAKHFEDGFDVNNWDYKAQLHN LMRQSKVKSIWKTCIWPKEKRQQLTWRPRSSGDLKRMLEARHLGEDISTLVRLAFILG TSPFTNPTTPPPSEIDLQFSLPFRSQCLMDAVGHLDGAQPKMDNSSDIGTPMVTVTKQ PPTTPQPSFPVRRIKQETRPSTPVDAIPEPMVRDDLTAGPHPGTPFPIPNEHVWPVDA PKFAPDQMNTFADASMTYILPGQELPQQQWDMTGMAQHFPQQDTVMGNVYMPNQQQPV ATRPATPIPTKRPGSWGKRLSLENLRPKKKSSVYGSPASEHEAVPPVPAMYAEMMPTS VPTGYELPMRMGHPQQGYTSNPGFMPHAQQQQFGSPTSFYLDDSDMRL FPSE_00102 MSPDSWSDADSVSQHSHMSHPDDSLDRQLLRHLMQRYGRDGIAQ LMDEEATSPAANINDAASVISSSTYSSVKSEETPSVFDAASIRTYSSDNSSLRGSIIS NVSARTAKFLSRKAARPSITPREETSPASNESITPAPKQKGSFTCGFCREEDIQKTCT RKNDLKRHIEDFHNTNAQWFCRQKGCDMVFDWQTAYKTHLKQAHGGSRMSLDEAKIIL CPQTVFACGFENCTQVFEAPTDDEADTVFKEFVAHVVKHFDEGSNSGEWSYSGRMRNL LRQTGLVRAWQSSSWGAEDRSQLQWHPQSSGILRKRLETRHLGDLQLLVQYAIALGTE PSSITKYRDDFINPVKDQCRQVIMGHKSRAPPLPPSGPSPSADQYQFRISRGNTTHNP NLAAYMATQRRAYPARAGPVRSGRSARPPRQAMPATSSAPGPMPHHFQYPSMVPMFDP RQQHQQQQFAMMQQANGGIIAEDLRSLRSMTNSVPEQDMDMDTDMMDHSFLQQPNFSG AYEAQGITTSASDGSCLAPTDPMNHHLTFGFDTAYP FPSE_00103 MSNSVPVANALSDIYPQDALAEQGPRWNNLLTKFESTYGHAASF VARSPGRVNIIGEHIDYSLYSVLPMAITADTLLAVSATPAASDAKSFRIRIANVEDDR FEAADFEVPFDGEVSIDATKLEWTNYFKSGLRGVMDLLRKKHGKDFKPCNMELLMDGT VPVGGGLSSSAAVVSTSSLAIMLANGEKTVDKTELTELAIVNERAVGVNSGGMDQAAS VFSEKGAATFVSFNPSLKAQPVHFPPTNPEITFVIVQSFVTSNKQVTGPIHYNLRVVE CSIAASYLNAVLNPPGTLLPEDAGPLGVSLGGFHDTFFYHLNGSDYSAAKTLTKEEEL EKLIEITEKTLTQEEGYTREEVAKVLNVTVEDLEKRFMSKLPVRAERFKLRQRALHVF REAHRVIRFMKLLENPVHTGASDTTKFNTELGSLLNETQASCRDLYECSSPELDEICA ISLREGSYGARVTGAGWGGCSVHMVPADKVAAVTRALEKEYFAKRDLTEDQKKGSVVV SRPATGSAIYYVQNGVKP FPSE_00104 MVTFPTQKLQPYTLYITVAVPEEPPETMARRYDYEMDGVVEIRQ KREEEGTVRTVLGSVDFDWGIYWHRDLGDGTWYTYEFCERAMWKGPPGLYKRWTYRRT DVKQSPRLHHHVVGLVQVMRIPDIGERITDFLDWLAPLVGDNTRRDDSFATTIYLQTR LFVASERKNVDKAAEHYDAAALVYELVHAAYPEVWYAFAGHLPRPI FPSE_00105 MGHHNLRFDGDPHSLSGAWSPPNSRANFCEEDYAITFYIAEFIN ALTNVTYVYLALRSMYGPRSRGLFAPNWDFMSFSLMVLGIGSFLFHATLRQTLEFVDE LSMMLLSWSMLRALLILRQPPKNIRYISIILAVFFISFSVFYVMFSKIIYQVIAFWVS LILIGVRVRYLFYWAKPAFSAENVRKWAVRVWTATFTCLFGYLIWNIDLEFCHQLRAY RQKIGLPWAFLLEFHGWWHILTAVGASQFMNVLREVREEVDREKKE FPSE_00106 MAPSTQTPFALILAEMVVAITAVILFCLEYPVDFRSRLWENGGE LGYNSNPNKRIYYYANHLEPPEVPFIWSQSLAASNLAIAMLGLVVFVARTIMSRLRCL PHHINIIYDMILFSLWAIGLAGQTSSDLSDPKHPSPHPWYLTRGCSVSWDRTRGYCHT AQAGFAISIMAGILYGTRLIREIVLVAYASGQRHQSKGLVLNTDDIESSESFYSDGEW ESLEQKTSRNSLVLSPVLAFFPSDSESRW FPSE_00107 MASEIQDPPDATAIPPQKPTEAPRRCFICLTDEEPTDPPGSWVD PCGCTLEAHQDCMLSWVTDCERSNKPLQCPVCKDRIQMEGPWDPIVSVTDAVANRFTR ASPFMLLSSVTVGAQFSLQMYGASAMWAFAGRQALVDYVLGHEVWANGDASRVLMTGG QRLGKALILTNIGPALLIGQLMPWFANKVFLSTASLYGAYHAMHDDKFFDWPPSPQLA MAVFPCVRSVYLNLWREFVLPHEINLNRQIMGLPPIEPRRDQAPANERQPEQRGEGGV MGFLNGLIEALEGDEADDDGEIDALGPRAPGDVEDQNGGGVVIELVIQEVDDEDDNAW GQQPAENNGVPQQEPLNDENPVILPAEDIEEDGQEDFPPVPIEAPQDPNLEAAVDHAP VIIPEPEAGNQAAQQNQHEAPQAPPARRPGLGTILSGVSNAIVSALILPGVSYAMGEL LRMALPKQLTAAPSLFRGGLLRPGLLQQQWGRSLVGGCLYVVIKDMLRLYTKYRKVAA IGNRRVKNVDRARRRK FPSE_00108 MASTHNSKQRLALAICDFLSASTNDGTLTADDKDSIDVAINCIA ESFKVDPSDTSAVSAAIGSQNLLQIYSVFEKARADKPAAAPTPAPAMLTEEQKKEAEA LKSKGNAAMAQKDYSAAINFYTQALAINASNAVYLSNRAAAHSANKDHASARSDAESA VSIDPAYTKAWSRLGLARFALGDARGAMEAYDRGIQHEGNGGSDAMKKGYETAKRRVQ EMEAEEDSLPRASPSAGNDANAGGMPDLGSLASMFGAGGAGGAGGAGGGMPDLGSIMS NPMFANMAQKLMSNPDLMSNLMSNPRLRDMADRYSTGGGMPDLSSLMADPQIGEMARS MMGDGGNNPFGGAGGAGGASGQPGQGGSQQLDERSSVLSFTE FPSE_00109 MFHLLIYPLWVLVALFAIIVANLLYQQLPRRPDEPPLVFHWFPF FGNAVAYGLDPCGFFEKCREKHGDVFTFILFGRKIVACLGVDGNDFVLNSRFQDANAE EVYGPLTIPVFGSDVVYDCPNSKLMEQKKFVKFGLTQNALESHVQLIEREVFGYVETD PSFSGKTGTIDVSKAMAEITIFTASRSLQGEEVRRKLTAEFAALYHDLDLGFRPVNFL FPWLPLPHNRKRDAAHIKMREVYMDIINDRRKRGIRTENGTDMIANLMGCTYKNGQPV PDKEIAHMMITLLMAGQHSSSSASSWIVLHLASSPDITEELYQEQLINLNVDGALPPL QYSDLDKLPLLQNVVKETLRVHSSIHSILRKVKRPMQVPNSSYTITTDKVIMASPTVT AMSEEYFESAKTWNPHRWDNRAKEEVDTEDVIDYGYGAVSKGTKSPYLPFGAGRHRCI GEKFAYVNLGVIVATLVRNFRLSTVDGRPGVPETDYTSLFSRPAQPAFIRWERRKKT FPSE_00110 MARLPRTERLPLAARKDVRDSWEVRRGDHEGNLSRILDQPWTIV VDPLAIHPYAQGSWCESSIGYVIASYVEGAFDRLRDFVDQNGNEARDEINEICSAHVL TIDHDDTNTVSYCGVKVSPERQLVILFSGNNLGTNASDAANSSNLTKALTDVPSPRPM NFTARNSIRNGYNPRIEQIQQRLKEMLQQDVSLVPNFETNFERQYQCL FPSE_00111 MIGQSTRRWLQLSFLLMAGTASAGSLKLTDFESIGDKSFPSTCV AAYDTPLSDCTPDDFTGGKACSAACKDSVQQIQGLIMASCGDVSADSESLLSRGQKGN LVAVLCRNADESQSQSEAQSQAQSESKSEPQSVSETETQAPATTTTGSASATKVHKNI LIETSVSTTTTSKESLNGAPLSDVDENLATKSTVLAIDTEQPTGSLPLPKAPTQVFTS VTRSSAPSQTAEAAIEEPTPTPTTAGGAVHAPSFNFMCASFAMSALMYMVVN FPSE_00112 MAWSHLDITKPHLVYIILGGFTSLFMLCSSVIKERMYIGEATVA TLCGVIFGPHAANLIDPQSWGSTDIVTVEFSRIVLVVQCFAVGVELPKFYMERHWKSV VFLLIPVMTFGWLITSLFIFWMIPPLDWLDSLVVAACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFIYLSLYLIQFKRDAQDVSFHFVVYVLLYECIFGAI YGFVIGYIARHGIRYAEKRDLIDRESFLVFYFVVALWCAGSGSILGMDDLLVGFAAGV GFSNDGWFGEKTEESHVSNVIDLLLNLTYFVYFGTIIPWEQFNNGVFGLVAWRLVVIA IFVLLFRRIPIMLALKPFIPDVKTWREALFAGHFGPIGVGAIFVAILARAELEHEEPV PLAQLPPENSEHYDLIYLVWPIVSFLVVTSILVHGSSIAVFTLGKRINTLSLTMSYTA APEDGPSWMNRLPRISSQSRSQARSISEASIDEEKGPQFPPDAAIPNSGPYSHLLRRQ RDQNGSRSPSVVSRKRKNKNWDDDRGPGGPIAQSAIFPQRQSSTPMSPNEQHDQEEFP SQDNTESTLAADDSSKERNSDRIEQAGESPAGSSGQKTPPQPVNIYEEGHEMVFENQD GDVLDVEPAPDSHREDENHVPITAVKPGHDKLWTVAGFKKRVGEVYNTEMEKRKDKGQ DRRHEPARAYQFGNTIIVEDADGEVVKTYELPAAKGGDSEAGPSTPREKPAGKHGWAN FGGVFGGNHANEQNNRKKSVAETQAADDKAIRFTIGGVGQRMTKEDFIREVQKLDSRT RKEVVEQSDASQALKKVAKQDLPPETAVKAAKAAEHHAPENRKGRSGSSASAAAPSSP AKPSEQQAETAVERKRRLAALSSQEEEPKEETTETPAERRRREAALGVGNQADDSDDE GTERVPPNRRGITFADLTRPGRGRRAQ FPSE_00113 MDSGDSQRDSPLSITSNIAGILTFVVAIAAAFYARLTYLRNSDD EFFRVKTSLSWYKTESTWLADLVTAINAQHEGFHSHQPEYQMYSFVMDDLLNLEQRLL DIVAETEAKSSMSDEGESWTLMPRGWSGRTTVAMAWLRVRTKALELVRQREGLTARVQ FMQMKIEFIGWKTSYDGGHIVIILVFHGPGR FPSE_00114 MARQRKEKSIKDIKLEQPDRTGPNKETLVDFAKGRDLFAEADRR QRELDSEGPLLSPRTERIFETLLWTGIIATLHFTFDVLVQRQYAMDLDWFLIIQRTFT AWLLFIVLFYVLHPHYSQKQFIPFVPEAYQEPIRQAIFFIASTLGGCYLIYISNNYSY IAVMKQAPPVGCLWVWAVVEMDILWAFPSLCIAVAYAYKNGYGFK FPSE_00115 MAYPELPLRCHGDPSINDPTRTAIFQKYRPSQPKPSRIIVSEAA ADMNVLHIFAGLAVVVPSIYMLTANQAQSTIKNKRVCLLIAHPDDEAMFFSPTVLALT RPENGNHVKILCLSTGDADGLGDVRKQELVKSGLALGLQNEDDVFVVDNPTDFPDSMN RMWDKNLIARLLGSTFAPKFGHERKNNLKPTAAIDILITFDSNGVSSHPNHISLYLGA RSFIQALTTTESEWPSPVDLYTLKSVGIVRKYSAFMDFVPTLLSHLGVNNENKDRPEG LVFMNQLVGRGAYGTAWSAMTQAHRSQMVWFRYGWITLSRYMVINDLRLEKVKAQ FPSE_00116 MHFSLVSAAVLGLVGSVNAWGGIAPDSSRFRTLERHGITAFQHA ATDSMLEFTSNSGICETTPGVDQHSGYLSVGKNHSMWFWFFEARNNPEDAPLAIWLNG GPGCSSMVGLFTEHGPCHFVGNDTEPTLNPYSWNEYANMLYIDQPVGTGFSTGTEDVN STAQAAPYIWKFMQAFLDRFPKYKSREFGLFTQSYGGHYGPEFADFFLNQNEQIDDGH LEGHKIDMVALGINNGWIEPKRQYKSYATYANRNPYKKILTNKQLRTSLDSYNKYCLP AMENCTELEGQDEECAKADEACNTQMFTNLVIAGGTDFNVYDVRIGQNDVDPPDTFLE YITRAEVMDAIGANTRFAECSDTVYANMAKTGDGARSYVGPLADVVKRGVNTLIWAGD TDWICNWEGVLWASYALEWPGQKEFVAAPFDNYTVNGKAQGRYKAVDNLSFLKVWEAG HSVPYYQPETALQVFKQVMQKKPIKST FPSE_00117 MLDENLPTYRFKTSSENPLNNILYFSHNGSDPSPEYLVKRPSPS DANGQYALGMFDSLNTSVIYAEVGVKPDWVAPTLSAAEIRAQNGNPPPKTPATPDNFA VSLYNPDQNIAVKQHPGSWGKSDIWEFELPERSFKLPSASQIDQEDRPSITELVPKVV FRWKRDGRLSKDMTCYMTGRNVGGKKSKEPDITVAFFRAKHDSTLTIYEPNMARVEIE DRRGLEIALLMSAETIKDLYMNPSPDPFNLLTSSNGRRQNSRPAANSSNGPTMAGALN NNRPSSPPRAQPAQQTPNNNAQRQAEVEAETKRLQAMVAEEERKRLEREQQEEEERKR IQKMLETEEQERRKREAEVDQETERLRREFGMAGQDFDNQTAAGHPSPPLPPRPHFSS GALPARPNSVGPAPNSRPQPNFAPPPSQPQAGPSENGKKRHGLGSLLQGPYAGPATAS VSGFFSSRKDEEKRKKVQKKRSVHF FPSE_00118 MPILSTWHLVPRGDHGSSPESDDSSTAGATGNIVPSNAFADDLF REKFMGIAKGGSNGEKIMRGFLIGLAIGLVVACFVCCWYPCCRPRIRNRRRQRQRARR GPAVTDEGQNTTNRAPPPA FPSE_00119 MTCVLAAYIIELISLRLLENSGQTFESNVGIAINVGEDQPVGYE KTVEGPILWFLSLLAYVVLYWSLKMAVLLYFSRMTKSSEPNHCSMRRLVPVGVIECLG FAGLLVYLVCTNHLTSSSLYYSIRPDYARDIYITTGVNALTYLMVAAVPLYELLPDAP MWRKVAIGVFCASGIVVTVIAGMRCFHAYQTEGIVDVQGRNLLIGEMFTAIASSSICF LWGIFLGGGKGEAAD FPSE_00120 MSATVDLAGSSPNVTTRQTRSSTRQALSSVAPLLERDQSPDSSS KKPPIGLIPSESFITKIPLPSEHRDALVSKRDWLTSHTVSLPQDVVRSINLNPDTYFN PVDATYVFAQADAGTAVCISPDGVLLTCAHCIAEKPSELTTMPWHVLISSSGNIVFTR VISWDPVRDLALLLIDQAQSPHRPFPHIRIASTPPKFNSKLLCIGHPGSDDLEAKNST LKTGYDTLVLSEGSFRGLVEDQDPQNNSDIGALRHTCWTYWGHSGAGLFDRTTGSLVG VHSSWDEKTGMRRGIPLEAAVSFLEEFELSRREAFGGSWQCNTREYDFTIANIVSNSQ QLPAYSLMQGKINKI FPSE_00121 MMSFDGGTAYAESDADDEYERSMGDHSPITNSEHSPIDSELSTS AEHTPTTYGHRSSADRLPETIITEWTVEECADFIGTIGLPQYADRFMENEIVGEALVA LQHDDLKSMGIASVGHRLTILKSVYDVKKAQDVPMESDHYLPLSADAEAQYATATIKD IKHLVEQFRLRDERMSLLEQDLRRMTDDFRRLREDMLPALRLVKDAQQPLPNLSGNQQ AYGYETTISPPAPTPPPGSGQSGGSVKRQYSTRKILIGAAPKNASPTQSTHERSIAET ALDPTSAAERAVLSSSHLAAMNGGGQGASSPSYPSPNIPSPTSPQTHMSATTLASRSY RSEAPTPSSRTTFNDDGHANAAYTSREKAPQGPPRRRETPVPDTPSQSNASVEIFKSF RVSMEDPCYKVLPAALKKYQINAPWDQYALYIVYGDQERCLGLEEKPLILFKQLDKEG KKPMFMLRKTTTAPLDGEPGSAGLGSTSRGAPTGYDPPGGII FPSE_00122 MSQPVKSGERRPSTSKGNRISNFFSSSPKSTGAQQALAAVQQNQ ATATGFSPSPGLPTISLSTASPGDPNSIDTSTTTIFQPQTAEEIDRQKRADAQFGPLL HSSHRYTSQSHGEPLEPPVEDEPPYYFILTTYISYLILIVLGHIRDFFGKRFGNPKHY RALKASNGYAALNSDFDNFYVRRLKMRLDDCFARPTTGVPGRFITLMDRRSDDFNRTY QYTGTHTETLNMSSYNYLGFAQSDGPCADAVEECVKRYGISFCSPRGAAGTSDLALEV EREVADFVGKPASMVFSMGYVTNSSSFPAIVSKGCLIISDELNHASIRVGARLSGAVI QSFKHNDMIDLERVVREAISQGQPRTHRPWKKILVVVEGLYSMEGTMCDLPGILALKN KYKFYLFVDEAHSVGALGPRGRGVCDYFGIDPAEVDILMGTLTKSFGANGGYIAADKH IIEKLKNTNAATQYGETPAPCVLMQILASLKLITGELCPGQGEERLQRIAFNSRYLRL GLKRLGLIVYGHDDSPIIPVMLYHPAKLPAFSHEMLRRNISVVVVGYPATPLISSRAR FCVSAAHNKEDLDRLLAACDEVGDTLQIKFSTGVAGGLDHLPEGVSPENEEEWRRENR IPIKAPRWRLEDVIRHGVQDSKLPLR FPSE_00123 MSIDKLAPSAAAPPSEDNVLWQHPDPKSTHMWKFLEHVNKKHGL SLDGYPALYKWSIDDVSSFWEEVWHFAGVTASKPFDEVLPQNAPMYPRPDFFSGSLLN FAENLLFPATSPKPEPSAPAVITVTELPNSTVTTSWAELRDAVRRCSNALRAAGLKPN DVVAGFVSNHVEAIVAMLGAAAIGAIWTGISPDNGVSAVLDRLNQIAPKVLFADNGTV YNGKEWSSVSKTTEIVGALKDNGLERVVVINNISSGGLGLEELEKHGVAAVDYTKMLE SASEEPLKFEQLPPGHPLYVLYSSGTTGLPKAIVHTALGTLLQHKKEHLLHCSLDSAS RMLYYTTTSWMMWHWSIGALAVGSTVVVYSGSPFRPHAHLSLPRLLADLEVTHFGTSA AYLTALEANNVYPVRDSSIDLSRLQAIYSTASPLPPSTFKFVYEAFPKHINLGSITGG TDIISLFGAPCPLLPVRVGEIQCAGLGMAIRAVDSVTGESINADEPGDLVCVKPFLCQ PLTFFGPSGEAKYKSAYFERFEDICGVNGAVWHHGDFVKIPDPSTGSLVMLGRSDGVL KPSGVRFGSAEIYNILTRFFAAEIEDAVCIGRRRETDSDETVCLFVVMVPGHEFNDDL RLRIKSKIKAELSPRHVPGVVEECGAGVPKTSNGKKIEVAVKQILSGMKVKTNASVAN PEALDWFKSWAETR FPSE_00124 MNSQGNNDVSPEAMQSRIQQARREAETLKDRIKRKKDDLADTTL RAVAQQAHEPIPKNQLMKAKRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYT TNKVHAIPLRSSWVMTCAYAPSGNFVACGGLDNICSIYNLNQQRDGPTRVARELSGHA GYLSCCRFINDRSILTSSGDMTCMKWDIETGQKVTEFADHLGDVMSISLNPTNQNTFI SGACDAFAKLWDIRAGKAVQTFAGHESDINAIQFFPDGHSFVTGSDDATCRLFDIRAD RELNLYGSESILCGITSVATSVSGRLLFAGYDDFECKVWDITRGEKVGSLVGHENRVS CLGVSNDGTSLCTGSWDSLLKIWAY FPSE_00125 MSTAAQEEFNDLVAKNTHRETLHPEDRDDPDFKDIQDLSEEDLF RNAQIDNAMRMPTVDRLTGAGASEIKLPPVSFDNGRATGVKGVIADARNYEAARKNKW RHRVRTARNSIFGIESAPPAKSDTDSSEDDAKSDADEEAFLAEWRESRRRELESEASR SVRNNRRTSPSVRIYGRLDEVDALGYLDAIEKVSRETTVVVFVYDHECEVSATIEQAL MPIVKANPEVHFVKVHYEEIEFDNAAVPAILGYRNQGDLFANLTGLIEMIPDDETFGT SSLRQLFQKHTIL FPSE_00126 MEQQDPQTLRTPSTVPRDTEHRSTRQSPSSSPSISPLTPAPRRH ATSSSSRPTTPNRSHLSTSEPTLRFPRPQGSRHLANWISSSNPDIMRFTTTPEDGGLM DESSYELISNIDTESQDGNYNESMSESIGSFDLARPDDVQSCAGTEQTCDDESIAAES VADEGDASAQQTQQESESMSESMDANQTPQTQQSWASVVNNGPLPEVEADIEPDEEPE LESQPAEQEPESEDEARSRSSLEYTQQSLKTPSIPSPDAGNMESKLDRLPLPTGIDND EETQRATLDKWLRETQHPTFTNRETVSKVVRSSLPALLLLVLVSLISVFLSPLSRDAA PHIPAATSAATTHPTLLSSSRSSQPTLQSLSTGVSSALIPLQDARTNEWLWGSQRIDV TVKKYHGNFLIQMPRGTKKSWLDRDCLNFNAKRGEKQVRFGTSSVDEGILLKIPREEA HGTVKVDIFATCRPRMHKVLQVEFGKGMFSEALNLTLSFAQRVPEFVPAAAQGAERRL EDARRSLETASGNLMTTSDTFCKDIGTKFHDAHRSLNWIKRDIKCRVQTAKQDISKKL DAVATDVKQYIPSTEVVQHQAQLELLQAQIRAKLWWLKVTASDEEHDRYQVAAKQFMT AKLLKNKHVQKPASMASAGSKHFGCWFSTNCRDNSGGQKK FPSE_00127 MSASEPTEPKVEETKVEETKPEETKPAEAEKPVTSSSMFSMFGG GAKKEKKEDEERGDNSGSAKAQREAAEAAKGDEEDAPESEDVHFEPVIKLTEKVDTKT NEEAEEQTFKMRAKLFKFVKETSEWKERGTGDVRLLKHKENGKTRLVMRRDKTLKVCA NHYIVPEMKLSPNVGSDRSWVWNAAADVSEGEPEAVTLAIRFANAENANNFKDSFMKA QKENEEIFNKAQEAEAPPS FPSE_00128 MAPRTRPASSGRKLILKPSKTKADLLIQRKVKVVTEQNVIDKPP VDQFPMREWSLKVFMVDEDGNERPADVFTKVVYNLHPTFENPVQSYTKHPFTCKNEGW GEFEISIDCYTTEKTKLAPIIHDLNFHQTKYEQTHTVVFKNPSQSLQERLRETGPLPT DDDHRPKKKGIATKKSAQKYDYEKIAESLEKLEEEDLLRVIQIINENKGPDTYIRSDV EAGEFSIDLYTMPDSLTSKLWDHLSKKGLVS FPSE_00129 MAPYGTNQPTSGASDSSPAASINNAAQGSAQQTPGQSAGNANQG PQHKRVYQACIPCRRRKVRCDLGSVDNPHDPPCVRCRRESKECFFSATRRKRKTDDDG SDQDEYIIRNGRKRVNANDSPPPLIERRSYSSAPLTPGGSHGQAQPLRRPDGSRIGRG RNSEWGTEGDANQTLENIEAQTVMRRGVFGPQDALDLLYKAATDSPAVERERRESTSS ARPAAPRPPPVDTGPYGYVPRSTSRPPKTEQPIDPELSRPELSSQPGYAEAIKAWQRF RFVRAGWFTALEAIEYIDYYYKYLSPLTPISPPTFSNPSSHVTLLYEEPILTVTLLTI ASRYRQMPGTGGHCRSHAIHEQLWMYLRGMIERCLWGQEAFGGGFCVPPSTSAIFDES QTSSTAPWRGLRKGSLRTLGTIESLMILTEWHPRALHFPPTEATDELVLPMEAAFQAI TAADEDPSKTLGPGFGGKRIESWLEPAWRSDRMCWMLLSTANGLAYELGVFDDIDELL RDDAITRPEYQEESYRQRAFRIKRLLLIYTTQLAGRLGWTNMAPAHLRRSDPALARFR PNTGDGTTPGTNPSSVGNNFNYVPDLELDDQIIHCWAGISNAMEMGNEKIFRSRKHTT QIIQNGKYISILKEFEPILRDWWREFELFRLPEFIRHILTIEYQYVRIYINSLSLQAV VERCTNQAQAGATAHSGHHGAAAVNGGHPQLSPQTMINYGKLPLGQLGGFTAHDQEYI REVVEGSRNLLRTVVEGLLPGDYLKHAPVRTYFRIISGAMFLLKTFALGAPRSDVRMS IELMDATVEALRNCVVDDVHLGIRFADLLESLTSRLRNRFIQAPTMQQASGRGQSPAP DGQVHNGENLVPSGEDHPNWVGGHAQRLRDGLNGNAPAQAATHEANNISATPFDLSAQ NFPYPGQGPLGPSTPTVVDNAVANMDVSLFEDWNHQGNEMWYLPPGPAFFQNVENPSV AMGPEGVNVGGLDLLEYMAMDPSQFPGLDPSSGPPTSHA FPSE_00130 MSLNGLDDPKVQEAYEAAVAEPGGWFLLKYASRDEVELLGRGSG GVVEVRNNVTEYEETSPLYGFLRYRRRNVLIKFLPEDCSRLIQARVAVHFNAVCDRFS PYDTIFEITEAKELRDTRLSAACSLHAASGSTSSSTSSLRRRRLMEIAEEEEDEQQQR ATKRQSVAENDDDGEVRPKTAKTSHEPALLAEPVTLNSELAESPEESKFTDASEVPNF VGADDRPVSSSESEALPRLSSQSGRPDLYPYSSYNYGKPKVKLGPRPSLETNGRPRTA GSYRPVSQMPSGFKLFGKGSKKEKSKEDFATTEDTPTEDKDTPPVQDELQITDAEFAR PTTSSGVSISGSAIIPAPPPAKPTISPEKARLMKAMKLREKKKKDMAVEADVSSPPTE ITGPSEGGSSTDHAEDNENQHDTKLNQPSMSNADSGIGLDVSSASMNADQSSDLARTD SHPDSPVIASSEPEISTKASSLSESTDDTLHPKDEDAHDQHEKENVNIEQTSAQSTND QNAATVAVAPVSNAPPSPKDKGQPEDAPLDPVPGADTNISEKPVDAPANDNKSTTMDY ISNAPDTTESSANIDKADEPLSPTTSSLKIPKSKFSTQDLRSAANAASSSVSNSPPRE IEENKPTIVTQEVVEKEEDEVGVSETKQQKRKTNIEPIKTQLTEDKDPLSDDEDLMDE LHDATLHEAKPMTVAKSPVTPVFPSPTKSERSPVISRTVSNPVHGKLLAPGDVTTSSA RSVSSGGAAYLHKIAQQPGGPTLSRKGTVGSSISQRIKALEKLSASTGETLAPAPTAS ARERPSSAFFSVRKSREPSRSPSVVDRTSSINRANLRTPPSRSGSRDESPDRSRQQTR RGRSTSVASRLSVFEANPLENDGSPGSESISVTARIVRDPSQNAAKSLEPAKDLSEFN PVELQESPLLVDHQRASPVWSPPAFQPPKETLVERRMSKDDNNGDKEDQSRRSSLSVV RDFINDRRKSLTSPSSDILPKSSQSPTRPPSTHQGKRLSISSRRSSFSRERENVLSPS ATTESSVSGDETKSSGEKMKSRAGRFMRRLSSLSSSRGKTTPPTVLSPTVQEEHVRPA TTGPPSIVSFMGDVNVQFPDNLLWKRRNMCLDSQGFLILSALPSQSSRTAQGTKRYHL SDFRAPYIPDVEVQELPNSVVLDLVDGSSVQLACMDRTGQVSILSTLQEAHAKHATPF AL FPSE_00131 MFGGSSNNSAGNKSESDSKSDKSPSPEPGTGLSAPSPIKTTDQA ASGEKRSGNGSPPTRQDVGGPSDKKRRSSSVSSRASSLLASAKNSLNFSSQSSRGGGS EVNSQTSLQKLGKQDPALAVPQGQHNNSAGDSMPGPKSTFRVGVWEDRNKKCRRTMED THAFLYNFVQTPALSDASAKDKPQGDDLVTSDMVESDNGYFAIFDGHAGTFAADWCGK KLHIILEDIIRKNPNALIPEVLDQAFTAADAQLEKLPLKNSGCTAAVAVLRWEDRAAN DRSAIKSAKPEEPIEMDKANDGSSNEEATKATNSKGKGSGRQRVLYTANVGDARIILC RGGKALRLSYDHKGSDEVEGKRIAAAGGLILNNRVNGVLAVTRALGDAYMKKLVTGHP YTTETVIQPDSDEFIIIACDGIWDVCSDQEAVDLVRNVEDPISASKQLVDYALNRFST DNLSCMVVRLDQNKEAAVEVEGAASQVSEADKIVSETKQKIAEGTAPAVGVSATSNAP QSQPPIAVQEGDFVPTSLDDIVTEEPPHVADPKSDEVPVLNRAAVLEARKDKPAEDKP VEEKEKPHGQE FPSE_00132 MNILEWAFGKRMTPAERLRKNQRMLDKAIRELDQTRVKLEKQEK TLIQQIKTSAKNGQMGACKIQAKDLVRTRRYVEKFYSMRSQLQKISLRLQTYRTNEDM MQAMKGATRALGSMNKQMNLPQLQRIAMEFERENDIMDQRSEVMDDVFDDAMDVGVEE EGDEVVEQVLEEIGVDFNQALGETPTALGTAAVPEGKIAQAVGGGGGGGGGGDPVDDD LQARLDSLRK FPSE_00133 MSQDYFSGNGKGKARMQQETDSDGDHANAPNSNQTSPPADSSRV QYMTVGNGMASEHAARLQNMLDIDSGYGGSIAGDEQRSMASAAAWDMSMHHDRPASGA VHQMWYNAHRATLGRSINKVLELLDNLREMNSSWPAHYPSVQRTRRDSSEAPRPGLAH AYTGMDGIPSSPHHPALRRSMTTVEDNSAESSRAAENRSTPEPRLVSPQIAQEFSVLK LDLKLGALHQTELVHSLEKSSIAALLDGKISTSIKHLIALRERIEDTASKVLITGDLN AGKSTFCNALLRRKVLPEDQQPCTAIFCEVLDARENSGVEEVHAVHKDVPYDRNDEST YDVFSLKELENIVIDNETYTQCKIYVKDSRSIDESLLNNGVVDIALIDAPGLNSDTTK TTAIFARQEEIDVVVFVVSAANHFTQSAKEFIWAAAAEKAYIFIVVNGFDVIRDKKRC EKMVLDQVAGLSPRTHKENSELVHFVSSNAIPVGPSPPGGPSGGGSSSGGSGDPGDGD DKGKGKDLDKVRDFEALEQSLRRFVLEKRARSKLAPARTYLLNILNDVNTLATVNQEV AQSELDRVTQELKELEPQLESSRRARSEVSEKVDANVEDTCKEIYDYTRNTLNSAILH SGSSHYDVPYPGIWSAFQYAEDLKEAMLSRIADSVANCEEYGRGKTVYGVNAIKQLGI LHVGDEFQNLQFRPDVMFRRKRDALARQVDIPTEMTDFVDWTTLLQRQEKFAGTGMAL TVAGAVVPRMIGMNTWMDQAITATKILSNENLRQLIIPGILVAAAAASAYVLQQIPNS LPPRLATKISTQLAEMDYVHANAARISSSVRKVLRIPADNLRVGLDQSLKDLNAKREE TVKVKGESERASRYFLNLVRQSDDQRVKVEAVDLETPPPGMH FPSE_00134 MDYVELPKIELHAHLTGSISRQALHEIWLRKKEAGNTDLDDPLS VMPEGKHDYNLQTFFPLFSSYIYNLITDEESVRYTAKSVLTDFLNDGVCYLELRTTPR STPQLSAEQYITTLVDTISLFESENPQLHTRLILSIDRRHTHEQAASTLELALKYRNQ GVVGLDLCGDPTARPNGEINIFTPVFEEANTKGLGITVHFAEAEASGSKEELSTLLSW GPGRLGHVIWEDEDTKKEIARKGLCLELCLSCNVKADMVVGGFEGHHFGHWREVEGPN ISLSTDDVGVFGSPLSNEYRLVAQHFGLDRQAICDLARQPIDGIFGGDQEKERLRRLM WTR FPSE_00135 MVTLTEVEDEHFQHSQAGPEVEEDDEDYSDTDSEISNESDYDPS DETLAERLYALRDIVPPTTRSWISGKASTVSNAAWSVLSFSGKGAWVITTSALFFGVP FALSFAEDQQLTAMEQEYNMRQSGSDLLTAGSEQSTADQVGAALEGKPAQPSL FPSE_00136 MTAISANPVANVKATEASRGPSPQPTHFSVPLQNGNGGNGHRIL RSATVGYIAPEFTGKSEQKKTVKSLIWAAGYVPEPQIDEQIEWFYENLGIDDVYFELE SPDVISSHITSLYAAKVASFAREDKQEEIRLDMEANDHAIYIDTSVAGRTNIAGPRYE ERLEAKYIDHPGSSKYRVETFRSPALLSPQSKATLRCYFVYQCRFATPPEETDPKETN LELIADHGFLQKATVNTKQIYQDIIELAVNRAGPVIEVFDIEGTDEKRMVLAFRSRTA QGLFSALSDLYHYYGVTSTRKYLEQFSNGITVMSVYLRPASETTENADQFSWDESIDQ ISKEVSLLYCLPHNKFHNLFLDGQLSLQESVYAHSAWVFVQHFLNRLGPEYASLAELL DVKNNAQQALLSNLKRRLRSETFTPDYIYEIIQNYPGLVRALYASFANVHLVKDQEDP VKVVSSSLSVEVLSDDALKDKISKNVNNEHDEMVLTAFRVFNNAVLKTNYFTPTKVAL SFRLDPSFLPDVEYPKPLYGMFLVISSESRGFHLRFKDISRGGIRIVKSRNKEAYGIN ARSLFDENYGLASTQQRKNKDIPEGGSKGVILLDPKQQNRAREAFEKYIDSILDLLLP AETPGIKNPIVDLYGKEEILFLGPDENTAELVDWATEHARSRGAPWWKSFFTGKSPKL GGIPHDTYGMTTLSVREYVKGIYRKLELDPSTIRKMQTGGPDGDLGSNEIKLGNEKYT AIVDGSGVLVDPKGLDREELLRLAHGRKMIIEYDVSKLSAEGYRVLCEDVNLTLPSGE VVNNGTSFRNTFHLRDTGAVDVFVPCGGRPASIDLISVNRLIKDGKSVVPYLVEGANL FITQEAKLRLEAAGCILYKDASANKGGVTSSSLEVLASLSFDDEGFVQNMCHDANGQA PQFYQDYVKQVQLKIQENARLEFEAIWREHEQTGTPRSILSDKLSVAITDLDEKLQHS DLWDNEKIRRSILEDALPRLLLEKIGLDTLIARIPDSYLRSIFGSYLASRFVYEFGSS PSQFAFFDFMSKRMAQIEK FPSE_00137 MTRPSIVRADTIDLQDHHAPSAQDHSKSPRLASGANSLGPHQAE TIREISHDIADEQRRSPRVSLDNRNSLDEIDSFADELAADSSGPRTRAQGATNNNDST MIQEDALAVAQNGGMSSSDEGELDGDDDLDDDMMDKISSSPSIEDGGCNPVAPGAWPR RVSSLPSSIRSSSPFTTGLSCARVRSPYPKPLAYIHNPDAMALLSQASPAPSTPVQNH RLQGEYADCDEHENENDNRHDAESHEEDSCDTFNSTLEFHDYEEETKIQQQNVTNQED VRIRTSDDGG FPSE_00138 MIESKDQDIFYDCDPMLDLSDSTDDDEDDDASDDYDPNLTVPYE ESFEDDDSALSFPDDPNFVDFGWAVECLQDTEDIDFEFVYALHTFVATVEGQANATKG DTMVLLDDSNSYWWLVRVVKDSSIGYLPAEHIETPTERLARLNKHRNVDLSAAMLGDT AEKKAQTFKSPIRFGRKTVTFTSPTYHDYTEIDDYSSDEEDIDNLFAGSTTTIKQDQQ KDQKKETRDPTISIVEDDSSEESARVEPLKPRLTKDGKAATETSKVDTVEEEDEGRDS EEMLFDSKAEGPSRSRNGTVRNTDSFFRDETVETKKITLTPNLLRDDNPSRASQDSTK DSKSRASLDKLDKELMSDKEKKKIQDKGRKEKDKKPSAIRSFFSRKDRKKSVDEDDES FGKRSMDIVSEPRDSESIEEVASPERQPQRSTSRLQKQIPRNETSPGKNEQSSTMELA AYLAESRVNDVSNVPPASMRIVNPETKETHEVPSNTHVAGNGSRVRASSAAAQHDDTR ALAKALGRSSSTGDEPKTTKTTKPRPLMDVDELSSSDDESVSQPTSRTTPEQGVEKKT EGGLRPQLPGAFPDSFDASARQVPTTAEAGEQQSRLSESPVHVSHVDGTRPPALEIDT SSQEGRSSSEVPSPELGPGDKTSKDSSPTRSAKEPGWDDEKLRAFFDDGEHVRDLLMV VYDKTDVEPVGKDHPVVSGMFREQNAKLAEITTQLDNMLGDWLARKQRARGSL FPSE_00139 MVDFNSETIHKVSEKVSEKVHDTTDKVGGLLDQAEAGKIPGTKG HHPVSAVIGTALTGGMGNAGTKGYLAAYIKELEDNPLRTKMLTAGTLAGTQELFASWL AKDRNKHGNYFTARVPKMAAYGALVSAPLGHFLIWALQKAFKGRTSLRAKILQILVSN LIIAPIQNSVYLVAMALIAGARTYHQVRATVKVGFWKVMRVSWITSPICLAFAQKFLP DQLWIPFFNIVSFIIGTYINTITKKKRLAALRKKHFGDDRRSNAGDMRPGRPDDYPPP GMGGGPNPPY FPSE_00140 MSRKGVGLAAFDRSRLTSAQFASHGSSLRANNAQALETQLAVFR SLLQQFANTHARDIRSDPAFRAQFARMCAAIGVDPLASSNSNSSADGSSIWAQLLGKT VNDFYFELAVRIVEVCGATRGENGGLIGLADLRERVAAGRMDGADPIADDDVRRAVQT LAPLGGAYAVVRVGRKEYVRSVPRELNDDQVSVVEAAQVLGYVSVGMLRDNLGWDRAR ARTVIDDLVAGGMLWVDKQTKGEWEYWSPGFMAEAADPEEGG FPSE_00141 MDDPFNAGSPGPEEVDLYELLEIDRTATPDQIKKAYRKAALKYH PDKVAEEQREESEAKFKEVTQAYEILSDEQKRELYDVHGMAAFDKSRGGPGGPEVDLN DILSQMFGFGMGPGGPGGPGGPGGPRRPRRGPDEEQEYKVTLEELYRGKTVKFAANKQ VLCGQCKGSGGKEKAKAASCERCKGNGIVEAFRQIGPGMMRRETVLCDHCQGAGQVFK EKDRCKKCKGKRTSQEKKVLEIYIPRGSMQGERIVLEGEADQHPDQTPGDIVFTLVEE PHDVFTRIGHDLSAELTVTLGEALSGFSRTVFKHLDGRGIHIERPRGKILRPGDCLKI PGEGMPMKRGEAKGDLYLIVKVEFPEDGWLKEDSEHDALQKMLPAAPANVEAEEVDEV EYEDDADIEKMGENSGDGRFGGDWEDDDDDMGDGQAQCQTQ FPSE_00142 MRLLLSRSTRLPTSLSQAPILHCSTIPIRRGFTSSLVRHDQNSD AAAKASPAQSNTDAVSEEVKQNSQPVKKPSRLAALKKRSQTSNTSRTGIKEDETSQRY ILGQSDDKPIRARFAPSPTGYLHLGSLRTALFNNLVAKATKGGDFIIRIEDTDQNRLV PDAEERIFKDLEWAGLSWSEGPDKGGPYGPYRQSERLETYKEHTHTLIENGSAYRCFC NQSVLEAQKRALHDAGKSTAYPGTCRSVPRSESDERAAKGEAHVVRLNSGLFGMPKFK DAIYGPFQKKEPEEDFVLMKTDGFPTYHLANVVDDHLMKITHVIRGEEWLISTPKHLA LYEAFGWEPPTFAHLGLLVSNDGSKLSKRNASVNLSTYMDQKVFPMALQAWLANLGAS FKKGVQTPRFLEDVADNLTYKFTRGGIKLNPQKLDFFQHEYRNLLFKTPVSDHTSREQ VLIGESLLEPLISKVHEITSSSKDNTGNYVPIQPRGTSLNWPGVLTPVPAMLSSESSQ QSYAFQTLAQETSRYASADDIPRLLPYLFWRPPIAIYRAALAAETPRPDLINLVHETV NSTDSWEVALDRLLERVPSDQSTDLHSILRLVAVGSPQAVAKASRILFNILGQDEWRV RTTQVCDLLHELDSGGENLRQRWIDEAAAMTSETDAVAE FPSE_00143 MSLKQEIETWVAALGRYDNNEFEEALNEFGKIGDTSKILFNMGV IHATLGEHEKAVESYQRAIRLDQYLAVAYFQQGVSNFLLGDFEEALANFNDTLLYLRG NAMIDYAQLGLLFKLYSCEVLFNRGLCYIYLQQMDAGMQDFSYAVKEKVVEDHNVIDD AINEQAEGYTVFSIPVGVVYRPNEAKVRNLKTKDYLGKARLVAASDRANAFTGFAGSE IKNAGKLEVKDDRPADNISFAATNLVKPGLSSRRQQSEPPNGRNVFPPTPPPENERPS RAASVRNQKPQLAKLNIQQAEPNRRYEKAASPADARRPMPRSASTTRTPLQREPPPLQ LRPKQIPEETGSPEDVYAMYSATDGYRNSRGSAGSRRLRPQQYSEEEDASDYEGTINE NDFEMIGQRRGPGSVSGSRNSRRTEVTKIRVKVHADEVKLIMITPDTRFETLSDKVRD KFNIKRRFKIKVKDDDMPNGDMITVGDQDDLEMVIDSVKDEARKQRTETGKMEIWILQ L FPSE_00144 MTVDNSFETLFAVPLSCDGCIKAVSDSLYKLGGIKNVEGNLKDQ LVSVKGTGKANCFPEVCVYTDCAAPPAAPSAIVEAIQSTGRDAILRGSGASNSAAVSI LETFDDPVDGLYEEPSRDVRGLARMVQVSSGRTLVDLSIRGVSPGTYRASIRAYGDLK NGATSTGPVWSGEDKKLRGDLGLVEVGEDGRGASFVDHEFQIWEVIGHAMVLTRQEEE AEPLKNDKDTVVGIIARSAGMWDNDKTVCSCTGKTLWEERKDEVKKGML FPSE_00145 MSQVLGLTKLAYSRVWHGVSASAPHATLSTQPGVTPPSLGRLAS RIAVLLMGKHKPIFDPSTDCGDYVVVTNCAALHTTGRKKWQKPYYRHTTRPGSLKTVT MDVLMEQHGGSEVLRKAVSGMLPKNRLRDKRLARLKAFEGDAHPYKQNLIRFSGVPVG KPGWEKAVEKVREGDKKRL FPSE_00146 MPYVSAARYGKDNVRVCKVDRDSSTGVQTVTEMTVCCLLEGEIE TSYTQADNSVVVATDSIKNTIYITAKENPVNPPELYASILGSHFIEKYKHIHVANVSV KTVRWARLDVDGKPHPHSFFKDGEETRNVEVRVSRQEGIEIKSSLVGLTVLKSTGSAF HGFVRDEYTTLPETWDRIFSTDVDATWKWKKFDSVDAVKQFAPRFDTAREAARNITLK TFAEDASASVQATMYKMSDLILESVPEVATVTYSLPNKHYFEIDLSWHKGIKNTGKDA EVYAPQSGPNGLIKCEVSRDNLQSKL FPSE_00147 MLSSSAAQKGMTPPSQSSQPSLPQQLSGRTSFDRGDSGLQPPNG ANNRNLSASGVSFAPRGSSLNVAPGSFSSELRSRAGSKTEAGSVYPSSFMDKVEEDDA DTLAEQALANLKERLNREMKIKEGSENMLEALNSKKAKQTKEQRQKVEAELNASNLRI KDLRQKISDTQRTRQIPPDTPKRNRTQSNTIESTRPIGLRSPQSVSRSIGESDAEEPT ESPTFALAELLQALEVEGMTPEYYVSRGNQLVDLFQRYPTLKYDLVWSVFGLRMQVML LSESREVVAAGYRMTRYAISDVASLKKIRSLNTDYLVIRSLNNYRKADVEREQALKFV RAFLDVKGGVKEVSRSVVRTIVAVAEHGEDRRPNGQSAEGDVDRLRPICIETLAEIIV QDPALLVASGGLGPLTEALAEGVYKAPESLASAFLYLLDSPQTRKYIQPGYGLEVLFT VFTDHLLTNETVMKQNAKAIATALKSWPGLMTLCMYDLRTIRSFVTSMLLPSDVVRET ILDLIFSLLRIKPPAWATSFLAGRRLTTYGRVANLRSSQKEKSQSQFMEEDSGEQNFV EHYTALLLAIFIRAGLLPILLKIAQGDENPALQRKTTLLISEVLKLASRLLPPSWSAE LHLLPELFSSAANIGNNDHWVASGIVYQISSVSRTLYRSTPSGAISGALSSGNHTTEM SAIDEAPKSHQSLVFDDATFRQLLIDSNVLNSSNYAKWNWETIIKIIDGPLQSGKRLE EAIKASKFMKRIISFYRPFKYRFANVKNTRGTQKYVRAGCALMHSLLQSPEGIKFLAD SKLLRQIAECLAQCDPTSGLTAQYPMFSKDRVTDTLCAGYFSMVGVLSGDPKGLQLLE RWRMFNMIYHIMDLKQRPDLIKLLLSSFDYSVQGHPRVLVSKALTAGTKEIRIHATNA LRKYAIRPVPSANSQGGVGDSKWAIQLLVTQLYDPEIEVCATAVKILEQACNRKTYLE YIVECRPALDHLGEIGAPLLLRFLSTSIGYHYLDGLDYISNEMDDWFLGRNDTYVKVI EASLAKTFLIDGDEHNPRMSLFNDGEIDGEYHDSHVPPHFYRELTRTQEGCRLLSDKG HFEDFAATIREHGMDTGDAEMLVKVKGCLWAVGNVGSMELGAPFLESNDVVEHIIKIA EHHEVMSLRGTAFFVLGLISRSTHGLEILSENGWDANTTPMGSSLGFCIPNNLSKLLS LTPWKQKAVTSITLPPGQRTAQEPPPPRAARPPLEPSELPALMSDEDVNTRVLELIVD LGNMVLYKKAVTDLQKLRAHKPTAFRSTAFFKEVMAAMEWNHYRLGVRRMVIDLFDKN VMRQIVFDETDEEDDDDDSSDESGEESGEGGNSSGDDRTERQRSISEPLAPPPELTPA PLRLRR FPSE_00148 MVQGRVLVIAGSDSSGGAGLEADQKVIAAHGCYAMTATTALTAQ NTQGVKGIHVIPAEFVSQQIDACVEDVGVDVIKTGMLASAEIIEMVEKTVTKHNIPCL VVDPVMVSTSGATLLPNEAIQHLCKHLLSHTTVLTPNIPEAVLILSQNGQEAPQVHNV QDLETIAKRIQELGPKWVLVKGGHSPMKEDLTVAETEGERQFVFDVLVGGNGEVLRVK SPYQASSSTHGTGCSLASAIASNLAKGIDTPSAVQSACRYIEAAIRTAPGLGKGHGPL NHFHSTYTLPFAPGYFIEWLLERPDVRDVWKEFVHHPFVMAMGDGTLPLESFKGYIIQ DYLYLIHFSRANALAAYKAQNVEDISRATQIVQHIMHELKLHTSYCESFGISLDEMRA TPEKQACTAYTRYVLDVGQNGDWLGLQMALAPCLLGYGAAAKMLHDHEKTVRENNTYW AWIKNYNEEDYTDAVKLGSALLEKHVQLQSPSRIEELVQIFIHALKMEIGFWEMFPAK QT FPSE_00149 MAPSTEKRHLRWPTKTKRGFAGTVPDWTIAEDDDDKYDVHIMKS RSARHKVRRDIAKTSALESELYVSILEEYPTATATPTASQPYSSISSTPTAIKYESES GSGSDSSDDESGSDDENEESDDEKESDPEPTGKKESVSDQDLEVKLPATATDSPSVQA PSAEGSTDGSMISGGDGIHSNVHKILLGVGSVGAFLLLLGVGFLAWKFYSKKNTSKKP PPVDDMSFEKPNRFEGLVSKIPFVGSRLGHKDWYTIEDPSPPYSSQVGNEKTRHFSST QSPSPAYAPSSLPSPFEISSSIPKQSNTHLAVPTKPWGAYRMSGRTEQTNYDIDAVSP TSTSFVENAVEVQVVARQVPREGLSPPYKPQHNRFPSDAPYAYGASRRQTGVSELSSI SSGFGDGDIVVTPDYQTIQSVPTMPTPSRQPTWKTSTNTFSRRDTVSTVASVEGRPRF RSVNSWVKQQNGQLRRAQRQQEQSDAPPVPALAPPPEQDFRYMLPDDERPRPVEMV FPSE_00150 MASSARAMTALSRVSHMAPSSRIAARALSTVSKTSARPSVAMRL NNTGRIAFRRAYADEAPKPRPGKIRRTLRWTWRITYLSFGALVGYTCYVIYDDRHPQE QFQPDPSKKTLVVLGSGWGSVGLLKNLDTENYNVIVVSPRNYFLFTPLLPSCTTGLIE HRSIMEPVRTILRHKKGAVKYYEAEASSVDPDRKIIKIKDNTEGKGPQSETEIPYDML VIGVGAENATFGIPGVRENSCFLKEIGDAQLIRKKIMDCVERASFKGQSQEEIDRLMH MVVVGGGPTGVEFAGELRDFFEEDIKKLIPDISHRFKVTLIEALPNVLPSFSKQLIEY TENTMREENIDIKLKTMVKKVTEDFVEAEFAGPDGSKQTLRIPYGLLVWATGNAVRPI VRDLMGKVPAQKDSRRGLAVNEYLVVQGTRDIWAVGDCAVAGYAPTAQVASQEGSFLA RLFNNMAKTDTQEERIKELSSSLNLKQGNSAEIAAEIESLEKQLRRIKDVKPFRYSHQ GSLAYIGSEKAVADVPWFNGNIASGGGLTYLFWRSAYLSMCFSTRNRVLVAVDWLKSK AFGRDVSRE FPSE_00151 MGDASNLSGRDYAIQLDTDDVLRHTRDEFNIPSKPDVARKTLPS GDSADANDRAIYLVGNSLGLQPKRTAERIQQYLATWRTQGVQGHFKTLGDSPLPTWLD VDARAAEMIAPIVGGKVSEVAVMQTLTANLHFLMAAFYKPDIKGRHKIILEGKAFPSD HYAVETQIRHHGLRPGDSMITLEPPNNEDILSNDYIESVIEQHASTTAVLLLPGIQYY SGQLLDIKHLTAFARERGIFVIWDLAHAAGNAPLSLHEWNVDAAAWCTYKYLNGGPGC IGGVFVHERHAQVGEDGTHNVRLAGWWGNDKTKRFEMRPGFIPVPGAAGFQLSNPSVL DITSLCASLEIFEVAGGMAPLRAKSIRLTGYLVSELKKLPADMSAYWQIITPSEEERR GAQLSLLLADGLLDDVMAGLERRSVLVDERRPNVIRVAPAPLYNTFLDCWEFVDAFQG ALGEALDMKWKREQMDE FPSE_00152 MSGYDGGYSGGGGRGGGGYGGGGYGRDRGGDRGGDRNGGGFGGR SNGNGYGGGGYGGGGGGGYGGGGFGGGAGGDRMGALGSGLKNQEWDINTMPKFEKSFY KEHPDVETRSDADVEAFRRKHQMTIAGSNVPKPVETFDEAGFPRYVMDEVKAQGFPAP TAIQSQGWPMALSGRDVVGIAETGSGKTLTYCLPSIVHINAQPLLAPGDGPIVLVLAP TRELAVQIQEEMKKFGRSSRIRNTCVYGGVPKGPQIRDLSRGVEVCIATPGRLIDMLE AGKTNLRRVTYLVLDEADRMLDMGFEPQIRKIIGQIRPDRQTLMWSATWPKEVRALAS DFLQDFIQVNIGSMELAANHRITQVVEVVTEMEKRDRMIKHMEKVMENKENKILIFVG TKRVADEITRFLRQDGWPALSIHGDKQQNERDWVLDQFKTGKSPIMVATDVASRGIDV RNITHVLNYDYPNNSEDYIHRIGRTGRAGAMGTAITLFTTDNQKQARDLVNVLQEAKQ QIDPRLVEMTRYGGGGGGRGYGGWGRGRGGGRDWDDDVVLSGMKTLNGGD FPSE_00153 MKEVIDQKRMGSPGTRIATADPRAEIAKSSLIKEEKDRDRE FPSE_00154 MSLVPTQQPHTFVDNRASLHTASNIAKLGSEATLDTLLSSPNSM ERSATEYSQSGLPSPYPSNFGDTNSEGSRADHASAAQYPVKQEVNYSTSATPTSEYGV YPQTARSGSFPEHVQRSYHPASSASSGSMAQQQNSPSMPQQDGRSHQTHPVKSDNDVP IDPSIAAPSPTYASYGQHSPYAPNPDMTHSYSHPGGGMYAQPRPDWAGYGQHGGAPLT PGHPVYAQNPASAQPPQRPNQVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKA YGTLNHLNAHVTMQSHGQKRTPEEFKEIRKEWKQRKKEEEANRKAEEERQRQAAAAAA AQNGGPDPQGPDGTPTSSYPGSRPVQLPPIGYQPAQYPPPPSAVPQQPLPDYNNSHMY SNYQPHSPYAQPSQGIPYQSNGAQPPSH FPSE_00155 MERDFTPLPSRPKGLTKSSSGASFGGDSAMSVPVLGSHPLGTLH VHQVSETPHQVSETPHQEEDDDDGPPPPLDPPSAQNETTSLYKLSQHDSSKSFHSPTW EPLAHHLGGGDVVLILDLPEVFTVGYDSISFTAKHFGGVRDIPPGAHFFWVAHPGGMS TRCGFWVVANNTNAVHVMQWDRFNEVLGDSARAEARIQADNLEAIHSKLVPYQDPTMV NAARGDLTQAASQRNFNMWEQLAGKVNDNLLNRVTGQNYDNWTVHTGDRVKGSVLMAA EMELDNSLSNPMLMARELNFAFSQRTKTYSTDNTGADRTLEATDATPYILSLVDAPHN AITSDDVVGEFQFTFVVGVHLGNDSCIQQWWHMLLKIFLRAHLLPARRPSLAAAFIHT LTAQLTYSASWLEGSILDSAESQTRDLRLALTVYKRRLEELMQGLGNQATSEQLSVGT AFAGLEAVATGMLDWDLRGDYLRRGNVMMEDGEEVELEDADMAAEDERGEWAPEIVDL DESGRQRDLVSWND FPSE_00156 MVAKKLAPQPQESPIRSSQNLNSVNTITTLDTADRSFPSGITTT AIMSSTNSVQCFGKKKTATAVAHCKAGKGLIKVNGRPLQLVQPEILRFKVYEPLLVVG LDKFANVDVRVRVSGGGQVSQVYAIRQAIAKSLIAYYQKFVDEHSKNLLKQALVQFDR TLLVADNRRCEPKKFGGPGARARFQKSYR FPSE_00157 MVSAKKHVPIVKKRTKRFERHQHDRFMRVSPSWRKPKGIDSRVR RRFRGTIAMPSIGYGSNKKTRYMAPSGFKAFIVSNKSDLELLLMHNKTHAAEIAHNVS SRKRVDIIARAKQLGVKVTNPKAKVTTEV FPSE_00158 MAFPPRLVRSRTTVFKPQCTHLTMTRVYDLDATCFSCQGPGQFG WLYQCTQDCDKIIQERLSCMDFLDYAFRKNMGIRKGSPEARKDKLSFLAELTPEQMAC YRPDQIATILRQREQLKNVIAEDEFDGRGLPLFNNTPPLHGLEAFMDNNTGEWAYGEN NDCQYRVCPRCRPVCADRAFLSLDAVANGEVPPTAAAGFGFEALGGRPVIDKDVIKSI NEHRSKAQRRQMMELLEEQIARMLGRYHKNPDLRNVLRKTVFAPKPPRLPIVKKVAHT GQCRHQREPVPVNVAQYDDQTVASSHVPDLLENLWPWLNTFGNGNMTEPSGNQVREQP QRQGSRATRIPRPATPSRSTRRRLADQLLFNTSHFPPDEGYPWRLLSSRGNIEAEDPS LRRRSKTTDSQGSSIVHNLADCSSMPLAANNGVAMTEESIEVGLPDVVT FPSE_00159 MASHTQAVPDGMENFVVGLIGMGDMGKMYAERLSAAGWSVEAAV IDRVIAQYGPSTKLGAIVGGQTSCKSPEIAAFETHLPSDVDIISCHSLHGPNVDPYNQ PLVLIQHRAPDSALRKVETVLSCLKSKFVHLTAREHDRITADTQAVTHAAFLSMGKAW HANKQFPWELSRYVGGIENVKVNLMLRIYSQKWHVYAGLAILNPEAREQISQYARSVT DLYKLMLEGNREGFRERVYRARDKVFGPSTSWDTRPLLEPSILSSFSLGTPTDEPRPN NHLSILAMVDCWAALNIAPYDHMLCSTPLFRLRLGVTEYLFRNGDVLDAAIETAIEDK TYRSDDLEFTFAARAWAECVNLGHFETWEKRFVSTQEFFQPRFEEAKVVGNQMMKKIL ENSKDN FPSE_00160 MEVVFALGSNGSGQLGIGHKEDVSVPKQVIIHPDPPTSSIVQVT AGGNHTLLLTKTGQLYWSGDSTPGACGLTSGPDVAAFRELQLTKNGEPPVGEVVLITA TWEASIIVAKDSEGKNTQVFSFGAGLKGELGLGELIVRTPTATRIREFPPAGTEVVDL AACMGHVVAVLDNGDVYGWGNTRKSQAGEPGQVLHAPRKIEGLDFKVTRAVCGREFTC LFGDPQSGNLKVLGSDKWKIQSDAPKTCPEWKDVGASWGNIFILAKDGSLQAWGRDDH GQLPPPNLPELSKIAIGSEHVVALSTAGDVLSWGWGEHGNCGPQVENNDVKGRWNVIA SSKFIPPGSTIGGVGAGCATSWVFITAE FPSE_00161 MPLLSFTLSEEGVAAFRDALICLNKFSDDVSLEARKDSFVLTTL NTSKSAYASVKFATTKFFSRYHFQGSRQFRDRFHCTLYIRALVSLFRSRTAADSQRDA EKQTLIDRCDIAIEDGEGVQSRFIARLIFRNGLTSTHRLPFEVAVPVHAKFNKQEAPH HWTISSRTLRQLMDHFGPGIEFLDINTDGDHVNFTCFSEKTVSEDAVLKKPLQTSIAV EAEEFDDIDVEDKLHIVISVKDFRAIIQHAGIIGNDVSARYSVPTQPIQLSYTGDAMS CEFLVMTVGERGTNTGQRTKKGRKNVPQNAGPRLEATTRRPSIPPAESQQPQPPAHPL PSMPQHNPTPQMSAARASASRVGVFDLRPSQKPAPPATNQSESLFVEDEGWEPINYDD EELMEDNSKLGWDHSINPNASAMDMSRFSENPVPADPGAEVERPHPQPTDAESTYLEP TQKLADVENLALFPD FPSE_00162 MAPPTNDNNDQQGLRRSTRSNLGKPGPSLINGYLTGDAAARPAL RPKRRVGESAAVNDEDDDGDEVASFTLSEPRKNSIVLDSPIHPSAPSPLPPSPPQQIK QPSGPSRDESPPRDSDAQNANGADADAMYLDMYLNLDPQDDEYMADAEPSPKAPPKPA KVVDLDIDMGGLGDEFAEVMQLYRQNSDEKIFKDRLAAQGRYPENADSLAELSARTMK PSPYCDVTEETK FPSE_00163 MTQVMPPKPDLGPISLSEKKRLSLPSLSDSSQWSRLDNSFAFYP DSPPLSSSSSSDFGTVVDQTLCHFAQVTQQHEPVVAVIGVGYVGKHIVSSFSSRYQVI GFDVSATRIKNLKVEYQDNTNVQFSQNPQDLRRATHFLISVPTLLRPDKTIDSSYLRE ALKTVGEIARSGSTVVIESSVAVGMTRQLLGPLAASRKFFAGMSPERVDPGRVEPPVN SIPKIISGLDDIAPGSLDAISRIYSTIFDTVVPVSKPEVAEMMKLYENCQRMVCIAYA NEMADACIPHHIDPYEVCEAASTKPFGYMPYTPSVGVGGHCIPVNPFYLLSNSHFPLL ECASLAMQSRPSRLAQRVLKTLRQRVVGRTPQVLVVGVGFKAGQSQIDNSPGAELVRT LAVSHEVNVCWVDALVKQEAFPQVRRLPDADWRRGVLETFDVIVVASRQPGLDFSLLD EVEGVEVERWCHVNENGTLRAIDDWADNEKMVDGEKGCLAAISKLKSQHPHIKTLVSI GGGSSSKEFPALAANRTARQTFARRISEFCVTHQFDGVDIDWEHPQTPEAGRNYVLFL QDIRNVMTHSQFLLTSALPTGEYCLKHINLPVVAHLLDFLNLMGYDFTGGWTDVCGHH AQLLPPSQNLNEVYPTLRKSCQRGVDFLIANGFPRHKIILGIPAYARYFGQARGPGHP FKGAGEIDYCDLPDEWVTNAEVDQIVAAASFVDNKGDKGFVSFDVPSTVSIKAGYAKA LGLGGLFYWTGAGDRKGCESLVTAGWTALNSQ FPSE_00164 MVASHITETDNVRKGKQTWRGQDPQWRKCTPPPPSFFTRGANNK FVLKDIDGHFHDMQLDEILQRTSLDDTRSSDESLGPSVFTASPSYKSSFAQTPITSLK SLCPHSKPGSISPSGSSSHQGHLIVPQPRLPDPVARRRDSGSLYSVEDISTKACAYTA NATQTSPRHSLLRQNDTDPASKVEVDSESQNLNEYLRKYGQRKVTRHKWIMTGFLVSV NFMFIFASWWWPRYYYVYIPFISFPLVLNCIMIASIICISIKNLIVTQKIIEPDHNVN LVMIMPCYNETLEECTKSLDSLVEQVGIDNHKRGIVVICDGRVRGPGMEKTTAQYLKE DIFIEKIHSEKIRGAYKAWDGQAMDVDISWGYYRGVPFYCIIKEQNQGKRDSLIVVRS FLYKFNIRDTKPTTIFSSRFLLSMTDWLSQEVRVNYVDHLIGMDADTVFQNNCIFELL KESKYPNTVGVCGYVAVDFSGGNWNLWSIYQNAEYTIAQGLRRLHQSIATKKVSCLPG CCQLLKICDMTCGDKVLIEQFGYYPRPLDGMIKRIRATASEDRNHICQLLTTFPEAQT RQALRARAYTDVPHSWSVFLSQRRRWTLGATSNDLLLFTARHCQWWERILAFSNVLTW SLNVFVIASIGCMIVAFMHQPWWIIMAFAGIMIVPLIYYVIMAIWLPESMLERFQYLL GLFIFVILGPFLNIAVMVFAVFNMDSFGWGKTRKVIVDTPDEQPQEKQNNRDTGSGSN SLPGTFSSDQREEVATSATVRKPAVVYVPPTTQHL FPSE_00165 MKSLVLLLLTTAVYADGLVARHRGEEFNIHVRHEDGASHQPKTL SKRASCGKGAGSCPKGQCCSESGQCGTMSAYCTSPECQMAYSNGNCDGSKKPKGETTA NIPRSTTGKAPYDKYIITCTTPGIVALTFDDGPYKYTNQVLDVLKKHNIKATFFITGN NLGKGQIDDHSKPWPKILRRMHSEGHQLASHSWSHQDLSAASDEIRKQQIIYNEMAFR NVLGFFPKYLRPPYGTCSRDSGCLDYVTKLGYHVVNWNIDTKDYLNDSPSKIQTSKNT FSDSVSTDSKSNSYIELSHDIHEQTAYNLTEFMIKTLKARGYHAVPVGECLGDARENW YVDAKGTKSGRSIQGRGSYDPPPTSPAITKDGTCGAKNGLKCTGSKWGNCCSYYNFCG STSGYCDGGCQSKYGECNKIKLDSKLIVSDNGLCGEKYHQTCAGSRYGNCCSKYGNCG KTSEYCASGCRSGFGTCPYS FPSE_00166 MPNTPPPALLPPPEGIFRTFDDLMASVQRVAKDQGYGIVKLRAS NYRDGKPTRYDLVCDRGGVKYNSTAKKRNPSTRKIDCPFRAKAVCEVQLGNQWRFAIQ EGRHNHEPRVPAGTPGQENAPLATSIRSFTNKLDRLSHDMAQGLMRIEQRLDNIEKRM ENLEARAGGYEPRFQAIEQRLQGIEGPRMDGMGMDDVEQRLLASTVM FPSE_00167 MTQQPAAQNQAHGQASNGAEQDVWDEERLQEAMKQLKLLHIKVR RLNDTIPKMIKPLVQKQPSPDAMFAAFMNSVTEAQANIKEVTDLMRDEKSREVFAQAR KRKAEEPEGIESWEYYDHPDWFRMDQE FPSE_00168 MANMRVHYNTTSNRTRVIKTPGGDIRLLHIKKRGTVPKCGDCGS KLSGIPALRPREYSQISKPKKTVQRAYGGSRCGNCVRDRIVRAFLIEEQKIVKKVLKE QEQSQKKK FPSE_00169 MASRSLIPSCSAHRAVRQAFTQAPRSSITAFRTTAAPALSPLQA SPRNQRLFHAIPSRRKDQQPPAQPPPTNFSDLDVLGNTPAPSTSVDVCMYDGFGLNSG LTITGGNGVLLIDGEVFHWRPWEAKGSMNLINKKGQFELPPSAFALFDLLWPRPDLLI IGVGPSNVPLAPETRRAISELGMRIELLDTRNAAAQFNLLATERGVANVAAALIPIGW KDGVGAK FPSE_00170 MAAPTEVVDVVQDAVDSTDPHLTDPPLLRDHSEFKTYESRGFKY SGIRIFYRQHAKADQLPKPPLPLLVFLHGLGGSVAQFHPLLCSLVDEAPCLAIDYPGC GRSEFSVTDWAAYTTEALVELLEIIINDYRDKDAGQRVVLIGHSMGTALSARLANTGL PHTTDLSHHVVGLIAICPVANPPGESLTKWAKRAMWIPGWLFDLWRAWDGRGGSESAS VKRFVGQGADEATRKMQYRFNKQSRTPVWRRMANGALPVYNDGKPTGGLPTLDTWAGL DIPVFLIAGERDNVVSPKEAEKIVKILDPDKTSPESEDGEEMHEAIVDTVASVNTSTR PQDHLPQTISDLTDADFMKVKSPHAETPPEGGSSTDPSTPNETMTELPPQPSHPKKIV QSFVMPAPATHAVLYTPCSVRAVAGLISDFLATNVTGRLSLAWQLQYLSREGKWDVKN LNKWKSVNPVSDPIGPPGKPIFRALKTLREADDVHCPEEFVSKWSSIVKDVIDISKDQ PVYDPRGLERAGIRYHKFPTVSKIPPLSHEIQNFIKLVDDIRKSQADRALMEEWSDPE QCVIGVHCHYGFNRTGYFIVCYLVERCGFDVQEAIETFAKARPNGIRHSHFLDRLYVR YNV FPSE_00171 MSSPQANESDPLLSGSGHDRSDTQAESKAHRNSRLRELGLFAWA LIATAAVIVVAIWTQHEQQTKHDHNTPATKRNLVFMVSDGMGPATLSLTRSYRQHVED LHFGDTLTLDKHFWGTSRTRSNSSLVTDSAAGATAFSCGKKTYNGAISTLPNHDPCGT VLEAAKRAGYHTGLVVTTKIEDATPACFNSHVVLREMEDEIALQQIGEGVLGRTVDLM LGGGRCNYLPSSAEGSCRADDTDVVKIAKEKHNWTYADDRAGFDTLKGGNNVKLPFLG LFASSDIPFEIDRRNHNDVYPSLSEMAKTALRALEKATEKSDKGFFIMIEGSRIDHAG HINDPAAQVHEVLEYDKTFRAVLDFIKESKTETVLVATSDHETGGLATALQEPGHLPV YNWYPKVLANATASAEWLHAKLNAHIASNSEAKKNKQKLKEYINEELIIRGLGISNAS DKEITTIAEHPESALVLFSALISLRAHIGWSTHGHTAVDVNIYSSGGPRTENIRGNVE NTDVGKYLREYLEVDVDAITEELQDKMSKIKVQDAGMEALDEVWPLGNKHHAIQG FPSE_00172 MSISRDFALPAEQDSSMQDDIELHSRQSQSSNTNVSDEKAEQHV RYNLTLNTQNLSPPPAEPVRPVRSDTEVHREMNFSPSILRRRLTRVPTFKTVEDYDEF ETTFGDRPGWQPGSEPGYDPMLPDGGHASMPALHAACEISVIDFAQDNMVKRHFDNAS FIAFLDEPKEEWAKCRWINVNGLSWDVIQAIGSKKGLHKLALEDLMQIRNRTKADWYP NHAFIVMTLQKLVHLVDDEDDTTDTSSTYSSKTVGRLRGSVRQLWKSRKTADPEKNFE NSLRAREAASDLQETGMIRTLQRYHASGNEARTEFMESHSSLAPYQMAVSAEQVSIFL TSDNTVISFFEVSAGDIERPIVTRLSTPGTILRESNDASLLCQGIIDAIIDLAIPLTA IYTDIIADIELDVLTAPSISQSKKLYVCISEINKMLSFLNPIDNLVNVLRDHKTSMTH EVAMQELENPSSGVIVTPMTHTYLGDVLDHCIMITEALQQLKQSSDNLINLIFNTISA HQNESMKQLTTVTIIFLPLTFITGFFGQNFAEEGFPEIHHGIWYFWACAVPTVVATIL ILMREMIYNWLIRLVQRRHILTLRKKKKRSKQQKIKLKV FPSE_00173 MSQNDDAQHEDDIQYASMGVTREELEEKYPNRPKNHSKTLVFSE LFREVFNPLNENKKQNAAGSGPKNSFRGVNKLSPHEQRRHIIDRFITRWRKEVGFDFY PALRLILPDKDRDRGVYGLKENAIGKLLVKLMKIDKNSEDGYNLLHWKLPGQTMASRL AGDFAGRCFEVISKRPMRTQVGNMTIAEVNEQLDKLAASSGEMENLRVFEIFYHRMNS EELMWLIRIVLKQMKVGATEKTFLDLWHPDGDALFSVSSSLKRVCWELWNPEIRLEQG ETGVALMQCFQPQLAQFQMPASFQKMIQLLRPTEEDPEFWIEEKLDGERMQVHMVQDK SHPGGRKFCFWSRKAKDYTYLYGDGLQDETSSLTRHLKDAFTSGVKNLILDGEMITWD MGVDKIVPFGTLKTAAISEQQNKSGTDSVGHRPLFRVFDILYLNNKPLTQYTLRDRHR ALEKAIKPVHRRLEIHEYTSATSADAIEPLLREVVANASEGLVLKNPRSMYRLNSRND DWLKVKPEYMSEFGESLDCVIIAGYYGTGKRGGALSSFLCGLRVTQNHIQAGANPEKC FSFFKVGGGFRAEDYAEIRHRTEGKWIEWDQRNPPSEYIELGGGEARQFERPDVWIRP KDSVVVSVKAASVGPSDSFALGFTLRFPRFRRLRLDRSWNTALSLEEFQELKQRVDEE SKEKAMTVEDRKRHNPKRVKRELTIAGEDTNVAEFIGETTKLFEGLEFCVLSEALRPY KKTKTQLETIIKENGGVISQRAAPGTNMVLIADKKVVKVASLVKGGDVNIIRPKWIRD CLEQELGAFLLPFEDTHLFHATDALKRAANENTDQFGDSYIRDLSIEELRQVMNDMPK IEDGIAFDKNEFLQQLEERGKDLGPLKSLTFRRCVVLFHAVEAGDKRMSRLENLVRFG GGSVTDDANDLSVTHVVIQGDDPMQVGEAADMIRKELSLRPIQPRVVTGAWIDECWKE STLLDEEQFAVP FPSE_00174 MSSLPSNVHVSQHPCLVAKLSQLRSKSISPREVKSLIHEVSLIV SCEAFASSLQATEGSQDETPLGFQFKSTTVSPSTMCLVPILRSGLGMVDAVQTMLPVA VPVHHLGMYREPSTLDPVEYYNNLPQQSSSEKASSLAILVDPVIATGGTCAAAIQTLR EWGAERILVLSVIGAKEGVQRVAAEWPEGCEVWIAGVDNELTSNGMLKPGLGDVGDRL FLTIGK FPSE_00175 MSSNFSDQKRPSSAGVYNAPEEHSDIGENTEKPVRKEGLDNAIA YDSVRSPSQVKRCDSDAYDVPQDYSDLNETTSVPAPDVLSPRPTCGRSTRQSSVARRG NTIEEPEPPTRGTEEKKPSKLLTQLYTHSYLVLFAIFGTLARLGLTALTRYSGTPVIF NTIWANFTGSLVMGFLAEDRKLFRNEWGAPTYDEAIKRAAEKRSEGVDGSGSSRRMEV DLDAAKRAHLATKKTIPMYIGLATGFCGSFTTFSSFIKDVFLALSNELATPGWPDSPT SRNGGYSFMAMLAVIITTVALSLSGLFVGTHLAVGLERVTPSIPFSLSRRVLDPLAVL LGWGCWLGAVLLAIFPPHEAWRGQAIFALVFAPLGCLLRFYLSLHLNGKMATFPVGTF SANVLGTMLLGMAWDLAHVPLGGVVGCQVLQGIEDGFCGCLTTISTWVTELAGLRRRS SWVYGTTSVVVSLAIMIAIMGGLRWSDGYSKLSCKT FPSE_00176 MYSNQTGVGARYEPQATDDVLWETNSEKLPTNRKKTFYRPTALR WYFIVGQIVFLLGVMGLIIWALIEMPNSDGTAKIIHKRYRNDNPNFPRDVSNDLPMTP AINEKLLATTVIVSDISTVVTVPGTTGKYTEMITTTEYTTRWGYTTTVKDGSTFTSVD VSVIPTKVITEVVTTKPAEVTKSVITSVSVGYSYIYPSGSDSAAPGTLTYSEAITITQ AYSLPGVVATYTSTLEADRTETIWTTIVEDGETKVISHIITEEAPTVYQSVGETTASA STYVSYGRITITSVYTDTNQKPMPRPKPTEKAKPTVIDVVTVDPDRTIKQVEKLDPTT YVTKVEDIETVNMVISDGIETFVSEFGPVETTIDVVETGVDGLLTTNKVVSTKAASSA VITRIAAPTTIVSTRSTEKLKTITSDRTDSSTWISIVKGTTRTFEKTTTIAPTATDDA SESDETGGVVKTVVTVFELDAAKYFLGKFLPPMLAVMLSIPARVIDYNAQLFQPFYAM NQDYGARGPESMNLHFGGLGSILEPFNILLEGHPVPFTTMLIAWFSALLAPIAVEAIG FKMHGQCKINAFEGCAPALGVSPLSSRILLALIGLIILLLCALLFSLRDFHTGLYANP WSVAGIASLAANKDIRPRQDSERKIEKEMAEKRYGFGFFENHAGNTEYGVVLYDDAGE NLQHDQLSSEPGSVDTEVVSTGRRNPFALLGLAWRLAFLTFLLGLMVFLLYYHLTLDK SSSFKNFMNSQTFGVRFLFATFGVVISFSWTAFFITITMVVPYQVMSHGPQTASNSVL LTRPTNPFSGFWSAVKHRQIFPGLVAVMAIFSEFMPILLANIPYSLSQVRISHDICAR ISVGILALMAITIIISFLIRWPDMPVDPRSIAGAMYYVSESNMVDHFSGMAAMDGDER KQRIKELGGTYLYGELTMRSGERRPAVEWDDHTLGVGPVMQQRKDNVYVRHESIDTGY YGHQP FPSE_12123 MSQPDPKRVKTDDEAPYELIYWPTIPGRGEFVRLVFEEAGVPYK DIAQNQEEGFNAVKKLTATDNIGDNHNPPALAPPALRHGDLLICQTTNILLYVAPKVG LAPKEGNGLYHLNQIALTIMDGLVNELHDTHHPIDVSAYYDDQKEEAKKRSSFFVKER IPKYFKYMQRLLDAKTSGEGPWLYGDSLTYADLVLFQAVDGTKFAFPKSVEKLEKSGE YDGVFKVYEAVKERPNISKYLQSDRRYAYSDGIYRYYPELQEE FPSE_12124 MRNPPLEVVATWPKPNHVNPEHRGPALLIVEISIMSVAILTLMA RLYVRIFKVNKHGLDDWLMLAAMIFGIGVTVCVILAAQLYGWNIHVWDLTKTQAETGR KVSLAAQTLFLFSSGLAKNSILVSYLRIAPAHSWLRRLTYVSIVIVTSLIFAFLIVLW TQCRPTSAYWALTGGDSCHPEGPGVLSQAITTVLTDLLVCALPLQTLFQLKLPLSQRI ALIVVFSLGLVVVFAASMRAYYTHWVTDETYDVTWEGFHLWIWTAVEANLGVICGSVP ALRPLFRNMFRSKSSSYYNNTQSNVYPPGTAPGVVTVITSPKKNSRNWSNSLQKGSKG VRLDDDDIDVEGGYHNNTTRQKSTDSGVSSLEMDTWPQRPQPKSWPLN FPSE_12125 MAADFEKQSYWHERFASEKAFEWLLPSAEFTSIIEPALDRLDPD TARILHIGFGTSDLQNHFRARGFRHVLNVDYEPLAIERGRDLEKKAFGDVQMRYAVQD ATQLDLSEKFDLVVDKSTVDAISCGGVTALRRMVAGVRRCLADDGIWVSLSFSAYRFN LHDLPFDIEVLAKILTPKLSPNDPDIYHWCYLLRPKHDTPFGSPYCSDDNTLE FPSE_12126 MAPASHSDTYSAAVEYAAKRGINALARRDLQNPNHTQQVTLGII GVYVVVIAILWNVPYVRMVLWPFKMLVIAFHEFGHAITVLFTGGRVKSISLDPNEGGV THHIGGASAIVLPAGYLGSSIIGALLTFCGFNIVASKVASIVLGVCFLLTLWWGKRDW LTILTVLLAVGLLIACWFIKHAEALRFVVLFIGVMSSLYSIWDICDDLILRKVNESDA SVFAKRYGGSSQCWGVIWSIISILFMAAGIVAGLAVFSQSFEQQQKDSQKFIPT FPSE_12127 MAHNTVCGSMAIGRPTRQEDFRHEPPVISLAGEHYFFSGPIRSY GRVHELASKATNIDLDHEYGGKSSEYLILGPDKRFFRCTRSWTGQVAARSTGDFEDYE EIGDLFSENDVERPLFLYFGPNRTFYTRIADGTEKWKLSHDIIHNGLQTTAHATVSGV KGLWLGVSCAWVAQYRDDRFQFDLKGQYAGLEATLRKKQEEEVCIAALALNVTDGSSY ACVFEDGTKKYEAGSAAFDGKEFERWCDENFKYPQRVRYY FPSE_12128 MASTKTLFQLTTQPTWFENIVIKSNGTILATRLDVPEVWAVDPE TSSGFQVLRVPLPEEIPNQALTGICSLKPDVFAIGAGSFHLLGVAKPEPGSWSIWLAD LTTEQPKVTKVADMPVISMINGIATWDDNTVLVTDCLNGKVYKLDVETGSYNVAWEDE TMTIPADAPFQVGINGIKVHRTSKQTYIYYTTTTRFSMYRVPVTQQLQAAGPVETLAS GVVGDDFAVTRDGNIYLCTNISNTVAQIPAAGGAAVKVAGDEKTLILAGATACVLSED EKILYIATSGANAMPVDGETEPAKIVQVNLH FPSE_12129 MDIDEDDDLYQPEEPKLESAPIEDNKPKTDDLEEGEEEDEGAAM DEDDDDDDDSDIDIITERKDGTKPAPPQQSKYSDIRNIPQRTASNDMPAQPAPVKKES ESRASVNVAAPSADKTSAAASKSTIDVNVNPIHPGTGKPITQVNIDEDLPENDKPWRK PGTDISDYFNYGFDEFTWALYASKQDTVRGEFGADVFAQNNKKMMEDFNMMMMGGMGM PGGGNSGGQQAGMSGGMDGMPPEMQAMMQQMMASGMDPSQMDPSQMNAMFSGMQNAGG AGGQGAQGGQGGNFGGGFGNNQGGFGYDQNMSGGGGGGGRGGFGGRGRRGRW FPSE_12130 MSLIRSASGPAGGLSINTGAANAFGNTASKPSAAGSLFGSSASA TPTNTTTTAQPAAGNMSLFGAAAQKPGGLFGQSTTGTTPAPASGGGLFGNAGATNTQQ TQSTGTGLFGSAAANNTQQQGQQPTGAPMQGAHWGIGSTQQSATTGTGLFGQSTQQNQ TQQQPQQTGTGLFGQAAATNTQSNTGTGLFGQSQAKPATPATGLFGQAQPQQQQQQQN NTLGQSTNQVSAVQINYDSIRPRSRFDDLAKPVQDEIALLDKGIQRVIKMRDEIGEFM PQHEKDIEQLGHDVKFVESKFRTVQVALNNDIQTVKALQDQTRKNAADARLCFRGADN LKLPTHYHQTGLFASQAPAADTNGADAASTHADAQDLITYFNRICDDIEKYKARLDEY RGDIERDMPGVENGLYEQIRALRDRSAGSVVVQDQLNEVLLALRDTGSAIVAQAGQIA DTRERLSRLQAGIVDSGVYAMGVNA FPSE_12131 MSRGGRGGGRGGRGGGRGGRPNVPWDTGDEPDARPSELFPPYLV PTPRELASHEKSAVQHLLLLRHQIHSSPLYTSKRTALHDPTAPRKHYGQAQKNAVFGI KSKASVDPFTAVPTYSHKFAREERALPDWSNRPVCRELFPSELYETIDAATTNRADMP GGYKRRKLELSSVSALPSAEEAFGMAGDVEDEMQGRNLLERLQALKEEDGDEAGDLED EEGMEEEEQDEVYDDEDAGDYDAENYFENGDEFGDDYGDDGDGEGTF FPSE_12132 MSGRVVPQQADSVPVSGLAELHAHLAELHQPGNEQASLNNKLFD DVELQLTPSNIPIIQPTLLPPLMSLLKTTTQDPTVVFSLTTKLLSPLSFTQCLSLGGA ESIIEALKSPLAGANLLALNILQKAASNAREVDALAAQPEVVEELLRTWLSSPDADVG GRSTRVLGSLLETDCDIMPETQPTTTNGVNGAISSETELVKRHIPGHASLWRLVIWDH HYLEIIVSLCRPATIDRQATFAQDRLLRIIPRLTALHPRAMAISPFADLLPLPDYADQ SIGHGLLQWAALNMVDTTDVLMHQTLIVFFEAFVSIMRIAGESAEKDAIVRNVVKTAI SQDQELKQSLEMLPNRTVQEEAEPLAKYISRILN FPSE_12133 MSSLKFVVSSLEAIAASKDAQRNKQLEETTTKALDAIKEQDQLP DPEIVFAPLQLASRSTNVQLTTTALDCIGKLISYSYFSVPSNPSEGTEEGAEPVAPLI ERAIDTICNCFQGETTAVEIQLQIVKSLLAAVLNDKIVVHGAGLLKAVRQVYNVFLLS RSTANQQVAQGTLTQMVGTVFERVKTRLHMKEARLNLEHLKNGSSNVTFDHAESTNGA NDNGDRDESPAEPSEAADSEPAESGAKLTLKDLEHRKSFDDSNLGDGPTMVTRLKPER KETGTPASDQAGQDSTPAEDGDVLDAEDEVYIRDAYLVFRSFCNLSTKVLPPDQLYDV RGQPMRSKLISLHLIHTLLNNNIAVFTSPFCTIKNSKSGDPTSFLQAIKYYLCLSITR NGASSVDRIFNVSSEIFWLMVKYMRADFKKEIEVFLNEIYLALLARRTAPLSQKVQFI TILNRLCADPKALVEIYLNYDCDQTVDNIYQTIIEDLSKFSTTPLTITTINEQVYEEM RLKTTPASEWQLKTTLPPPLTVAHIAPHQDSEPDYPKEYAIKRLSIEALVETLRSMVN WSAPIRGDAEPTNPENQDIKGSLDIRPSIDPSINDSVSRVETPLPPSTPILEDDPDQL EKEKMRKTALMKGINQFNFKPKKGIQMLIRDGFIPSDSPKDIAEFLLREDKLDKAQIG EYLGEGEQKYIDIMHAFVDTMEFAKRRFVDSLRQFLQSFRLPGEAQKIDRFMLKFAER YVLGNPNAFANADTAYVLAYSVILLNTDLHSVKIAKRMSKEEFIKNNRGINDNADLPD DYLLGIYDEIAAHEIVLKSERDAAAAAGNVPAQSTGIAAGLGQALSNVGRDLQREAYM QQSEEIALRSEQLFKDLFKSQRRKAGTKYILATSFKHVSPMFSVTWMSIFSTLSSQIQ KSHNLEVNKLCLEGMKLATQIACLFDMSTPREAFMSALKNTTNLNNPQEMLAKNIEAL KVVLELGQTEGNVLRESWKDVLMCISQLDRLQLISGGVDESAVPDVSKARFIPPPRSE TSDSRSSNSKKSTRARAGTSTKGFSTEIALESRSDDVIRSVDRIFTNTANLTGESMVY FARALTEVSWDEIKVSGSNDMPRTYSLQKIVEISYYNMNRVRFEWSNIWEVFGEHFNR VGCHNNMNIVFFALDSLRQLSMRFMEIEELAGFKFQKDFLKPFEHVLANTHNVTVKDM VLRCLIQMIQARGDNIRSGWRTMFGVFTVAAREPHESIVNLAYENVNQVYKTKFGVVI SQGAFTDLIVCLTEFSKNLKFQKKSLAALELLKSLIPTMLKTPECPLSQKYNNIPPPD GALQTSEKRSRSNTSVEEGYWFPVLFAFHDVLMTGEDLEVRSNALEYFFETLLRYGGT FPAEFWDILWRQQLYPIFMVLRSRPEMSNVLNHEELSVWLSTTMIQALRNMITLFTHY FDALEYMLDRFLELLALCICQENDTISRIGSNCLQQLILKNVTKFKPEHWNKLVGAFC ELFERTTAYQLFSATAINNTASISPPPNGLEFSAGSTDTTPVDEKSLKINNRKDSLDE DSSVIPQPDDDDDLQTPTGDGPHVALEEFKPSSNLQQQPVVVTAARRRFFNRIISRCV LQLLMIETVNELFSNDTVYAHIPSQELLRLMSLLKRSFQFARKFNEDKELRMRLWREG FMKQPPNLLKQESGSAATYISILFRMFADDAPERLSSRPDVEAALVPLCKDIVHGYTT LEEESQHRNIVAWRPVVVDVLEGYTTFPEDAFKKHIPDFYPLAVELLTKDLTPDLRAS LLLVLRRVGEVALGIEGMTHARQRQDSVASRMTVEPMGDREADARRML FPSE_12134 MLDDTETALRRSKILDLAKCHSMLLVANIYEIEKFISSLEAKSK PVSSSGLPTFLKFLQRENCTLCKLIEECSSDPNYDAQQLTSRERKLDASVTFTTQSIA QWNRLKKSHGFVAINQSFQGSTKDARRQEIEKRQVSGKDKHDMHRLLKEQGRVEVDVV EEGREWITVKAISHDRLARQMSDCGWGWGEHELGEQVDRDEWEDTPLAKYVQRLVTAA RMNRHEYRFPRIRLVLASLSRGEEELDILLYQLKHIDPFVEVIIEDQDSDFVTAPGPP LDMAIENLIGDELASLTSTINLDHTILIDLISDLTHLDLEPQPWQSRTTRAQIEEENA HADGLMARALYPVLEDRKLVCTREAAEHFLDVLRTVGTESERQRGRLLIPWCGTGTQD TSPDLIREQFQELTVHPLPSNVQIPVSVVDEPWDMDAISAAIAKGVLPQVAQDVALSS GFKSSKLSIFMYGWAAELTTLTSNKEVRGQIRTWLEANRKNDEELGPQIWRLEVTRNL LAKAASPREGWQEKHGADADEDDDE FPSE_12135 MSRNSPPIISTPSFFLNDPLDVSQETITEEGILAPADDSLAFSA DTSAAGGAMTVSSAAKTEKKRRRPALACEQCRRRKIRCNRNLPCSNCFKSNISPCTYA PTHVPASRTKKGAADSSSHVPGRSAPVVDLNRKPSPSDSSAKRQSSSIPSSTVGSNSE NSTVDALAARVKELEQKLAESCHITQPGEDILIQHNEADPAPMKGTVSKTRFFGQSHW MNGIIMFPNVLDVLSLPNERNKEPHLVFAKCKILARTIKSHRLKPVSTDKLGHTIPER GLADQLVNAYFATFEGALRILHGPTFWAEYERYWQNPEAASQVFVMQLQTCMAIGATV HDDVFSLRSAATQWIHEVQIWLQLPPEKSRMTIAGIQIMCMLSLAKGCCGVGQELTWV TAGALVRQAMYMGLHRDPKHLANMSEYRSEIRRRLWITILELNLQSSYDAGGPPLLSS KHYDTRLPANLNDNELSDEPDAERQPTGDPGALTDMSVQLALQKSYALRLVIIKHVNE FRSKESYTETLRLNSELTKACRTLTETLAALSQAQRHQPRIIFTQFHSALVQMFTYRC FLSLHQPMVARSNDDPTYYYSRKVSLDSSLKLAQLCTLSTPRYGTYPPGGSNDPATSL NRLITNGSGLFRVVPTLTLFSVALEFIKKCEEQRDSLGGLPTMGYGELRSVLNAAQIW SERRVLAGETNVKGYCFVGASIALADAMEMGLSQEDIDQTVIDAGARMGNKSYELLKQ AAEREGIPVVEPEDEEAIQIDVPLLDGDDMQGIMDIPLDWMVMGDLGLDGMGGFNWAR GNMPQEFESVDPSVVSQF FPSE_12136 MLSAALRRRVLAPTHSALRTGFAAHVVRHYASFPEHQVIKMPAL SPTMQAGNIGAWQKKIGDSIAPGDVLVEIETDKAQMDFEFQEEGVIAKILKDAGEKDI PVGSPIAVLVEEGTDVAAFEKFSVEDAGGAAKPAAPKEEASESKSESASTPEPSSEPQ QYESQGRLQTALDREPNIAAPAKRLAREQGISIDGIKGTGKNGQITEADVKKAVSSPA ASASSSAASYEDIPISGMRKTIANRLVESTQTNPHFYVTSSLSVSKLLKLRQALNASA DGKYKLSVNDFLIKAIAVASRKVPQVNSSWRDGNIRQFNTVDVSVAVSTPTGLITPIV TGVEGRGLEAISAQVKSLAKKARDGKLKPEEYQGGTISISNMGMNPAVDHFTAVINPP QAAILAVGTTKKVAIPSDNEAGVEFDDQITLTASFDHKVVDGAVGAEWLKEVKKVIEN PLELLL FPSE_12137 MDSETKPITSLDPPKSRQSYDSYHRHVRAVHGVEGNSEPGPSSA FNSSDSRRHGSGLSSLSAKTKPQPGNIATFFDSERLASSAAPYDPSNRSTQSTTQGIR WPFLGSIKSATSLSVPPSPRAGTPAEPTEVELPWRPVFLHRRIVVLYAIAIVILIAGV QIALQLSEHNDGLREADDASRYLWQYGTTLVFIVVAAFWNRVEFQASASMPWIRMLNN QSDVDKSLLLDYVSLSEPMAIFKAVRNRDWLVATPVLAGIIFKLIIIFSTAFVTPRVV AVAHSESAITVQSEFSNSASGLEDIGPLPYFTLAALAADNITFPDGVTPRAAHTPFSS DVTELIGITADVDGFIGGMECKEAELNLDLMRLVEGSSLLLNVTVSEAGCSSKQAITS TKLASTKDKNLSRAFLEFQPVSCGGSAKDDEQRIAVMAGILDFDVDQLKQRSRTSNGR LNGTLSSSSGFLCKPKYSITTMRVSKTFETVLSATPNAQAENTTLDQVHPWAVARALF KSLETNEKRLLSTFMNSSYSGDAIVHIDEAMSAVLLLGSREGWDLPKVESLTDKVILS RLSQNFYVQYSALIARYAMMEPSTSSSTAVASFIGRRLVVRPAPAYLITALLVLCLFL TLATIWLVPRKGFLPRDPSTIVGMASVVAHSHPLVESLKGMGPAPNKVLRSRLEDSTY MSGAEGHEKLDSPNLGYFHIFGGKAPSATCQPRRYDSSAWRQPIPLHGLIRLIYILVL ACIIIGFEISLRLSHRNEGLRTMDNDAAYLAWTIIPALVLLLVAMYMLSLEWWTRLLS PFSHLARRGNFDETVGLNLANALRHVAWRRALQICDIAALTAITGGFVALLIVVASAP LFDPRPIEFDSSIPLRAGDFFANSLLSSTDDPICTDCTNDTILASLILAGNAPYPPFT FADLNIPNVSLVEDNNNNDLVVSARLTAIRPRMSCRLYKSDEISTNLTLEVELDDGTV NPLRVDLEGETCRGVGRQGNNNAIIGTTRRASSNERIKDLSGSTVFGVGQGKTRSSSQ CSDWIYIWGKLDNPGSSDMSVGNINALACNETIEAVDVKAAFHGPELHLYPDHPPVPV EHTAQDTSVAIPELDYSSLVNVSSDGLLDSFFAILNSSQFAVPDSTFGSSSADATNKT QSAILSQHGIIRAQSLNFKSRRHLSSRGTFPTANGNTIVSGIDPDESVSQAVPIISGS KMAGKVRLHQDEIATRVLQSLVGALMVLHITSWIYWRRIRLPRAPTTIASITALLVDG NLFKSLPRAAQWQPDSELEAIFTEGNTPQRFEMGWDRRGRNRGRDGRRKKDEGNFGIR AIVPKDSIPEEVEMRPIPPPKSPPKPAEERVGMVSQGTASFGGDSTQRKSVMLAKGHT ANSKSKSSKSSKGSQGSKEPKHKRSISSALSGPRELVKVWWAGGGGGGKS FPSE_12138 MGCSGSKSALSANNSHGASIAAVELSSALGDTFSEINLQGNVKE QLRELLQRLDHEASKYVNSKVDEDKSPDWHPSQAEVQLISAAWKCARGTYNLGGTIAN TSYCTFRREEALRGSYDGTVKVVTSTVVENPSEDVRESDLLSVLVLAIRGSASTMDHI VNANSRPRSTRGFIQRAEDLGAHSGFLNSAQALDSIIIARVNDYIQNFDRANGQKPHI LFTGHSAGGAVSQILYLQYISNQAFNESAKFSCVTFGAPPADKPYILSLVEVARNMLN QPRKTLLPEPETSSEIIDASLKATQDKEAEVSSPKNEESHDQGPKTWQFSQPLYNHIG PSVVLLMRSENEMKLKAVEVTPAEFQKLLFCRTSVHGKRIYEQRVEALEQGHFNDRLG W FPSE_12139 MSHLIGYNLGALHKASRELNGKIGTVYYPSAPTIDKNPSIPSPR TFDFLDNWHQDNLPTPSQCAVHLELLEVFHALRIRVLDSKSLDKVFNLGGPTKKVYRP RFIKGLGKWVNEETTLRDTTWENNQDKKWTFYLGEAAKRFIVWANDFNTSLASGRKKH GIDDGNGGILMTEDSFLPPVDVLMVWHAFLLNPIDFLEYCQTNSLNYLPRVNFPWTLI HKSIWAHGPIRDTWKYDSDTWKVPKGGSVYAGVLLHSIIKEGNKKGLDIKKVSRPTIV VKLMDNVERQRVFVKKMNAHLWIRSPALQGTLQRAVDRYENYLELFRLYPGKMLVPTL DIDLVWHTSQLSPAAYETSVKARCGRFINHDDKIAKYKLNAGSDETQALYRTHFGLEY HACLCWECQAIMSAVEGPERHGSLDEQRSPTFTEDLADKLLADLEYHRAVESARRRNH VKLPVREKIS FPSE_12140 MSRLRSKVLPVLAVSSLPSSHLTSSPDIPWARVGSGKDHLLSEL ESLYWDGVKAELENIVRTLKVWQQPEYAQVDIQDEHDNHIHGFDTFLDQVSQEARAHA IRYGELREKQTNKFNWFPNSNSFNAKSARDALVDSVADCITQHVSDGIKSDVRREIKS VIKLNGIEQRAWMLLQAAGFLDMEKLIEHCAHPERLGFSKRPAIPQLTLSSVNSDGMP SFEPLLCMRKQCKKVVRRSMFVHDKPDSNPGIICEECYHNHYYGREEYIKAYKHCILA ETITPEMSRKICNCVALRHDNDGNPESLFPIDNPDQHEGTETAGREKCKLLRIGDTIA IAKYHGLLNSVGAKPRTLKETEKAALKAKVNEKGIGMPRKRYSAAKPRHGDSDFEEEE ELRQKPEAQGNNRRLSAFKTVQQITSHGTDVSAVGEASGDKDMPLFFRGYTEKYPYAS VPMALRVGPLIIENGVSHTKGGARVSLRDMPVFQEYFRLQTTPERELAIGSGSDRLVW QRKRQVIDRKRYKAVMKQVIGVPFSGGGTLSYDQELSIVRDLLAACEQPFDDPSLATS DQIKLLNSALEPALKKLKGLLGSRLGVYLNSISERLLDKSVKLSWSATGNNCQTFCAS LIDKNIFGPLVNGEAPGSSQGMSPLYTMSFVCPDEGYKKSRGVRTKFDVPFGLTEEYL LRFHFGRHDEADIIDTYQEYWYDWGAFGSTLYRYQDLYPWDCTEAYGRYPTKCGDCNL SKHVWAFPFDSWSMSSHHLSRDRHMYAPALTDKTLTAVGQDATPSSWMRNRLTVLSAM STLHRAATAMAHSLKFQKATAWLHKKPSDSLLDPSLSRVKLGGIHRAQPCSHYFEAGV YSNYFIAPWAPLTRRQQAKAYELQRDGRANLPDIQLASRNRRFAGHTELSETNYLDFH GQNTLLQIDDTIRNDPPCHHHVGMHKVDGCQSDSHFAPTSKTPHHICASGCGNATRDA ANCASGETIRAAEMATAAADAAQAAAASASSGGGGGSSCGGSSSCGGGSSCGGGGSSC GGSC FPSE_12141 MKFSSSAVLLAAAIGASAHPSGHAHQRAHAKRDFIVANNPVTVY ETHVVTAPAAPATAVPEAPAAPVAPAPVPSQPKADEAVPKSWANGPWTPDVPKLNKGK KHSSGSGFKPFCAGKKAKRATLEDIAATGNTGVPGDYGCNMMTVDSDVADKYTYTTTF KNDHSEDKECACWNKIGPLGKIDGFFAKNIPMHFTVGAKSSQVVAFDEDSQGGCACSS KEVPTTVNGLVAGTWLEFDFGSERNGGWSGADASCLVSAKEKLDIPGLRVCGHNTCST INPGGTGTNAYLGGMEAEDGVGLNINDKKVRLTVDIDYKG FPSE_12142 MESKDSAIPSDRQSGNDSNQKPLKDESDAKNAKEANATSPAVAS SESAKPTTKDDSSSKKSRRQKKSKDGKTKPASVQEDADGSGSSSEDAASDLDDEEPSR AADRSPKKRMGVKNKAKKKTRESKKSSTKEKLTKKPTTKKKSQKDKAPDTSSDSDSNT DTDDDSISSDSESSSDEDSNTKSDKKTSKKDLKSQFKALEFQFAQLQYQLANSSNYAS YGVPAHPYSLQHASYPVPPQGTAAMDRTSAALARGMHHPHRGRPFLPELHDTDLEDKD TDDESSDVAKKKKKSSKEKKFKNPAFKRVDQVWDSNIHNYKLQDTADAATDSQYEDFI FHVRRTFDWEGKYRTTIIDIKSKLLRECLQDVIGNVEGISLVDEVPKISPNLLFLYLE DIRSHLKALRKAKPAGETKKARKKNKERLKDKRKHCKVLIKYLDHDFESTKESLYPML ESGLITFDLLWALWKPNTLAYTTTYGSTQEPRVFKVEMAQLHRSIMKGEFYYVDGKYF EFDGKRFGYGNTSVEMEEFQGAKKITSLTCYPLQYHQNEEKLRRDLIERGKKFVSLSG VHYKSYQGIAFMKRKKGQVMKFNIQPSRVMIDPTIFRRINPNYSVSTVKPKDPDILSD DEDSDSDSDNCCGCSDSEDGEKVKWVTKVYKDSDGEVQMVKFPRSEIKDEQDIELDKL PSKKDGGENESEGSGKDDEKKDVPEFTDEEYLIASPVVLGFAFSEKQWLELAVSGASD IKWNEKAWDSLVLEDGTKDLIKALVKSRKYHAANTIDDVIQGKGKGLVTVLHGPPGTG KTLTAEGIGELLQCPLYMASAGELGTDSRFLEAELQKILDICHAWGAILLLDEADVFL EKRNMHDIHRNALVSIFLRQLEYFQGILFLTTNRVETFDEAFQSRIHIALRYDNLDSK AKRTIFKMFLDRVQKLGKLKVEPLTEDDLTALSKQDLNGREIKNVVGSAQDLAVSKGE TLSIRHIKQVLDVHAKFGQDLKGGTGYEDAMRSYF FPSE_12143 MPGTWTRFKSLFGSPNGTSRREWLHEEERALLSHHGNQDISSAT PPEEVMKVCIRLRHLIRECVPCELEESAITRSHSTVITNKVVQAAREAGGQKYRACVV YALLVNMKWFKREATFELWDAELHDLRATACTVVAKQIIEGEDDLEYLLHHVLLRRYS FLIDKIPTPPTNAIEKAVDLHAVRVIGSSGYQKCISYLWRGWLVQDEDDPSEFVDYKN KANPNFIVHMDPDRMRAPRYQNAAQLLFSVIYLGLYTAAVNSANPSGVLDGAEIALYI FTFAYVCDECLKFYKAGANIVGFWNVFNFILYTFLTASLVLRIIGQVYWDNDAVFKKY NELSYNLLSFVAPMFWSRLLLYFDSFRFFGAMLVVLKVMMKESLIFFALLIVVIIGFL QAFIGLDIADDNILGDTYFIIESMLKAIMQSPEFDGFENFGHPFGLILYYCFTFVVMI ILLNILIALYNSAYEGIYENADDEYLALFAQKTMQFVRAPDENVYIAPFNLVEIVVSG LLEWWMPKPTYEFINDCVMAILYSPLLFVAAWFEKRDARNIRSNRSRGEEDDDLIHEW EQFHGDLDMEAEGWTKTCETAKPNVEDEPAVIEVRKLRAEMEELKSMLSQLGKTTAKG SDDNTITGSNAGKAPETGDDAQEVSETTETADVLDTSDTPEAEATSGDNKSGKKGKKK NNKKKGGSSS FPSE_12144 MGIRSINDIPSLTQLYRDPDSILSASIPTGETAYSPDDSINRRI GLIRGDITELRIDAIVNAANKSLRGGSGVDGAIHSAAGPDLVKESRALGPIDTGDAVI TKGYNLPAKHVIHTVGPIFGNERHPNEKLTMCYRECLKLAVENGVETIAFSAISTGIY GFPNDPAAKIACQTVREFLETEEGNKLSRVVFVTFVPRDVSAYNKIISTIFPPASETV TE FPSE_12145 MAPIPRSVPGQNYGVPPIPPIPPTPASTSRYPPPRKEKIQGSYS YIQYRPVWTDPDWQDEGTTRQKIRSGPNAGKNVFEIHDPILDRLRPALLPRDAFSNPT IYAQRFSIFPGRTDGRSRTSPTRAYSRKLFPATTDIKTGKQLFDRFEELAKADGQHVD DYMEDARPDLKNLSETDQQYMQQYEDLIVDEHWQNILFGSLTMDEILAEGYLDMCDTN LNELSGPLYELFTRDHWVDCRTLGKSERNPRFMYTLNGQREEWDPKNNDRVWKALQPA LQLSSRLLLMNENFISGLQDITNRFWVEDKLFAGLKGQDRTRKLKFMHDPNFRGRGKL AGAQEIKNSGLDVLALSMEVLRQLFRIKLSSGFDMDARPTRHITLGQQNAPYFFKPGN KIIIDIDAELVWPLLVDKYTESEKLMANVVLATTITHEMMHSFVSASFKWLDDPASFG VTDPYQLSVCNVLQQELVDYNHNDRWDEPYFEDDIYNEVGHAFEEHILSCGYWPFIFG TTNTNRPPLLQTAGGLMAAIIHSEGEVDAVPNLKEPIVQFSVLSHLVRFEDVKKYFSQ NFWDVSVGKFDSAALREPSKKPHKFNFYPADQDYDGLVIDQLQISAENAEWLKKFRIA LISKKQFILKNYLHNLMTETAQYDSMTTRLDRAAMRWIDEGPYIKTGREVHMIICEYS MYFAQIHMPNVGADSFEFLLLFTAWDSTRQKMGSMPDFYSEQLYQMSTSFLNSQEHWQ QMLQSGSIESFELRLIPRLIDFTCAIETELAHHETLLCELYQGGSQLWNLWFQNEPDD VERWRKHVKEMRDVLNDIGTAALMIPEGMKALGEGYWGQRIWTLGRRVEDLVKLLSFK PSQYDWRDLMWTLPMIRKSRRLPHQRYYFLAKKEMMRMTGTDLAILKEFKRRFESDLS LGAYKMPLPGTVDPDTLSIAQRLSGTLDDDHGNARARQIKGPSTGIFDIPLVKELASR LTQDEKEAQEAKINRAAQNWQKNAGLKTLQGDAAAQAYSMSNILPKFQQMEMETQPAP LSGFKSFNGSNGPFSAYSSGTGGFPTHQPNQPSAWVANSAIDMATWVNKPLGGATVTA HGIMPHPYAVRATVTEDLLNTASFSLPTRDPSTFVSKYPRESVVPTETPLGAGVLGDM DERLNKGSDSGSDSGSDSGSDSDSDVEMADAEMGGHAGRASAVPEFGYSTSDTDTSED EDSLSREGSDTTVSWSSDSEGDKASAGSDKFPASKKGEKRSDLKRKSSWTAIHTRKRR VGFSVIKSQSPKFKPKKDTDYRKRREESWGWRKMLLGLSGA FPSE_12146 MPTENQQSIKVLDELFQKLTVSKESSDIKESSNELASFINGRIG DQVVPENVIEGLKKQLANKKDATAREKACIAIEAIASHAEVSAAVEPYLVVLLPAVLA AVGDKITAVKNAAQGAVLAIAGGINANAVKAALPYVMESIRSAQKWPEKMAALDFVEY LVKNSPAQLAYRVPELIPVISESMWDTKKEVKERAYKTMEQLCQLIVNKDIERFIPEL IKCIAKPENVPETVHLLGATTFVTEVQEPTLALMVPLLDRGLAERDTAIKRKTAVIVD NMCKLVDDPNVVAPFLPKMMPGLQKNYENLADPEARDKTKQALDTLTRVGDIKNGVIP EPTFPGAINVIQPKVTATLSPKFANYVEKMGAVTEYISAIAGQLVDEKEVESMIWVDN LKAYVSVISGIDNAESIVENIRKAALPGAVAEAEAEEDEEEGEDLCNCTFSLAYGAKI LLNQTHLRLKRGQRYGLCGPNGSGKSTLMRAINNEQVEGFPKQDEVKTVFVEHDLDSA DTEMTTIDWTMKKLEEAGVTTTQADVEKQLNEFGFTDQMVKGEISALSGGWKMKLALC RAVFEAPDILLLDEPTNHLDVKNVKWLEEYLKNSACTSIMVSHDSGFLDNVCQHIVHY ERFKLKRYKGNLAAFVARNPSAKSYYELGESEIEFSFPEPGFLEGVKTKAKAILRATH MSFQYPGTSKPQISDISFQCSLGSRIAVIGPNGAGKSTLINVLTGELIPTQGEIYQHE NIRIAYIKQHAFAHIDNHLDKTPSEYIQWRFQTGEDRETMDRANKIITEADEKAMDKV FRVEGSQRRVIGINSRRKFKNSYEYECSFALGENIGMKNERWVPMMSADNAWLPRNEL LGSHQKMVADVDMKEALASGQFRPLVRKEIESHCANFGLDAELVSHSRMRGLSGGQRV KTVLAACSWQRPHLIVLDEPTNYLDRDSLGALSKALKKFEGGVIIITHSAEFTKDLTE EVWAVMDGKMTPSGHNWVQGQGAGPRLKADDGDEEDKFDAMGNKIVTTKKKVKLSSSE ARKKKKERMARRKRGEEVFSDEDDL FPSE_10385 MASDEEKNSGKFENNGSATDKYLADNGDITTGHTTDNADGLHRL LNNRQIQLVAIGGSIGTALFVSIGGGLAKGGPLGLFLAYTIYSGILACVNNGIAEMTT YMPVSGGFIRLAGHWCDDALGFMAGWNFFLYEGLLIPFEITAINLVLSYWSPEITEPG PTAGICIGVIILYALLNILAVKAYGEAEFWLSGGKVILILMLFSFTFVTMVGGNPAKD AYGFRYWSNPGPFSEVYGTDSLGRFEGFLAALWSAGFTVVGPEYISMVAAEAKRPSVY IKAAFKTVYYRFCFFFIMGSLAVGIVVPYNYPQLVSIFVDGTEGSGTAAASPYVMAMD ILKVDVLPHVVNALLLTSIFSAGNTYTYCATRSLYGLALEGRAPKILRKTTASGVPIY CFCVVMLFPMLSFLQCGSGSAKVLNWLIALMTAGGLINYLVMGITFLNYYRACQAQGI DRRQMPYYGYFQPYCAYIGLAFQFCVVMCYGYKSFQPWNTELFFQNYTMQFVAPILFV FWKVYKKTRWLKPHEVDLTWERPVVDAYENSITTPPQGFWTEMGQLVGIKKKVYSDE FPSE_10386 MANPAALTKCAFYSPWWLMKTNWKSSQASEGNRALRSHIFDWQT RIKSFLVQFQFENPVLNVLENVGCPLLETKWPFPNST FPSE_10387 MSAKDYGSALRNGHYASILLSKAGGAEPQPTPSSSSGSVSDPAP VAGALIKAFVTQLISSGSGSDPAPEIPADVPQVLSVGIAALNAFLQVNVTGPVLPESA AISSHFTKEWASAQSDDKKKSHAHLHKACLNYLDVDGVSPYAYIPHLELFSLARFILT QQLKLATNDVVKIPSKEQSLKCSLAWTRLRINVWHYKLLTQPSLGHGSNFARSSQWSD VPTLASKILEEIDSVRAQVLGDEVWASDNDAWSRDEKVQFLVEAANNYILLGRDDKAK EALKEASQTSGLEYALSGALGKRTKFQENSISQLVVLAKSGAEQQSDSAEEEAKPDAL QLNDDTLHEEIQFSKEDNVEDKKASLPTALADLSPDNQPQLSPLDQIILLTEATLKDA FSPIDTLTSAEVLPYAVRVIGDKSTNWQIYTHALLVRSRIEVHRSRTVERGVLQLQAV ADQVLTDTTAEAQQKAEAKEQSSEVDAPAIQVTAPDEAPAPIQSKPTSFLPAPKASES APAHVRLEYIHAICSPPRWHLESELAYAWAGIGSLASALEIFKRLRLWAEVALCLASA AASEDEDGRGSGGEEKAKGIIRWRLFHRTGETSPTDPDDEDIGSDVTLLKAADFSGPE REPSPPNAPRLFCILGDIENNPSYYKRAWEISNHRFARAQKSLGEYYLQNKEWEKARE AYKKATAVNRLSPEMWSRLGDISLRLAQFRDAAEAFNRSIGSASDTAGGEDARTWSNL GSALWSLYCEVVAENKENPTTTDEPSAPVPAEDEEDDVAFATPSKPSDRDPATLLSQS LAAYKRGASISHDNWRIWDNVLTLASRVQPPAVADMVVALTHIIRIRKTEDALDADVL GALLQDAVLSVEKTSSTGVYDPPRGTPERLVMRLFEEEVVPLITKRSELWTLISRLRA WRRDYSGSIDAAERAWRAVLGQSGSGLLPGAAATTEDEARDWTVDVGAWTIVVQRTDE LVSVYENWGPSVETIGSKWKGKARSAVRSVMGKGKERWEGSEGWKLLENLMEGLRIS FPSE_10388 MPTNLPSSFASAAAGQNSNARGARIDVRGNNSGDWAARRDGRST NGTLTFRRSSTTPLGQPSSQPPPSTDHAVQPPATAESPVNASSSTYELLPARYTREEL LDIYSSQKSTGDVSHLFAPGWNPGQMNGHAPRGWGKSNDNHIPQEPGACWDDSGESAP MGLQDMSVEEREAFNTEINSPLKPPTQNKDAHQSGANGRKASLSQGTSNTFGVSSPSS ATRPGTRRRETIESNPFGGSALASPTAAGRFNRDDQAFWFSRSKTDLKEAEADESDNE PGPRETPGKLPPFGNLMRSNTGGIGGVGSIWPPSNQTTPGAGGFGNFALTGSPAVGDK RVGGAASGSRLAHLIPKDSSDNLSGKAAEGQNPLSQQSWRSRTRADTDPFGDDTVSGS AVLSGAPDTDTTSLSQQNNPAGAMGTPIKGSAADFGMSGLSLAGHGDDGPLSPSETNP FRSPPAERHGHDGDGSDDKPHGAGLHDAPSNFGSIGRGFGGYDGGDRSQTSSVGAKAY PSLNTLGWPAPSGPAIGTPDRERPNLGGVFGNSLFSPMGELQSPGLSNLNNVFGPASA GGLGTGSIGRGSKMGSLFPAAMQAQMQTIEHDNNLSDSLPDLRQSNPLGAIGRSNFGI PTRDTESPMRPNRGVFEELFPSSDASRAQGVFTTGDSNHPTSAAVAPQSFTPIGGGLP FGGSQGGAEPPSAQVRQMVMPDRMRWVYLDPQGQVQGPFTGLEMNDWYKANFFTPDLR VKKVEDPEFEPLGQLIRRIGNSREPFLVPQIGIPHGPPAQPGPFPSQGNSGVVPPLSG VFPSFGRTLTAEEQNNLERRKQEEQYLMVQQREYVMRAQAMSKFPIPGPMLQHHSSAH SLQSQPSFGSISSPNPIGMAPHQQPIGAMPPSGGFFEGAGPVGSQTSVPSGSGNGDPF RDDDLSSFANTERQLLANLQSSGAPHPIGAPAADAGVRAGLPDTENLEQDPEGFKNRL QQFEDLRAEIEAEQAAKPEESSDEELSEELAPADEAAPEETQQRAEVPVLTGKAARAA KKKAAEDALSLTQQVQQTQAAAAAAAAQPVEPDMPMPFPPPASTGTPLPAPTAQRARS NLPEQYNRSQTGTPDSASVNAQPPPLAPWAKDHGAESHKGPSLKEIQEAEARKAAKAE EVAAAQRKAILEQELKEREKTAAASNAGLPATSTWGHGSPAPAGSPWSKPGPSKTTST AAPSAPASSKKTLAEIQREEELRKQKASQASPQASTPTGVSKSYANLAGKPGQSILTN AASAAAAAAATAPPPGSGWATVGAGGKVKVPTGPSSQGRTVSATNIKPIASPTKPTSK PVTLGNGKADTGNAAMEEFNKWVGRELSRGITAVSDIATFQATLDIMPLDAGLIADAV YGNSTTMDGRHFAEEFIRRKKLAERGVVEKQPDNKGGNGGGWSEVAKKGGSSNSATTA TAATATSPRDDAGMQAAGFKVVPGRKKGKK FPSE_10389 MASAPQQATQNLLARAHSPDSVNRIYSEKIQHRSLILRPTSPPP ATINARAARRKARQDKKEKQKQKPKPLSSRERRNLGLHDIPRDGQKYHIYEPLSQLWL GYARELLGNDIFTGGPSAAVKLASAEFHGAPIEIVRSHCPSRVGIQGIIVRDRKFVFE IITKKRGVKVVPKEGTIFRVEVPLDGEIEDAEKASHKTFACEVFGDQIMLRAPDRANK KFKAHFLLNV FPSE_10390 MSHNDTDIDSTANSAPDLQILGDEVTLQPSGFVEPSKKIPEGKE EALMDKFASFRSEPLQFLREVSLYVSGQGWRAYDNVIGQPVFYSGFSENMKNMVLSAP LLQQRISQLAEHRVAIEERDGLLPKDSKDYGAKRSQRLNEIESGLQQLADKWTEDMIC KMESKAFIRGAYYLATQLLTRAYHQGIHVSSEEVLRLRKVAEVAAQKKQSIIFLPSHR SHVDYVSLQLICYRLGLTLPVVVAGDNLNIPVLGEFLQHAGAMWIRRAFGDDVLYTTL VQSYIDTLLQEGYNFECFIEGGRSRTGKLLPPKFGILSFVLDSILSGRVQDAIICPVS TQYDKVIETEGYVTELLGMPKKKENLADFLSGGSSVLSLRLGRVDVRFHEPWSLRGFL DDQLSKLPSIPRGLDTDHQRPEVRAVREKLLRTMGYQVLSDINDVSVVMPTALIGTVL LTLRGRGVGKEELKRRVTWLTERVRAKGGRVAHFGNAPLTEIIERGLEVLGKDLIGMI DGLAEPTYYAVDRFQLSFYRNMTIHLFIYEALVSAAMYSRVKRGGGPQMQDMSFDELR EQVFFLSSLFRGEFIFSSEGLDTNLDRTLRGLEADNVIRVDRDAEGNVTMVGLAEQER KAGRENYDFYCFLIWPFVEATWLAAVSLMGLTPPLGQNEDTWIEQSKTHNSAQLLGKT LYHQGDLSYFEAVNKETLKNSYMRFEQDQLLHVVKSKDSKIPPRVQLDISWRTPRDPK TGALLAEGKLWDFTEKIAKSRREGKNRRDGATVSGRVLRLTDELGRKLWEETVEGERS GKGKVPSTLSNEEKEAFGKSVREGKKKREERARAHL FPSE_10391 MLPLRFIRHRSPFTTSVRTMASACSTSSRLETLPKSPIRVLRSV ESVRRWRNPHVVEHRSVGLVPTMGALHEGHLALIRAAAKENHHVVVSIYVNPAQFGIK EDLSSYPVTWEEDAKKLAALDRELADDGGNLGRISAVFAPTTPEIYPSGFPGQEIDSK GSFVTITPVGEVLEGASRPTFFRGVATVCLKLFNVVQPERVYFGQKDVQQTVVIRRMV KDFILPLQVVVQPTEREKDGLALSSRNVYLGPRRRAAAIVLPNALHAAAAAYTKDGFY TREDVLGAAHKIIDAFASEQSNLLSTERVVFEVDYISLADPDTLVEVDKIDPSRGAVL SGAIKMLPVEEAKKGEDLGFSGGPPVRLIDNIILKPLDSKSE FPSE_10392 MAAEKAKLEVPATTDRPESTTSTLRGEDVQEKKEERQTDEDVET GTVKGNNDSDNSEDDDYPTGINMFFIVLALVMAVFLFSLDLTIVATAIPKITDEFKGL DKVGWYGAAFFMTVGAFQSTWGKIYKYFPLKTSFLVAIFIFELGSVICGAAPNAEALI TGRAIAGVGGAGLGAGAYTIIGFSAPPKKRPAFTGIIGAAYGIASVIGPLLGGAFTDH VSWRWCFYINLPIGGISAAIIFLFFKTPRAAVPVQAPLLEKFLQMDPLGIVLVMGLTI SYILAVQYGGQAHAWDSSVVIGLLVGWVAISVVWAISCYVQGERSMIPVRILKNRTVW VMSAFAFIFAGSFFLAIYYIPIYFQSVHNASPTSSGVRNLPLILAVTFSTIASGGLVT ATGRYQPLLIGGAAIATIGAGLLYLLDIDTSTGKWIGYQIVAGVGWGLAFQIPMIAVQ GTVDPKDLASATGILLFFQGLGGAYVVSGGQAAFVNQMLLDITKNAPHIDKGQLILTG ATALRETFDKETLPIVIEGYMHGLNTVFAMAIAFVGFSFLITLLTPWKKLNLANITGG AA FPSE_10393 MAPRADFPPVRACLFDMDGLLLDTEDIYTKCVNIILEKYGKGTL PWSIKAKLQGRPGPQANKIFHDWAQLPITSEEYIAENTALQKELFPQTKPLAGIVDML GHLGRTRYWEVKDGATKEKSTAEPHRVHIALATSSHLGNFRIKTNHLEELFAVFPSHR RVLGDDARLTPGRGKPLPDIFLLALKTINDSLPAGERPITPEECLVFEDSVPGVEAGR RAGMRVIWCPHPMLKKEYDGREAEVLAGRTGEAGEVDLHQVGEIDDGWAEYMLSLENF PYDKFGITVPPAEVEKEACMKETPEEVVAQV FPSE_10394 MSSSEPTKDDVVKKPDADEKKDANDPADGEELRFTPEEEEALVK ESDTLKQEANALFASKQYQYALNKYDDAINSCPKYLHYPRAVIYSNIAACHIQLEDWK EAIKSASDSLKSLEKLEQNDPRLQHDGKKDGEEKAKKEADDVEEEIISSGASRAAPAP ESVDEEVKKLEANIQRIRCKALMRRARGRTEAGGWHNLAGAEEDCKALAAKPESLAPA DLRVVQKQLRELPPRVKAAQEKEMGEMWGKLKDLGNGILKPFGLSTNNFQMVKDENTG GYSMNFQPGGKSQ FPSE_10395 MQDEDSKQKQTMPFPTEWQDYSPGQYAMEGNLHFDFFDFASHRH PHMATAPISEPPSLGQATASMSMESSRGMTLQSSMSSPNPTQVKGRRVRTGCLTCRER HLKCDEAVPDCMNCRKRGRECKRGVRLNFLEINLHSPAAIPHPEDWAVEFQDESRDIA SEYRGGLGRYAPYSPGLQDRHDSKEEIPLGAENHPTEAGPSTDQFQPSSQQYFSYTRD NTTPRALDNSRPLPDDAIKLEHNDAGQGIMSDVSNIATPPSSTRSVVTPRTETFPEQL IAMRNRPLSQQAAVEDITNMALPDLGTSPRNERPLHEQAHEDENIHLPAVRNIELVGR DILTSAEEVHYMQVFVDDVAIWMDSFNRDKYFARSIPHHALRSTMLFNALLACGVKHA SLMSRENHDKALFYYNTATTQLLRSLQNPDRNTAECATTAIVLNVYEIMSEKPAHRMS HIAGSRALVRECGWDARSNGIGSACFWLNIGIEVLNCLAANWQTTWSPDEWGIDFPDS DNHQHNEDGDEQTWVHRALYVVAKVTNFRATAGQFDNMSPQVEQNWVGNRLSQWYELK KLCDGWNTRCPRAMHPFGYVKAVDSTGNSVFPRIWMIQREATIGQLLFHTAHCILLQT HPTESFRSSERLRVLQLHHAYQVCGIVAHTTDKGVTVVAIRCLAIAAAALTNPDEQSE VLEILERINNKNGWRLGAIEMELKKGWGWPCMKLPTLSPKTETSHEPGASATRRTSTS LTPSRLSTPPVMSAVGMKTPVNPLSFADFKLPNHPYQNWYEPPSRSNSFDTS FPSE_10396 MDSSTPTPKSILRGHKSQIHCAAFARSNERLITGDADGFVVLWD LTIMRPRAVWRAHDKALLGVRGWGDDKIITHGRDMKLIVWKLGQADEEHLSTALPVED VPTPRAQPWVLHLLEVNTLNFCAFAICSSVPGPVDTASEILIAVPNTLNSDAIDIYHL PSQHRIHTIKAGDKTGMAMCLALLHHENILTLVAAFENGYATVQRLEADGQWVTTYNS QAHSQPVLSLSVCDDFFITSSADSIVAKHPIPTDLFFPLQDPEPPQSTERVVEIIDDE PKTASILSESLKESSSQPNTGNPKKGIAWKDTIKIINTKHSGQQSLDIRSDGRIFATA GWDSKVRVYSIKTMKELAVLKWHQVGCYAVAFADVKISDKAKEESTSTTQQEDESKST KSLTTRTTSLVRAGLSVKEQRIAIARQTHWIAAGAKDGKISLWDIY FPSE_10397 MTDLPPPDYSDLDLPSYDTLISAPTPATAAVNPTTKSVLGPATL YISGRFVYSTDPQAPPLYEFSHSIGYLHDHDRSVKVERVDSVIKTSAGLPQVALKNRH LFDLKHPTAAEFPTFPYHAEVATRRALCSFGVSYFRSGNILRQKKGFRFDRAVKGTER KLEPRDMLFEASSSRSKDVGYEWRDAQGELIAREVEDERTSMSLVITAEMSVELRDAL VAAWILRIWYELSKGNNSATRLMKN FPSE_10398 MSFSSYKKIGAAVASRFTSVRLCRSVHSVPLRPLTRSQGRLPIS PLARSATTPQKHYSTMSDLYVNLEAPNGVKYRQPTGLFINNEFVPGSSTQKITSIDPA TEKEIATVHAANADDVDKAVKAAYDAFHNPSWKKLPPPQRGVLMNKLANYIEERTKIF ATSEAWDNGKVYTDAEGGDVVEVINTIRYYAGWADKITGQTITANPNKLAYTLRQPLG VVAQIIPWNYPLAMAAWKIGPALACGNTIVMKAAEQTPLSILLLGEAIKAVGFPPGVF NALNGYGSEAGPALVEHPLVDKVAFTGSTATGARIMEMASKTLKNITLETGGKSPLLV FSDSDLDEAVKWSHMGIMSNQGQICTATSRLLVQDKVYDEFVQRFIETTKTVSKVGHQ WDSETYQGPQVSKQQYDRILEYIQIGKSEGATLLAGGQPVDSSKKGFFIQPTVFGDVH HQMRVFREEIFGPVVVITKFSDEAEALKLANDTTYGLGAAVFTKDVERAHRVAAEIEA GMVWINSTQDSEPYIPFGGVKQSGIGRELGEAGLEAYSNTKSIHVNLGSRL FPSE_10399 MASCPAGHAPHRPSHFGPSTRMILLGESLTCSKTARVISDSHAH YTGRPLPVQAGFAGRHRGLTMGERTGGHSASNASILAPRAPEGNPWAPVVCTGLLLEG DRDESIVVSTDIALAVPDKKEITKGLARRSYWTTNCESPQPV FPSE_10400 MAENYHKEVISGLKDKSLFIQDAFIDGQWVAKDNKFDVFEPSTA TVLGQVANCALEDFQTAIKSADAAQTKYFDSTTGASRGAMLRKWYDLVLANQEDLAKI LSLENGKTYSEALGEVIYSASFISWFAEEATRSYGVTIPSSAPHTTLMTIREPVGVCG IITPWNFPAAMITRKIAPALAAGCSVVIKPPSETPYSALAFTKLAIEAGLPPATIQVL PTRDRQAATELATNPLVKKISFTGSTGVGKYLAKLAAGTLKKLSLELGGNAPFIVFED ADLDLAAEGAMFCKFRCSGQTCVCANRLYVQKSVAKEFTAKLVEKVNALKMGGGLDKS TTQGPLVNKSAVDKVKEHIADATSKGAKIATGGSTPDSPGFFHQPTVLTGVTQEMAVA KDETFGPLAPVFEFDTEEDAIRLANDTEFGLAGYFFSKDISRVMRVAHKMQVGMVGAN TGKISAAEAPFGGVKESGYGKEGSLYGMAEYQNIKSITIGNLNI FPSE_10401 MTTKSHTNEFLSKEGQERAFKSSSPPSIDAFISLCSQTATKEDY PLATDIECNVPIYNLANYSTLTEGQKSALQDEWYKVLLQGPGVFVTANLYQDLELIDK STAAFYDIIKEESEGAKPVGDHFAGAGKNDRIWNSFSKHGIQDPEYFFRYFSNPYLDL IFASWLGPGYRITAQVNNVRPGGQPQVSHRDYHLGFMSAENCGRYPRAMQVASQCLTL QGAVTHVEMPVESGPTRLLPFSQAFAPGYMAYRLPEFNQFFLDNYVSLPLKKGDGLWF NPALFHAAGENKSTDINRLVNLFQISSAFGKPMETVDAVPLIESTWDVLSATYKKHGL SEEVEMFISAVGEGYPFPTNLDNNPPRSENMAPDSEQDVIRDSLVREKTKMEVLADLA EFRAKVKA FPSE_10402 MGEKRKASQDLQNYRLPVDNGDTNQPTATTSTLIGPAQDDVARD RHYAELYAKAPDFQQLALQDTDFARLWNEHKSDFFNDPECVMQLTKTLLKLDFGLRLE LPNDRLLLDTSTYEKHAQDVVGLDIGTGASCIYPLLGCTERNWNFIATDIDSKSLEYA RKNVTLNNLDQRIKVVDRKWTDNLIPLDELHVPRIAFTMSNPPFYKSEQELVESAKKK SQAPFTACTGAKVEMITAGGEVAFVDRILNESLILRDRVQWYTSMFGFQSSLVTFVDK LKENNICNYAVTEFVQGSQTRRWAIAWSFGSMRPSQDVARGTKNSLSKNALPDITEET VVDLPFHGSISNFADQFRAELEKLELLSWEWDAERLEGTGRAAGRVWARAWRRKKQRA DEEDARSESTECVFAFRVSIRFDKDFMSVSCRWLEGHDPVTFDSFRGHVKKTVKSIFV RSVSEMHTSN FPSE_10403 MDESRKSNKSPLRSLDPQPIRSPSPTRDQERERDRAGRDRGYER GPERAKSYPGPEAQYGSQQFGTQNFSTQDYYQPGYNPQHFESQYNPQNYPPVSGPQTG PNDLPMRPISESYLPNNPGTMASAIKPPHQMVPANIPADLGELRALRTNCQFNLREYI SLQRQRRSGDASMSAYELETRLRNQTIFVLNDLRILQGEVRSIAKEAENHRWRRWIVG GAIATFIPMIRRFWRRNEDDEDSHLAANDTEYAFQKSKGLLDHIKSGILGKGRFAKLA FLVFAVLFVFSNEVSIRVARTVQKRLKKLASRIEHGDPDVDDKDMKTLEGWRWRVLLW FPSE_10404 MGYDTTTREIYGLAQPGSDASVKDMREPVDPLPRPQPPRRDVNP TPNSEARGQDRRQDNIIVQLYDLEAQGGRFGQAGGRFSIDQNMLFTFLPRTKNAVRRG TLMLESFFMPQDMAPHPPHIIHQALHFLFRHLQDFSRTGAIDMFGVAEMEIEDDPARD YAVTRWAGMMHALCVVLDNERGLGCSDMLLAEILDFFENLIRDVHNILGWDETTILFE AFAGIFRTGRPDLMRQVCRIWERFDPEVQDQLLRDMRRALPVEGIDGMAHRMYRALGY FPSE_10405 MQDLPSVFAADVAHNVEYKIRDLGTRSVTLFPTQAQVKREMKDV PLKPGINEISIVGLSPTIDKHSVMVEGSGAATITGISVEFLPNREIFDEVYPDSDEDE SESGDDDKDDDELSDAPQDQTLLGVKMKLIELRDEQQMAREIVGNADNRIKILDVYSN SLDKKEDIDISKVLKVYKVEHMEAFKERLVGVQKEREIAEAINNATKEQSRLTRIAEK VRAKESKSKAKIQKAFIKKREQRTKRLEEKRKEKQRIRVERDRCWPQYCYTVHIQLEV NSSYTPASSRRESVSSEIELVQRPRSKTIDMEETSSCDLVLSYVTDSAFWTPSYNMQL STVTSTGVLFFDAGLHNTTSETWENCRITLSTSQATSSSLDESNPTLAPWRIKLGNKG SGLGSSDVLESNTERQQQVSWRNKKMVMPPPQYNFKREMFGSGGHALQDYQMQLMLLE QQNKKRSMMARQENSSSVPLPPPVAQLHGVQAQQVQAQQQAQQQQMQMQQQQQQQNQA RQAMNLNLQGAVQPLIGQELRQTQDQLMDFDFDQFLHNDNEDIKKSEPQPSLEFQNSL VEETGFTTTFDLPGLKTLVPKYTVSKQRVARLQFTNVFFSHTVVAKYQPAAYLKAKLK NNSKLTLLRGPASLTLDGSFMGQTKVPRCSPGEKFTLSLGVDSSIRVMYPKPDVRRST SGMFSKEDSSVYVRTITMHNTRVTAGKPVNVLVLDQIPVSEDDRLRVELLSPRGLTVE GSGQPAGAPGRETAEDKDWGKATASLRKYGQVSWDVALNAGKSVKLNLEYSVSMPSGD VAKEC FPSE_10406 MLDIEDFIEERGGNPEKIRESQRRRHAPVELVDEVIALWQDARK TQYGVTQIGTQINGVQKEIGLKKRAKEDATDLLKEKEELTEKKKKQEELAAAKNAELK VKAKLVGNYVHDSVHVSDNEDNNTIERTWAPETFDKTTQAALSHHDVLLRIGGYDPVR GVKLVGHRGYCLTGYGMNLALVNYATSFLFNKGYTPNQPPFMLNRDQMAKTAQLSQFD EELYRVSEGPTPSESDRYLIATSEQPLSALHAEEWIQPAELPIKYCGYSTCFRKEAGS HGRDAWGVFRVHQFEKVEQFVLCGPDDSWDQFDQMMANSEEFYKSLGLPYQVVGIVTG ALNNAAAKKYDLEAWFPFQKEYKELVSCSNCTDYQTRELEIRHGAKKGKQIVGGGKKE YVHALNATLCATERTLCCILENYQTEEGLVVPEVLRKYIPGEPDFLPFIKEAPKEAEK AEKKDAGKKEKTLPVREKA FPSE_10407 MASSIRSTTALRAGSACTRCRKGKTKCVYESGRPPCKNCAKGMH DCYLPSESLQHHGGGSPARHASHPHRPRDNVPAAAAPAAERQPVVGAAPTRHAQTGSD KLTPELISECERVVSKTFPACVAFHKPSFVQQLKSASLDAALVYGLLTCAARSSPSLI RRYGGPTQAAETFAAKAMTLINQNLDHPNLVDIQALCLIIIHEWGSRNAVRAYIYLGQ AARMVQMYRILNSHHPSPDADLFLRDESLRRTVWLIYILDCLLTSTPGRYSALSPHDT ADVSLPCSDINFAFGNAVFVKTLRQQLDPNAVPPGQPPSEIGEFGYIVLASTIWRDVV AMLTTTTLASFREEDCSDLIAKIEGLRATLPMQFVDKPGQINLHMTMGSGYTFAMLHC LLHCATVFVHRRRLLQEVTSSNFNLESFRLNARCHDIIDRLFTSCHGTISLLTAVEAG SEKDHSPCFPIFMLFSAFTASATVAYLSLKGLTPPNAVETAAHIVKDGLRFMSDGTEN WPLMGSWLRHLTVMQRVLNNDAAAANGSSSLRHSSASHGAGGAKDEISSNADTNPDVM DYDQTNRAGSVSGQGPNRSLSESVRGDSEPPVVLARRPGIATINGGSGGVSTPTTSPP PSNTPHGASGMSGIKQTSPEMANGIVPPQDGQTTSQDMTAPELCQAFERQLLDLDDLA AFMGGGV FPSE_10408 MTQDEYNTRAPHEGMSPGRYAATRLSSLKPPMHNVPNPIKLLRM LNSQQWAFFFIAFAAWTWDAYDFFSVSLTVTDLAETFGKSKKDITWGITLVLMFRSVG SITFGIASDRYGRKWPFIINNLLFIVLELGTGFCQTYKQFLACRALFGVAMGGLYGNV AATALEDCPEEARGLISGMLQQGYAFGYLLCTAFARGLVDTTSHGWRPLFWFGACPPA LFIIWRFMLPETQAYQERQRLRQEAGADGKGKVFIKEGKVALKHHWILLVYLVLLMAG FNFMSHGSQDLYPTMLENQLNFSKNKVTVTQVVANLGAMTGGSVVGFLSQSVGRRISI IVCCIVGGALLYPYTFVRDSSIIAAAFFQQFCVQGAWGVIPIHLMELSPGAFRTFVVG TSYQLGNLVSSASSTIEATIGERFPLPDNAEGESRYDYGKVICIFMACVYVYVIVLTF VGPENLRGKFDVAHDADTREVMGTRAMDQADQYRRSGDEENFRKDSTEKPEVAHVRD FPSE_10409 MPLTGSCMCGAIAYKSTSEPDVTALCHCTDCQKWTGGAFTSNAV VPEESFSITKGTPKQYDVTGASGKINHHFFCGDCGSSLYTRLDIMEGKIIIKAGGLDE GKANLDNKIGVEFYTRDRVPYIQPAQGAKQEHLFG FPSE_10410 MSANDYYGNSNSGYGQQGGYPQQQSYGQPQYGQQSGYPQQQQYS QPQYSQHQQPQSYGGYNQQQPQYSSHDQQPSYNSHSPAPQQGYGQQHGSSPYPPQHQD NSQYGAPAYPQQGGAPGQYPPGQPGPDGERGLAATLVGGGAAAWAARTSGGGLLGSLG AAAAGAIGANVLENKFKKNKKNKKDKKHKKRGINGSSSSSSDSD FPSE_10411 MKTSAAFLRYASPNEMRTIAREDVGYYHAVVIGAVYDFAEETNV KSPSTYVHALRRCIEEHPFFCVIVGDRTTDKAYYEHVSSIDVEKQISIVQDPEIGTDP LQAIGRSVKPELDRPFVPGIPPWRIVVLPLSPTQCHVAFCYSHGIGDGIAGVAFHKTF LEGLRETPATIPSSTIAPPKKPLPEPFDTPERLTISWSFLLAPFLAQYIPYFLVKMLG LRVSASYVNDGTWTATPIFFDAKTNQNKLKIRQIEASQVNKVVQLARTHDARLSGVLH QLITRALSKAVKDNNVTNFVGQTAINMRSSIGMPNDVATEAVSGCYTLLGRSKVTGPL TTQEWASASKATKEFASAAVKLDDNPIGLLRYVSSIREWTLGKIGKKRDSSYELSNVG VFDGDAGSNTIGKDKVKVIKMTFAQPGQVGGCPISFNIASVKGGDLVFTITWQLGALG LGGEEAMEDKVVDEICDNLKQGFNDIV FPSE_10412 MYIKGPHAEPELPVLRKLIQDNPLGLITTAITSPNYPFLQSSHI PFVLDIDDEADETKLGKLRGHLARVNPQSKAMIENLTENPHLNNIIEQDVIVIFNSPI QHYVTPKFYTETKPTTGKVVPTWNYAAAQVYGRARIFYDTKTDEAGQFLSKQISDLSR HAETNVMGFTGGDNPVDWKVSDAPDRFVELLKKSIIGIEIEITSMGGKFKMSQEMGMG DRQGVINGFSKLESETAKEMSKMVQTRSDLKEAKKASA FPSE_10413 MDKATLLTASKVDLSISTHPRSNITRLIGPALQIALRFQSLVGS ISIFLCLRACYVASITFASVLYASKIVTFKAFVAAKFSAFHGFNMSSQALASAWNSKN VQRLRNKIWYEFAVFILGSGNLIFLMLFWPGWWVLASAGWAGWMIFG FPSE_10414 MSDSGASRFKPQIKTTNERLSTHTVGLVALSDFRKRRAEVIEQQ ERESREAAYSGTSTPDVSQAGTPDNAGSDSGNGTRPLKKKKKKQGKKLLSFDDEEGED EEPAIKPKSKPRKVSADETEGSEGEFKTKFKANASVGMVPKAMTKSALRKEAAERDTL RREFLAIQEAVKATEIALPFVFYDGSNIPGGIVRLKKGDFIWVFLDKSRKVGADLGVG EKANARREWARVGVDDLMLVRGTVIIPHHYDFYFFAMNKTPGPDGEPVFKYTAEPPQK PRPTDDAALPHEPLATPASKAAAAAAAAKALPDINTLEGADEDPTLTKVVDRRWYEKN KHIFPASMWQEFDPEKDYGKEVRKDAGGNTFFFSR FPSE_10415 MTNVDTSLPPEPTGAAANFAARHSEEHPLKLYGGWFCPFVQRSW ITIHEKRIPHQYVEINPYKKEPHFLALNPRGLVPTLAVPFDAQGKEQKALFESNIVCE YLDEAFFDESKHGPRLLPTEPYEKARCRIWIDHISTRIIPAWYKLMQHTPDKSFSLED AREELRGHIKSFVEEMDPEGPWFLGTTLSLVDICFAPWAKRLFLIDYYKEGGHGIPTT GEGEDGDVWKRWNRWYEAILERDSVNETWSADERYIIAYKRYAEDTTNSEVGQATRSG KRLP FPSE_10416 MQFLSLVLMATSALAIPAKLPSKAPAPTCMEKGTKVSEWKLTDF VYNVQKSTNTATVEFDLHNAALDYTANCYGKSVSKKALFDGKTDYTCKVPNGADSATF KYNHKKGVISIFQHWACVQEGGWFEAIGNRTSTETKSNCHSTTKSETCTKASFTVPVL EMRAVL FPSE_10417 MSSLKLVLEVPRLVCMPRSSSSTKTKTEAETEIN FPSE_10418 MATQTMKAVNYQGPYKVTVEDVELPRLEHPDDVIVKVTTAAICG SDLHMYEGRTAAQPGITFGHENMGIVEQLGEGVTLLKKGDRVVMPFNVADGRCRNCEE GKTAFCTGVNPGFAGGAYGYVAMGPYRGGQAQYIRVPYADFNALKLPAGKEHEADFIL LADIFPTGWHGVEISGFRSGESVAVFGAGPVGLMAAYSAVLRGASRVFVVDRVPERLQ AAEKIGCTPIDFSKGDAVDLIIKANGGEEVDRSVDAVGYQAVGNSGDTEQPNIVLENM IRVTRPCGGLGIPGLYVPSDPGASDEASAKGMISLSFGKLFEKGLTIGTGQCNVKSYN RYLRDLIISGRAKPSFVVSHEINIDEAEVAYEKFDKRIDGYTKVLIHPNGGF FPSE_10419 MYLMMPLAFIVLNRFPRLRKWCGPLGLAITIISLTSSAFVSNVA GLIATQGVLYAAGCSLLFSPISLYMDEWFVERKGFAYGVMWAGKSSVGVAMPFLFNIL LQRFGLKATLLSWTVASAAMTLPTLFFLKPRVEVSRDSRPCPISFAFMGLASFWMLQF GIVVQSLGYLMPSTYLASYANAIGLSSVTGPILLALFSLASVPGSLIHGMLGDKVSSA KVILVSSLGSALPVFLLWGLNRHISTMVVFVILYGFFAGGFSATWSGALQEVKGDNEF IDTSLVFGMLLGGRGLGFVVAGPLSGALISAGNSLASGDSLGYATKYGPMIICTGVTA ILGAWAPICKIVKSIGMEGVASCVRVA FPSE_10420 MASGHNQYGHGYAAAQVKHHEWRTAENSSNHLLPKLQAIVKNNP KLKLLDVGAGSGTISASLAGYMPEGEVTVTDISDEILARAKDYAQSQGVSNIKFQRAN VFELPFPDSTFDVTHAHQVLCHLDTPVDAIKEMMRVTKSGGTVSLRESDMYMWCMWPE LPALLKFHQLQIKTIEGKGGQGKGGRQLLPWALEAGASRQDITLSFGTWCYSTPEDKQ AWGSAMKERSLSGFAREKAIEMGTATGGELDEMAKAWEQWIETDDASLGLMNGEALIT KR FPSE_10421 MALNSREKESGNESPVSYEDPERSNTIGDGVVTDNVQLVCPSST TDSKLMARIDFHVIPFLCIMYLLAFLDRVNIANADVFGLSEELGLEKTEYNTALVVFF VPYILFEIPSNILLKRFKPHVWLSLNMFLFGLTTLLQGFVQNYSGLIATRFFLGLFET GMFPGAFYLIGMWYRRHEAQRRYSFFFNSTTLAGAFGGLLAAAIGKMSGVRGYAGWRW IFILEGGLTVLVSFFFYFLLPNFPEQAKWLTPEEKNYVTARLRADQGHAGAERKTTFS DALNVLKDYKIIIGGFMYFGLIVPAYGYAYFAPGIIKTYGYSPIQTQLYSVPPWAAAF AFSLCVAFASDKVRHRACFAIFAILVAIAGFATLLSVHDNHKVQYGALFLVTMGAYTA MPIIVCWFNMNLGGHRRRSIGSAWQVGFGNIGGIIAVYSFLQKDAPKFIRGYSICIAF TILSIIACITYGAVCLYENRKRAANPPERELTDDEKAELGDNAPTYRYLL FPSE_10422 MATIRHARWEDAPIILQLIQELADYEKEPDANKATVETLQATIA FAPSDSPNADASVIPATEPISPTKPARCLLLISPEGEAVGMALYFYNYSTWRSRAGIY LEDLYVRDSERGKGYGKKLLSTLAKQVVAMDGVRLDWVVLKWNEPSIKFYESIGAYPM SDWVGMRVDNEGLKKLANLTD FPSE_10423 MPFPNSNIPSFLPPRHLYRHILRETSYLPPAIRPSITTQIRFKF RSHRRNDPLRDKHRAKGANVLRKLRAANSGHKKWMEELLMHAFARKGSRRRSLISDFV KPEAPSDTEALEGMIKDVQVDQKPDSGVVAKLTETTIEDDSSPVEQKIKEEQAESAVD TPKDRNEEEPKKTLVRRGPKPLEPTFYHKWDVPKLVKLLSSQRSRQQSVNMSWPKSSI KGLDPDSDIPKINIWGKPTPERVYQAKRAHFWKRAVPKVMPPLGNNEWEFLGQLSKGA QEEEQWKIPERRRAAKPLRVVKSNKLPTLDWDWEGYATQPTNKVERINPLAQFAHVGP DKTDHPYHHHSDRDLKELTPRWFRRAYQRVWHLSPKMETRPTTGKNVYVWGSFDPGVS APSRQQLEIFEGVDSNGKVPKNKQPKAPKSDDNVES FPSE_10424 MSQQSQSGMGNSFRGGYNGDPDNSGIYSASYSGVDVYEMEVNNI AVMRRRNDSWLNATQILKVAGVDKGKRTKILEKEIQTGEHEKVQGGYGKYQGTWIKFE RGLQVCRQYGVEELLRPLLTYDMGQDGGVAGRGDLNTPTKEQAMAAQRKRLYNQSADG RANGVSGTFFKNISTTASHAVAAISKARFDSPGPRSSRNGASRTASFSRQASMQNGDD FPSNSQQSFASDYGQQVDSAYSTQQANNSVQMTEPDPPRKRQRVTMTPAESFNGYGQN VDMYAAAYPGSPTEPNESFMYTQSAIHDRSPIEEGNGPLEPLPYEMSPDVENKRNVLM GLFLETTGTDPTKNDTLRGFTPLELDMPIDLQSHTALHWAATLARMPLLRALIAAGAS PARVNGSGETALMRACLVTNSQDHNSFPDLLEVLGGTIEARDHKGRTVLHHIAVTSAV KGRNAASRYYLESLLEWVVRQGSAPNSQNTQTNGNGPSNSQAASPKMGIARFMTEIVN AQDSVGDTALNIAARIGNRSIISQLLEVGADPNIANRVGLRPLDFGIGSENAENKTNG EANVENGVVGTNQRSRESSDEIVASISHLLSETGSTFQSEMKAKQASLDTLHSTLRTT STQLGEARRSLEHLSATLKKQQLAKQKVANLSHAREAEQVRLMQEQSRASQPNPSSSW ETELSAMLEAADDTSDGEFGGEGLLPSAAVLEARVRAVKKRCESTRKMVSALKGRSRD TEVKYRRVVALCTGVQEDEVDAVIDGLLKAVESEQEELEINRVRRFLGGVEGVQ FPSE_10425 MSGRKLGGGRVLGSGRGLAPPTPPVTNAPRVASPLAASESSISI ASSSISPPISGISPDLAGQDIGNSISVGAQGKGGPADGGALVCPICNEEMMTLLQLNR HIDDTHQELPEEEQDEVKTWFDKQVLKAKRFQPLSLINQKLRGLDVFESNESVPVAPP ISTVAGKNPLEGPIDPDELITRQHWQRSTSYDLCTDPACGRSLGPLNGSINCRHCGLL FCEEHTMYQMKLSRSAKHEPVRGYWARVCETCFKSREGYNDHQGVLTDHTNAFVEIRR KKVERQNLEISRLEKRLTKLTKLLANPPENLTQSNGSLLGPVTSLAGQKNPRKLIEQS VVTWEEDATVSKCPFCQQEFGSWTFRRHHCRICGRVVCGDPQTACSSEVGLNVSSDTN GATKSHPGTEKPQSATNGGQVGIDIRMCRDCKHTIFSARDFAASLQHKPADQRAYETL QQFERGIQQLLPSFHRVLLNLQPEKKESGEIDLNKPPPTRAQIQEAAKIRKRLVDSFG KYGTAAKRLRDLPTESATQRRLQAAIYTYASGFLHTNMLPLKSLPQLLRSRSSASSST AVSASRLLASHNHSGSSLRHSELADTETSSQAPSEGSTVVSQLETEEKELRERLVVLE EQRFMVESMIKTAHGSRRFEEVSALSRNVDELDAEINELKNKVGGVEERWEGVYRNGV T FPSE_10426 MTPIQKPTSAQPALESPASPPASSTPSSDSSTPASASSTPATAA MAKTFPPVQPGGSLILAWQIKHKKVLVVGGGEVAAGRILNCLNADANVTVVCPKSGLN PEVAFRVSEGQVAHVDRVFEPEDLDGASMVLVAIDDPAASTVIWKLCKERKVPANIAD VPPECDFYFGSIHRDGPLQIMVSTNGKGPRLAAAIRQFIAKQLPKNAGNAIETIGELR LRLRKMAPKPEDGPKRMLWWVIHATLTCNYADNQITRMSKVSDTYKWDEMCGLTDEDM DNLLLFYPPNKVPSMDVLQALRGGNDVKKLDVFDGSFGFSVGA FPSE_10427 MSFGRTVTLNSGWKIPQIGYGTWQAAPGEVGNGVYEALKAGYRH LDLAKIYQNQREVGEGIKKAINEVPGLKREDIFITGKLWNNKHRPEEVAGALDDTLEE LGLEYIDLWLIHWPVAFKNGDQLFPLKEGDDGKTALDQEVTLSQTWEAVTKLPKEKVR SIGVSNFNKEMLEQIIKDTGVTPAMNQIERHPRLPQPELVKYLQEKGIFLTAYSAFGN NSWGEPLLINTPEVKAIAERLSKSKGKEVTPAQVILAWSTLDNHVVIPKSVTPARIRS NFEEVELDEEAIKELSKFGEKPQRFNIPKTYKPDWDINVFDDEKEKSATHQVVLKL FPSE_08766 MARLSTQGPDATEVQQSPTQSLNTASDNNNEESTPPFFNTTFST HRVSPLHVGERRLTGQRLEVIASRLRDTLVGDVVRGIELRSETTDTAIGQVGSLKGVR IEWFRAGTLLGEGDIEDDLQIPRGDQSDLPDDQKQGLWISIEHENAAYAAILLPGLSD SSSSRREKEDDKFLHLPLLLMRMPQQLKSVVANWLAVAFDCRVSRVALGTKTILGVWE SWITSNGVNDRGNDLTVTLAFNAPLADNATPAIITRDELQNEKTATPGLRSIDITISA QDLHRFVRVGEKNSALGGATWKGGARERRQLAGGNADDGWAWREKSASEPSPFTDALA NYLHHHLALKLFHPSVRVTQISCSGFVLSQSRVKILMPNEVNPNLSRASWSFVAQLGQ RVRGEQLPQVFSTQFGD FPSE_08765 MSEAREYWGLSCINGGKFYICEDDDTQFIGCCLNDPCGKNNGTC PDGDLRTTTFESDKYAKLPAQDCDNSQGVDNWYTCAFTNPPFLGCCSQNACGSGCPRD RLVPAKLSEIENNRLDFLNPRSDESTTASSASETASATASSTSTVDANDDDGLGTGAT AGIAVGASVGGLFVLGLLAWLFWLRPRQKRKKNEKALQAASAASAPGPTMSEQPHSPM GGPIHQGTFAAHSPMSGYQQSFNSTPTVMNPHYSGVSSNDQYQKYSPQFSQSERPQSY AQFSDHGSYANSPGLPPYQQPYQQSQMVPVQEMDGTTTARQEMDAGPEHHMQRNPSIG PEILNVQQPQQETK FPSE_08764 MDSAGNRSVLSNDMQELRAGKEEISHKIQGHKANLSNPNTSEAS KENSRKEIESLGGDVNHYGQESDPRSKSAAENLEGSRAA FPSE_08763 MKVVVTGATGLVGNDIVKECLSDERITKVVILTRKAVTMDIESH PKADVIMVQDFSRYSDDLLRRIEGSSACLWAIGARPDHSHSHSHSHKDKTYLHHVNVQ LPLYAAKIMSERIAPKTPGGQKFNFVFASSKSRSSSLLSLGDPRKPKAEAEKGLCEIA DASPETFSAWILRPSTILSASSDVAPKKRRLVGGRSNVGVEASHMAKAFVKVACEGYR ERVIDNEAILKMKFI FPSE_08762 MKLALALSQLAYFFTSPRGGLFASTPTRQRGGTASRSAGRRIRK QHRRRPLLRRSTAILQDDRVLSSLSSLTMEDKKRGEAPERHRPDAEDSMEICSVEPPP DNPTCASPVAAEITSLSFLPQSIREHQEQAKRRKLQDVDMTEDTETLLPMDTS FPSE_08761 MTGDEGRQRLVPTPTPWNTPLSSPRPVFHHWRGGISETTDQFIF VLMILSCVFALCTLLRIWFSMRQTEIDFQHTRPLRHAEDGTGAEAEEMQQFIREPAVP SSSGRRGSTEETEEEDFIVGGDSDEESQS FPSE_08760 MSSFTTAYYVQPENIAALLASLLATVSVVAFLAIVIIIVFIQKR IEKSIYDDVYAAIQDAAASRKEGGTASNQDSEGEREKENEPRPSSDEISNNTAV FPSE_08759 MSAQLKHNAVWEASPLAFLSRQFIEGVPKIPKNVNLSGQTALIT GSNVGLGFESARQLLALDLSHLIIAVRNQARGDAAAKKLRNEFPNAKIQVSLVDMASY KSIQSFVEWCETLPRLDIAILNAGLSRPQFERSEASKHEMTHQINYLSTALLAILLIP ILKTKRGTSGPAHLSIVGSDMSYWAQWTGTNDSIWDVIDDPAHFSSNGVYQISKLLLI MFVDKLAQHVSPQEVIVNYPNPGACRGTEFGNDGNSGLVEKIWMAFAKPIIGRTVATG ARSYVDAVAVKGTESHGSFVGEGKIKPFPVMMYEPAGKMLRETAWKDLMEELSFVKPM EILKQAAEQH FPSE_08758 MGSLRLLLLASVAALVSCRDVPDNIKAFKESIVKQGSCNDPLAK GFHSADGDDGSYVYCGDHVKDYNVIYLQGTEGKLVNMDIDCDGIQGSSADDGRCGSSG DTQSVTSFQDRLRTYSTKQKDLDANIHPYVVFGNLGTKKNWPTFDAQKHGIKPLSIIA VVCGEKMFYGIWGDENGDDGEESMVGEAAISLATACFGKSMNGNNGHDADDVLYIAFP GSDAVPGDDGADWNATNFKDFESSLSSVGDKLVARINDTSSGNGTDSGDDDSGATRVW STWGVGFCVVSVMAAMMI FPSE_08757 MRLPWARQAAIYGLLSVAVADVLPVDDIPLMCVTICGPIVELTS KCDIHGQRMSKRQVESEWVPKLAVEPPALDKRSFSIIRAAPTSFPPEFSPGESTTTSL SSWTSEETSSVVDQVSTKKRTTMASMLMDTTTAIHTTLSTHTTLSNSGSSEVVSQTPT GTWKSSLQTHTPQQGDPERECVCLNKSFDVAKVAALCQDCIVVDGHKQNNMDIIMKSC GFESRTYTPDKDSEVDNVRVEATRPTTSMGAMENAPANTASGVQVLSVFWVGIFSSLL GFAMAV FPSE_08756 MSSNGTQEAPIEVRRICCVGAGYVGGPTAAVVAFQNPQIQVTVV DRDVNRIRRWNSRHPPIYEPGLHDIIRIARDGGRPGKISGEPTTDSEGSSAEEGEITI NERKPNLFFSTDVAKHISEADVVLVAVNTPTKYRGVGAGSATDMTAFEAVTGVVAQYA REGAIIVEKSTVPCRTAQLVADTLNMHRPGVHFEILSNPEFLAAGTAVNDLLYPDRIL IGSAPTPSGKRAAEALVKVYNAWIPRERILTTNVWSSELAKLVANSMLAQRISSINSI SAVCEQTGADVDEVAKAVGVDPRIGNKFLMAGIGFGGSCFKKDVLNLVYLAETMGLPE VAEYWRQVVKMNEYARDRFSNRVIKCLNNTLVGKKVTILGFAFKKNTSDTREAPALEM IKTLLEERPREIAVFDPCCNPLVIKSEIKELLGPLAEGHNITVHGNAYDACEKSTAII IATEFDEFRNQPPPPPAPQPTVQPKTIGRKPNPKTDPRPFKEGGSPNELLALHKHLVQ RPDVSSQDPLDRFNVEPNCDDDCPDCIQERESKESGFATGMGSSEEYKPKERVDWVRI SESMAKPRWVFDGRGVIDSREMVKLGVRVESVGRQHQF FPSE_08755 MFPQTQSSAMSPYPSHDLSEFPKTLKGKRILLCTESFGPVNGVS RTTLMLVNHLRANGAQVAVVAPHNHTEHNTFTPPPSPTLSAADKQPEVRVTGYPLPFN PELSIVYPVRNSTLFSKTFGDDSPPDLIYLASPASLGFQVMLQLRQQPREKQIPVICN FQTDLAGYCSILFPAPLSHVAVFAFAAVQSYLFSHSSIKTIFYPSSFVKRYLVGQNVP ENKLELLTRGVNTELFNPRMRSEALRKKLAPNGEVIFVTVSRIAGEKGFDFLAKAAKE LDARGLDFKLYIVGGNRNPDVEKEVQELFDPLREKGKVVFAGFQVGEDLAAAYASGDI FLHCSITETFGLVVLESMASGVPVVARDEGGPSDIVQQGDNGFLVPPNDLDGFVAKAM KLGRDHNLRAQMGHSARAYACEATWEKINNKVAWRMADTILERKRERRSPPSRTPSYL RTSTEPLIPIYGWLMMNDAIRETVTRGIVDARLMGGLGVILSFWMVTGWYMAFTECFL WAKGRWRSAERRLSVS FPSE_08754 MAPAFSIRLAATALFALSASAQSLSSSLDSLLHRTVDDVLKRPY AALLTTESLSERDGQNSTADQAPAGEIPLNKDGSMNMTAWNMNTNSACRTALGGLHKS TNPSGTCLCYNLPSLDMKTGVFEADLRLYQVSAARGSFASVAPEDINVGISFNGASVM PVQPNDVNGTGLVGKKPSMSKRADGGMELLRSYMLVGQINADKMTGNMTMDELESHLM PVLTLTARNSSGSMIRTNVSVNEAAFLTGVFSQDVVLSDFAMAQAAVSEQVAALANGT VAFILPGVELMVFPIGLIIMSVWLLTFVVVVGFGTYERYNYALMYQRRQAVTIPRKET I FPSE_08753 MVKDTAPADADQPTAEPQVTYASGRVEQQGQTDSPPDLRLLHYN DVYHLDSSSAEPVGGLPRFISVCREYQEGEQYKDQPQALTLFSGDAFNPSLESTVTKG QHMVPVLDHVGTDCACVGNHDFDFGVKQFEHLSSQNKFPWLLANVIDLDIGNDTPLGH AKKTHMITASNGIKVGLIGLGEREWLETINSLPPNLEYRSATAVAKELVPKLREDGAE IVICLSHMREPNDNKLAEQTEGLIDIILGGHDHFYAHSFINGTHVLRSGTDFKNLSYI EARRQESDPSKWDFDIWRRDIVSSIKEDEKTLEKVNELTSKLNHSLARPVGYTATPLD ARFSTVRLKESNIGNFVCDVMRQHHNADCTIMASGTIRGDQIYPPGAIRIKDITTCFP FEDPVVLLRVTGQAIFDALENSVAMYPALEGRFPQVSNIRFEFDPSKPRGERVQWAEI GGKPYEPEKLYVLCTRGYMGRGKDGFTSLLVKSEGGDAEEIIEEENGILISAMLRQYF MGLLTVGRWKNLAQHWVKVAQKCETPVSPVQTKFQVDFPDMKSVSKNLPKDKRTEEVA KNTKDPWYRFLKRRFSLNVKPHEENDSSEEEAEEKSDPMAEDSDDPDGAKLDLEILLM RKFWSKWALKAGVKTSICDPLKEGEYTVDWTTCIAPVVEGRIIMTGASTPQK FPSE_08752 MSPSKTCIMEPWKLTASEALAQIQTDELSVEEYAKSLFKKIDER DKDVQAWAYLDKEAIMQQAKSLDDVPKKNRGPLHGLPIAVKDVIYTKDMPTQFNSPLY DGHFPETDAASVRILRHAGALIFGKTTTSQFAAIHIGPKTRNPHDPIRTPGGSSSGSG AAVADFQVPIALGTQTGGSLIRPASFNGIYGFKPTWNAVSREGQKIYALMYDTLGWYG RCVEDIILLADVFALEDDEDEESCFQGIEGARFAICKTIVWPMAGPSTQKAISRAADL LRSHGAIVEELDLPSEFDNLPEWYRIGLHTEGRATFLPEYRVGKNHMGQVLIEHVENV DNFSRKTQLEAFDSMARLRPVFDEIASRYAAVITPSVPDEAPVGIESTGSHIFCSMWS GLHSPVLNVPGFKGDHDMPVGLSLVAPRYRDRHLLKVGKAVGAIFEAEGGWETKLL FPSE_08751 MARSKRGVRFPNRSDGRRSSFSSEDGGSPTKLKSQSSGQLETVN EKQPPAVGEKQAEYEKKKANFITRTFWTFVMFALFFTALFMGHIYIITIITAIQIVSF KEVIAIANVPSRARSLRSTKSLNWYWLATTMYFLYGETVIYYFKHIILVDKVLLPLAT HHRFISFILYVFGFVFFVTSLQAGHYKFQFTNFAWTHMALYLIVVQAHFIMNNVFEGM IWFFLPAALVITNDIFAYICGIMFGRTQLIKLSPKKTVEGFVGAWIMTIIFAMILSNI MMRSKFFICPVNDLGANIFTGLKCDPNPVFLPKTYEMPELFFLPDTANFSFTIAPMQI HALNLATFASLIAPFGGFFASGLKRTFKIKDFGDSIPGHGGITDRMDCQFIMGFFAYM YFHTFVAIHKVSLGSVLETAITSLNPEEQVELVKSMGHYLRNQGIVTEDAIACIDRLL PAKQ FPSE_08750 MDIDEFSDDGFDDLPDNALQELEDNAIQFTQAQAASRTEAQPPR NGSPEVYWIDEDDDLDTTEVTNDAGFPIGRPAIDNNLPRNEASQSYYVDSRRSIPPPN PQWNPVVDPSKRRPMGQPIQQHVNAAPPNQPMYTSQQFQTQSSNFARAQPSQFARPPL PQNRPAAPEQFQNRPGDVVSALQQRVVTLEDQLRAALGEASIVRSNFVKSNEVHAAEV ARLIKINAEQLAKQERITEAAVAAQQNADTELQFLQRDMREVNKRVRQKEPAASASGG LSTPKKAAKTWVADGFDEIDIALSPSKGQGRGRNSGAIALHVGERTPTKGKRKRPVVD SPVMPLETHTDSFASSNGRSEPLAQQTPIVVAPPPAVPFEFLKLVLDHGTQRPTFDVL SRFSFPDDPETSFSAIVFQKIPLMGDPHRPMQLLVDFANLMSGIWTRCQADQLWEPIK YVLSLISFTFSLHASEVAPFVIPNLAAPAQATMCTLAEWQNRIPEGEDQLKNAEFQSM KRHIKIVDILSVFYTCSLACSTALDVTEDGVKSQATMFWSCISPDLVYKLLSPKQELP DILGMLELLTTCSLPDSLGPITERESPVASHVINHVTNKFTDYHSSVKTREQKSTLYL AALRTLISFSRFPFGAMELASHSLALPRLVACLSSSIDELYDLPIPSNILPPNSEADG LRWGSEAASYSASLYQIISKCVFLIHSLVTGPDTANIAEIGQKLSMTHGGSQRYLLAL GRLTFAEEDLVMEAGIDGETVEAAHELLELAITPDEGEVVSGAFGV FPSE_08749 MRYTTVPILLSLLLSSPSLAAPNEPCYGPSGIAGVCITDAACSS AGGTSISGACPADAANIKCCSKPKCSSGNCRWTSDCAGTSAAGQCPGPSQMKCCSSAA TGFGGYSAPTIPAVGACKSVSVNAAKKVVAAFPGRIREIGCKRDCSCPGSSDHCCGLA TDFMCSDGGGSATLSGKEIAEWCMKNRNALNLKYVIWGQKIWTTSVDKTEKKWENWRT MEKRDGLTQNHWDHVHVSYNG FPSE_08748 MGVPPFLQKVGKWLSPPPSKAQDGRESWNSRASFLLAAMGGCAG MGNLIRYPSQVYNNNGLQWFIPYLMCVFLIAIPALILEVSIGQAYRGGSVVAFNNINH RLKGMGFSLLYIGFMVTPYFVVNLSWIMIYFRNSFQSPLPWEGRAEEYYYQDVVRNVD PIPGNKTSNSVQDYVQYPGTALIGETVGWTAFVWFLVWVSIFRGIGQTGRVVYFTMSL PVILTIILVGRSVSLPNAGRGIKLYFGEWNSDKLASGEIWQTAAGQVFFSTGVGFGYF SSYASYNQKHSNAVMDSCLIVGSNVLFEGFAAFAAFGVVGFLGMTPIENERIGAFTIG FLTLPTAITQLPGSSFWAFALFFTLVVLGYSSAFAMLDAVVTLIMDTKPRIRREWVVT GLVVISFLISLPYCTQFGYALLTGVDRWINDVALVFVVFSECAFSTTFYRWRDVAGQV GKPAFFIWNFGYFGGMVLGVAVAHAVQAEAGAGVGFGIFIVCSIVSCMIGTTPDDIPP ALSFGPERGFVRRTIGKSSFLSKLFGNVFVRRFWYMAFYSGQQLRRDLNVIVATGKNW SIPAFWPILLRFLSAPVLAIIYGFAYPAFYELRDDPLHILGFGLAHICLVIIGLGVFL PRWYNIIIPHDRREDGKIPYAPNVLLDDEEPRRAESIETGEGPGISEGSESQEKKQQD RL FPSE_08747 MRPGGWVESLEIDIETRSENPEVQNDKNHVFRKWYQLFFECGRM TGRTFEISRDGRQEQYMREAGFTDLVSKSWKVLIGGWPQDKKLKQVGFYNGAFIDQSI DGFAIFPIGEILGW FPSE_08746 MANRPSHSRTNSSTDHRPAQPSTLRQTVNRNQNDASATGNPAPP ESPGHPHGATIDEIFANESTPLIDGNNQRPSQRRGSVHPAHPGVCSHGTFSPRPMSPT LTMRSTDEDGSETAGSGTHIPVLDNAITTIVGHEDWKKWLKKRMRTKTMGHSSVLAEQ AGIEDTAFMYMAYYIPCLNWMRQYKASYLRGDLIAAVTMASFYLPMALSLAANLAHVP PIHGLYAFVFNPFIYALLGSCPQMVVGPEAAGSLLVGTVVKQNVGSGDDEDNDMLHAQ ICGIVAGMAGAMVVIAGLARLGFMDSVLSKPFLRGFISAIGFVIAVDQLIPELGLAEL ADKAGVSHGSPVEKIRFIIGNVDKAHGLTFAVAGISFLVIMICRELKNRLQPRYPGVA YIPDRFIVVVVSAILCWQLDWENQGVEILGTVKAANGQLLAFQWPFKLQHMPHIRSAM STSFLIALLGFFESSVAAKSLGSSETIQGIQLSANREMIALGIANMVGGCFMSLPAFG GYGRSKVNKSTGGKSPASSMFLSIISLLSIFFLLPYFYYLPKPVLSSMISVVAYSLIE EAPHDISFFLKIRGWTELGLMAVIFLATMFYSLTLGMAFGVGISMLMVIKHSTRPRIQ ILGRIPGTNRFENAEGDKASLEFVEGCLIVKIPEPLTFANTGELKSRLRRLELYGTSK AHPALPRLRHADMNRNVIFDIHGVTSMDGSGTQVLAEIVRNYTERGVRVYFSRCPARK DHPVWRLMSSSGIVEMAGDRHFVNDVEEALRLTEYEESITGESSRHREL FPSE_08745 MAAERIGSIIKHLAPGSALNSIQSKNPDDIVITLAVRTPLTKAK KGGFKDTSLEYMVYAILKQVRERSNLDPALVEDICLGNVSDAKAAYKVRASALAAGFP NTAGASSINRFCSSGLKATADIAHSISNGSIEVGIAMGAEQMSIGGDTLDKPFDEAVT SQSQEAVDCMQPMGWTSENVSNDFGVTREMMDKFAAGSFQKAEAAQKAGWFADEIVPI TTKVKGPDGQEKEVTLTQDEGIRPGTTAESLSKIRAAFPQWGGATTGGNASQITDGAA AVLLMKRSTAIKLGQPIMAKYVGSTVAGLAPRIMGIGPSVAIPKLLAQHNISMDDIDI VEINEAFASMAVYCQDKLGLTSEKLNPRGGAIALGHPLGATGARQIVTGLAECRRQKK KMLLTSMCIGTGQGMAGLFVNEQV FPSE_08744 MALSKHLLSLLLVSVATATSDDKRGLCYVHNKQEPQDDKIWAQN GGDITWYYNYEDQPSPVYKDISQEKFEFVPMMWGVGSDNSDTTFLQNVKGLINDGINI THVLGFNEPDAGNDVGGSNIKPKDAAEAWVANFEPLGEMGIKLGLPACTGGWGGMPWL RQFLGNCTEIKSRGGPKRNCTWDYLPVHWYDNFEGLASHIGERLAEWPNASIWVTEYA YAHQDPKPTDSFFKQTLKWFDESEFIGRYTYFGAFRSDVSNVGPNAAFLSSSGSLTTI GAQYLGLNTTGENSASHSKVSLPSVGCLALILAVFVKLVI FPSE_08743 MAAEYPKHPFLLTVEETAEALGTSTDKGLTSQQVAEAQAKYPKN ELDVGGTIPWYSILTKQLLNAMIIVLAFAMALSFGIKDYIEGGVLVFVIVLNVTIGFW QEYRAEKRMDALRALSSPSAMVLRDGKTQVISNPEVVPGDIVLLKMGDTVPADLRLFE AMNLACEEGQLTGESIPVEKISDNNITAPGTEKLVQSEDEIGIGDRVNMAYATTVVRK GRGRGIVTSTGMSTEVGKIAASTSKKTRKAGRSMNYKKYGKKQPFVGASKRTWDVIGK FLGLTEGTPLQRKLSALAYVLFGCAIILAIVVFAVNKFDMKNEVIIYATSLGIAIIPE SLVAVLTITMVVAVTVMRKANVVVRDLSALEALGGVTNICSDKTGTLTEGAMIVRKAW IPSSHIYTVRDSQSPNDPTKGRVTYSKQNDSEPEEPPAPRDYDRERSAAVLKFDVPDE KLNQNNNAPTKQAEPEVECEMTDELNAFLLSSALCNLATVRYDEEEEKWQVTGEPTEI ALQVFTHRFNSGKKTLEGQGWKQTAEFPFDSSIKRMSVIYDAPEGASGSIIETQNSMV FTKGAVERVLDLCDYAGTGADQQPMTEELKEAVLTQMNNLASQGQRVLAIAYRPWDGR FTAKQASSPAEDEKLRTEVEQGLILLGLAGIYDPPRRETKPSIAECSNAGIRVHMLTG DHPETAKAIAKEVGIIPKNMGILPDHVAKSIVQKATDFDRMTDEEIDALEELPLVIAR CAPDTKTRMIDALRRRGAFMAMTGDGVNDAPSLSRADVGIAMGSGSDVAKSASKIVLT DDKFNSIVAAIREGRRMFDNIQKFVLHLLTSNVGEVILLVCGLAFVDDSGFSVFPVSP LQIIWINMATSSFPAFGLGREQGAQDIMRKPPQDKKRGVFTNQIIVDMIVYGIIMGAC TMCTFVIVIYGANGGNLGEECNQRYSEACIPVFKARAATFAELTWLILISAWEFKSLR RSIFRLNPDDDSRFPVFKDIYSNRFLFWSVILGGLSVFPVVYIPVLNHKFFKHTGITW EWALSVGFTIVFVAGIELWKMTKRHFHLLEDAPVRRGVWGQGGDDAGRLGRTMSFSSF KTWASFSRKDTGESLGKRDTSRGPSERHIVPQGLAATEA FPSE_08742 MKLAYSISYLALWANGACAYKRLSPQQAANDIKGQDLDNSLQTL YSIALNNNNSRAFGLPGYKVSLDFVHKRLSHGDQFDISVHPFTHLFSQTRKIVLTGPE GEKVNAVSLQYNHATPLPGGVTAPLVLIPIDDERGSGCFQDQWKDIDVKGKIALIKRG KCHFINKLKLAKENGASAAIVFNDNPAQTAGSGSLGAENINRLASVGVISYLTGNSWA ERLKNGETLEINLVIDVITEKRETWQIIADTKEGDPDNIVMLGAHLDSVQEGPGINDN GSGVAALLSIAESIKHKSFKNKLRFAFWGAEESGMIGSNYYVSNLSKEQASKIRFYYN YDMIASLQPYYIVYSDSDAHKTGAKFLYEYLTEHGYPAEYTPFGSSSDYIGFLELGIP SSGIFTGAGPPQDVCYHTACDDIKNINKEAFLINAKAAAYAAASLALSVGEVPKHEIS STNPSSKRGMARNMARWANVARGAEKVHSCGSERKVFM FPSE_08741 MAETNPSCLLYGPGDARFEDRPFPHIEDPHDVIVKIAYTGVCGS DVHFWTEGGFARKVSQQQPLVMGHEASGIIHQVGPAVSQLEPGDRVAIEPGFPCKSCN YCKSGRYNLCRKMKFAADPPFTHGTLSRFFKIPEDFAYKIPDSISLEEAVLVEPLGVA VHGVRLADIRPGQNVIVQGAGTVGCLTAATAKAYGAKTVVITDINPEKLSFAKGVVEC HTFQPQLDATPEQEAARLKQEAGFDLGADTVLECTGVETSAHTGILALAPGGVFVQIG LGKPIQSLPIHSMCEKEMTMKTCFRYGPGDFEIALELLESRKVSVSSFISSIAPFEKA PEAWVRTMKGEGIKNLIKGVEG FPSE_08740 MSMSAEETSKVRDGFPRPFPNIPSNVTEQFRLNGKVCVVNGAAD GLGYAVAEGYAEAGGNVALWYNSNDAAIEKAHSLSEQHGIKASAYKVDVSNAQQIQDA LKKVLDDYGKIDVYVANAGMAISKPILEQTIEEYKKQMSVNVDGVVYSAKYAGEIFKR QGFGNFIITSSMSAHIVNVPTDQPVYNGSKAFITHFGKSLAREWREFARVNIVSPGFF DTKMGASPEALNEAYRMAVLGRQGHVKEIKGLYLYLASDASTYMTGSDVLIDGGYVLP FPSE_08738 MCRGELSFFLVGPPGSDPGFDGKKLPTEGFIVWDGTWAAHHGPE IWHRADEFLPERFIVIDREDPLFPPTNGWRPFVSGPRNCIGQHLLVLEVKLVMTLVTR CFDVEVTWEK FPSE_08737 MSVKVLVVGKGAREHALAWRLIKSQSVKQVFVFPGNGGTSFEEG AAPISNLTGIRAGLTGYSDLAQKAKQLEVGLVVVGPDDDVVNGIEEYFREVGIPCFAP SRKAAELEGSKVFAKTFMKDHGIPTASHSSFDDFDEACAYVRKVFTDKDHRIVIKADG LAAGKGVVLPADPEQALKDLRSIMKDGVFSTAGSSVVIEEYMEGFEISILTFSDGKTF FSLPAGQDHKRILEGNKGPNTGGMGVYTPVPMVTSDITQQIDDDILRPTFEALQKQGC PFQGLLFTGVMITKTGPKVIEYNVRFGDPETQSSMLLLSDETDLAGVLLSCTNGTLDQ IKDTIKVKPGFACNVVIASGGYPGDYKTGKTISLRTPPPDDVAIFHAGTRRDVDDGLL KTAGGRVFSVAAYGETLQQARDKAYQGVECVSFESKVFRTDIAQGGLSN FPSE_08736 MTTPVWLITGSSNGFGLLLSLRALKAGHKVISTVRDTTRSADAV SQIEKAGGKIITLDMTEPKASITEKVLDAEKVYGRIDYLVNNAGYSCLGAVELFTEAE AEHQIQTNLFGPLYALQAALPGMRSRGSGTIVNFSSIAGQDALPSCGLYSASKFALEG LTESLSKEVKEFGINVLIVEPGAFRTNFLDASVKSNISVEKAYQGTLLGETYTKFANS SGKQRGDPNKGVEIIYEVATGEGKAGHLKGKILRLPLGEDCFTRLGTKLQSLHHDLDA TREIGTTTDLEK FPSE_08735 MSQPILSLKPDENKSKAVANILPCRIHHDGPIEPTSTYWTPAAT DDGTKLAYFRGRKLQGKVVKLPEDYRGIVVERVPQQDPKTAIEEIVEDEDAEQEQTGS MQVTAEFDEMVVWGHEAVADASADPYVRSMEEWLQVADKIHSYSPPEDISQK FPSE_08734 MAALSSNWKKLQAKLKEESASKPSLKRKPETPATNPPTKKPKVQ KSFTKTPKAAKSAQTSDKKKMGGVHSSKIEETAPGTSTSLALWAEDHDVSAEALAEAY NLGAKDNSMMLAAAKDKINHGLTEGIEIGKYIAIDCEMVGVGPGGHESALARVSIVDF HGVQIYDSYVKPKEKVTNWRTAVSGISQKSMRFARDFEEVQAEIDKLFRGRILIGHDL KHDLEALILSHPGKDIRDTAKFSGFKKYANGRKPSLRVLAQQLLGVEIQGGEHSSIED ARATMLLFRKHKSAFDVDHANRYAPKTASGGGQKGSKPKKKKK FPSE_08733 MAGGTPGGEPTVGSGEPRLKSLATPETIKTGCIAWVEKEGQPRR AEILSIKTTKSGKQFYCNFDNFNKRLDEWVPVIRLDFTREVEWPNPEKDKPKDPKAKK APTVQSKKTQPSKKSQKRPSKREQSTTSEANTPHPWTDFVENQNRQKSASIGPDGDSQ ARASVDGGETPGGGDEMEVDERETEVKREPAEFSREVEIEKLRTSGSMTQNPTEVSRI RNISKVQFGRFDLYPWYFSPYPEIFSQEDVIFICEFCLSYYGDLKAFTRHRKKCTLQH PPGNELYRNEEISFFEIDGRRQRTWCRNLCLLSKMFLDHKTLYYDVDPFLFYVMTVRT EKGCHMVGYFSKEKESADGYNVACILTMPQYQRKGYGRLLIQFSYELSRIEGKLGSPE KPLSDLGLLSYRQYWSENILEFLMGYNERDEKVTIEAISTALAMTTQDVEHTLQALRM QVYHKSDHKIVIPEKLIEQREKTKLKRKRTVDPTKIQWKPPVFTASSRTWGW FPSE_08732 MTLRLLASSLLALSATLANASPDDEQIPLADYRRICPDYTRYAA FPHRPFSTGPKALPYQRPAKQCRTFQSDAIEKVIEDVTSRMKDPDLARLFENAFPSTT DTTVKFHTKGKTDTGFFRMGSFGGFHDEGAWEGPQSFIITGDIIAEWLRDSTNQLRPY QPLAKKDPAIHTLLLGAINTQAEYVIESPYCNAFQPPPISDLPVSSNGQDDNIHPAYE PRAVFECKYELDSLAHFLALGNDFHDHTGSTDFVNKRWLRAVDTVLNVLTEQSKSTFD PKTGEFQRNEYTFSRRTDTGTETLNLRGVGNPLNWGTGLVRSAFRPSDDATILGFFIP ANAQMSVELKRASKMLAAAGKTTLSQKLESWSSKLREGVMEHGVIKHKKYGDVFAYEV DGYGSSILMDDANYPSLLALPLMGFCEASDPIYQNTRKMLLEKSGNPYYLEGKGFKGI GGPHIGLRNAWPMSLLVQARTSDNDEEIKECIDLVLNSAKLGLVHESIDVEHISQYTR SWFAWANGVFASTILDLAKRKPHLIFGEGATAYEI FPSE_08731 MRTKTTHASEVPSDPQSGKHQINLIRGWPNPGLLPVDLISAAAQ RSLSDPSIYVPALQYGADPGYQPLREALATWLSEHYRVERDPNRICISGGASQNVACI LQSFTDPHVTQAVWCISPSYHLVFQVFRDNGFNGRLRATPEDEEGVDIEALEAKIAEF EKNAPEDKPPLSDAGKDRKHYRHIIYAVPTCSNPSGKTMSLRRREALVRLARKYDALI ICDDVYDFLQWPIDSNPNQVSARVDDQEHPEMLLPRLCDIDLAMGQADNDPQGFGHAI SNGSFSKLVGPGMRTGWLEGSSAFAYGLAQTGATKSGGSPSQFCASLMADLLQSGSLQ ERLATTIRPQLQHRHRIMMEAIHEHLGPLSVGIRDAGLPEGLVYGGYFVWLTFEEGIS AKVVSQAAVAEENLVVGNGTMFQVPGDGKTVHLDNAVRLTFAYVPEGDLAEGVKRLAG VLRRIKENPEKYTASASTTADSDIIDANK FPSE_08730 MDEHKKFLADRLLSEERPITYRLLSRALDVHVNTAKEMLYDFHN YQNAQKSNSVHATYLVYGTKNVDNEQSDGDVEMSSSIPEQDETPVSTLTLVREEELSD ILAAYQEVTSIHVYSLAPHPQKDFSLLSDLATQLSEDSTNGDITSASKKYGVISNPNA RRRERKGRLQPPASAPSQAVKKESAASRTAPNVKPKQEASSAAADKKDTKAAKQEPAA SSSKDGAPAPTGGKKAAASLKRGASGGIMQSFARAAAKPAKPKPTPKKEEDSAMALSD DGEADDSDIVATKSSKPAADLTDIKKRRQEREDVLRKMMEDDDEDEKEESDKESEQAD EEMEEAPEPEPEPEPAVKNETKEPAELVSSTGNGRRRGKRRVMKKKRILDDQGYMVTI QEPGWESFSEDEAPPPAKKAAPTPTPSSSTGSKAKKPAAKGQGNIMSFFSKK FPSE_08729 MPEHNVSDSTDWLTTPLSALAAVEGALRCQVCKDFYKTPMITNC SHTFCSLCIRRALSNDGKCPLCRATEQENKLRSNWSLEEAVQAFVGARSDTLELARKG NSQNTISKRKATTDRHDSGDSPDGKRLRSSSRLQNTRSSARQTPQIQYDETVEVPDSD GEDDNDDDEFQPEPEDGLVACPVCQDRMKDWQVFTHLESCTGPKLKPQRTVSSVSSPI YNQQRQPTKAPDRLPTINYSMYKETALRKKMADLGISNQGPRALLERRHKEWMTIWNS NCDAAQPRTRHDLLRDLNVWENTLGGRAPTTGRSVQNAAIIKDKDFDGAAWAAKHDTS FKDLIANARKTRIEAKEKAEKAARETEMEEAAKAHSQAQEPEVPTQRLTGRVTGRIFP TTEEESYQAQEPEASTQRLTGRVTGRIVPNTREPPGENFQ FPSE_08728 MGELADYLIKNDPSFSRSRLPARYSDFRSQRTLNPDGYQANISA WRQALSDLVAKGMISHRGSTPDHFVLKLDDSLLRSLEHKEFGQPLALGTVVRESTAGR DFIPFNDFEKSQQSIYQSSWAGLPWSVMSWTLRQLGVIDPSRGDDKLPTGQYVVIKNM ETAAKELGDLMADKVSRFDRVFSRAQFQKAFSAALVKDQRLTDNDLDVLLKFLSRDKE VIDYDGNTIRIKGSGEQGGITKEDAAIASLKELTESLKHQTDLLNTRIDELAQTAKDA VTRKNRVAALAALKSKKIAEASLATRYSTLNQLEEVAAKLEQAADNVQLVNVMEASSG ALASLNKQVGGADRVDAVMDQLREQMSSTDEVNAIMAESTGQVVDEDVLDDELEAMEA MEREKEEEKQKTKEAAEEKAREAREAAEAQKKLDELPSVPADTEEIRHKEQTPTAETG IANLAI FPSE_08727 MASAAAKRLTGKTILVTGASSGIGRSTALEFARTAPKNDLRLIL TARRVDSLKELAQKIKSEVGDGVQVLPFKLDVSNPAEVKGIVNNLPEEWRNIDVLVNN AGLVKGVARAPEIAEEDINIMFQTNVTGLINMTQAILPIFLARPDGGHGDIINVGSIA GREPYPGGGIYCATKAAVRSFTESLRKELIASRVRVIEIDPGQVETEFSVVRFYGDKS KADAVYAGCEPLTPEDIAEVVVFTATRRENVVIADTLVFPSHQAGAGSMHRKGT FPSE_08726 MRNTLIFAGNSCPVLTGQICENLGMHPASAELTQFSNGETSVRI LTSVREKDVFVVQSGSPSINDSIMELLIMISACKGGSANKVTAVLPYFPYSRQSKKKS HRGAITARMLANLLGVAGVKHIITVDLHASQMQGFFKCPVDNLHAEPILAKWIRHNVS NWREAVVVSKNAGGTKRVTSLADALKLNFGIVTTDRKRVSNMTASMIMRHFDHNVDRQ PTPLESNRPIPYRGPPQAERAAEADVTPKRETPPPRTRIVTNSQGSPTRADSSARAPN PDMTEHVDSNATPPAGSPDDSVNGETDYDDHKASEVTQGRLVQGRIVEDDYPSPDRSV VDGSVDDDPMTMSHASSFFVPEPQSLGGSGDAAASSDEEDNAFEEPGAEHLITLVGNV KNRTVFIVDDMIDKAGSWIAAAETVVKKGGAKRVYCMATHGVFGGDSLEQLQACECID QIVVTNSFPIDKDRARSISKLVVLDLSFLLAEAIRRNHYGEALSPLFQHYGD FPSE_08725 MGIPRLISTLEPYVVHGILDNESIVIDGPALAYHVLYICNRHGI PQPSYNVLGETTIAWLDALVDRGVNVEAIYFDGYLPPDKEPVRMHRMVKSLAQLKRTH ATEIDGYFPAYFSTPDETPPALFSTARPPVGKPTIPPSFHVPAIIDALRLSPRYAKFV HLVPGEADAYCAHHLLQSGGTVLTSDSDLIVHELGKGSVVFLRDIYLDETSHLACARF SPEHICEKLKLASSAEICRFAYERKSSPHSTLPQLLQDCIKPVADQTGYTEFCREYLD HAIAPIPVSAHGTPISIDNLDPRISELVLQLAQNEDQMNEISELKIFLPILLENPEKG SAWEQSTPIRQLAYTIARWIIPGPSLAVQEYRRVNTTVQKGRQALMLSEGAARTFAEG LTRLMSTIKTRTRGDTALAWHVLYLTLDIRYCHEEGKHSHTLHTLETSPQKPLLKRVS WDIIHFTAHIQAAYYSFRLLKQVLSLGQPKKVLPELWDMLSTLPSLAECPDINSTLEL LQNSSGTRIYETIAKSVPLPSVDVSEKPKRTAKNRKSRVVKENSPKTKARVTKSGTRN MFDILSS FPSE_08724 MSKPSMVPHLHEPSAEGSAPPGLESHLRNLIISNGTPAQGPLAN TSSQQASVPQHGIDDRSAQAGAPEGVSHPSKPARKRMNQAQRRQMSSQLSISIDPRAQ QQQQQQPQNRNYQSPTSHYSRPSQSYHRHVHADTQPRGAYNENRQGGPQPHRPWNGPQ QHRPRGNDHYQPPNHGRQDSMLAQPNRGAGHLYNARRAVQFHPEEVAAQAALLDQLCF DVVTSSEIERSEIAEKEDFRCRIEAISREVIATHEKKERPEAEFHPFSIELKCFGSLS SGFATKASDMDLGLLSPMSATQPDAPGSPIPRLLEKALLEAGLGARLLTRTRVPIIKL CASPPEKLRQGLLEERFRWENGLDEVHEGHDDDENDQHTAPNDQENSQDQIRETPKEA STAPESISPDAGHEEPQVVELKQGSKNSLSSYYGLAKRVLRRAGGRDVTISNYRSFVD NDWVLLNRVSEAFIAGLSDARLQDRLSRYPSLIFSNDTNPPIKRSLLGVYTQVEGEQI RMLWEESGVEERSQPSRFHTEQSLKVWEDAQYKENFGIDPISHTKELQLALDKFKKAP SVQFVILEQGQHETPASYFTRASYIFNGLNPANEDVSSKWVGILMSQYVSGIHQEDTR KSLQSFIGTCPKSPTLRGVGLLHKSIHLAWEFERALDKELYDDAVVQDIKDYVELLRS PLQQADNFDFGDEFSIPLTPSTLDLSARIRQLPDPHKMAPNQPRDRYKDHLEFPKTGA GVQCDINFSAHLALHNTALLRCYSHTDPRVRPMVLFVKNWAKIRGINSGYRGTLSSYG YVLMVLHYLVNVADPFVSPNLQLFAPPLPPGLSPVEFENMTSCRGHNVQFWRNEEDIL RLARANQLTRNSDTIGHLLRGFFEYYAHSSMLSTSAGRGFDWGRDVLSLRTPGGLQTK QDKGWTGAKTVIEAQNVGPHPPPQPEQAASTALDVKEPAGKEIATQPKQANGAAKNTD FKEVRHRYLFAIEDPFELDHNVARTVTHNGIVSIRDEFRRAWRIIKSAGNGSPQESLL RDMNDIQEDVSPLSLLLDDIHGFGQNRNK FPSE_08723 MSAPPPPGNPPANAPARPNGSSRNKKANPLRPMRKKPANPMVTR RPAPRPAAPSGSGAQNGAKPNTEEIRRQNGGWSEPPPATYSDIPIMTTKKALLDGIRY HMMKLIQTKGDKSIDPTDQDDFARPVTLHRRDARQPPPGRAVKVEAPEAPQPDEQEAE RMAQVKAEREAQRAIDQAKIAPVAKDANPKRPKKQKEEKTTFNRAPKTVAAKKESDLR YEEALPWHLEDADGKNVWVGNYVSALSESSVAFMIDQSVFRMVPLEKWYRFTSKPPFQ PYTIEEVEAFMGKKVDVGRWVMKDEEKRAEQSDREATRRMFYGGGQMVKTESATFKAA SRSEKLDHDDIDFSGDEFQDDDETPMFERNNDDEDAKDAKDRIRREQLGANLFGDGDE QEVDKELHEKDKEDELRRELGKTTKKALIKRDQENIYESDDSTENPWSSSSDDNSSDE EEDEEKKEEEKKEAGKDDKAQSGSGSKGTNTPSGKKPESSKKGKSLKRAGSPALSESS GNESSRKKLKKNAASAAASRSGTPLPQGAAARRAIGAGSGSDGEATAGEMSDGAMAKR KKLKLVSHSARGTPSASRAGSPNPQGAASPGSPRGSLVEPSEILDKIPDEGIVIGELI KAFQHRLGDRPGLMPKSEWIQLVKALCDYGPDKRLRRRT FPSE_08722 MPSDLSVYLASKYLVADPKPTKKRKRKRGTEANNGLLITDDDDS GWGNTNAQDDDEGLDGPVTVSGQSSEFRKTKKSNWKSLAGDATPKDDSAAAADAILAS AAAEQNAARDEDEDMPIVEDDGSAVKMSDGTHAGLQSAATVSAQLKRRQKEEREEFEK HRKSAKEEETVYRDATGRRIDISMKRAEARRVAAEAEEKERLAKEALKGDVQLEEARK RREKLQDAKLMSFARTVDDEEMNQEMKEQDRWNDPMMQFMSEKKDSGKGQGKKSKRKP VYAGAAPPNRYGITPGYRWDGVDRGNGFEAERFKAINRRERNKGLDYSWQMDE FPSE_08721 MPQPLENEATPTEPLQALPAAASGMKFTKSRHSTPVLASLPLSQ SETNLAYRRSNPSAASLYASTLSPPGSRSISPAGRGSPARVLSHTVFDARPNRLPETG GDASEPLNLMLRAFVPHVSIYTSEDTDSLMGEKGFRGGLWELLRPFGEDVQGKITIRD SNGMSRVADGFSIRFTRFGDNIEHPDPTVSGFRSPASAPGQNGSQASTSRDKQFLADV ESVVDRHLSYAEQSFAVLPHYGMFADQNTASGETSPYYALYLRRLLSGLPISPHETFS HPVACVIAVSSRNPDPITEMRKLYTETNEGSKRLPPWVDSEFIRYYVLVHDEERDDII QSMAVFEQMKRHLGIHCHLLRLRSSQSAETDDDSIPLPRSDWMSAHEELEDIRHSEDD EDFEDPTRYIFESDATAIRTFVREMVTMSIIPQMERNVSIWNDQVASRRRGITGRFMN LSRKWAFGGSSRNSTGGTSNSRDNYNAAGFYGSEAPEAIMRKLADYSFMLRDWKLAHS TYDLLRSDFSDSKAWKHHAAANEMAAFSLLIFPQQMSSKNRAETIDQMLEAAFYSYNT RCSAPYGALRSLLLGLELLRLRNGTNIDDAGRWGVRLLESKISGNVGDALIKERLAVC YSSKEGVGSWHWGSRRRKSATWSILSADAWLQQSRHIQARRCLDEAHRTYSTLPHKEG ITKFGAAGHFMASLQHSLAESPDASDNDSEDGGDDDIDEESEALNDLRPRRASTVTAD MFRDTTKEEGSTTDDGT FPSE_08720 MDEEVKNSGMHSKSDHKPAEASASQTDPSSQSSICDELREPSIP PARFWVLCVGVFLGLFLSMIDTSIVATSLHSIGVDFEVLEDVNWVALAYTLAYLGCAI VFARISDIVGRRDAFIAAYIIFFVFSLACGFAQTLNQLIAFRALQGVGGSGLYALTMI MMPELSPDNLKQHMAAMVGLVITVSGVLGPVLGGILTHYASWRWVFWINGPIGFVSLV IFIFSWPKAEYLPSQQRRAWKELDFLGSFLAIAAAVLIVFSFQNAGTEPSNEGWKTAI FIAPLICGLLACGLLVSWQLFIQHRWHDRFVPAFPVNIFRSRVYSTAVVNTLLNGFPY LLLIYVIPLRFQVVSSKSSLISGVMLLPMLGSSAIGSVVAGKINNTKNYTFESLLVGS CFMTLGCGLLASLSHESEDAKLLGYMTFCGLGFGLTVASSTMLSMVEVPIRDYAPAQG ILSQVRLLGGSLGIATSSALLNEKSSKYLASILTPYEQATIGSSNTPLSKEQWSAVRF TYADAFKVEMKVATAVAACSVISAFGAFRRQRLLVAEQRAAIVAQEATRRRDQAK FPSE_08719 MADVMSQTPPVLHGPSEKERKYDRQLRLWAASGQAALESANILL VNSGAGTVGVETLKNLVLPGIGQFTIADKSVVGHEDLGVNFFIDDSWLGKSRAEACTN FLLELNPEVQGEWYPKNQGDSFDLEAFLSDSPTFTMILYALPLPQDQVQLIQNYAHQH SIPTISVHSVGFYSYFKSTLPGTFPIVDTHPDETATTDLRLLAPWPELVEFSRGMTEN IDTLDNHEHGHLPLVVILLHYLEQWQQTHDGAYPTSYADKTSFRKTVSEAMRTDNPEG GEENFEEAVAAVMKHVVTPSLPSSLQQVFDFKHQNPEETKSSFWIITEAVKRFYAKHS RLPVPGGLPDMKAQSSVYIKLQNIYKERARQDVSQVLETVRGIPGGEDVDPEQVELFC KNARFIKLINSSEEMAVKLDQVVEQQLANDEIAAIAGPEMPLSLIPLYLALSATSNST TATADEIMAFISSNAPQAADNERYKKTAQELERAAGGELHNISALTGGMVAQEMIKII TKQYVPIDNTCIFDGIDSRCQVLRL FPSE_10474 MQAERRNTCPACESQLTKPDDAVITNLNPSEDYKTSVLSGLSPN VIMECAGRALSFWAYQTTQNIYYQQHLYRTLTEKYSGLGIRLEKTVSDANTEIEGLHH KMSGLAAEQESLRRKHEEISQAYKEKSRKVLQLQELYDKVKRRAELGQIQRAASDAVD HTLQAAQLDPGYGGNMTAQGNLENNPAPVFGQSHRVNVSGMNNGAARNYHNMATGGNQ WSRLGGSSHRDLSGVPVGGLRRPTMGGSTVHQGTGLPTLTGPSMTGFGRSRQSPNNFA PGFINRGGLAGVGLTSGIKAHIRFMHSQKLSMPRNSNNRPEPQTQPVLGAASSSSSSS SLFDGRFSRAFVGPANKPTTVFALIKSRICMQTRRKLGKMGLRKGSDLRARFKAREAT SEGQGKPVVSAPFVAPQQHPLSNTNDPTYGDRQPSSRQSIQYSKLGHQRESLGSHPVH IAPRDRYNDDDNQLCETPSLSREAQAELLKVVMDLELQIEKATIAANMYFHQAHGWAP QSPIPDDLDSLPQMLQGLYAVMTWMQQRIQVYETMAPRLRGH FPSE_10473 MGKSSQYGVILDAGSSGTRVYIYKWKNHAKAAKDASAAELKALP KIKLKENKKIHPGVSSFAENPSQIGPDHLKQLIEIALDEVPDSKISETPVYLMATAGM RLLPKPQQSALLKSMCAYLQENTEFILPDCDAHIQVISGETEGLYGWIAANYLLGGFD HPEEHDHGKNHHTYGFLDMGGASAQIAFAPNATESTKHADDLKLVRMRTLDGSPAEYK VFTATWLGFGANQARSRYVERLQEHYNTDTTHELPDPCMPQGLRTTPDGELVEKASDK TVLVGTGKFDECLRVTYPLLGKDKPCEDQPCLVNGQHVPGIDFDINHFVGVSEYWHTT HGVFGKKHKAYDLATYQNNVMEYCSRDWSDIKNDLDKRKKSPEKKAEEAQLACFKASW LINMLYDGIGIPRVGLEGGANNTVKDDGEKSFNDPFKPVDTVDGVELSWTLGKMVLYA AGQVPPSSSELPVGFGSNVEKGIAEDFQHAGSSPIAPHTGDDDDDDDDFEDILKKPGK STGGVVAFIIIILLAAYLLRKPERRRKIFSMVKRRKRSGKPGRGSSLVSKIFGRRSGP SYERVMEEGDYSDFELGDVDSDDNDHSDSSSNGSRKGRTSGLATPSAFAGRADELTRP PSAMDRAGLVVRTESRERLSPSLLSAGRKSRNGSPTRAKSPFMTALQEDE FPSE_10472 MSSPLFGIVPAGQSLITEPSSTPSPTSLLYALPTSKPFSHIVVF MLPGITLPPNTAAAIYLATATDVAAAAQTGGTPNFRFLGGIGPGKESAMFKVSGGGEA SDLVIGVSVEPEESVGQRLQELAANKASNPSSGQPSTAILAQRIIQNAFNFLSSFSGT AGPGGVEVVPLKAFENWWRKFESRVRTDPSFLEKQTD FPSE_10471 MAKANINLIDEVDAFPYAETDPDAFAKMNEGLYTLVWEDSQGTY PIGYVLDRVVKELHQVPEDIRGKMVCDEVERTILLFQEPTEEERTRRVATLADYWRQN GTFPLLRGWRNELWPVYARTGELLFSMERAAMGLIGTMRYGVHMVAYVKDKTAPHGLR LWVPTRARNKSTFPSMLDNTVAGGLMTGEDPFECVIREADEEASLPDSIVRKNAKFVG NVTYIYITDEGHVGEGDFIYPECQWVYHLELSNDVIPQPSDGEAERFDLCDVDQVKAD LAAGRFKPNCALVTIDFFIRHGILTDENEPEIKQIKQRIRRNIPFPGPHRSDWRPL FPSE_10470 MASGDATLFEESFTVMEYDQSKYDRVARIHCTSADSQTIMSLDI NIELFPCVRGDSLHVVLTTTLALDGSKEEEKGWRDVGKGGDAPATIADLYDYVCHGKI YKFEETFDGNTINAYVSFGGLLMSLQGPVKKLTPLRVDNVYLLVKK FPSE_10469 MSFRKRNVVIGAPGSLPPTPRQEKSLAPGTRPSPLDGRLTTSTG TQSLDQLLSGHAGMPMGTSLLVEETGTTDFGGVLLRYYAAEGLVQGHQVHLLGFGDAW RRELPGLGNPEGSRKSKSSSSSDDKMKIAWRYETLGQRNVPARDSQGPTSPGQTQSTF CHTFDLTKRLENSAIKGQLHTMPVEGPLASPTHTPFQKCITEVTSKIRNSPPSTIHRI VVPSLLSPTLYNSAASQPKEILKFLHDLRALLRQFSAQVTAVVTIPVTLFPRSTGLTR WMELLSDGVLELIPLQQAPVVREPGNEDKGQGLVRAHSLPVFHEKGGGLEGTWNRENL SFKLSSSSGLVITPFSLPPIGDEEEPTKAAKPNEPKKESLDF FPSE_10468 MASPQSPSVRISKIAGRYLVFDSEAAGFLRRSENINGVLVGTAP QQPTQNIFLGLPIELRPEEAESLLRKNVAYLVDDVAAHQAVLQNPSSEARRLYLDSLK TRKQTAQHVFAEKNAQRAAVAEKRGKPRRPAPPSNTEEDAVFASDQPEPIIRQQESAI KSMGVTPTSSGPLISSEAERVYQATNPTQGPLCGFLLTSGYYMTPGLRFGAKYSVYPG DPLRFHAHFMANQYDWDEEIPVLDIVAGGRLATAVKKAYLIGGRQPQTDESTDDGGMR TFSIEWAAM FPSE_10467 MSGDFMPSAPTPLTSFTTNSGLNTASASVSWYNPTAWSFNVTRY APKLEDLVRAGPRLVKKLGSIVSISDYLDTTSNISDYLPAAAGASAILAEADPTIQNI MEPGLGTFETPGADTAATMPMGRVSVDSARSLGSIFGYATSKWALCCIAMAVILNRTH IFAATRRRLRLRWPTRLLLRIVPIILLVFQARQILQSIQCQTSPDFSELRWGNASKSS DLMFSHRNAFFNSLSSVLLFSASDEESCIAVQMIPSDESGSARNLTGSLSLLWPLFGS FCLSQFLETVSCAVQGRPVAPETGMTLFEQSLAFAEADAAISNQLGWNLFTKTSSTDV PSSGLGNAIALTRSMVLKRVNTSPEVLLVAFLSSMTHITSHVLGVFGLQAKYRLISTG FWGLCFMGSIVWAGISFDLDDPSSQSLLRFPTVCIIGFVPHVLVLLGIGVCLLIYGLA LLLSALSPPSASDMASMSFRQRLIHAHENMQANVSLSSVRITREMDFYTALLRTGFTA ISMASEAVYLNEDKGISLKRRTWLEEQRLKEAEELQRKLIVGGLPDSRYDQVGAIGLI PIKGGPTIASNGYARERAAQKIPKGRGERNVRAGIGASERSSRWLMALDFLLSINKLV ARISAKSLLWCLSVIRIRYQPAWLLSLAQHPKRMESKDKRKSKSSVPIQPPPSGPSFY GDGAIPKETSSDIEAEFRRTRSVEDEDSFDEALYKYWVKNGWWGSADTSGDFEPNSDD DFDTTSVISLSTAGGAFDDYQAWESDEDNDDGQRTPTQRSPQMTRESTPFQDTPMQIA DLARLLHPTNPEEREEALTLSAHLQSDGIMTRAKYRRMEQLQRTRVLAAPGSSLLQPR IDTIQPGRNNKLDLDEEERLLEQLLLSRRQASTARYTETSWEDGGSGLGSEGPQCVVC QSSPRTIIVWPCRCLSLCDDCRVSLAMNNFDKCVCCRREVVSFSRVFVP FPSE_10466 MTDRRRINGPGGNTVPPIFESDESIVPRRTRAANGIRAQYLKTG VTPSASGSAYLEIESQDASGSKGMKLTCTVHGPRSLPRSAPFSPHMVLSTHVKYAPFA TRQRRGYLRDSSERDLSSHLEAALRGAIIADRWPKSGVDVIVTVIEGDQAREVAVEQG SEEWDMMNVLSGCITVAAAALADAGIDCVDTVSGGVAALVPGQDNDEPIMVLDPVPSE HSQILAACCVAYLPERDEITNLWLKGSLPSSDANLHRGLVARAVHASKGANLAVITSL NESILAV FPSE_10465 MNSRHHAAAFSNGYPRGNTFDISPHKFQPRTYTPAQRRRNKLLT RLGICAVILFLFSLWLWPSSSVASLVSFGLLSSSGTPELETVRYYDLTNVQGTARGWE REERILLCVPLRDAEAHLEMFFSHMRNLTYPHHLIDLAFLVSDSKDNTLKVLSDSLEA IQADEDPKQPYGEISIIEKDFGQKVNQDVESRHGFAAQASRRKLMAQARNWLLSAALR PYHSWVYWRDVDVETAPFTILEDLMRHNKDVIVPNVWRPLPDWLGGEQPYDLNSWQES ETALALADTLDEDAVIVEGYAEYATWRPHLAYLRDPFGDPDMEMEIDGVGGVSILAKA KVFRSGVHFPAFSFEKHAETEGFGKMSKRMGYSVIGLPHYVIWHLYEPSVDDIRHMEE MEKERLAREQQEADKKKNQQKIKEEYTDTRNEWEKDKQEMQNLAAQPKPVVNNAPVVP PPKEQPAQQVNNVPGNAAQGENKPQAVKQEAKQVEEVAKDVPKAA FPSE_10464 MSRDEQPPLNMESPRPSGVSSFENRALPARMQYRSRPVSVAVDP LSLVISECISITSAIQKNARSPHSSVSAILGGSPNPLQLGPPSPSPHERSKSPVGSIS GDGSHDGLTNRWGLRGQRGKSMQDNPMIAGFGKLRHELAGVKDIRSFDAPTILAPFLH VIQTKGTAAAITILALGALRKFLAYGFISPESPRFALAMQSLSVAVTHCHFDTGDSGQ VEVVLLMILNLMEDMMSGPGGDILSDESVCDMMGRGLAICSQPRFSPVLRRTAEASLV KMCQIIFEDVKHLEAEAGEDGETLDQQSDDDLDTVRMENPAPEAGGLSTERMSLEVPG TSTPDPERISRDTVATTETTPATVSDPAEETESLDLRPYSLPSVRELLRVLVNFLDPQ DRTHTDTMRIMALRIIHVALEVAGPFISRHPALAGIAEDRLCCYLFQLVRSDNMALLE ESLVVAGTLLATCRGVLKLQQELFLSYLVACLHPKVEIPREPGIDPSLYAGIPQTPKL VKPSQSSQPSSGRSTPVPVKDRQKLGMEGGARKPDARQAMVESIGVLSRMSTFMAELF INYDCDADRADLCEDMIGLLSRNALPDSATWSTTSVPPLCLDALLRYIQFIDERLEEE PVIEGFPDPATLRDQRRKKKIIIKGASKFNEKPKAGLGYLEAQGIIKDASDPVAVANF LKGTSRVNKKVLGDFISKRGNEAILEAFLNLFDFSGKRVDEALRQFLESFRLPGEAPL IATIVESFSEKFCSADITGEVADKDAVYILTYAIILLNTDQHNPNLETKKRMTLEQFA RNLRGTNNGKDFAPEYLQTIYQSIKSNEIILPDEHDNKHAFDYAWRELLLKTESAGNL VVCNTNIYDADMFATTWKPIVSTLSYVFMSATDDAVFARIVTGFHECARIATKYKNTE ALDQIVFCLSHMSTLAADSQFNTSLNTEVQAGDGSVMVSELAVKLGRDLRAQLATLVL FRVVTGSEELIHRSWKYMIRIWLNLFSNSLISSFSPSNLPSLPLPSIPLQTPSQVIDR GARNADTGFFSAFTSYISSYAADDPPEPSDEELESTLCTIDCIKQCNMTAVFENIADL NPSVAKLIVETLVDQLPEDSATTVISVKHESMPAPPTNGHTRSPGHIEYDPTVAYILE FCTLLASRDAESIESMGKIVFDTLQGVLRDPARYHAVTVSRASFYSLKLLNIGYDHDF VNVPFLLHTISTLPQEALGKNSDLVLQGLSLCIEESGPLRREMMTSPDFWVILRTLAQ RPEAAALVFEILEKGTTGTPPAIMADNYEAAISLLNDFASAASPRQPKMQPRSPRSPR VNPPKQDKKLNAEAVNRGSKAVNMLYNMTDRIPHLMQQSQLESSEAWSAYWLPIFQAL TTQCANPCRDVRQLAFSALQRSLLSPELTCSDPKEWTAIFGKVLFPLITQLLKPEVFL SDRDGMSEMRVQSASLLCKVFLQYMVLLSEWDGMLDLWIKIIEIMDRLMNSGQGDSLE EAVRENLKNVLLFMASSGYLVSPHKDPSKEKLWSETWKRIDRFLPELRGELALDEPPS KENTNELTVDVPAQPQDAGEEITEKEVESAETTSTDDSD FPSE_10463 MSEYGIPDALSSGTEINTLPTTDDASGDAPVFPSLQNNGATSPL SSEAAGGPTIEEDDNDDEPAVAKPFVRTTSPDPSTRAIPDTFDDRVPDRLIYKMHKFS LYETASRYYIVGVDVSEKRYRILKIDRTTEGAELNVTDDKIVYSLKEMNQLLDTIDDG NRGTGGIKLRCTTWGLLGFIKFTGPYYMLLITKKSTVAMVGGHYIYQIEGTELVPLTP SKFKPDARNTEEQRFLGILNNLDLTRSFYYSYSYDVTRTLQHNVIREREALAKGMLPP DDDDFNSMFVWNDYLLQPAVASLRDPYDWCRPIIHGYIDQAALSIYGRTAHITVIARR SRYFAGARFLKRGANDLGYVANDVETEQIVAESLTTSFHAPGPELYCSPQYTSTGVTP KPPIELNLVDPFYGAAALHFDNLFERYGAPIYVVNLIKSKERTPRESKLLEEYTHAIN YLNQFLPEDKKIIHKAWDMSRASKVRGGDVIGNLELIAESVLTTTGFFQNGDGLTSPM TAQNGVARTNCIDCLDRTNAAQFVIGKRALGHQLHALGILEDTSVDYDTDAVNLFTHM WHDHGDTIAVQYGGSQLVNTMETYRKINQWTSHSRDMIESFKRYYNNSFLDSQRQEAY NLFLGNYIFVQGQPMLWDLATDYYLHHADPRDWSARMKHDYINWYVPAHLQERTVPPY VPSKDIARAHSVEFFDDYWLEYYRPSTLSSFPKMFAYKMNSTIKYIPLKSTQDGRYDL SPFRVRTDNDVEHSERKKAKKDASSREHASALARGATTGVNGASDTVSGMSPAKGISL QRWLQPTQDKNSSLRSDANQPLKSSDHDSNAKPTALEKSKAAQWTFTKAVHDSLNPSV AEQESEDYERYIRHPQNLPLVISSDTPVDVDASEYQEYLSGGWQDEGLMVKGTEEEID VYAELLKVGENPLTVTEEDGPKKRYKAYRKWLRGKSFFKQQPFD FPSE_10462 MADNTTRSTPGVLDDLLSPPNLARLSELSDKLPDCFESSTVDVS SSITSVGDAELHGAILLAVAYISSKAQSDLEDAQLGDLVRSLAGKAIILRTSKKESDL IIEAAVAGIAIASSTHSLVLDKDLLIKLTAVTDPNDPWTTGVAASVASRVLAQQISAE RLPGFIANDILESTLKPLFTKHSSRITASGRPSQYATVDDRSRAFSEVQSWRSKVPWA EATIQWTINTSTAPVIKEHWPLFMPVLLALVEDESIEVKAKGLKSLTVFVEKCPAQIL QGRGIGRVFADVTFPLLLYLPSVTPENESITIIGPAYDVLIKLAECTGSPENTERRRF FDKILRDGVFAGYHHASQYMRIMQVLIQKTAAVINCMGIYSIKHLSPLLSMSSSIMTD PFAVSYPPTLLATTQLIGAIIGNAWPRIREPEHMENVIRILSLCWLNTLEEIEDGVSQ VQKKDLQALSQELESKAKALEALWTEDTSKRPSDLDEALEKEPKLARLFSTASA FPSE_10461 MKRNLDSFLKEQYPLASDPNSFSATPPSRILRRKYLMATATIQL TPKEEQLRRLLLDVARSIDDSRQASEPIVLRWAGGWVRDKLLNIQSHDIDVAINAMTG VPFAQAMCDYCEQPDAMAKHSIGPADIGSLHNVARNPEKSKHLETAMVKIFGLDLDFV NLRKETYTEDSRNPQMEFGTAEEDARRRDATVNALFYNLHDDRVEDFTGGLADMDAKI MRTPLEPFQTFMDDPLRVLRLVRFASRLQFEIEPETRKFMADPKVLEALRVKISRERV GVEYEKMLKGAHPHESLELIDELQLFHAIFTDPEHKNLPTPEISRWSVAYTCLDELLK DQSPTSVAGRLITPEDASSPFYAWNLAALTPWMIVEEPSNFRKKPSAYPPVAVVAREG FRAPNRLADVVAASYRHREAILELKEAVCSKAPYIDGRDRFGMAIRKWDSPKDTWRLQ VLNALLVDALETLPTWSREGSKEQSDFLAGWEKFLDHLTKLDLYEVTTLKKLLDGKGL VKALGTKPGKWTGPALDVCIAWQLRNPDATDPAGAIEEVQRRRTELQIP FPSE_04804 MEQQPINTQEGTTQPLNETPVVGSPPQDRRASDAAYDRRMSDEW DASKVPPSRFQKRKGSIYATPGSRDGHVDRNYADKYWNKMTEKNWGSSSK FPSE_04805 MAPIVPALSWARRQPQLHLVSNEDSVYEQDILRDPGSVKPWLTY IEFKTRHGSILEQAYVMERACTQLPRSYKLWKLYLSFRVKHVTKLNSALFDKEYRKVN ALFEKALNLLHKMPRIWEMYLRFLTKQPLVTLTRHVFDRALRALPITQHNRIWAIYRP FANSAAGVTAVKIWRRYMQVHPEDAEDFIELLAQNGLYTEAALKFIEVLNNTRFNSKH GKGHYELWSEMVDLLVAHAADIETGHETGIDAERIIRSGISRFADQRGKLWSGLATYW IRRGSFERARDVFEEGITTVMTVRDFTLIFEAYTEFEESIIGALMEVASGRAEKGVED ENADFELDIRMMRFEQLMDRRPFLLNDVLLRQNPNNVPEWEKRVALWGDNKKEVAQTY TDAIAAIQPKRAVGAFHQLWTNYAKFYERGGDLRNARVIMEKAIKVPFKSVAELADMW IEWAEMELRNENFDDAVRIMAKAVQAPKRSTVDYFDETLSPQQRVHKSWKLWSFYVDL VESVSTLDETKKVYERIFELRIATPQTVVNYANLLEEHKYYEESFKIYERGLDLFSYP VAFELWNLYLTKAADRKIGIERLRDLFEQAVEDCPPKFAKTIYLMYGNLEEERGLARH AMRIYERATRAVADEDRADMFNFYITKSASNFGLTSTRPIYERAIAALPDVEAKDMCL KFADMEKRLGEIDRARAIYGHASQFCDPRTSPDFWTKWEQFEVQHGNEDTFKEMLRIK RSVQAQYNTDVNFIASQALARSQRQPEGAEDAEITDAMAALERQSRAPQGFVAASTGP VGGNTATAAIPSNPDAIEIDGVDE FPSE_04806 MSGAADREAVFPTRQSLGIMKAKLKGAEIGHSLLKRKSEALTKR FREITKRIDEAKRKMGRVMQIAAFSLAEVTYAVGGDIGYQVQESAKSARFRVRTKQDN VSGVLLPAFESYLTEGNNDFGLTGLGKGGQQVQRCRETYARAVEALVELASLQTAFVI LDEVIKVANKKQRDTAAADAEMKARREKEAAAQSGQTSQKNDDAPTDVLGENDDEDVI F FPSE_04807 MASESQISTVYVQNLEERVKLETLVDALRTIFSEFGNVVDIVAK KNLRAKGQAFIVYDNAESAQEAIEEINGFDLFDKPMKLALARSRSDKTVELTGSQEEL ENHKRHRQAEKDKRKAEEAAEEQRQSNKRASGATDNRPAKVAKSSGLKSTSAAAATSV LDEFLPPNKILFVQNFPEDYDVEALTSVFGRFEGLREVRLVPTRRGIAFIEYETEQGA ITAKENTAGLNLGDKPIKVTYQRQ FPSE_04808 MAQASGQDPTRISILGEPNIIVDHGLWLNFVVDDLLQNIATSTY VLITDTNLFNSYVPAFQSRFEHASRGKDTRLLTYTIPPGEASKSRDTKAEIEDWMLSQ QCTRDTVIIALGGGVMGDMIGYVAATFMRGVRFVQVPTTLLAMVDSSIGGKTAIDTPM GKNLVGAFWQPKRIYIDLTFLETLPVREFINGMAEVIKTAAIWNETEFSVLEESAAHI LECVRSKGEGRLVPIKDVLKRIVIGSAGVKAEVVSSDEREGGLRNLLNFGHSIGHAFE AILTPQLLHGEAVAIGMVKEAELARFLGVLRPGAVARLVKCIASYDLPTSLQDKRVIK LTAGKKCPVDVLLEKMGVDKKNDGKKKKIVLLSAIGKCHEPRASVVDDKTIRTILSSS VQVTPGVPKDLAVTVAPPGSKSISNRALVLAALGSGTCRIKNLLHSDDTQYMLSAIHQ LGGASYSWQDAGEVLVVEGKGGNLQASKEPLYLGNAGTASRFLTTVVALASPGQDATT NVLTGNARMKVRPIGALVDALRSNGLEIEYLGKENSLPLRIDAAGGFKGGDIELAATI SSQYVSSILMAAPYAKNPVTLRLVGGKPISQLYIDMTIAMMASFGIDVEVSSKEPNTY HIPKGAYKNPPEYTIESDASSATYPLAVAAITGTKCTIPNIGSKSLQGDARFAVDVLR PMGCFVEQSDYSTTVTGPAPGQLKALAHVDMEPMTDAFLTASVLAAVASGTTQITGIA NQRVKECNRIAAMKDQLAKFGVQCNELEDGIEVIGKGQDGGVSTPEVSIHCYDDHRVA MSFSVLAITSPGPVIVTERECVGKTWPGWWDILSQVFKVDMVGHESHADAHDEEIQEK TLESSVFIIGMRGAGKTTAGNWMAKILGWKFIDLDQELERRAGCTIPEMIRGDRGWDG FRADELALLRDVMEKNKTGHVFSCGGGLVETPEARELLNSYGANGGHVLLVHRDTDQV VEYLNRDKTRPAYTSEILQVYLRRKDFYNECSTHLYYSPHSESSGRQSHIPHDFQQFV HSIAGKTTHFKDVLNKDHSFFVSLTVPDVDQAVDLLPEVVVGSDAVELRVDLLQDRSV DSVIRQVSTLRASAKKPIVFTLRTESQGGKFPDSAYEEGLELYRLAVRMGMEYIDVEM TLPDEIIKTVTESRGYSRIIASHHDPKGTMSWKNASWIQYYNRALQYGDIIKLVGIAR TPEDNFDLARFKARMQEAQKTPMISMNMGKAGKLSRVLNRFLTPVSHPALPFKAAPGQ MSAAEIRQALALLGDLEPHNFYLFGKPISASRSPALHNTLFKQTGLPHQYRRLETDNI EDVRDVLKSPDFGGASVTIPLKLDIMGKLDELSDAARTIGAVNTVVPFGKPDDNGCRR LLGDNTDWRGMVHALRHAGIQGQDTDNKGAAMVVGSGGTTRAAIFALHSLGFGPIYIA ARNQEKADAIAAEFPKEYQLEGLSKASDAEKVSENLKVVISTIPADRPIDPTLQELVG AVLSRPAPDGERRVLLEMAYKPSHTPIMQLADDAGNWATIPGLEVLSSQGWYQFELWT GITPLYKDARAAVLGE FPSE_04809 MLSIPRLHQQQLQLQRQLQNNSSNIHQQYPFAHNCHAEYNAASY SDFPRSNYDDEIAALSQQLPTNLMQNEAWGLPQQAPRQSRAHQRESSLSSLGSSTAGP TSPFSHQLPHPYIAITDTNDQSNSSSHYQLAKTMPSYQTYQSCDGIEHPTMPEMAYPI TLPGPSGKPRMDSRLMQAPEFCNGSTRSHPASVASSIAGDSPATPTVGETDVTERRRK GFPNAPKLDRTMTDAYVDELYSPNFAVTSTPPSQSQISVSPTNDLFSQRLTAANKQHL NAAHSPASSTSRDRSPFRTGSPHALSPAHDFGNHNLNQNLPFNSAQRMREQTKAQQDA QFIQQQMNMKQEPETPKTISPKDAILEFNESEGDNNFPLFPQDSSFGMDQFPKSMMSS QESIPETHIQNHFNFLPPHGAPGITIPQQYPFIAHPTRISHDTPPRLSSTGSSSNGSR NATPATRPTNTAADGGTYTCTYHGCTLRFDTPTQLQKHKREGHRQTQTMGPARPRDVG MTSALVNTQAGPHRCDRINPSTGKPCSTVFSRPYDLTRHEDTIHNARKQKVRCDLCTE EKTFSRADALTRHYRVCHPDMELPGKHRRRAGA FPSE_04810 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G FPSE_04811 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVESYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERN FPSE_04812 MNIAQPISSGIESVEFTFLSPKEIRAISVKRIENDSTFDNLLNP VPGGLYDPALGSWGDAPCTTCNLNQATCPGHPGHIQLPVPVYHPIFMDQAYRLLKATC VYCKGFRLPQKELHKYMCKLKLLQHGLIQEAHMVGVIGENDLAIELGNFSELESEAEE EGASSSIDSVTRARDKYVDKCLRGIKIKRGDTKRGKHEGSSEMRREVIKEFLAEITKR RLCANCNGISPSYRKDRFVKVFERSLSDKEKAKMAQKNLRQSDAMARVQQATTKQKPD GYSSDEGVADVVSPTLEKSQLAGDDAEQDTEMMDADEIPASSASQRYISAMEVHARLS ELFTKEQELITLLYNAKPATRSSKKVTPEMFFLTTILVPPNRYRPEARTGESEISEAQ QNSLYKNILRGCGTIARLHKQLKEENADVNQLHQAWTELQDSVNSLIDKDKNPVQGAA AKRNEDGIKQKLEKKEGLFRKNMMGKRVNYAARSVISPDPNIETNEIGVPPVFAKKLT YPEPVTSHNFRDMQQAVINGVDKWPGAFAIENENGQIVNLRNKSVDDRVSLANQLLAP TSSNAARTRNKKVYRHLTNGDVVLMNRQPTLHKPSIMGHRVRVLPGEKTIRMHYANCN TYNADFDGDEMNMHFPQNEVARAEALQIADTDHQYLSGTAGKPLRGLIQDHISVSVGL CNRDTFFTKGDYQQLVYNALRPESGHIVGERIELVAPAIIKPVPRWTGKQVITTILKN MQPPNCGGLCMKAQTQLKASQWGSNSEEGTVLFQDGEFISGILDKSQIGPSSGGIIHA IHEIYGPAVAGKLLSSLGRLLTRYLNMRAFSCGMEDLRLTPKGEQARREALKPADNVG IEVATALTSLAETTRPNDPLFLERLEEVMRDDKKQEGLDLLMKERLSKVTDSIEKATM PHGLEKAFPFNQMQAMTTSGAKGSRVNANLISCNLGQQVLEGRRVPIMVSGKSLPCFD PFETHARAGGYIVQRFLTGIRPQEYYFHHMAGREGLIDTAVKTSRSGYLQRCVIKGME GLTVAYDTTVRDADGSMIQFMYGEDGLDACKQKYLEDFSFILENVTSEAAQLRYDPSV GERLGMHRDAITKYMKKALKPTNINEPEAKDLKYLKKNDPKAQDPISSHFNPATTAFA TSEKFFWKMTKYLKENKDGLVRDKSDKNKQALSRVSLNKKNAEMLFAMKYLRSLVEPG EAVGIVAGQSVGEPSTQMTLNTFHLAGHSAKNVTLGIPRLREILMTASNKISTPSMSI YPIDEMSVEDANIFAKSISVLPLGYILDSIHVEEKVGQGKMYGSAKIYSIDIKFFDSK EYTETYAIKTSDVVDAVEKKLLHRLLSLLKRDIKKRQTHSTMATPEIGVKAGVVETAA PNAEAAGNFDDDDDEEGDDDATNAKQRANRSEAVSYGPNDDDDDAVQEEMGRDAADAD LDDEGFSGSQRQAGDEEDEEDNGVINWGAKVRTNRVLEQYEEVTDFTFDEKNGTECSF TLEFDSAIPKVLMLNLVQDAVKKTVIQEISGVGSCNYHEETKDNKKIRVIHTEGVNLQ AMQQYSDFINPNNIQTNDIAAVLEVYGVEAARQNIVNELDGVFGSHGIKVDNRHLNLI GDHMTRNGGFTPFNRMGLKGNVSPFTKMSFETTLGFLKDAVLDGDWDDLSTPSGRLVM GRLGKVGTGGFDVLAQLPTYHVDSLA FPSE_04813 MDRKSQSPGLGPRHDASSRVQKPDSAADRMAALKARVAAAIGTS KAKGGLNVGLHPALEDLGSHKPSNKSKESTPAPSGARSDKPRLQDAFRSASINRESGE NPYFDQSSSAQPGGGKARQSRSLVFNQKGKYIAQANALRRQAALEAMKKRIAEQTRKA GIDDDLDVERKFVVEAPPEIEWWDEGLVEGGSYDVLDDPSKLKFTTPDTIITEYIQHP VALEPPQDGHVPAAKPMFLVKKERQKLRRQRRMAELKETQAKIRLGLVPAPPPKVKKG NLMRVLGDVAVKDPTAVEARVNREIAERHQKHVESNEERKLTKDQKHEKLATNQQKDA EKGIHMLVFKIGSLANGQHRYKIGVNADQLALTGTCIMHPKFNLVIVEGGEWGIKKFK KLMLNRIDWTENSPSRDRDGKQGATRDWLLAEKDTGELKDMSTNECKLIFEGEEKARA FRKWGSKVCETDSEARDALARTKMDNFWQLAKGFT FPSE_04814 MYLTPPSTSNSPVSQYSQFFETSSTSPDPSCHPNRLSCYDSSFE QAVSTAVSRPDLEPGPYSHHGRWYSVPQTELLSPPPMDHGSTAWVTPDGLVAGTSTAS SSSVEPDALHADFNAFAGYDSCLPAPYQPHDAYMPAGRNPSVHEPSLPSTSQSARAPT LGARPSYGYLQDPASSRFRVEGAVSTYGQGYESHSYSAPGPPATTYQTDGAAFTSNLP SSLGAGSSTAWPKQEYEVSQFYPTPQTQLPELGQERRLLKTNKVKRPTRKHTSKEEAN FQCEVKGCGKFFSRSYNYKSHLETHDEKREYPFPCTVDGCTKKFVRKTDLQRHHQSVH MKERNHKCDYCGRLFARKDTLRRHMEDGCSKRFDIGTLNLQGPGFAGLGIVTPTRPSG LDPRRPG FPSE_04815 MKFNIDDLPVLFPYPRIYPEQYAYMCDLKKTLDAGGHCVLEMPS GTGKTVSLLSLIVAYQQYMPEKRKLIYCSRTMSEIEKALVELKSLMKYRAEELGYEEE FRGLGLTSRKNLCLHPSVKREKSGAIVDARCRSLTAGFVKEKKERGENVDVCVYHDNL DLLEPHNLIPNGVWSFDDIIRYGEEQKQCPYFTARRMMQYCNVVIFSYHYLLDPKIAE RVSKDFSKDCIVVFDEAHNIDNVCIESLSTDITEDSLRKATRGAQNLENKISQMRDTD QEQLQNEYQKLVQGLRDADEARQEDAFMANPALPEDLLKEAVPGNIRRAEHFIAFLKR FIEYLKTRMKVRQVISETPPSFLSHLREHTFIEKKPLRFCAERLTSLVRTLELTNIED YQPLQEVATFATLVATYEKGFLLILEPFESDTAEVPNPVLHFTCLDAAIAIKPVFDRF YSVIITSGTISPLEIYPKMLDFQTVIQESYAMTLARRSFMPMIVTRGSDQASVSTSFQ VRNEPSVVRNYGNLLTEFAKITPDGMVVFFPSYLYMESIISMWQGMGVLDEVWKYKLI LVETPDAQETSLALETYRTACCNGRGAVLLCVARGKVSEGIDFDHQYGRTVLCIGVPF QYTESRILKARLQFLRETYRIKENDFLSFDAMRHAAQCLGRVLRGKDDYGIMVLADRR FQKKRTQLPKWINQGLQESDVNLSTDMAVSSARRFLRTMAQPFRAKDQEGISTWGYKD LMEHKEKMDLERIKELEEEAQRPQPAAQENNFEYDDDELDQDMMEMDGF FPSE_04816 MTTIALARPIPPHRPSSTITPPLSLESSTTSSSSPCSGPIPNKH LPICPTGPACPDELDTPPPSPPAQDQLQQTSALYPLDSFKRIDSGELSIYELDALNVA EAIDVASRQPLPDPALVFPWFHGLHPQNHVQQSFFAARRRATRPTPSCIRALTLVKAD GDLNCARLKGAIAPNEIIQPNSPARFIEADPREGFSVRNFQIQTVKTAVLSDIIVYGQ DLNKTRKVAWDIANAQKLWREKHQDQGEWMPEYHTFVCTNPFSKFEGNHSEIVAVDES GHLTGNVLDFFSQERREMWAMTEASEFSKNVFMGPTPEQGSPEEQKYDILIECSDLGR LNPLALQLIAESPDQDGKQRFLDFPSSGSILPPTWSHSEADGILETCKWIYHLAHGSY PEPDPGLSTCDVDAEGDSPMPSDHESAFEVRPHKILLHCADGYTESTMLSIAYFSYST GRPIPEAWLQLHTEKKRNFFAYPTDVALLTSITPRLLRESPVCKGLSLTDITHLTRDE PSWFAALDGSFPSRVLDYMYLGNLGHANNPDLLRALGITQILSVGEIAMWREGDLEAW GKENVCVVQGVQDNGIDPLTEEFARCLDFIDRGRRNGTATLVHCRVGVSRSATICIAE VMRSKGMSFPHAYCYVRARRLNVIIQPHLRFAYELLKYEEALQQDGDPDAEVKRTLEW AEIAREIALMNRPYSR FPSE_04817 MTSPALNFITFNQDHSCLAVGTSKGFRIYHTDPFSRIFSSDDGN IAIIEMLFSTSLVALILSPRHLIIQNTKRASTICELTFPSAVLAVRLNRKRLAVVLEE EIYLYDISNMSLLHTIATSPNPSAICALSPSSENCFIAYPLPKPREDPDANRPAHAPP QSTFVAPTSGEVLIFDTLSLKAVNVIEAHRSPLCCICLNNEGTLLATASETGTIIRVF SVPKGQKLYQFRRGTYPSTIYSMSFNLSSTLLCVSSTSDTIHIFRLGAPPGNTTPAGA PIESPASQRQDRWSRARSYDDSESPGASAADSPKNEPADLNGPGAGAGNNQGGHTRGS GSFSSMLRRSSQIMGRGVAGVMGSYLPQSVTEMWEPLRDFAYIKIPKSAAASGASRTL RDAPGGPLRSVVAMSSSSPQVMVVTSDGGFYVYNIDMEHGGEGYLVKQFSVLEGDDKS DASGYGS FPSE_04818 MAKEKPSSTRVAKTQALDDLIMGTNSSSIVSKRSVERLYYPNEL HFFRYFVNKFQRRAPLINRGYWLRLRAIDVIVRQFITTPEPGRRKVVINLGAGSDVLP WQSYHRYGDSCGDALFIDVDYPDLMRKKRAIVLGTPQLSELLGEDPYISEKDTDHLLL RSDKYCQVGCDLRELETLRKCLESFLPLSECSVLFVAEVSVTYMDTISADALIQWASS IGQAEFCLLEQILPHGPDHPFASTMLKHFNKLNTSLKSVHQYPTVDSQRTRFEKRGWN SVDVWDLWEAWNSEVFLNSSERAALDDVEPFDEWEEFILFARHYIVLHATSYHRSEKG AGQQMLASPSGKHVKANMVVTKSLGAPKRRFGSPLVASSPEGGQYLVHTLGMGINARL DSCDIYSIQESSNSLEMAPVGPSARICHTTTDLGQGDFLLVGGRASPSKAFSDCWALK KSSNSWEKTFDLPVPLFRHSTVHLPGSSLALVLGGKTGPSEISSDYFIFHPVRGWLKC AVSGVLPNSTFGAFAVASTNLGSKHGHFEGMLAGGIDGEGKINNQAYFWTVDVTTYEP SIHFEYVPNYDQHSWALSVFGAQTANIEPLSFVCGGVGQDPSSQGQSMACLTVKDKSL EVHLVDLGEKVGKLPFMVGSATVSSGSQLVIVGGGATCFSMGTFWDTGVYKIDLADVV SEMNPGRTIRNKPVVVSYQNSPKLTQPTTDGVHPGPQSNACITAIPKIKLQSKSDFEK LVQNRKPVIIEGLDLGGCVEKWNSESMIQSVGETKEVVVHECQTSTGKMDFNSKNFRY VTEPFSSFMTKAARGEALYLRALSEEKPTEAPANLAEDFPGLADDFRLPEELGSVKDR MFSSVLRISGRANMWLHYDVMANVYTQIQGSKRMVLFPPTDVSHLAFAPGASSSSLDV FSALDTHRLASTNPYEAFLNPGDLLFIPAMWFHTASPVTDLSVAVNVFFRDLESGYST GRDVYGNRDLAAYEKGRQDISRITKSFDRLPSEIRQFYLRRLADELLHE FPSE_04819 MALAKFHYPSWRPPWLNIEDPSELKEIKVQFYIL FPSE_04820 MSTEALLQKYQGHIVPQSYNAGFVALSYVVSLVGAGSTLELINR RTGFRGLFNHLLLMGSAVTMGGVSIWCMHFIGNRAIDLADGQPELQVAYSSGFTAISF FVPILVLLAAFMAVGTNNVVSWWRLVAGGVLCGTAVCGMHYLGNASINNYTCVYQPAY VIGSAIIAIVASNVALAMFFVFRAMWANAWWKRGISAVVLAGAVSGMHWCAAVGTRYR LKSIKPNGNEPSRTGTVVVVICLSLGACFVIAISAVLRARNMRRMALRAQQITLAAAI FDKGGRILVDPDGYIPNTVVTDSFLEKDAKEGFNTGHPLFHWMYQASRNWNMIWSLIG GMRHHLSELPHSRTRKDGRRGIQLVSDHGETIDSYDMIFRELFCLAAAALADRFREDL TSIGVLWDQILPTGANGRRPQPQPQPQQRKQSIAGGPGLEGSDVVEEMHNSLDIAEKG SHSLDYGYGYGRGSLMFLVRRSESARDNERLISAGYRFAEVHQVSDLIKSNMQIQTPE FEAKLREMASYTSEHNHIQQGTHLGFFAVRARVSSSFEVLVRKGARHLLPTMPLPFKD LEDWHLHYLRRFENMPVSKIVEKCKDDSQRNDREAEFAGQIADTIQGLREWTQEPLLE DALFTSTTFRIPCRGDEKRSEATMIAVRLVIPIHSVLSSPNCEFVPLSFFRMRQVSTQ SYQEFTRGLHQEFGHIAKTADRHEESQVGIVSSASSRWPFGRSDTTRSTRARGKSVSN VAGRPTSMADSTRSSSTINLCSPGINIRTQSIDSTEVSVPYMPRQEPLQTAPSYGGIM VFQEITVDVQESNEPPGRKPSNSSDAATLTIEKTITRPMPLAGIELQSMGHFGANDVG TQLSNDIGAGKGGHSYVTCFVDVLFAKTVESR FPSE_04821 MITSIYTAVAGLGEVLSKIIRPQSYQAAEGRSYLSGGQVNFSRD DDGTAHDSELRWLDRNGLMALMEDYKDFSKSLSKVWECLERESREESNRDLAPLSANI LDSNDRDVTEMFASQLKRVFQFLDRVYEIRTIVRIRDSNELVPSVLDYGISPDFAANL ESIKRFIADPALPLVCNNILRKRDAHECGVSQSFIDRVTLDIKAILRNLPAPSYVASP NYRQKLQNMFPPPRETHFNMYPSLPGSFPESDESRELSELQPTQEEVLKILEQSLTHP MTNPNGTIPQDYRQELYETDAQRVLKDKYVSTFVRQTPLTADQIGSVRSILKTRRKHP AKITPKRIGATRRPKAVRFTDDTVSPRPRTHMGLEAPRRLNHNGRGHTTTDDLPAQRP SWAQLFNIPTATVQDPTRSSIFASSNFNIFRSRVEERDLYDPSVRIQELLDTPSLQLP ISDDSRAGIEQQIEEARQKAAETARLAAEAAKREAEEKARQEREERLARSGGLRMPNK TLVVPVSSQWHQRAVSTLRAAPIESLAKSAEGVDLRRHDFAKVVSSTEWLNDEIVNAS LMWLDRAINSAAGIKDVKKSTRKCFSLGSFFFKRLQDQGIHSTQRTLRRYGVEKKNFL DIDTILLPICEHSHWTLIVIRPSKRTLAHMDSINAGGNSAYTNRAMAWIKDVLEENFI QDEWKVTLHEAPLQNNGHDCGVHTITNAMCISLGLSPIDSYTAADMPTQRIRIACMLL NGGFTGDFDLRVY FPSE_04822 MMGVSRSRRQSLDCHAIPPSPQPSSAFEDIFDVDSPVSRPVSEA FMSGASTPNGSTSSRPPSLTEILLDVASPPWTLSAFMAYLSQNHCMESLEFTLDSQRY AAFYNEIITNNSNRTQEDNDRVCVLWEKLMQVYIIPCAPREVNLPARIRDQLLGLPCG PSPPHPAQLEEAGRILYELMNDSLLVPFLQSVAPMHVDGSTEEHGRGSRRSSNSNTRM GAPVRSMNSMHHHHEPESLTDDSDCNSTPGMEPMTPPTTPPTSEWAFTTSPGGLQRAV AAHNKGWKKMEETHETGGARFGPPLHGTLHAADDGWHHNPDLRQDGGFGNGTTAKFDT VRNGVKTSLVQKNIRMRRRSNKHHDFVATVQPLAGNHAAESQGTSYRHDLPLLSISPV CAGGNVQAAQVPMVDVSNYLPTPTTSSEDIGSPSTASDHQAFRYASPVEDMYGWDAEL ERRRSPSRSSMSSNEGCDAIALSYRRANGSKHSLLQRVFRVSSSTSMAKLEAAT FPSE_04823 MSQSQSDRSPYYDIVIVGAGPVGLMLSTCLARWGYKIKHIDNRA EPTPTGRADGIQPRSLDLLRNMGLKSAIMAHKPARVYEVAFWDPASSGNGIARTGTWA SCPDFIDARYPFTTLLHQGLIERVFISDLEKNGAQVQRPWTIKSFTSDEKSNPDYPVT VELEHVDGTAQETVHAKYLFGGEGARSFIRNELGIAVRHKDPIAHVWGVMDGVVKTDF PDIKMKCTIHSEHGSIMVIPREDNMVRLYIQIASSTDADWNPRRTATEEQVQESAKKI LSPYSIEWERVEWYSVYPIGQGISEKYTLDQRVFLGGDACHTHSPKAGQGMNTAFLDA QNLAWKIHAVESGFAKREILQTYETERKHVAESLLDFDNRYAKLFSQRPPAAAEVQAA SANHSKNGDAQENEFINTFKESCEFTSGYGVYYKPNVFNWSPEHTAQSPLIHPQGTKL RTGRIFINSNVTRVVDANVVHLEQEVPLNGAFRLFVFAGVPSKTRQALKDLASHLGSQ RSFYAQYQRADLDKVSYHEKHLPHSRFFTLSTIFAAKRPDIEISRDVPGVLARYRDHV YADDRSDARFAQAKAVAHAKMGLNEELGGVVVVRPDGYVGVVVNLVEGSGTVDALNEY FSTFCTKKLGTTMAQL FPSE_04824 MDYTSFCSTNLARDEPIGIRESFDEDKCRNVLVRYCAHIPRNYQ YRDGIQLVFLVLRDHLGDELLGLAGGVLYEMIEVDCHYSDDPGAAFQRWRHDHLNRHA DLELPHIPHLNTVTSSIIPTTALMQWRESHPQRYRTRLSDYSPAKMRSSLYPSGIGTR KIWKIEHTMYVSSRHGGTRPFRQLKASEFHEMQWNENIEHKGRLVGEKSIDLGELQKR GIAESLSEQNGKATHHRRSSSLRVMGHEKITEIILRFRLTEKGNRTDGVPRVASERDK HPTLLVNDMLEQRLDTPVRDYDIPNANFARKFGLFTDSRPLPPFIRELPVAEDIALEM AIGYHQPKELVYTRRFPGPFTPQLWFDSGIDYRWKHSSC FPSE_04825 MAPTSASITALAPFKPDLHERAWASVPHPTLPLIATAHAKAVTV YSLSTASAHSVLTGGHTRSVRSVAWKPHLRPGNLCLVTGSFDSTAGIWRWEGQEQEEG GLEVEVTQQTLRRKNNDDSDDENDAANKEWEFTLVLEGHDSEIKSCAFSTSGSHLATC SRDKSVWIWEDIGASEEDDEWETIAVLNEHEGDVKAVAWCPDVPGRNSIRSYSSDVLA SASYDNTVRIWREDGDAEWVCVAVLEGHEGTVWGLQWETQPREGDLFPRLLTFSADNT IRVWTLKQDDEAEESATGGAAGALGGIPNTMRRSLREEWTCTAVLPKVHTRDIYSVTW SAKTGMVASTGSDGIVALYAEDSEQDTNGQDQTMSNTEESKQSSWRVVATQPGAHGPY EVNHVTWCRRYDAGSERRGEEEMLVTTGDDGTVRPWQVEIHAPS FPSE_04826 MNSKSTELEPSQHNAEKLKEKPAAVEAVQQPNNTDNGDSINELE RWNSPRINSYRFYATNLSFLIMGMNDASLGALLPYIETYYGINYTTVSTMFLIPVAGY VVAALTNNWIHYTLGQRGVAILGPLCRLVAYIPVALHPPFPVLPSVMLFTGFGNGVQD SGYNAWIGNMQRANELLGFLHGAYGLGGTIGPLIASAMVTEGNLSWYTYFYIMAGLVV VEFIVGTTAFWGATGQEYRRRVRFEKGKDRVTTRMALKKPITWIVAVFLLGYVAAEVS LGGWIVTFMLRVRHAKPFLAGLTVTLFWLGLTLGRVVLGFITERIGEKTAIMVYLMLS IGLELLYWLVPNFIASVIFVMLLGFFLGPLFPASMVAATKLLPADYHVSAIGFAAAVG GGGAAVGPFAVGAIAQHTGVQVLQPIIVGLLAAITVVWLLLPGGFRKGGLERARELKL KPGGDVKEAWSWAKLKVRKST FPSE_04827 MSKDYYEYEEFSRRRRDFSPDNYSGGTPNPQQQGPPPPGAPPYA STSRLDDPYYGGAPPPRERMTLEPPEQQNRPRSVPPNTAMVRRSRSRSRPPSDDDDYD DRRSSRNRSPSPISRARNVVDENFSHSASGIGAGLLGAVVGGLVAREATEAATRRKHK TRGYDDDNEDRTRLVSTILGAVAGGLGANALANRVEDSRERDRRRQHEWERERSYVRE EEMPRYERRRSGDRSQDRDRERERDRDRDDGRRDRERHDHSRALGPNDDEDYDFVYDD PRYEDREPRQRRSEDGYRYRQ FPSE_04828 MQEDESTRGHYSDPLTSRLTPLRPDFLENSPGFEARARSLSPSK RLVKQPLHIRMNLTESQVDKITDAFSQNVNNSPRKSAKSKKKPVMVTPRSTPRRKKQR SPQTRDSHRWVAGDGSVEADSPTFSDLMPGHRSDSSRDMFSASIAERRQRNAPSPLQL GTNRHRSGTLSRSFEIEDMPGEVSPLGEGRFEREPIFEDDSPSVYSPQLTAHPSPLRL SHVRSQSKVDGNDSYKEWLLSHDPSMVRESGTLAEALVNRPRRSKSSADGLRKAQAME LHSPTAQVVTVQANNNYGNQMSQNTPLFSPLQFYFRGTDYPSAKKGEKEMIGDNGWLE RTDRGVVEQVAKTPQKKTRILDSIKKMARDVAEIHTSRRAQPVLRPRAASHIAISLNA REQSLLYCELEFNLSTALNDYITVQLDKGRLVPDKLKKVADAWQSRGRPKVVGFRYDL ETQLELVNLHVDDFRFYGRRQADPAEIGGLLHAMKVNARAIGVRTLCQPDSVIAKQLV DAQSIFKMLGVPDEQQIALAEIAQFFKVIVERELDSRENNGGHGKQSREERQWTVQGE R FPSE_04829 MAIPVGRTLFKKKEGVLTLTSDRQLVTWTPNSGGPPTVTLNINN ITNLQQTPDSSPKVMLKIFEKVGDADPATYLFHFNTAEAKDEAKAVKDLLSSLLASSR GGDATVPKPSGLNGGSSTPNPSAANAGSGSASMAFASAVNSQHASFSRWFDDSQLRND IELQQSLMRKETSLHQTYVEAMQTKPESLSGAAFNAQFWSTRTNILRAHAIEINQKKG AYNVLSTVKPKTVDGELKLNISVEQVQMIFAQHPLIKRVYNENVPKLSEAEFWSRFFL SRLSKKLRGERVAENDPTDPLFDKYDPSENTVAIQSKIMAQQVPHIIDIEANEENQGG FRSGNAKDVEMRPRANMPIVKTLNSLSEKIMANVAPSDANTDDPDGGYNAYVQLALRD LKGDAQEHRIMLNVKEQNKFFSKHDSAPSKQAAIFEKQVPGDVLFDILGDLETLESDG AGGINIQAAMGFDEQSDSDDDTPKRPHVGSRSALQAADKDIMKGVRQQRAQKFGHDTD TAEPMGLPVEISRKCSLTHATTIEFLHQFWNAFLSGDADRAGELQYLAESLGRSITRI NAVAEEADNEREEIIRTRKKEIRDHFERTGKKIRWRSENVKGGKAAVVALMQPTINAL EKAQAEYSRALALEGIQISTEA FPSE_04830 MLRNSLCRASSQLLRGARCSAASSTASISTLSARTSSWKLAASR RPLAVGARRTYATSATSSPPDPNDNFLSGSTASYIDEMYMQWRQDPESVHVSWQIYFK NMEGGEMPISQAFQPPPNLVPGMTGGVPRLSGNLAMEDGSDVTNHLKVQLLVRAYQSR GHHTAKIDPLGIRGTNDAKGFANIKPKELTLEHYGFTEKDMDTEYTLGPGILPRFKRD GREKMTLREIVDACERIYCGSFGVEFIHIPDRDKCDWLRERLEVPNPFKYSVDEKRRV LDRLIWSSSFESFLATKYPNDKRFGLEGCETLVPGMKALIDRSVDYGVKDIVIGMPHR GRLNVLSNVVRKPNESIFSEFAGTSGGEDEGSGDVKYHLGMNFERPTPSGKRVQLSLV ANPSHLEAEDPVVLGKTRAIQHYNNDEKTHRTAMSVLLHGDAAFAAQGIVYECLGFHS LPAFSTGGTIHLVVNNQIGFTTDPRFARSTAYCTDIAKAIDAPVFHVNADDVEAVNFV CQLAADWRAEFQHDVVIDLNCYRKYGHNETDQPSFTQPLMYKRINAKEPQIDIYVNKL IEEGSFSKADVEEHKQWVWGMLEESFTKSKDYTPTSKEWTTSAWNGFKSPKELATEVL ATNETNVKSTTLEHIGNAIGSVPEGFQVHRNLKRILSNRTKSVVEGKNIDFPTAEALA FGTLVTEGYHVRVSGQDVERGTFSQRHAVFHDQETEDTHTPLQNLSQDQGKFVISNSS LSEFGALGFEYGYSLSSPHALVMWEAQFGDFANNAQCIIDQFIASGEVKWMQRTGLVM SLPHGYDGQGPEHSSGRLERYLQLSNEDPREFPTGEKLVRQHQDCNMQIAYFTSPANL FHALRRQMHRQYRKPLIIFFSKSLLRHPLARSDIEAFTGENAGFQWIIPDPEHETGAI KSPDQIERVVLCTGQVWAALHKYRADNNIDNVAFTRIEQLNPFPWQQLKENLDQYPNA KTIVWCQEEPLNAGAWSFTQPRIETLLNNTEHHTRKHVMYAGRNPSASVATGLKNVHT KEEKDFLEMAFTVKQDKLKGE FPSE_04831 MQLTGLRALLHPAVAASRSQARSRILCRSFQTTSTWRNIGAGAG ANNGDKPPNQSTAVEDEEIQPQNGTENMPGRSRVRASSSLKSRIARKQAAPALPPVKL PQEFLNDNVTLHHARTRPQLPIALAEDAKQSKTSSIFIDPQATDKNTRVSESNKALED YFDTTFSALVEKVADRAKEITAIEPNSCETDDLVHKLTSFTRAYDMLLDSAWHLTDSL YPARHPEYTYTSRPFWWWHYYKDFDRHTQEFRDQFLSVQTGLDSILDYDWRLDHSLSQ AIADLPVLTFSSVRSALRRELTTPPPPDSESKNLKRQITVLSMSGYSGQAISTAVAEH VAYFNDADLIKLDAQDLSVVVGDYLEQDWAYSRGSLSHMGFRAAEMNGKLYKDPDVVA RPEEEDNDPDSGVINIRTASGGLEDELSKIKQGNYDPFGKWENIKIDKVLAQILEAAE LKQGSSREKPLIIHIHDFVELNMTLEGSMLITRLRNLVDVAWRQGSKIAILGTSSSEQ PSEEYQGMIRDISASDFVISRHIHPQRTLMQPKPSGGTQTSDNAFPLQETDIFVENVQ NINRMLKALGSHGFLDFSDAHMKIFMHATDTRAQMLKKSILPLPEVYNIASAAKRHEE EAAGAAPGGVYERLLMGPLRQRPGQRYLDEPEQDGKADGEDSQKKAEDSQTGSRVALK LNEYEKRISSGHINRQNLRTTFEDVHVPKETITALKLLTTLALVRPDAFSYGVLAQDK IPGCLLYGPPGTGKTLLAKAVAKESGANMLEISGATINDKWVGESEKLIRAVFTLAKK ISPCVVFIDEADSLLANRSMLGARASHRSHINQFLKEWDGLEETEAFIMVATNRPFDL DDAVLRRLPRRLLIDLPMQPDRTAILKILLKGEILEPNVSPEELAKRTPYYSGSDLKN ACVAAAMAAVEEENEAAARHTGTEPYEYPKKRILRQDHFDKALRQIPASISEDMQSLK QIRKFDEEYGAKKKGAKKMMGFGVGAEKKLADADEVRIRRGP FPSE_04832 MDNSQVLPEQSQSTSPEGSPPDPDKPYHSKRPHKKSRAGCRNCK TRKVKCDEARPVCKSCRLRKADCVYITPATSTTSSANDKVISNSRRSSAESSPIHQKS PTPDAESISEDILEEVNSLSVQPHFRPRPMDRIDMKLLWFYTSTTATSFSVDEGPLNP INDVLRNRLVQVAFENPFLMDSLFGLASLHMQSLDLKPDAARALAYRARSFEGYRMAV EQARPETFPALLANSLLLTALASQNFRDEDAKRLYIIDWMIVWRGIGLVIDLMGVERL IDSGLYPLFNRPPIDIEQSSNHIPAVLLLMINSIEPGDPEYLEYKTYYETLQFLGSLY QNLHDGFGPVMMLRIITWFTFVPKKFVQFVRELRPRALIILSYYAAFLKLPSDVWWME NIGNRSLQDLCEFIGPEWQQYLLVPHMARLVEGQHEVAKVLYEDPNWQPRAPNNLRLD PTATVTLVDETGRRVEWIPAEKRLVLMDERDQITSKTKATEKWKREMNANFSLDE FPSE_04833 MFKSGISSFARAARPAVLPRRALRPSALRLPISSRWASTGVGAG KIHQVIGAVVDVKFDGAKLPAILNSLECQNNGQKLVLEVSQHLGENVVRCIAMDGTEG LVRGASAQDTGAPITIPVGPATLGRIMNVTGDPIDERGPIKTDKRLPIHTEAPEFVEQ STSAEVLVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYSVFT GVGERTREGNDLYHEMQETSVIQLDGESKVALVFGQMNEPPGARARVALTGLTVAEYF RDVEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGGMQERITTTQK GSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRMLD PRVVGQEHYDTATRVQQILQEYKSLQDIIAILGMDELSEADKLTVERARKIQRFLSQP FTVAQVFTGIEGKLVDLKETINSFKAILSGEGDNLPEGAFYMVGDFASAKVKGEKILA ELESQ FPSE_04834 MASIVENSSNSLDPNQANGAAGDIPNDGTGVVKLDPWLEPFSGA LKRRYSKTQDWIKTINDAEGGLEKFSRGAEKFGFNVDANNNIVYREWAPNATAAYLIG DFNGWNRGAHPMKKNDFGVFEITLPAQNGQTAIPHNTKLKISLDLPSGEHVDRLPAWI KYVTQDLSVSPAYDARFWNPPASETYKFKNSRPKKPTSARVYEAHVGISSPEQKVASY KEFTKNMLPRIKALGYNVIQLMAVMEHAYYASFGYQINNFFAASSRYGTPEELKELID TAHGMGITMLLDVVHSHASKNVLDGINEFDGTDHQYFHGGGKGRHDQWDSRLFNYGHH EVMRFLLSNLRFWMDEYQFDGFRFDGVTSMLYVHHGMGTGFSGGYHEYFGSDVDEEAV VYMMLANEMLHQLYPEVITIAEDVSGMPALCVPLSLGGIGFDYRLAMAIPDMWIKILK EVKDDEWDIANICHTLTNRRHGEKTIAYAESHDQALVGDKTLMMHLCDAEMYTHMSTL SPLTAVIDRGMALHKMIRLVTHGLGGEGYLNFEGNEFGHPEWLDFPREGNNNSFWYAR RQLNLTDDPLLRYKFLDNFDRMMNQTEAKYGWLSAPQAYISLKHEGDKVIVFERAGLV FIFNFHPTNSFSDYRIGIEVPGTYRVVLNSDRGDVGGHNRIDENTRFFTTPMEWNNRK NWTHVYIPARTAIILALESTVTQSS FPSE_04835 MAPFVRTYPTARCSLCHVSRSIIRPVPPLTLRVSIHNTSLQRQH RYISTSQPSLRRNNDFNSGFSSSYDPSGDSGRGPMFSKTNFGVPQFYPRDLKKRVDDY VVGQDRAKKTICATIFNHYQNLRRRHQHDHEDRNRRDKVMRQRFARDRELHQKRREMH PVEGQRAHSSFSERYAADAIEDEFPGHNESVRGLNDSHEFDDDPMDHLYVTEDITVPE HVKIDKSNLLLIGPTGVGKTYILETLSKKINVPFSICDCNSFTQAGYIGQDVETCIER LLIEANYDIKATEYGIVVLDEFDKIARRETTTGRDVGGEGVQQALLKLVEGTKVTINV KDNRSSRSTPPITTNYNASGSSSSTPQANPPGGKVDQYTIDTKNILFVFCGAFVGLDK AVLRRIARPTMGFGGELRGRSSMSGNKQTLPAETYTHLPHHNPQSASSFTPLDLTTPA DLQSFGFIPELIGRLHNICALSPLSKEDLFRILTEPRNSLVAQYTALFETYPSRLFFT EKALYAIAERAAASETGARGLKMEMERVLAEPMFDAPMPYVLVTEACVKGTDKAGYWG KDGRFELDRRMQAEEMHPAKADPSSTFEGYRQAGQSGG FPSE_04836 MLRTSATNLLRKSLVRSTPALASRAASTHAISNPTLANIEKRWE GMPLQEQAELWMALRDRMQSSWTELTLQEKKAAYWIAFGPHGPRAEDPPGTNARVAWG IFIGIAASVGLFGLVRLVAKPAPYTMTQEYQEETNEFLKNQKSDPFTGITSPGYAGKG MVQSPPKGN FPSE_04837 MVHINTIEELTRAESLTKVPDEDSKRERDLEEGSTSVEFDDVVE NDPNIVNWDGPDDPANPQNWSMGKKTVTVIIVSSVTFVTPLASSIFAPSIEQVMTEFH STNSQVASFIVSVYLLGYCFGPLVIAPLSEMYGRLPLYHICNVLFVIFNVACALAPNL GGLIAFRLLAGLAGSCPLTIGAGSLADMISRERRGAAMSSWALGPLFGPVIGPVAGGY LSQAKSWRWSFWVVSILAGAITIMAFVFMRETYAYTILDRKTKKLRKETGNPKLRSAL AKEISTEELFSMAMVRPTKMLLFAPIVTLLSLYMALVYGYLYLLFTSMPTLFVKEYHF SSGSVGLAYLGLGVGSLIGLVISGATSDPLVNYLTKKNGGDRKPEYRLPLMAAACLIV PAGLFIFGWTAEKRTHWIVPIIGTSFLGLGMIIVFMCISVYLVDAYIEYAASAIAAST VLRSLFGALLPLAGGSMYKSLGYGWGTSVLGFVASAAIPLPLIFYKYGERIRSRNLFD VKL FPSE_10649 MGRQPRQRPVSCHFCRVRKLRCSRDFPCSNCTSRGVQCQSQDPP RLTATATAPVSRPIAKRGDPPPTDREAEILNRLEKLEALLALRTNQTESNPPATSIAS SSACSSDDVPRTRTQARSSEPSHALPANVQNLTADALWLERTCLGPKPSDSVLVDQIL FRVCPIRSIAQPSSYLFQNSSVPSGLLSLEPTRCIWLPQRHETKMLIHKYTSVITYMH HVVHNPSVYKLVDEVYDTLERGEEPQLCQVFLMLALCTNVTYGWTATDNDMTPLFLDF SESNNQSFGWLKAAFDVFDTAQRRSEVGLELAQGLIILSFVLLNLEGISARARNCLFQ AITICRELGVHRLDHPHQQPSTLITQFSNLKAEIARRVWWYLVITDTMMARFPGPHEG TCAINPQYMNIRKPLNINDEDLVEGKEMVGRPLTESTSMSYFMQRMKIGDVIRDFTER VSLSSPNQQGDPYDMVLGIDAGMERFIDELPPFMKLNAMEVQQLPPDDVQRQPDIVIQ RHIMKTFIYGQRCKLHLPYLARGAVEPAYAQSRRACLDSARMVIQAETQMEEEDTTFK ATRLRLCLALHSVFIASIVLLLDFCLGVDADEKEQRRQDLAEAWHILETAKEHSRPTA RIQDLLRQVMNKHKVSLPVVKPKERHHPRPVGKNLPPTPNSSTAIMSTETTPSDVGVS SQELNDLGFNMDLDGMDWEGLLWGLEAPMF FPSE_10650 MTNWMELGEVPDSEEEDGFESQELPSLPGIIPINTIDTEQIDSQ EETLANNQEENQQNNDIWDIPDSSQELAQPGLATSTPSQKDEATTKPPAANVPIPDVW DVPSSSPLSSIHSDDDLPDVGHLHPRPPTAPTESGNVDQTQQEHRASEGALNNYVERS SPPPEFTNNRDATRPSSVLVTGDGGLAPVQSQDLGVDEYQTAQQAAVPHERSFRKRKP IQEHPYMIEASHYSTTLRNHGLRPIKVVTEEEKRRRRQTEEEASQDKEFEEESQESHL PDVSDESQPRGLEDFPDGMDTLDIPSPSPPKTSPRVDRAGPSSQASSTGDTESTSIAG DDLPELKDFKTRPPTTATKQTAKRKPSPSRSSARKRKKYDVVHSDPLEPAWALRLKPF AMYSPAAQRSSRMNGDGSLPTADGSHQGMAPPFPVSTGQAQSFVRLTQRPALRSPSDS EDELAGPTTTSQPNDEDAAAQSDSDSGSESGSEMVTTVGRRIRGVLPASWLRLDQQAG HKKTSKDVHKKPAAFLPEQEIRRGVAQRRIATPNTPTALETFEESDDDGDSAPAPVMQ ETTDETFHKQTRLFIRDESPILIPEDLSDDDGSVIEDNAVDHMVSGRKRQLKLSESFQ GTAKRHKNSSTQRTPSASKGPHQPRITSMLSGSRGNSAPAAVRKSRQPAAKRKPHGRK RSSKNARKRYAPPRLSILDVVEPNAPRFLKIAARSATRRQDMGRSSSSRKSIKLATRD DHIDAVSVLNNWRSGSIPQRQSVTTALKAKKSRPKPRGPEQPLRETSGNGSPAPRHAN MHRDVPRRLVKQVSQAGSVRYQADNNAGESQTMRKPRSTTLTRSGSGFARPAQLETDE TDQTARLSFNATKRRLDRLFRKQRGDLSASSIMTFDNAPDTFYPASPPPEEHPVPLRV VEKPSVNQNKSRFRKKTRPQCIDVEAPQFSRADDPIPTMLMEDPEPIQSVEASGGKMQ GLGPYGTHYTHHFEIFPLDSHAYFHESTLIGSGVLESATRDITCQHLLEARPRISFNF GDQVLRWGPWDAQVSSELGVLLDSTADQLERIPINEEVLDSKFATSAARFIMKFVLDS LSFADHTQVKTFVCRVLEALQGFNGRIGALLRQQDDTTQPRYSLVACVYDHLLLATLF VQRLCQGEASLMSEQFQIEDLLKGLSRTAVTSLMMTGINHITKTYEDLKSSRVRERGL RNSMPVIHSWVINMKVLEHAHIPRASFWDTLYAVIDTPEASTSIDASIHERLWRNMFT LLPLTEFNDKGIVVAGRRYDDMVVDGWSLPQKLLKKVFQIYQENSQQSPSFSNYCRAL VGRCHYLVQQWGWRKCVPVIGVIFDFFGSQNLAHFRNEEVYASPKFLDELAGSPSLSI DKADNCFHIFLKLIALSIRKFSDTKDIRNLIARTMPNHNRQLLKEQVIQERDLAALRN HHDLLCTLFWASPAELRPGAHLIERLVTPASSHKEACLINLRAWSQLARFVISTGEAT TAFKPFSQWRNNFFQSVMDQFDSVQSDMQQQVLAMSKDGANTVDPGLIDAMVAMNRRA VMDVLYMSVTMSLDVMKHAPNLEAATFALNTQQLQQVFRRFTAYPSEFDWSILRASLS TLDAFLSHVDAFKDDEGSQESESQILDSAQADDALLVIDHDLSKVYFSMARCLLSSQG TKDDPSKIADMSHCTEQAIILTARLAVRFINGGLLRLADMFRRGKYGLFEDVPAKCSL DQRRHLTLFLTTLLKHDLDDFKEAGFSLSEAWALSIVKPRPYLSYENQFAEQLWKHQK GFVPEAVMGLSINPDYNSNRDLFEFIISWMRKSVRDAGPALKKQITPEHSKTLKLVME QMQSDLHTMCHDSAEHPGYVAFVRDVIALIRAHGSDICKIDKYFYQISREYSPSIQDP QLQVAAMRSYGIKLSEGDNGVVHQVFYFLCNNFKISMKENKLGDEVQVLHRGMANENI LSFILSKMLPAIVRAAGVESYAFAMIEVYAEAVRMFMADGVSSREWTGSDLQNLLVLF RAVVNVLEQLSQTDGPLQAEHVHLITQVIYLSNILWPSLYGSSLLPITHRCWTDVVQI LRSMRAGLDGAERYVADMVDVEDYSLRAEILLSSFRRPGAATPPIEKDVKTFTNNIVD DIRRLWVTTGPNITIEAPRRVPEFTQTQSNQGTPAPVWEPELLVNKLYDELRIWNEWW TKAFDKRRTPMKMHIPVLF FPSE_10651 MAPLFSLPDEGILTPLFESYPCREHQIRSLATLLHPDTAPCRNL VVHGATATGKSAIVSQLVSDIVTNINNDASSGGLQAVVVNSVQCITGRHLFESIVGQV AEVLQWEEVPRRCETLAQLTVELVKMIQYPKRDPRWRFVLVLDAIDRQKDAPPTLLPA LARLSEIIPSLTCVFIVTSPPAGFLRSPSSAHLLFPPYEKKEFVRILSIAPPKPIATC TQQETVDLWTRFCAAVYDSLTKSASRTLPSFKHSCHALWPRFTAPILAGTHLPKEFSK LLIAGRVHFQDESLLNPSIVSVRPKNKPVETTSTKPTASATDLTNLLPTTARLILLSA YLASHNATRHDLTLFSTYHHGRKRRRGGGVARGTTRTKHRKIARKLLGAHAFVLERMM AIFAAVRGEWADGTTVGAAGLDADVAMAISTLASLRLLTRVGGAGDVMDRGGKWRINV AWEVIRGIGRSIGVEVEEWLID FPSE_10652 MEKFPDFVDTPLGESPSDSPSNTPGWANGAPPRPSDRWLPVSKH DSNWSTASRGHTRQKSLTDAIRTIRGRNGSVSQNAQEIADALRAPVSPKLIILCLMWY ASSALTNTSSKSILIAFDKPATLTLVQFAFVSSLCIFMAWLATIFPVLRTKVAALKYP IRKPTRDVIRTTLPLAAFQIGGHLLSSTATSKIPVSLVHTIKGLSPLFTVLAYRFVYD IRYPKTTYLSLIPLTFGVMLACSGKTTYGGELIGVIHALLATVIFVTQNIFSKKLFNE AAKAEAESPHSMSKKLDKLNLLCYSSGMAFLLTLPIWLWSEGFTLLMNLYHEGSIDLN EQPNSMDHGRLTLEFIFNGVFHFGQNILAFILLSIVSPVTYSVASLIKRVFVIVLALV WFRSPTTPIQGVGIALTFLGLYLYDRTKSSNKADQKAQSMQVKRSSLLPTTNGGLRPT PITESPQSTDLNPYPYREGYFGRPTAAEDSKKSDDSGARSRTPATSNGWLPPGTKQED TWQPGDRVAGVAR FPSE_10653 MAAPKMTKNQMRRAKKKEQKKAQTETSTAKTEEPTEAIKSEETD AAPESTTTDLEVKNESNAGDAAPEDGSALDADDLEEDPAYAMYKDIFNKFGASMEEDE IAKEANAGNTGTVFYADDDDIPDEDEENAGQPKLSKKKKKQLTKLSIAELKALVKIPE VVEWQDVSSSDPRLLVQIKAQRNVVPVPTHWSLKREYLSSKRGIEKPPFRLPQFIAET GITEMRDAVLDKQAEQSLKQKQRERVAPKMGKLDIDYQKLYDAFFRFQTKPELTRFGE VYYEGKESEVDYQHFRPGDLTEATKEALGMPPGAPPPWLINQQRFGPPPSYPTLKIPG LNAPPPAGGSWGFHPGGWGKPPVDEFNRPLFGGDVFGLAASGAQGQGAAQILTGAGEP VEKNLWGELQPREEESEEEEEEEDDEEEEEDEEDVPGGTETPSGLETPGGYASTAQAD YGQSVETAIDGEMDLRKERRGYETEESSAPRSAYTILPERQVRAEGFFGSDRVYDLKQ AQAAQQAGLPVLGAEDDSRKRKKPGDIDVALDLDSIQNNDGISKDDLRRKFDEGKKEE GVGAKWAYDDDLSEMIAQESRKRQKTEAELNKKKKEGKSRF FPSE_10960 MTQSQRKTQARIAPFTQQSWLVTHNAKKMGGSFSSPKSHDDGAP PPQKRPRLETSAKPLDDSSPSESENRSHLRVEIHKIFHKDSKKARPLQETPPTDAIIK TKGKCQITVSDVSGGFTNILYRFSQSCDVLSYENPTGPHRIIFIKPPKPFLVPEETIL VNRQDEASHDYSPSYKLDVELSSLNDGSWPPLNGQELGLSATQPSPLTDAGKQTWVLH SEFDQVFARRKNPVRLTTGFHPQRPECPTDYVMDVDLRWTTGFRPIDETAKNCITALD PDVEDIYTNGHLEPILDEQLNGISPVDTEDDANVLSDTSPVNGTNGINGIKDAGNAHD HDMNGVDGMDGIKDAVPEPEGLNGTHDTNGVNGVNGHEHISEEDMSHDLSHDMDEELE GDQTPNRPLRKRANNKQYNLKVLTDQAHGKESKPRSKPGQITQVEGRVTYLPPVNPPV HLDSWRCLNCGCSNECLDVLRAHLKDYHPNYDYALEDASEGPLFRVTRISGPVDSPAK TLQLGRPTKSFNLEDYNRGDESWITSRFGPDHSEPDVLSPSKLPTAKQALGKSAIKPL QSSSTARPAAPRPKKKTIIIPDSCQPLFDPISKARLKPGEELPKPAVDSSWLIQKHRE DIGEFSDVSAEEKEYICRWDAFILEQNVTSGAYFRRAWLQFVKDNAVWLASSDHRMRE FGKHMCVLMARDVLNDQGIDNAETLIEEARAQLKSGGLDEQTNDTKAADNALKQSPRA SQITKGANGCTICKLPVLGPSLLRGVLTLAGSEFSGSCSQTLKYASPTKQYWLAKCRI LRSNDYATEAEEENCKNKQYGIS FPSE_01497 MARTRAAGRQSMPDASAGRDPPRSSHANATPSSTRPRRSQNTTP HYNESSEQEDSDSSLSSPHSSDEVEVESEIEQDEETRSESRSHRIRNTRSTENLPSRT RSRRSAQNTAPSRAPPTLLGSTRNELSPRNRQTKKRPQPSKHKRRSLKKRRVSSEAEL IPQGVIPPWTDPQIPYSAWIDIFYYAAIAGHDSLDVNWLITTATVCKAFSEAALTAIY RSPPLTTTGKVKRFAALLERPPSETMFNYRAKTETLYVDVHVVPQLLLPKLLCVLPRL KEVILFTPSDQPPYRQLDRTVRWQYTDEIFHAFKPSSEQPDGISSEVKEFHTILKSWE WSGSLLGGPVATMNDVIRIHQEPSFANLTKLSFTNLQVPSLYKLGVKGVTEERELELY AEDEAVIKSIAEAVSQLKSLQHLVFESSTIMNDRLLPLLPKGLTHFSLINCWEIKSDE FTPFLHTHGRHLRSLNLLHNQSLDLVFLTSLADACPKLEELYMNLSYFHHHDSVSVTN NDADPLYDQVLLSHQKPEWPSSLRVIDFEHIRHWTVETAEMFLGSFVDSAGSLPNLRH LAVKTMINIPWKARANMRHEWIRKLDRVFLRPFVPPQKNYSLHPTKDDEVPQVSENKK KSKRLSDGPSRRSNRLAAHVSDSDSRYSTNSKGLRSSHGRPTYAEPETDDDEFNTELE EEDGSVRSPTSSRSEEEAEGLDAKKPVIQGRCNIVSIVFDNQKPTELQYGMEDFMDDD HAESDEEWDGDHEEEDAVFVWR FPSE_01498 MLLGTATGLGRLKDPDTTKRIAIDGRLMTVKSLDTVPASHGDLH LEIDHLGGSEVLPEKGLEAQSEIDQGARDLEVRAPGAPSPLDPIVMCLEDTHHVVGRE VVEAIAIGGNDQEQSERARAEESVSVSGRSSPRPSSVRARSPLQSREQSPHHTMLGAA PLREAPRPAPYDTNAHAPVRSPPRGPAALRAPPTGPAANRNTAPPVASPAPPPPARIQ TPTAPPQRSDTTSPTIPPAGPRGYVPPARGGFAPRGGRGGWNQPPTRQFSGPSPTPST TNGPSAIPTGPRAAPSNVPLSSTPTQSRPFNPPTGPSAQHAGGARQTLAQSMLATLPP IIPGGKLDPSMTPISMGVTRELEPHYRKLKDEEEKVRDELHAKQERLRKSIYTWNRLE RDSRAWEMRSDLSEKSMKNLAGEGMGGAAF FPSE_01499 MEPNATDIVDHPMKDLDAPNGSYQTRNTSIDDDDTASEDPYEAD VDPEPQDITFDQMRRRGLLPTGCCYDDRMKLHMNADFSPNTHHPEDPRRIHEIFKAFK KVGLVYTGPEAELPRIMRECPTRYMWRIPARAATREEICLAHSPEHFDWVERLDKMST AELRDLTKQYDQGRESLYVGSMSYPAALLSAGGAIETCKNVVIGQVKNAFAVIRPPGH HAEWDGPMGFCFFNNVPVAVRVCQQDYPKICRKVLILDWDVHHGNGVQNIFYNDPNVL YISLHVYQNGIFYPGKPPNSMTPDGSIEHCGSEAGLGKNINIGWHDQGMGDGEYMAAF QKIIMPIAKEFDPDLVVISAGFDAADGDELGGCFVSPACYAHMTHMLMSLADGKVAVC LEGGYNLKAISVSAVAVAKTLMGEPPPRLELPKINKEAARILAKVQSLQAPYWECMRP GVVNVPEIQSLNSSRLHDVIRNAQRQVLQTKHNMIPLYIQRENLYKSYENQVLVTPGL HEAKKILIIIHDPPQLLAQPDVIDSTLDPHNAWVVDGVTDYIDWAIDQKFGVMDINIP AYVTHDEDSDAHIPSFKEQALTEQIQTLVCYLWDNHLQLYDADDIFIMGVGNAYLGVK VLLINRDCKSRITGVVNFANGTLRPIKSDIDTDLSSWYKENSRVFIAGDHACWADASL TKKVNKRRFGSVVRSPMFGLNKMMAHHAKEAREWILERLEGGSDADMTTEEK FPSE_01500 MSETPSLTELPNEILLDIYQQLDLDGVFNLCATHSTFHELFSKK KSSILLPVLIREFSPFDELLQIYTASIEDLDCRSGLYAPRKVIFKRFLGDSGTVLAPR KPVVVDDALNGFITLDKPGKPTIPQNQPLKTVVLTENDLKPLLGYCSLVLKWQARFPQ MRWYHEPENCRHLREHEAERFRRAMYRWWLYGFYFHGEFPRPRVGLPEPGVDDVRLSQ LRLYSTSELVELMDLVETMKDVVLHYLCPRLDPGQDEYEGCDSYLYDVVDRPDSLARG WRDQSRWGRIVKTYCKLGPKDLMHLFENIYSYPRKRLITEARSLQPNLSFDQESISVS TQCVLDERQWSLNMPTLPLDGLGGILDWDDERDAERAKFGSDASPDGTLPGGARMLRS WSHYSPRGDDGSHLDDIQRHPWVEGRYMVSFA FPSE_01501 MAAVYKSISKTSAPKAEAPSNGIKKNKQRVLILSSRGVTYRHRH LLNDIASMLPHSRKDAKFDSKTKLYELNELAELYNCNNVLFFEARKGKDLYVWLSKVP NGPTIKFHLQNLHTMEELHFTGNCLKGSRPVLSFDGAFDNQPHLRLIKELFLHTFGVP QGARKSKPFIDHVMGFSFVDGKIWVRNYQVNEVEAAAKEGEEEDEESKSKSRSGKPDT DINLVEIGPRFVLTPIVIQEGSFGGPIIYENKEFVSPNQVRADLRRTKASRHNARTEQ QVERRVRKGDLGLRSAGGQRPAKSELDTKMLFA FPSE_01502 MANDEYDREPTVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYENVTR WLKELRDHADANIVIMLVGNKSDLRHLRAVPTEEAKSFASENHLSFIETSALDASNVE LAFQNILTEIYRIVSSKALDSGDSAQATIGAGTNISLSKPADDDAAKGGKCC FPSE_01503 MEELPFDDDIVMKDSDDESIASTINTEHDSEEPFNVSAVLAAWE VEGSLRYLIEWEGYDLSEATWEPRENLNDIIIEEWEETKAQPGYDLYQKIREWKKAWK ASYAEKRARHDKRNRRRILRGEKPHSFQYMSGSLEWVNRFPDRPDVSASAVSSPSVAD GDSDAGVGQPLRPLSEKRKLSGANLSEDRSPSTSRRNSTLSASKFPLARVDSTASSTS HSHTQVARERPNDGYPANKPLTKKPAPKSNILAKFGRPALTKRTDAGTGRGQLTARKT QTTQAFTGNVFAGGKERKRRTTLAEAAKDPTKTPKFFNYHQKRLVEKAGRDRDSAAPL MMPSNLISLNPAERNVEAPNIPNLGASTNDSPAGDSVKRARQHASSPQAPGQKSQTKP KNSISWGTVEKITFQERTGSDRERSLFLREDTVPLEAPWVVKEEWDIDTLPAEDPSPA PTSQPKASTTQPKVLNDRTCGNDYVDQRDANPSNRTISIDVQFGPGTREIIPVIFQRR EPQNELSWPAIFENMPTLIFSHTCMAQDFLSQENFLVADKLGNGLVVSDDGGTGLDAV ANWLRVRSLVASTSTSTSAQAQAQAQAQTAVTVEPPSLQYYLFRPAPHFTTRSLAPVI LPEGLDTGKLLPKMTSTVFDRMLGFRYEQLLTEEALAKPPGKHMFFLAFPGNTAQDAQ FLDRWLRNCNPKCRIFYSSIPGDWQKFLRLEHGVAIIHEEAIWSIRLFPHVNKLLYPS SKFNFVLFSKSLQPSPLYPSLAQPCRAGDVTLQPLGGQRRAAILVTPSFIISQPQQIW NFFKWFYKAWKNARSHFSLVICADFDGWLLEIAAEKEKAWSRLTNRLRDDQKERVTKE VEALYKVKECVRNLQDMSSEEQPGIILGPELIDRNDEQSLVNWFGWWSVMNLDRYRRF TVIGSSTTDVGRLTYCTTRPDFITSSSSQDVERTEALLLSIQHESEAQHRFQMVPDDT SVSLENYLNRLSDRNQKQYNLAIYTRPVGYWDEATSGVHPDDFGTYSDCIQQFKDKIA RRTAKTIVVLCYTVAEKSSHGGALRDVGRKRRPWFVVYRPMNLQMLHWKEAELIIWDP LAKKAFHDDTDMYEGDLIEAQRIIIQRLRREHDMLPLNRIWIGGWDTDRPSSVDPLDT TLQTLERLLLDWRNNVPIPVPAMWNKGWKWVQRGHAPFQPRTRSPSPEPMDVDTAPEE TDTNTADEVSKIVFDPPRAMKPNGKMTCKNRFFQSCMYEKVRGAPKGALEYRFEPTMQ WYKRQFEEGSGFEHINFMTWEEVFAKYKIENPKEVRRDVR FPSE_01504 MSDSDSNPTIPSWQKAQSDETDSQTTEPVSTPSTSASTSEVDAP AAGATADETAQPENTPDDQPDDQEKLEVARRFLESDAVRDAPYEKKVEFLKSKGIHDA EIQALLGPDDTTTQNEPSTSSEATSSNAPTPTETLPPSSASQPTPDRPPIVTYPEFLA KAPRPPPLVTKERLFNALYAVTGLSTLVYGTSRYVIRPMVDSQAEARIEFHDLTSKKL DALVAKLEKTVSEVPPKKPVATAEEESDAEDPTEMFHRDMGTQTTFPISSVTALKGSA GRESPARHNTNQIASLNKTLSGLKDEYRSQSEGMDKIKSAVDVLRDDLDTLTYTSYPE QSNGYDLYGRSRKPEPDDEIRKVRDSIRRVKGVLLSTRNFPTSAR FPSE_01505 MSTRPRRSAAAKANETISVHARLADSPERSERSMSSRRSGRASG VSVSRDDHSSPGGDRHLSLTVKLPSNKLRQATRGDRGFEGGEIVSGKRNRGAKKSYVV DSSPDEDEEEEEEAEIEVEEDDDDDMDVDAEGDVEMDLAPPTITVSKPARSKPAPRIA ATKIIQDDDDDDDDELSDPDSDMGDETMGFGDETMADDGDEDAEGEEIEVAGPEVDED EDEDEDEEDDEGEGGEDDDDEDDDGNPDESIDLTKMTKRQRARFEDEKEHQYMKLSDE VQAKKVFTAEELSMRRQEMARRRRNLSEKRNEEVKMETINKLLKKQAPKVNRKAAGAS GEESNRPDPVFIRWVSNKSGVRVAVTEEMLDSPAGQVFGPPKLQPGKMVQEVA FPSE_01506 MSPSPPPRLAKYRRTDTTPKENIKASTSGIGIFTSLQRNRPNVL NYLGAVRLYQCGANLPPLAKTRLEAWLTMGIPPGEATEDQQRELDRRNTLVNEWRAAA AMLGINHRDHPVIPQSRINMDLMFVETGITQSRNQRSN FPSE_01507 MSDRGSTTNMLDGGSEMDFIDLTDSDIHLELDNGTLSRWR FPSE_01508 MCILSIAAPAKRAEAWRRSHPYRVVASLVSQLHSSPYAKNEEIP QKEEEAYKDEANEEEEEEALPCLCRLRRQNQARQEGPQRSKCEEMLDSPAGGMFHRPG T FPSE_01509 MSGIPPPNMAGSARATPQQMAATLQHPTTSMDQLGNFPTYNGHS VSWGNQQQQQQQNNQDAVYASYNAPRFANQQQHYAHSQQNGMAMSHASQAQQMMANSS SASQNGMAMSGAHQPQQAMSNSSFAPQNVNGMSGTSQGQQMMANSSSAPQNANTASTP LVVSSQMFRLPTQRPSATPAMANSGAVNGSLNQNSMGSAQHFTGNAQPFMGNNQGQYA QASYGHVQNHMAMNNMHMAQNGFGGMQTSFMGNGQASSMGNAQSFHPMANVHPNFMGN PQAQFNNGFMPGSAPNPMMNHDQSQAQFMANMQALRMGAGQGAAHNMPGNFQSMPSVS MSNVQSYGHMNAQDAQGFQPQTPSSGLNAAAASFAPTQGDVASQQQSSVQTAVPFSSQ TGLHETPQMAQMAQMGMQGNAQTQHATPQAKQKATTKRSPAAQPNSTTPKRRNKPVND TFMQKANNKMRSCTPAMCDPAMGKLVRRVSDIATGDTLPQDIEVVSMACRKQPEHPVV GYLTNPEDYPITPPQTSPAEQAATPQDSLTEQLIQQHPITPPQSSPAEQLTQQSAQQP LQLPVPSRAPSVGELSSLFVSEEHTSPSTQAQLNAVDSHVAASVIEESVRQSIEVDKP LKLTKREKELRRAEAAGEIVPPSARRIVRLERDDPRLQHDADGSPIQPPPTPYTVFYV PPIGELSPDVISRQTIEFIMSHMTDKASGNKPKAKVKTKDKPKPKPKSKSKATTKAVN QKNADGKLTTYPSDTITVKKRRNATTAATTADASQAGATDTATLTGAAPLDNNNNINE HVGFPESSLDDFPLSDHAFSNNNGVVAQPNTVFTAPRPNTVFTAPQPNTVFTAPQPDA VFVAPEPSTFVTAPQPNTAFAAPASAPSFPESQHQTAAEVGDVSESSTVNDLNLAQFS TAQDAPTDYGYGPQFTSEPTPEPTNTAMPVVDTLVDPALAEPTTVQQNQQMRNVSDGL SQGATEQSSTDGPSLEDQILAQTLTAFLESGESEPNDAALFTAPESSTASQVSVVTEP SVESHPNVCETSESDLVNENSSDKPTDSPSDLEAAPSDTAVILPETESSLDDHISVCE SPDSDLFSLFNEIPSDEPSDIPSALADGPSAPTDVPSVLTDDIFDDAFFFPDGEPAEE FSYMAFLDEPLPGLFNTDPTDPVTGAHKRKAGEDGGAEPNKKARTIAPATLLALPQ FPSE_10599 MSPPPPSKQPGAGVGAGAGAGDHPLPVVGSDRPQPPVDDGVAKT SNQDRKTPQSPTRDIISSSPTTVHPLLQVASVPPHSRSTAAAAATTATSPTSESISLQ LGSSTQSPTNIAQVASRASGAQAGSSSAMIFSDIYKSPRSPIAKLRHNSVQLPTSLPD NTPDWYDDEHADLLSKDKAKQKEAVRRYLDAKIKNDWDFSWPSRVVDPPLAEGDVAVV DTASESPDAIETVTSLDVTEPAKPIQDETREDDGYQVDDPESSDDEDNSDAESTYSTV SEDPVRFRTRLEWTSDLSDDDDEPGPSRSPFRFDNPNNVGSTVQATVQAKRAKRRRDV RKEMEWNEGLACFETRRNAWTGARTVRVRAKPVTPPAVSPLSPRRFFFRRSMSTSPPS STIASALPPQVSDASDGSSLAKSDELRNARSKDTTPSTPPDSRNYPVEVLLPLAPPLL PPNNTLRASITPSVYLSLYDKVIIHSLQPSCPINLSDMLRACVTGWKRDGEWPPRPAM PPPTPAAKKKKPKKASNQSENAGSTVRRMSFGLLGRDKDDTTGGKGIRRSLVRALGIG ETTDAPK FPSE_10598 MATEITNVPSAPSRQQQKLSLLEGPVDPPLVDFTLGELLELQTY QHGNQECLVIPWTGARWTYNELSQQSSSLAQSLLDMGIGVGDRVAIMAGNCEQYAAVF FAVAKIGAILVILNNTYTPTEAMYGLKFSDSKIFFTTPRIGRLDQTQLLQQLENKKTA PKVVMLRGDETGKYETYDGLVTAGRRRNHQRLYQAMTKVLPHQVVNLQFTSGTTGLPK AAMLTHHNLVNNSRFIGDRMRLGPADVLCCPPPLFHCFGLVLGLLAVVTHGGKIVYPA EVFDIQATLQAISDEQCTAVHGVPAMFDSLFQAKWPENFNCDNLRTGIIAGAPVPRYL MELLVNRFGMTEFTSSYGLTEASPTCFNAFTDDSIDTRLTTVGTLMPHAKAKIVDRDG NIVPVGERGELCIGGYQLQAGYWNNSEKTNETMARDASGVLWLHTGDEAVFDENGYCS ITGRFKDIIIRGGENIYPLEIEERLMDHPAITRAIVVGLKNKHYGEVVGAFVELADGH QKPEFEELKDWCRKRLGGHKSPAHVFWLGHGDVPATVPLTGSGKVRKFEMAKLGDDLL RKQENVSKL FPSE_10597 MSTPPGTFSNLKAGEIIVKLPHPYQTEYTIEAASTPLGVSTAVY NLVEKASVSAKALPFDSQHPNLVFSDPIDLKSSQLPSQSNNSPWARARRSPCVTVYWD EAEAPTLAQVWLLAYALFTVRTNVESYRLELRGANASVLGQQLTAVLLAIDHPLKGRE KRQTSDKTDESLVLLLRSTFWQGAGSPFGPRPVWCPAESPTSLPASNPLGSYPLTPLH NTTTITVAGDPDDPERVQQSWHPVRPVKPAPGATVYSRWIPHLNETFSMVSLDYADAE HVRLFHEWQNDPRVSQGWNLTGTLEQHQEYLHKVHDDPHVIALLAKWNDTSFAYFEVY WAKEDRLGGYFNAGDFDRGRHSLVGDVRFRGPHRVSAWWSSLMHYLYLDDPRTMFVVG ETQETNTTIVMYDFVHGSGLDKLVDLPHQRSVFVRSSRERFFQLCPLADNEKVVAGTK LGLVPKL FPSE_10596 MGSLPETSNAVRVLILGGCYGGLSAAVNLLDLSQGYSPRMNSEP YTHHPNLPTFNIEITIVDQRDGYYHLIGSPMALADSNFSKKNWVKYSDIPGLKDPRIN IIQGSVNGVDPASKKAIISAHLTEEKSTLEYDYLVAATGLRRVWPVVPQSLTRKQYLF EAENHINAVQNAKHGVVVVGGGAVGIEMAAELKMVKPHLNVTLVHSRDKLLSSEGLPD ETKDVALELLREAGVEVLMNHRLASKNKVETTDGSEKYDVEFTNGHKMSASVVIMAIS RSVPTTTYLPTSALDEEGFVKIKPNLQFEQGTPNAESHYAAGDITRWPGIKRCGGAMH QGHYVALNIHQNILSQRAGHIPSYKEIAVYPPVIGLAVGKKAVASSPDTGTTAGEDVL QSCFRDDLGWTICWNYMQLGGRKTDEAKA FPSE_10595 MAAPSPETKKRNKASLFPEIQRRQQWCSTYTKANNPLQLRTFFT YFELLFYANPTWIDILLLVVGTLTACAAGAPFPLMGIIFGQLVNDLNTASCDNGEVVS QYSPKELQDSINKKVVMITWIGVISFALIYTYIVSWSIFSRRLENRIRDRYFMSILLQ DATFFDKRQAGEITSRLNTDIQAIQSGTSEKVGIIMGCTSFFVSSYVVAFVKNVTLAG ILVSLVPAFMLLAIVGSMFTAKFATAMSEKIASASSIASEALANIPVVQAFGAGPRLE AIFAERMKGARKQGINKAFVAAVQAGLLYFIAYSANALAFWQGSGQIADMVEGNSDTS VGDIYTVILLLVDACVVLGGIAPLLPLVGAAVGSFEKLREDMDSPATIDTGSSNGEKL ASIEGTVSFRNVSFAYVSRPHHTVLKNVSFECPAGKHTALVGLSGSGKSTVAGLTSRI YDPTEGTVLLDGHDVKDLNVKGLRSHMSLVQQEPSLLDRSIVENIALGILNSAQPEHK RFKVTILGTGLADLAAKLRQGDSLVTAAQGFGQDMVDLVDRVQEAARLADASGFVDRL EYGYGTLVGTGGKLVSGGQRQRLALARALIRDPKILILDEATASLDSASEHRIQMAIE SIAENRTVIAIAHRLSTIKNADNIIVMNNGEIIEQGNHLELMALNGSYASMVRLQTVD SEDAGSTTSTVRTDGVFSEKDSLVDLKVRDIEKEEAGVSKEEEAKEKDTALEADAALD SNKSAWVVTKTIGGMVRPYLLLIVVCLFAATIVGLTFSSSGLIFGYTIDNLSPCNPAE DIRWAGKFFGGMWFLIACVELLANTTSWTGFGMVAEKLLYKIRVLCFRSLYEQDLDWH QSEGRTPTALLSVITLDAAAVGGFSGSIIGTIFSIVVNFLVAIILSHILAWKIAIVCL VIVPILLGCGIMQLRSLSKFERRHAGAFSGAVGIANEAVNSFKTISSLSIEEEVMSSY RRALRAPRKEITLASMYANLWLSLANSTGNLIYAFAYWWGSTRITAGEASQREFFIIL ICMLVSAQLWGQMFSLAPEVSRARAAASRILSLINLGSSRDEAKKGKLTLALENTKRD VEACAEASAKSSGGGKGATIVFKDVKFSYPARPHIQILTGMSFTISSGQFVGLVGPSG AGKSTIMSLVQRMYRPTGGTVEIDGVDICAREGTEFRNDIAVVPQDCALFDGTIRFNV ALGSTPDHEPTDEEIHEACKLANIHSVIMELPDGYDTECGPNGSRLSGGQRQRLAIAR ALVRKPKLLLLDESTSALDAESERALQEGLERVARGITMIAITHRLHTVRKADVIFMI EGGKVVEKGRHEELVERSETYRTNALQQMLGNYLKVATIPSQSPLDNPSSVPGVTPTT IQTRLDHAQSTRGGSASASLLDDPDPVPRQQYQRDTTANTSEQFELVDYPPIQARRDA ADREARRKEKRDEKRRWLQEQDEMKFSHSIQFNAVPDWSSHYIAYSNLKKLIYHLEKN AHQARGSTGDAESRPLINQEDAEEVFSRALGVELEKICSFYVSKEGELLEEAAQLLRD VGDEAEDAIADNRYLRRLSVSSANNRAEGRNGFRSRSPRSRSSDNEESGSEEEDETTG LTTRRRSSGGRRRTIPSINKPRSEDLNASTDLGRDMRRLSTAADENEENLMFSSGIFS SAIMLKKRIIGLYVSLCELKSFIQLNRTGFTKVLKKFDKILDKELKGPYLRAHVETAY PFKDETKRVLEENIAKMEKAFAEIVTGGDEALARKDLRSHLREHVVWERNTVWRDLIG IERRAEAAGLGQALLGQERGNVTRRLQGDEAKGAQITQFRTPFGRVTLPPWLASSSLW TLIACLTVFALLLLLPIMEKPEQQNCLAMLVFVSLLWATETIPLFVTSLLIPFLSVVL NVVRDETPGKPQKRLGSKEATSAIFAAMWTPVIMLLLGGFTLAAALSKCTIDKRLATL VLSKAGTQPKTVLIANMFVAAFASMLISNVAAPVLCYSIIEPMLRTLPSDSNMSKAVI IGIALASNIGGMLSPIASPQNVVAMGIMQPAPTWLQWFFIVIPVGTVSIVLIWLILLV TFQPGKGTTIAPIRPVKEKFTALQWFVTIVTISTIALWCASHQLEAEFGDMGVIAIIP IVLFFGIGLLTKEDFNNFPWTIIILAAGGLSLGKAVRSSGLLHTLAEIVSEKVEGMSL YGVLVVFSTLILVIATFISHTVAALIFLPLVFDVGAAMDQPHPNLLVMGGVLMCSAAM GLPTSGFPNMTAIMKEDPFGQRYLQVKHFISRGVPSSLITLIVVVTLGYGIMQVAGLD FPSE_10594 MLSAFTARPIIELKQQTKAKIETILAHGDRVLVGLNNGALRIYR LNGLVDPSLIGSADADAAAATSPNGENGASPITKSSSPTDLMREVERFSTRAIEQLAI IKDANTIVSLSNYHVSFHDLKTYELIETLPRTKNATCFASTSNIVKDPDTGIPEIVSR LAVAVKRKLLLWSWLESELSDDVDEIVLTESIRSVTWASATKLVCGLNGGYVMVNVVT REIEDVVSPGSGPAAGQNSRFGAMSSAGMGYMGLGGYMPKPLATKLAEGEILLAKDIN TLFIDDDGKPLDRRQIPWQHAPESIGYSYPYILALQAPSKGSLEVRNPSTLSSLQNLS LPGAAQLHFPPPTYSLAHAGKGFHISSERCVWKMDATDYDSQIQELVDGGHFDEAISI LEMLEDALLRNKTQTLREVKMLKAEGLFKKKKYRQAMDLFNEDTVHAPPERVLKMFPP SIAGELSSWAGREDEESQESDEAPATPKKTNGTRTTTPEPSESPHDTPQSSKGGFARY LTGSYRRPQADTASIFSKKDTTDGDDTASVKEPDSADDQPLKDKNLTNAVLELNSYLA GTRARLQRVLDPVTGKLKPRSERNGSTEEIAENFLRIGLDESEELLQEELRNTFRLVD TTLFRAYMFSRPTLASSLFRIPNFCDPNVVNEKLLEHNRYNELVDFFYGKKLHKEALE LLRRFGAAEKPDDAAPALHGPQRTIEYLKTLPPSEIDLILEHAEWTLKASPNAALEIF IGDTENAETLPREKIVSFIHDIDTQLECRYLEHIINELEDMTPDLHNRLVELYVENLK DKEEHGEEWNEMMNRFVEFLRHEFLREPVQVYSLSKAFQLIPRDDPAFYEAQAVVLSK MGQHKQALEIYVFKMKDYQKAEQYCNRVNATQDVTPSAQQNTKNDAGDDPEKTTPSIY HTLLSLYLQPSSPNEPNLEPALDLLSKHGSRLPATSTLGLIPDDLPVRSLESYFRGRI RSANSLVNESRIVAGLRQAEGVSIAARLHLGDDVQGRQGGRNRHVAITDERHCVVCHK KLGGGMRIGGSVVAVLPDNTVVHYGCLNRATGNKVDAARAPSWGRGF FPSE_10593 MSQPTLTAYYTSPESEPFQVSHTLSVISSTASTTDKASYLKALR ASIAETQSTINQELTARMEQDKARDAAAEAKEEENYGEEVVEEED FPSE_10592 MQPSRSQPRGPGSFNPLSSSSAQPSQLSTASSRPALRRVETSDG EDETPRPSITIPKSRQPSQPQPSSPATPIYAQFTTHGNSSTASLGHHNFSRPANSRSV TQGSPATLVKGHARKHSATQGSFEPTLPSMSTSNLSSHLGMSHQNPSATSMSAAAAAA AAAELQSPCNIVSRMPSSA FPSE_12071 MAAQAAVMSHQNHSRQRSQTVPFPGDPNDGVRRGSGSKGPTSPP MLSLTEASAPRDTGFVNHGGYGGPHSSAATAAANVVFPRSGHTSPRVSPQPYSQPPAP PPPVSEKPSKIEKSKVKLFSRPGKISTKGESKEKPLPSPGKIGSALSALQRGNFSTNS LVDPSNQSIYNLNNSSSATIRPIETPTEEREKDKEKGKEKEKKHHFLSRQKHKLKDEY HLPLSSAASNSRPTDPNAPNPLYNFNIPPSPAPTSSTFAKAKKDKKLAERSDSRLDGE SSFNGQSEWPGPSSLPSLSQQSTMYDPIDPGKLGLHNHMSLDDAWPYLRAKLLVIFEG EDLRLPIEDFNRVVQMHIQWCMHRRSPNTMLEDLRDLLHTGFLSLDRTLRQTPEDRFI PTLVELWMFTFTSILPYMQAVFLPLDLEVSGCGTLMTGEQARDFWGGAIASSFGSGGP ARVAPAPSVLDVRRLVLIAYRDTVILPRYDTLKTIFSRLSLEFLPSSLASIAMSSTPE AALSTSPSESFGRPGTAMSLDPSNASYNSTSTTLLGEGSAGGRSRAISNVSFGSHGSD GGLRPFTPSGSERIPPVPSLGSLREREQNVEDSKHITEMVGRMLQCMSVLSGVSAGDV GAEGNERVVELCEGEITISVALCLVRASSCMGREYAGTFTAVLYGVMIHIHIMTAFNI LVYMIHAVQTDTD FPSE_12072 MATSMGPNFSGHPAGMGHPGVAGHPMGPGGMPHNQGQQGGPGGG MPHQFGGPMVSAQGAQVNPALMGGMPPGANPNAHALQHLNPAAQQQMIQQQIQQQHFA NNPQAMAAMRQQQQQLLQQRQLMAQQVAFQANLQGGMPMNMAQFSQLNPQQLQHLRGR LGPGQHPQAQAIMAQQLALQQHQQQQQQQQQQQAAHAQQMQGGPNPGQQVPMNAQSIQ AMQQQNQLALQNQMANQQGQPQPQPQQQHQQQQQHQQQQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQSAQQQPQHTPQQSSQAGTPAPTGPQTPAQTPSSTPAQPTQLPPGQNQ PQVPQTPAQAQAQPQPQQPQGQAQAQAQAQAQAQAQAQPQQHQMNAAAAQHIAMQNQM MQQQQQRRESMKGQCLLKLMQFNEHLSGFPGSKGRDDLSYWQNFAMRFFSPNGVFRHS LHITDAEDTTDKQYEIAYPAIARYFHTHFGSGVKNIQLVMDKGTTDRPLPGDCHCIEN AKSSLVYWYETGSHLVASGTLRVQFDAEQKIELFEFLTTSHEEYISRKQVIDAAKPAH MWMKEWHKTNSQDGKSPELSKKGKGRQLKSPQTQPPEVLVDLPDSAVNSKGVTEAVFQ FLEIVEVMGQMNPLFQFYHSNPGMGAYQALEQYVSTTINGAPPNMNGQQMAPGARTPS FGQFPMGTSPAAAHMNLPGSPHMGSPAPGHMQAPGMQMQQSQQGTGSSGPSANTSPAS NKRRRPSAVKEEDGSGAPTPNANGMPRNAKPPTPRMPKRLKGNPPAQ FPSE_12073 MDLCITAEEPFAYEPPKTKPFAPVSPPLTRAETRNTSTSGRSAS PTSLPSHTAVPELEFDLIVHDPNNDSSLTHPWDLPQTSLRVPLDTSRVHLLTLLRERL PVPESPNAKSRCTRSIRRPKLIAATLYWTFRGSILPLGPSDKDWHYRDPITKTDIMAR TELEWFLLKEMMASSGGALKCYIAIRGEAPPTKKTTGWWFGSTA FPSE_12074 MAFYFQPPIFGSYLVPVAPAGIPSILVSFPQTTYTPLHWQPAPV IVPTPQYVLTSNVRIKVIFHRAGTVLASNDAYYNYTPTRETVLANLSWWSKQHNLGDR VYSGQCTLYTTRSQYSKLEIVPETGPITPADNVRKVSFADQITPNDFQSIMSQIATNS YGAFILVDRAYVPLQVLAQGNTQSAPSGQASSDVTNNNSKDLTNAPQTTPPSTRQPSP MPSSSHKGPVDSNDKQPDKTGNANDEGKKTPEPVNTAPGSPKRDLNEKSDANVDGTEP EQSATDE FPSE_12075 MTTELKRGGILIPPWFEYHQPSCSDWAIVSIVFGMTLCLTLFGL IRVSIQTYNQWKRAQRITTYMILIWLELIASGVIGGLGWGYVRGTIRPGFLIYFFILF LWVFQIHCIMQIIVNRIALLHVSPTIVRRLKWGIFSILALINISVFCIWIPARLQISQ TYIDINDVWDRIEKCIFAVIDLALNFYFVYLVRSSLIAYGLKKYVVLYRFNLIMVVIS ISMDILIIGSMSLKNTFLYVEFHPLAYLVKLHIELSMAELIAKIVKASGPNLTLCRCA CHDDPNHIFLHPMQSRHSAPAATSLTFPTTGRLRRSWPAFTKNMHNREKRRGVGRLMS LKSTRKNLSREKQTAKDNEPQQEAWKSDTNVLRDCGSQSMPSSAEQTTKESHSHND FPSE_12076 MPSRTNGVGVQAEDTRICVVMVGLPARGKSYIAQRAQRYLQWLS IPAQTFNVGNYRRNDAPQPTADFFDINNPEGERTRRAAAEAAVADMLAWFRSGGIVGI LDATNSTLERRKWVLEVCNENGIEVLFVESKCDDEELIMANIRDVKTTSPDYRGQDPE TAALDFRNRIRNYEKVYCTIDAEGKESHLTYLKIMDVGKQVIISRIRDYLQSRIVYYL MNLHIRPRSVWLSRHGESLYNIDGRIGGDTLLSPRGEQYARKLPELVRKSVGDDRPLT VWTSTLRRTIATSRFLPDHYNQLQWKALDELDSGVCDGLTYQEIKDRYPEDFAARDED KYNYRYRGGESYRDVVIRLEPIIMELERSEDILIVTHQAVLRCIYAYFMKKDQSKSPW MNVPLHTLIKLTPGAYGTEEVRYEANIPAVSTWRGKGSTAKHENPAPGVM FPSE_12077 MGPLHPILVLIAIILCPVLSAVVKSEVGPKSWDLSTSLNGFSFE RARALAATKSPDRTGVYVSRLKHLKTKDDVYPRSSLSLLTQPDQPHEKDTPRQNITAV GAFSTAYAIQCTWDQTPVWLIFDTGSSDTWAAKTGFRCENSAGDKRNQAACNFGQPHI PEFLKDISEVYFHRRYGSGEDVAGPMGTSDIACGGVSVSGQQVGLANRAYWHGDNVTV GILGLAYPSLTSGYIGDPDDPVDDSEWNNYPYTPWLTNAISQGLIDPVFSVTIDTNTS DGVLAWGGLPPMHWHPRSFASTDLIIAKLVERDVTAWKPSFYTIIPDGLQWGSTTDTG RYPYIVDTGTTMMLLPPPLAEAIANAFDPPATYMVQWGAYFADCKAVPPHFAVIISGV RFWINPADLIYEELKDPSNEKCALAISTGKSGPYVLGDVFLQNVVAVFDVGGAEMRFY SKY FPSE_12078 MPAPSLPIVGSSFSAQANLPIKRVLEDIRAENPEREVILMPETC FMGANPISLGAPLPKGYTTRPKVINLNPIPYMANSIDTAPFGPGLLPDSTESGRARNQ FMNQMMVGGPFAEVIAHQEEVLKGLGATEILEPQVPFHHWVLMHDLTLQLCPPSLEYD RSDMPSNIKFAGCLTPKPTPGDFVYPAWWDDGTIAQDASNLIIPTVEALFDRDDLLVV AIIGRKGAALPKDMVIPSNTRVVDYLPYDVLLPHTSVFVMNAGYGGFLHGITNGVPLV LAGESEDKPEIAMRGEWSGVAVNLRTGQPIPELVREGVDRVLSDDSFKKRVDEIKAEN EAMKIHDFIEEQILSIGELDA FPSE_12079 MSAIKESPLAFMDELSNPSVLHYCPKESAPTANSNDPSIILILS WANAREIHIAKYISEYRTIYPTSTILLIRSTPMLFLQPKRRHPLIKAALPILKSLSDK EGDRPQLLLHVFSNGGASSAVTLWEEWEAALGNEPVPRHAVVMDSCPGYFHWKRDHHV ISLDFPWFMSPLIWVIMAVGWLYCILILGAIPHKPNATALNTSQRISRETMRAYLYGD ADVPVSFEDIEFHAREAKKNGAKVRTELFKGGTHVAHVRIDADRYWKVVQETWEGKGV FPSE_12080 MPNRAGRQRRPAGRQRRPALRPLLPAPERAETPFEVESRYVEDP GPSPYYFFDRRMARLIRAGQQEAEDEQYIRDMESNPDLEEQIFDDSEVESDADPTTTQ RPRGHWRNYRCDICNYVLERQYIIGPDRDDIFILSSRCYNCVSMFSSLQPTLDDRSFQ RLYAIIIRNYNTNRRRRMSTTRVQARWRARGRGVRINSRSVRNIPLGHWAREAQRREQ EEESSSP FPSE_12081 MTDSHHNIQSILAALASQRPPTGTPTQTPPGAPSQAYPPPPAST QPAAAYQQPPMNSSGYGAPGYNGLPAPSSSGNLDLSSIRPINSGTLSITDAIAQAKAY AAEKGVTSYDRPLVYASENRGPDRGYNRSRSRSPARDEFRDGVNPYRDERRGGDRGSH GRGYDRDRSYSPGPRGRNFSPREGSGRERSPLRGGDDNSETIQIESSLVGLIIGRQGE NLRRIEADSNCRVQFLAPTDGGPGPYRQCKISGPRHRRGEVKEAINRIIEDSGMGALN RPEKPRDPNKGGATALREGEDHMQIMVPDRTVGLIIGRGGETIRDLQERSGCHINIVG ESKSVNGLRPVNLIGTREAAARAKDFIMEIVDSDSRGDAPPPVKRLGGGGSAPPARHD GPQQRDMGGSGGPDKINDAVYVPSDAVGMIIGKGGETIREMQNTTGCKINVAQSSGPG ETQREIALIGSRDSIARAKQAIDEKVDAVRQKGSGPRGGGGGRGHQDLDRPSYSQQQT PSAPTNQPPQPASGDGSDPYAQYGGYQNYLALWYQSLVFQQQQGGQGAPPAGAPAPGA FPSE_12082 MDFTSSKKRKFKDANGVKPSKGKKSSSIPDKKSKKVKRAEPEPH DEPEDDSSDEEEQALKEVEDESEQVDEDAEAVDSAGDEEEEGGNEDNAENNTDLPNGG QLTLPPVAGAEAQSFEELKLSEKTMKAINEMKFTKMTEIQRRGIPPSLAGRDVLGAAK TGSGKTLAFLIPVIEMLSSLRFKPRNGTGVIVVSPTRELALQIFGVARELMAHHSQTY GIVIGGANRRAEAEKLAKGVNLLIATPGRLLDHLQNTPFVFKNLKSLVIDEADRILEI GFEDEMRQIIKVLPKEDRQTMLFSATQTTKVEDLARISLRPGPLYINVDEEKQYSTVE GLEQGYIICETDMRFLLLFSFLKRNLKKKIIVFFSSCACVKYHAELLNYIDLPVLDLH GKQKQQKRTNTFFEFCNAKQGTLICTDVAARGLDIPSVDWIIQFDPPDDPRDYIHRVG RTARGSNNKGRSLMFLQPNELGFLSHLKAARVPVAEFNFPTKKIINVQSQLEKLISQN YYLNKSAKDGYRSYMHAYASHSLRSVFDINKLDLAKVAKSFGFTQPPRVDITLGASMS RDKKQQGRRAYGSQPRQNQGNKFTR FPSE_12083 MAKKARQRISYVLENAKSSEGGHRLGVNGLAVDNDNAILYSGGR DGIVCAWDLNLDLKHRSDVTDSTPAASEDKKPESTTRFRAQTHAHMHWINDIALAQNN TALVSGSSDLTVKVWRPYSEEDNTRVETIGEHADYVKCVTTPPPDMGANWVASGGLDR KICLWDLNGAGKTLEIDVQGEEIAEKGSVYALRVGRNIMASGGPEKTVRLYDPRTGDK VSKLVGHVDNIRAILIDDAGDTILSASADKTVKMWSIKNGRCMYTFTMHDESVWSLFS DDPTLGVFYSSDRSGLVAKTDVRGSLEEMDDGLSLAVAHEHIGVGKVVAAGGHIWTAT NRSSINRWEDVDTDTNIKLPESVRHHRATSNASNRPHESSPPAVNGTAKKEIPAESIL RISAAASFPARSAPDPDSTTITDAVTRKGSEVIIDSGESDIKPIHAVAEETIEGQFGL LKHRLLNDRRRVLTSDTAGDVLLWDLIKCKPIKSFGKQHLEDVEHLVNTVEAVSPWCS IDLSSGNLTVVLEPFNCFDAEVYADELELPEAVEFREDQRISLGKWILRYLFADLIDE EIRRDEAHRQKLNEGIETRLVASGGSANALPLSISLPKSAIPDWDNADQVTPKPNLYP NTPGLGIGLATPGPSIMSGPNSLPDVPENAATSPMTPIEKRTSHVSRPSTEREDYFTS PLQLLESAVKAASMVSTPTQDNADSKKSIDGDKEKEKDKDKDKADNAKSPSTPFGKKK FRMTFGTKKLSRSASQATTEKPAIVEEKAEESESSSVHEKEKEVDDSFFGVIQKIHQE YDRQLTENPDKPVETRVVPSLPNDTPVLKLPPGTKVVIQEETSGGSANLYQGTVEDVG KDADLIEQKAPMWLGDVLLQNILPFKEPVKVSFVLYPVDDSLPAIASADGNNRLNANR MLRVRKILSYVAERIEPPLEEPEENPMRAEEYLELYCNDQLLSPVTTLATLRTHLWKG GNDIVLHYKANGKKELRPFPPPPEPKPAEPEEAQEASATEEAATNGQGPSQPQAQAV FPSE_12084 MSADPPSFQSALLAGALAGTTVDLSLFPLDTLKTRLQSSAGFFP SGGFSGIYRGIGSALVGSAPGAAFFFCTYESVKGLLADKDNTSAPGWKAPVTHMAAAS AGEIAACAVRVPTEVVKQRAQAGHHGGSSAAALRAILSKYSSHGFVPMWRELYRGWGI TVFREVPFTVIQFPLWEAMKSWGRRRRDGREVTAAESALYGSMAGGFSAALTTPLDVL KTRVMLSKESVSVSRIFSQIMREEGSKAFFAGLAPRVTWISIGGAIFLGSYQWAINTM NGVA FPSE_12085 MMSARSMMTMRSTQASKMILGRGFFTTSTRLGLKESSTQTDVDY EKHKQDSLKKQKEGSGHWKPELASDSEEAVKADRSQTDPQKDIKNLQERTKKAAEETH KAGTSTRDNM FPSE_12086 MSAYYAYNAHPVHPAPMSHNHHAGRNRRAPRLSMSQQVQRQFRS GPRNMNMKDPEVAAISSFRTKFETSRSFDLEDDMEFCPGLLTENDYVSISSASERSSL ASNSPDSSPTQHPNTVAPGFSLNSTSPAFIPPSYQHQPSMKLHQPSATRGRNAIPIIN PATGITMSSPPQSVSPGRMQQSLRRW FPSE_12087 MDKFNSLPSSFMTQTLFVAKYLILPIILIFILSRVINNRSVSKS SLPLPANDEIVRLRVYPIKSCRGFDVNSTKLLRTGLDLDRNWMFVTAEKREFITIRTN SNMTLITTAWDTVTDMLTVTLNGYSFEIPAHPTTQWLEKNTELTKAGIWGEQTDAWEY SAMLTKPISEFLKIDVRLVYKGPTPRVLRGSGTPERLGRTEATKFADMMPVLVASMAS MNELNDRLANAGEDKIEIDRFRPNIIIRGSVPWVEDGWKTLQIGEGEHRLDLDVVCRC LRCQVPNVHPITAEKHPRQPWNQMMKYRRIDAGLKFKPSFGMLCAPSVEGHLEVGMKF QVKTMTNDHFFISPMK FPSE_12088 MGENLVIYYNDSIDSDNLAAAMALWKATYQRPNTRVIWIIEPRQ VCFGLSMTGEQVSRCKDLIQQHFSSLGNPFKVLLGGLIKQEDLDDINDLTRDDRHLLK MAVKSKYGSKDNAALHGRLTAWDFASCLAEWSNNASNEVFVDFESLDEIDNPVNLNFH HHEELVNRSADELEAYDDILNEPFPQRTKILQHWYEGCVKKIAQKESNSNTSIKPLNL DAVYNAIEAAANVRFFGGSSLRILRLFLDKGVASKIKCHLQVGSCDMSANLFANQFNI ALNREAAKTVLSRSTEFSKFTVVPSHTAQSIKYSALGLKNAGGHCLEKRILGFNCRED PLKIVTNSVSLDGQYSDKAYPMPDLTAFLCALVPEYMESMGSKLGFIEIDEKDSNGAL LFRPSDKGIQMYDLSESTTLTKSEVTGVFEKIARGEVLLDQA FPSE_12089 MSASYWQSTQCRFWTFTKEQLATMRQKLEEDNAELVRMFPLPQQ RHLNIYFNQQLIRLAKRLTIRQQSMATAQVYMKRFYSKVEIRRTNPYLVIATAIYLAC KIEESPQHIRLIVTEARQMWGDLVAIDTSKLGECEFFMISEMRSQLIVYQPYRTVVAL RSELGLQEDEVQLARSVINDHFMTDLPLLYPPHVIAMVAMLLALVLRPNNSGPGQNAS GAAAAAGLAAAQQALMRAQGQQTSGGGATDAATAEPKERQQQARVSRVQKFAKWLVDS NVEIASMVDATQEIISFYECYEHYNDKLTREQINRFVKARGLDK FPSE_12090 MSVTSIVEGVGDGLREPQNSRDARVEKLWSSLEPDHTGELDLKG LQKGLRRIDHPMKNADDMLKRIMEEVDRNGDGKIQYNEFRKFVENAERQLFALFRSID KDGNGKLDKLELQTAFKSAGLTLSNRRLSEFFDDMDINNDGYVSFDEWRNFLLFMPPH DHDSQLHAVLDFYYSVVSVTPEGDTLVSEETLEGLGTDGFRSLFITLFGSLLRVAFPF EYPKPIPDRTSTSASKPSISNPNESPNKTENMATAAAVTYPDYDDPATEISQEVESLT QHLDDGTHEHTTGTSTTVHKKFRLTQFVPDPGYFLAGAIAGGVSRTATAPLDRLKVYL LVNTTSRAETAGAALMQGRPIAALQNAAKPFTDAFRDLVRSGGARSLFAGNGLNVVKI MPETAIKFGSYEAAKRALANFEGHGDPKKLSSWSKFASGGLAGMIAQASVYPLDTLKF RLQCETVKDGLTGAALVRQTAVKMYADGGLRACYRGLTMGLIGMFPYSAIDMGTFELL KKSYKSYYARIENVHEDDIKLGNIATGIIGASSGAFGASVVYPLNVVRTRLQTQGTAM HPATYTGIWDVTKKTIQREGYRGLYKGLTPNLLKVAPALSITWVMYENSKRILGLS FPSE_12091 MPAPYSDNLYSADSGEEPDALSPTDGYFHASSESSSSRSPHVPN VLVEDPTQLSRDDKAREAERERLLLNTGGSSGREERGESTASAHGAQGSAENPIAATP QPQPQPRSYSQRISTFAHSVDAPPAYSPSPTSPNTVNNYQTFTSTATMGRPEETQPLV AHSHEPESMSDPSPNSGQSSSRWENIRDFIARLHFRRKLKTFLASLLIFSVVFMIFSS FTLQSSHGPNQVKERGKFTWSPSSSCPNKAHRTGTVTKDIDIQPSRDLSVVQTVKDIR GHEGWTTHVSGEFILRPTGKSSPAKIDIEVLSNTDGLGVDVDIDKTMQFIEVIVPRRM AHNTSESTPCIQVRVTIWVPDGSVINTLTLNTAQFDVIIDPGVTLGALGGVNIRTASG DVTTPGLDGTTTDKDAALYNLSSREIHIHTASGDVKGWYPLYDLLDIGTASGDVTTSI GPKPVNPQDVKSATFKVRSASGTITIDEPIAGAQKAPRPDREFPPRDYIVDIITASGD IKANVAASSSASFKSQSGDLELQIWPVLDSSLMTGVTSLASPNLVTDTKSGDTSVKLL EPLWTSPATIGSVIPPLEPYDPKSGRDPYFVLTEESETEAGVSKPVFGLLQSKHKSIS GNVKLSYPASWEGILYAQSMSGSQHYQGKDLKITREGGTFMNIIRGRKGTKGYSSLEV ETVSGDQDAVIGDK FPSE_12092 MTCLSSTTHHHHFSLIALQAQAGQYNGMIKAPRVFHHAPRPISS TEFKDAVDAVCRPRFPTARFARPQRIILAVSGGVDSMALAFLVSKFIRMFRGSKVADN PVHGAMAVVVDHKLRDGSDLEAAGVVKELRKLDIKANVAPLAWKDERYNGLDPRKLPN VEGLARTYRYRTLGRICEFMGADSLFFAHHRDDQYETVLMRLLGGHGYRGLQGIREAN SIPECYDLHGVYKSGLLDDQLKANPALSFRPPSREMKRLRRNFRRELALETSPLLEDF PDLVDSYPTSHKAEETFDDVPYLKPLEVEDGGVMIYRPLLEFDKDRLIATCEANGISW VEDETNKDPTLTTRNAIRYMVRNHELPKALQKPAILSLAERCKQRTNAEEAEAKRYLV REAVVKDFDPNAGTLLIQFPTPRPSNRRRRGRLSEKENRLRKEHQRIIMNIAIRKLID FVTPEYHLPPLANLENVVNNLVPGMAPKNNSTPKAFNTAGVYFDPIVKGGSVKWFLSR TPYTSTQALPSAKLYWPRVKIPSAPDFYNEEPTESTPIFRHNGWPRSRMFDGRFWIRL GPNRRPMWQISPYRAEHAKAFRKALSPTGKARLEKLLKHYAPGKIRYALPAIYIVEKQ QDPYSTHMTTQLTLVALPTLGIHIPGLERWVKYDVRYKKVDVTLLGHQPRREKERNLV KYRPLFGPAHKKRLRLMGKFGPQ FPSE_12093 MVSTLEPPTASSVSRRGPSSIVSRQSQSHSRSKRYNRSHTGGTA TNTAHALQNDFPVFGTGDVEIIVRARDSPSDAAPVQNRYLLHRHTLTRSSGFFENSTS NQWSRNRALPAGNELSRIGEDGEIDPVTGSDLSSRSQRGTVPPPRKRWRYELDPRSGP NDIPMLVQRDESSRDNTQSLFGPSSAPPTVSSRDSRSSTRSKHSHSHSRSVSNSSTSS GFFRSVANLSLSSNHPPPAAELSQADEDLLRDYDNLFRIFYNYPPVLDGVNVADAYVQ CKSLLNLADQYDALAVVGPRVDHHMLQFQSRLWKQIAKYPISYLRLGYLARSRVIFQE ALIHVVGQWPAGERSLRAALPDIVLDIIEDKVEELEETVGRIEGRLFRLGLTNSRGER VGPSNNYLDWLSISLFRQWLADNTSPQPPPDQRRRITSRDGGRSVAAALPPVSPPLNS VGRAYRQIGSNNPASFLGHDDCKRFLKLTPELYSRENLRRFEKRLDELKSMAREIVRP LMGSNLELDMGDTSRTPDSITYLTCTTVGNRDLPWVMEDQNTVGLIAN FPSE_12094 MADLVNDINGFISEHNARRGARPSQHPFTPTPRYYAATLMFSDE GANSPPTQSISMSNASSSTYMSSCFDMPTPQTSSTHTAPPPPVSIPYQQYSVPSSPLI CEFERYTGCNATFDPNDEAGWIEHIVTFHIGNAFPSVCVCWFCDRKFSASAYSPPNPE ACYRQRMHHIAKHFRRGVTGRQIRPDFPFLDHAYNYRLIDEETFQREKMSHEAPQLSG LHPAGWRPEQGPLQGQVEISRSHRRGSSTRNRASQRYYD FPSE_12095 MRNLRNIRFGQFQRANVTAACWDPEKDEVLCVAGPTEESSGIEL LRVAGDQDIPIRTVATWDAPSPTPDLAVDRVVSLQYLSGSATTCLVLEGGDIITVQED EFSGQDAHIEIVGSIDAGIAAARWSPDEELLIVVTKENNVIFMGSTFDPVAEITMTVE DLNASKHVSVGWGKKETQFQGRGAKAMRDPTIPEKVDEGVPSPQEDGATTISWRGDGA YVAINSVQEGSRRVIRVYSREGELDSASEPVDGFESALSWRPAGNLMAGIQRFSNRID VVFFERNGLRHGEFTLRSPSGPVEAHEKIRLEWNSDSTVLAVIFKDMIQLWTMGNYHW YLKQEMPIEANSTCLSWHPEKALRFAAASTSNVVVAEHIFYTARGSCLPPHDNGAVAV IDGETVKLTPFRTVNVPPPMSMFDITASAAVVDVAFGRDNTSFAILHRKGIDIYTWPV KNGRSIKPQMSKKITFDEMASPGYNVLLRIAAVAEAFHYFGFEEEKGFVQRSVQATGE GETSATDVNSQEVLVATASYQDESSFTGYGQDNSGKLFHIGESGNEILPVQFQTQLPW FEISKVDDEIVAFGLSRNGHIYANSRLLAKNCTSFVVTPSHLIFTTNNHLVKFVHLSA NVDELEVPEDDPETDERCRSVERGSRLVTAIPSNMSIVLQMPRGNLETVFPRALVVAG IRNLIDEKNYARAFSYCRTQRVDMNILYDHQPEQFLANVGLFLDQIPDTAHIDLFLSS LRAEDVTQTMYQDTKRSKAFGADAVASDLSPAPRGSAAKVNTVCDALLGALQSRKATN LQNTITAHVCKSPPALDDGLLLVSELMQEDEKIAEKAVEHICFLVDVNRLYENALGLY NLELALLVAQQSQRDPREYLPFIQNLHSLTELRRKFEIDDHLERRVKALGHLQNMDVF DELLAYTTKHSLYHDSLRLYRYDPPRLRELTAAYAAYLESTSAYREAGLAYESLENYA KATSCYRTAGATCWQECLYTAAQQQPPMSAESMTDLASNLADALWEAKDYSSAATIHL EYLDSIDMAVSCLCKGYHFADAIRLVIQRNRPDLLTTSVDTGLADALGTTTEFLADCK AQLKAQIPRVAELRRKAIEDPLAFYEGDRAGGMDIPDDVSVAASSRVSTSASLFTRYT GKAGSVGTAGTGVSRATSKNRKREEKKRARGRKGTVYEEEYLVNSIRRLIDRVSAAAP DTERLIFALVRRNMPERARAAEALMADVSEACTSAVSEVFKSTEPEGEKKNEGEPTWH ATGGEAVLQDFVMGQGKKLEPPVVTGVKKLTLLGS FPSE_12096 MDVAYNQHSDRARRKNRSSSNLNHLSLAPLTAKLPLNDDELIAD AIASHPHSPIHPIPSYIQGKSAPTTPRLFARSPTAPRSQSSTRTSSTPLAKSKSASHL AASGTRKAPSGRATPKRPKDDMSFSMRHRNDSDWLLRTGALMSSEAREFKGQTWLVSR QSSTSLGGMDPDEDAFENELARERELTSRHASRRGSVAPIPEDASPYASRFPSRTHSR SHSLTRPRSLLHSPLELSTAAEGSYFPHQGVNNELPGPDFVNLDEKLEELEQDVAQDD EATVRRLVRKGQANTGTWFGSVWSLFSVEEDDEDSDEDSDETPRDNESQLGQSRSWSS HNLAGISTVPDERVPPPKADEGGWKDAAWLLSVATKVMF FPSE_12097 MSPAEDKSEPVGEVDFGDNIDMATFEQILEMDEPGDTEFSSSIV FGFFEQAEETFDQIKEALENEELETLSSLGHFLKGSSATLGLIKIRDGCEAIQRYGKN ENLDGSSLPDDEKCLKLIGDYFKAVKEDYATVEALLRQYYEQKE FPSE_12098 MPPKPVRASPTHFVCIPLAGPQLTRTVAAFCTEVTNPASFGIPP TAVRPVGTMHLTLGVMSLKDEGIQQATEVLKGLKLSEFLASARAGTSSTGERLSLTLK GLHAMQSPAKTSVLYAAPVDTEGILYKFCEQIKTTFQEAGLMAKEDRPLLLHATVVNT IYVKDDRGRRIRERLTIDARDMVSLYDDYVWLEDMPLDKVTLCRMGAKKIEGTDDEAY EVEAEIEL FPSE_12099 MNNSEKRRPGTGNPSTHTLRTGASNNNSRTSMPAMPAKVAMAAT TNATPEETHETTSNSGGAPLKEKESTATRTNSTKEKDRDKDRKERREKREKERGLEAA LKEKDEKIAYLEKEMGIMEREFHRELDKLSQNESETATFWQAKHSALNQQYLRTDTEL RLLRAEVEVREGEREELREGWEVLRRELKERDDEIRGLRGQVRGLKEWVSSSTRTDGQ ECDEVFGDGMARLGNGLQNWVIVNFRKAKINLENLDEATLAEISELVPMYEELASSHK VYLLQSIVSRILVEMVFDAYFVGLTDEQTQQFRQLEKLLSSYADSDEAINHWRSSTLS LLRRDSSSLKESTSSITEVILKRITRLLDALCGTSPSEARDSGLRVLVNNSVELARLV AVQKAVLRVNMPTVLPHQRIMFESETMEDLGGEDEESLTGREICCVAFPGVIKHGDEH GGHLQYRNVILKARVLCSPEQ FPSE_12100 MQAPSSGSQRAFAHRRSPGAEPGPPPNVPVRSISPALQARASSA SARSVQSAGSRRMNREGSGGSGSNMPLSQIEKSVTHLLVATKQLLETLTQWSRGQATD SQVSDVYVRLGYEFNMACRAFTAIHVDTSDLGNVPDSLRHILEATLSQEASAESLEKY LPRIRDIIINLLHGLKRKQQKLRQKQARDRDGHAPPDRATSISTVSSGNSGLTNMLEE GLENGFQPPPEGQPTEGLPSSSGSTNRSPRRFNAQRESSRQSAISEQSSLSSNTMQNM PVVPPYPEGENSIPSGPTVPSGPPGGELNIDSFPPPPPTPPDNTSSALAALQKGGDLE RRASRRYSQYQISKHLGGANGVPILPPQNSPIPNRGRKEVRESLRAVQGRQSIRHNRN NSSQSMRANTAEDSPVRIPDKVSEENNAAELPAKTDSPVPQTPDEAYAASATLSGPPT DPMFFQDDGNSPETPRTEHSKSAPEVVPTPPPKPSATSYFQDSPPPTPSKDLTLFLQY KSKVKKFVLSEGRAELTIGRLQLAFIEKFSWNTQHNGADLPEIYIQDPVSGVRHELED LADVKDRTVLVLNVEALDEVKRHIDDGIASLTEIVRDVKQTVTDQGAALQRVSDRQQE AANEMARLALSPPTAAASSDGARTQFTSGRKLNNSHLGELKSLKQDLAVMRQTYSSFQ AEIQDSMATIRTKAANVKVATAKAAIPDIEGDAGYSYVTSGRKQLNGDSDRLVGKVDD LQDLIEDLRKDVVHRGVRPLPRQLDSVGKDIATLSKELKRMEEYMTQEKPIWTKIWEK ELEDVCQGRDELRLMEDLLVDLRDDLEKASETFTLVEQATKEQMKDNGTGASAGNARQ FSKGLVSLGNSSDQSAAKEGVLGEVRALQPNHEDRLEAIERAEKLRQKELATRNINPM HREIVNFVADGKLKKSGGFEEVERARKAKDDRIRREVWQRQNGIIPENPIGEEEVDGD AIQEAMDDEEAEFLRQMIAEEEAAAAAAADGEDHPPPPPPKDGDDDGKGPEQPNGA FPSE_12101 MGNRKIAIIGAGPAGCLLARLLHLAGTEVTVFEGEDHPNFRSQG GTLDLHTATGLAALKEAKVFDEFLKHARYDGQYMAIVDKNLEYHLERNAVGKYNKIEE RPEIDRSSLREILSNSLPEGMIKWGYHLKKVEGRSLVFDHTTVDGFDLIVGADGAWSK VRKEIDPSLIPEFAGIGMFELEITDAENNAPELSKLVNRGSVFASTDGNRTSIQQMGD GSLNMYCSFVTDNPDWFKPENCGFNPHDLKETTKALLETRYKDWDPRLQQAIELANGR CNPRSLFMLPVGSKWEHKQGLTLIGDAAHLMTPYAGEGVNQALDDAMLLAKAINGAVG KGDQELDKAIKSFEEVMFARVGPVQELTWGLLQDWMYTPGAPKAVMAKSISRHVRQRL PWVLQPLGVAAVHGYYFLKNKNLVS FPSE_12102 MDSSQAPLIAGHSDEEEELEYATEGSRSPEEAGKTGSGMPSAFV LALTFAAGISGLLFGYDTGVVSATLVSIGTSLSNRELTSMDKSIITSSTSLFALIISP FSSVLADRLGRKHVILYADILFVAGALLQAWSSTVPIMVAGRCIIGAGVGAASFVVPL YIAEVAPAAHRGRLVTLNIMFITLGQVIAYIVGWAFSTYGSPATGWRWMVGLGALPAI LQGGMIAFMPETPRWLVKAGRSNAAKEVIRRANGDTLQHNADAVIREIELEVREEHEA ERLRDHQVSDRWTWLGKWGPLVSEGRNRRALAIACLLQGLQQLCGFNSLMYFSATIFT VIGFQSPTLTSMVVAVTNFLGTVAALGLVDRIGRRRVLLYSIPFMIVGLLLSAYGFSF LSLAAGINTTASEPPATAGHEMAALTILVSIMVYVAAYALGLGNVPWMQSELFPLAVR SLGSGVATATSWAANFVVGLTFLPLMDLLSPSWTFVLYAIICGGGYFLVWRIYPETAG LSLEEATALLDNGWGVR FPSE_12103 MSGNIVDRDLLEAERAASPQRYQRRDSAEIERVISASTVSSSSS ENSARRRSRSIGQHNSISRISTQNDLEHHPTELSRIQTARSQHNATVGGSLRSRTASR ASRKPLPNFGAGKPYPPPLPEQEQYVVEFDGPNDPLHSQNWPLKKKLITAAVLGFTTM TAAFTSSIFSAATMVVAADYGVDNEVGLLGTTFYVLGFAFGPSLWAPLSELKGRRLPI LISIFGFSLFSIACATGKDIQTILLCRFFSGFFGACPLAVVAAVFSDMFDNRTRGTAI TVFSMSVFSGPLLAPFIGGFIVESHLGWRWTEYLASIMGFTALILDLIFLEETYPPVI LIAKAADLRRRTKNWGIHAKQEEIEVDFKELVKKNFSRPLRLLFTEPIILLLSIYMSF IYGILYLFLTAYPLVFVGVHGFSSGQSGLCFFGMIIGQLIAGATVIAQQPWYIRKLAA NNGIPIPEWRLPNVMAGGVSFAIGIFWFGWTGYTNKIHWIVPALSGLFTGFGLMSIFL QALNYLVDAYLMFAASAIAGNTFLRSLCGAGFPLFARQMFDGLGIQYAATLLGCVAVV LAPIPFVFYKYGAKIRQKSKYAPTAPPAPVSGSSTEEEEKETANDTALAAVRSRRDSI TSANRKETA FPSE_12104 MSHHITVLPASTKAGRETIRALLASDSKLSVQAVYRDPSKAPAE FIKNSKFEAVNGDVGDGNSLNFTGSNAVFYIPPPTYDGTDQGEWATRAANNVKKALEA ANIKRLVILSAIGAQNSSGIGVLRLNHISDELLKDSVPEVVVIRPTYFFEDFAHLLDA AKDENPTIHSWITPIDYKIPLVGLKDVASNCANHLLASTAKPSPHYLNVFGPRAYGSV DLKETVEEVTGKKFELKLVEEDQLLDYWKQIVPEAHVEEFKEMTTAGLSGGVIAKDFV YDETTVRGEQELVDALRALYKN FPSE_12105 MELITFLLRVAVFTLFAALPSVHAGSTYYDIPWNQTSGETFNVT INQKVDPNSENGRISDSYRVYLALTPPGWGTGPVCWLQWLVPLYETQVNITIPPDAAP DGARIMLSTCLTSAKKGGKRITGWDYSGRTMISGSNATWSQAELDGRNIGDQDEVSCE AYGCVRSCYDQYYKGDSDDEEYSRKSYACWKACARDLNPSSTASLMTMPIQSLLGVGV AVGFLHLIIGAL FPSE_12106 MATESGHDIPDTNAYMGDSAADRVFHILEIAQLIVCELELKDLF RALPITRDLWETRDKIPDRVWAHHLRRLGYPDYVIRDTSYCLRTSYISIAQAVDGISR GVRPKSERIITEDYGKGKYIHLSCEGNMEPPLMFLSFNSGIRWNLRGNMYTLDGIVLK LLTTRGEEPFHRICDGNSVLISGPNRSFEARRLKDWSLTARFENFNLPDGTEIERYFL FNDKHMVSMYDSPVEERDAEGDNGDRVVHHTMVEFWDFDGQKRGESVLHGVLHSEMSL SSINGCQYFTVTSSSSQATSWSRATSWSLDTLDIVFERSLSTEEKRKGYTLKLFGDVL NLLSSSGESLGHWLVDGGETSVEVAEMLRHCAYYPIRKFSDGHRVSWSRRHPVLWDKE GKPIKGFLWQDDEIRRRGLIPCANRFFGFPTTEEMTSARSVKWTAGILFDRFFFIISF LPNSWIAAFRQPLLVVYSKKGVALTSRLLHSDMRGMDLTWFIDILGRLVIIYHRFSGM TDEWEVIDFRGNLSESGKKAANFQESQSPRVIEN FPSE_12107 MKLSSLIETCFALSWSQETLAATQTKIFAPTVTVKNGTYAGVYN KQYDQDYFLGLPFAQASSHNNPPERFSVAQGLNTSWDGVRNVTELPVHCYGYGSDQDG YKQSEDCLYLNVIRPANLKTTANIPVAVWVHGGGLFQGGASDLRYNLSFIVEQSVALG TPIIGVSFNYRLSAFGFIVGKEVLKEGATNIGFRDQRLALHWVQENIKAFGGDPDKVT IFGESSGAESIAAQVLAYNGRDDGLFRGAIGQSGFGAPLPRYRGGYNATEAMQATYDR FVGKVPSCADLKGSDKSLPCLRKAPFDEINSAIRAINTGLDWSPVLDGDFIADYNTNQ FENGKFVKVPLLIGANTDEGTAFGRNRTPDGGNVNTDEDMRDAIGAGIPPHAEDTAGQ TAEELTDELMELYPNDQRVGIPSLESWPHIIKPNDSYAEVHGAQYRRSCALFGDLIIQ YQRRRANKFWAKHGIPNYAYRFNIKPNGQPEYAGVTHFQEVAFVLYNILGDGYNRKPF GGEGSYPENAKAMSKTISTAWINFFNTLDPNGKTGEEIFSGKEWPAYDLSDGPDGEGI VFNINGSDIEVDDWRSGGMEWMAEHALDVFGN FPSE_12108 MLSSLRRVFRSKTAPVRVDKTPISEQPPRLLPRDRTVHLYSGIK VLHSSTDDDVDVVFVHGLKGDCLKTWTGKGSNEPWPKTLLPIELGTARILTYSYDAIV VSKDDVPSLNRISNHASNLVAALASLRQGDNTNERPIVFVCHSLGGLVCQDALVGARQ RSEQHIQDIANFTRGVIFLGTPHHGSSLAKMGELVSRSVGLIKDTNSDIVQVLTPDSE VLARINDSFQALVIKRSRNESNTIEITCFYEELPKKGVGLVVPKHSAILPGYISIGIH KNHSDMTKFNTADEPGFVAICGELKRWINQIRRSQGKFRKQNHAAHCLVPYSYNPDFI GRSGTLEVLKSQLGHTGTGTESQAHRRAALHGLGGVGKTQIALAYSRWLQDFSKNTSV FWVHASSAERFSEAYTKIARACKIPGHDDPSFDALSVVKDWLESDESGQWMMVVDNAD DMQLFFPQPADSPQSESDEENDSLAEFIPECVHGTVLITTRNMQVGSRLLKGKRPIEV GKMDEYEATQLLRQRVHQGDESLKTLLQLSSRLEFLPLALVQAAAFIQENCISVDEYL ELLNGSEDDLIQLLDEEFEAVGRDSDTPRAVAQTWMLSFQKIEKQYPFAAELLSLMSL FDRQAIPIEFLEFYGEGKKRAESNIRMQLIKSLGVLKAFCFIRAEIGGDHNMHRLVQL VTRTWMSRKGKAAGFAKEAVQAVSEFYPYADFEELSTCTAYLAHAYSVLSTQTTESDE DKLLRASLLHRVASFFLFQGRLSEAEKLQREGLLIRKALLGEDHAETLVLTTDLASSL RKQGEWKEAEKLDVFIVETWKRLRGEENHKTLDSMDNLALTYRELGKTEESKKLYTHV FEVRKRVLGPDHIDTIFAMDNVALFEDEDAETMQRRAVEAYVRNYGEEHPDTLLSKSN LAKTVLRQGEVGEAEAIQTKVLEVSKRVFGEEHPVTLTSMCNLGSTWTSMGKMSMDTR HLYPDVDMFGDARKLLQECVLLRTKLLGADHFETLEASQCLKECEEAIEEIDRLDKSA KAVDVNNQVEF FPSE_12109 MHFPTLFASAGLIGSAFAGILPRSYRIPSSNGFPNPDTEQLNAI SLQAGGKLPGSPLPTSLGDGSTVAFQLIAFNELFETAYFSSLLYNVTHNVEGFKVDDD KRAEAEKILKTIIAQEEQHAIGALATLKSAGKFAPSPCKYQFPTTDLKTAILLAGTFT DAVLGALQDANVIFSKEGAHEVVRLVSSVIGQEGEQNGFYRLYLDQVPSESPFLTTVP AAFAWSALQAFVVPGSCTEDISQIKLPIFPGLMVNGGAIGVLEPKDQTISFSAELKES HKDEDLYITYTVGQQLPYSVKAENVKWDGNSVTLDAMFPFFELVASGFSHAALTTSCN ISTPDDVPNYTLAAPGLIQVQNPL FPSE_12110 MSFNAVNRGNPGQKKAKLPSHITRYDSVSDSADSTDAAGQVPTT SHTKSPFKQHTGSMTSNSRSGFVASLKRSPARLNADGEVSDEEDGFNRPVMSDLMRRG RPSTLSKVANVSRTSEPDETQTGGSSNATSTTLARGRGRPKGSTNKLKGLPGTAAAGR QARQVKPRPYPEGFVGFPKRRGRPPKLQSPPPRELYNRTNVQFFPFLCEWMDCKAELH NLETLRRHVYKVHGDSVECLWGKCGRLEEPPEFEDDEGFNDHVEEAHLVPLSWHVGDG PNNLGERGLKKEEKKKDDDIPDYLKDEHGNQVTPSIREQEEEDLLTWRKNRRKLKELL IRMNDNLPDQDDEEGDAKQQDA FPSE_12111 MSQFEQQTVPSAGQHYSGRNRVPNVKEFMDQLDQNKKQRDAEID QQLNQNNIQGETKDHRQNRAEAIRNQKDVRMVRDPVTGKDVGIRDADFDYKEAVENPQ MSVPNENLGKPATIATSSKQSGEEYRYAQDVTAPPDPVQEGATSDVPIRSEKTSVVFY KTPSVSYEPMFAILEQRSNVLCAGIFCSIVFIGKMFGGRLLGLIPLGFCVASGVFLWT KDLIRQGRDLEWSSEQDRGETATANLIPESVEWMNTMLGVMWGLINPEMFAGIADTLE DVMAASVPGVIENVRVADISQGNNPIRILNMRALPDSHVQDIKDEQHRQNEKNTDPEE LAANEQAGSFYNLEVAIAYHAKPSGGDIASKARNMGMQLVFYLGVKGLFGVPLPIWVE LQGLVATARVRLQLTPDPPFLKTLTFTLMGIPKVQAGCTPMIEKGVNILNLPLISNFV NWAIGAAASMYVAPKSLSLDLGKMLQGDDIKKETLALGIMFVRIHKATGLSKQDARGS KGGGSDPYITISWSKFSKPQFCTRVIQDDLNPVFEESAGLLVTADLLKADEQLSVELW DSDRSSADDVVGKVELSIQKLIQHPGKMFPQVSKLRGVKAESEMPGELHWEVGFFGKT QFRKALRTDGRDLSLPKELADKPELQENKGAIDNAEEDAVIHTPPDPLWPSGVLSIVV HQIVGLELANIKGSNGKRKGREYEPARPEAGEVKEEQAKALPSSYCTILLNDDLIYKT RTKVVSSQPIFNAGTEKFIRDWRSAIVTVGVRDSRNRQHDPLIGVVPLKLTDILQTSS ESTRWYPLDGGIGFGRIRISLLFRSVELRLPPPQLGWDIGTFEFMTNAITTTGYAPSN HVKLRFRTGGSSASIGKSSSTREADGMSFNISGENNSEGIRLPVRHRYSSPIFVEFYP SGKRHADAYAVLWLLELVDGEDKDFDIPIWKCNNGLRLSQNYITEENYKSVPDIDMEQ VGRLRFHGRFSPGTDSDHIRFASSNDDRETIETWEACYAEGVRKQDVETEIPPVIQKL HEESLTHGRDVLAQADEKQKAKWLAKDGTDWTGAFGEDPSAMMSVERHSQDSEEYDDF NEDDSDPDLGIQDGDTEPTDPSENGRPSVDSTGTNATSATTDSQASASSNSKNPIKKI KSYRSDSRDMHRKHRGLMQWRPMRNLQFAKDEAKFAVRKVAKLGALDGRKPDVETEV FPSE_12112 MSTQDSKPEGTYEAGCHCGHISLSVTLSPPLPEHEVTNCNCSIC RRGGYLLVYPTHEKVTWHNGSDKRVARYMFNTKTRDHMFCPKCGASMGIDFEKNRPEK PFYGISVRQFNNIDLDTLRYNKFDGMHKIEPAVDLSGKEASASL FPSE_12113 MAFSDKPKRHWIIPQECLSPVDLVLGSILKYPNDPIDILNRKEV EPIDPSAIINEREQVTKSFTDALDTGFNSKIGASSVLAAVLGASPFVEGNWSKGVSFT IEAKNVRAQRFIPTEPYVNKALRTPQVDAFVRDSLFSAPVYMVVGISIAKALSRTASR SRNRGGGGGMGIGPPGTGIEVSAELTANHGVESSYHDSVEDEVVLAYRLRRFRYSKRK DEFRSAKQDETKHTRYSMEDRMLRFEEDNEDDDDDIYIPAFSYFDGDDIVASDVEMAG FVQGTDEEESDNAY FPSE_12114 MTPEDYAKYLREHRLDPENRPKDRGEAIHSAILDKRVYIAIELL NLYPEDCLEARSQKGSPRWRTPLHNACEHNRTLVVKELLDKGADSNARSFHRLTPLIF AVEAENHEIVKLLVEKGTDVNLQSDAKTNERSALHVAANVVSPDILLTLLHNGADPKL VTKNGNTPLHFAVRSGCASAAALLLFHGASPTATNEKGESPINLIENLGKDDHGKFTH IFECAQKKGDFGDLFNQHLRRNAPIDIVSALHWAISHDLDGAVAYLLHVEPHAVEARL SSGWYPLHVAARGGHEKCVAVLLGHEAKVDCKTKTGWTPLMMAAEKGDRKVLRILLNY DADRSATNANKDTAWKIARHHGHRFPMLLAVRHVSPSNIDREGKETDGESRLAPPKER PHCRTPSPSPRDVSEDTGEMFALTDAKSDEASTETPQNSEYFEDFLKTLEQTWYHKIQ WNPEDDVENPRKDWTGPVKIAILDTGIDLSHQDFNQRAKRRTKIGLKHVSEKTQRERI KACKNFTDGPEHDVTDNDGHGTHIAGLIMTIAPRAELYIAKVSSPQRPENKDESPKRR GKESHPIQEALKWAIENEVNIINMSLGFSELGSLELTKTLRDADGKGISVFAAASNHG NRNPIAWPARDRRLAICVGSNDEMSKLSTFAPSTNSKFPIFVTYGENIYSHWPGGGYR KMSGTSVSTPIAVGMAAMIIAFLNKTNEWGQDDKAGLLGRIKEWRIRGTEGMGRVLEN MCRDINGLKLLSPKLMWEDDTVPDPKPIQILAFLSFFEGREIAG FPSE_12115 MFGEKIRGPGMIVLQVLRVITIISLLTAAAACWVLVIKINRSTG WFFFEAMSLVLMSSATIFLIISELPFCKSYFQKNWPVFSDEHGLTWLGIGLLLIGSNI LGKLNSPHNRDNKIGLPFWQLILAAGILTLTSGVLNLICSVIFRDRDINSRMIRADGS LARAKEDAKSFGKSNSNYSASFSEERPKKTFMSYFWKKDDSQSSSPPRRNISHPITRP HISQPMDHDVERNAPPHYDNDRDDDYDMDRRSPIVPAVRRPDTALHPINMRRPASPSM YSEARMSRF FPSE_12116 MSGDSAEVAEDYRLALEDLSSNLRFEISNLTVIARENTEHALAI AEVLQQHILKAAPSKKLPALYVLDSIVKNVGTPYTLYFGRNLFKTFMESYAVVDQPVR RKMEEMLRTWKEPVPGSMDSRPVFSHELVRPIENALLKARAATMPQAGMMPGRPRSAV PHRDTPTPPGMRFPPGHPAQQYTSNGGQSLSNDIRNLIVTTQAEASRNPHDHGVQTRL RALHDLQVVVNSTSLPPDQLELIKNKVTELAAVNMMAPLTQRPAPTPPVPVQARPIPM PPVSVALPQPAAPAPAQEGVTLDALLGKGALAALMARQSATPQNSTPTPQPTPVQHEP PKPPMPTMSALEAMMSMPPMSATPTIPAASTPVPPPASAAPWSLLDQLRKSGLLPPAP ASTPALVQQGGINLAALKQPCQPSLIRQLHNDLGQPCTQCGRRFRDDEAGKKKKIAHM DWHFRVHQRTNEAEKRGMHRSWYVDQGEWLKSREVVDVDHVPTAEDVAAQASKASEAA KPKYIPVPDPSRGINPVCPICQDRFENKWLDTAQEWVWLDTVLVGNRAYHASCHAEAT RDRENTPVLGKRKAETSIASPKVRSLKTSA FPSE_12117 MAVDCPICNKPVKPSEINNHIDSGCEKFVIDKDKDPTPPHSQTP QSNSQKRNASNFFSTPAPKRQAASETRTFTSKEALQPIVGRKRTFEEGPGDGFGANIN GTDGATNGDGVNGTSGWDKATEQDGRVVKKTKTQRAAPLAERMRPRTLDEVCGQDLVG PTGVLRSLIESSQVPSMILWGASGTGKTTIARCIAHMVGSRFIELNATSTGVSECKKY FQEATNDLALTGRKTIIFCDEIHRFNKAQQDVFLKPVEAGTVTLIGATTENPSFKVAS ALLSRCRTFTLRSLTTEDVVRILQRAIKEEESVYPSTPLLDEAMVTYLARFADGDART ALNLLELALSLTKREGITQEDIKAALTKTLVYDRAGDQHYDTISAFHKSVRGNDADAA LYYLARMLQSGEDPLFIARRMVVIASEDVGLADNTLLPLATATYTATQQIGMPEARIP LAHCAVALCNAPKSTKAYRALNNAYAALREPGVAGLPVPLHLRNAPTRLMRDMGYGAE YKYPPNYREGRVKQTYLPDELLGRRFVEDRDLGTEVDPDLEMGGI FPSE_12118 MGIKGIHQEIGGGERIALLKLAAQSLEQQGRPLRIAIDVAIWQF QNQAAQGGTNPEIRTLFYRLVRLLACPVEPIFVFDGPYKPALKRNKQSSRGSSFANAQ AKRLIRLFGCNIHDAPGEAEAECALLQQHGIVDMVLTEDVDALMFGCTKVLRKWSPES KRSTNPTHVSLLDAKNLELGAQGLDREGMVLVALMSGGDYNPDGLPGCGIKVALEAAR AGFGKQLCRIKSADKEAIQAWRDSLIHELRTNEKGYFKRRNQALRIPETFPDFKVLRY YTHPVVSRVETLGDIREKVHMKREIQIEDLREFTREVFGWDYRVGALKFIKVLSHAVF VHKLHNVGGYDHDGLIKGVTGVKNDLNHDSISVVRLQHIPIDLVPIDMSKEEDEEILQ ARAGLALNSDDEIEDAENIEASTSKAVEKPFDPAHPLGVWVIEALAKISEPFAVQESA INAKIRAAQLRKENKQAPKKSKALSKTGMTAGALNKFVRATKPHAASMITSKPPATEN SIATSPTHNTTSRRLRIPSPLELSKQPMSTSESPARQTATPWSLASSQVTPRIRGSAD GKQQAIVITSSPPCAAESPPPSPSPPARSRASASQRQSGAMRTATVSDGSPQRLKRST RNTRASQAGPSQRSQPAKLKQTSLDMFTTKSKIPSASQHSLTKPPPSPPIRQPKSQQI LDDDFDSDSSSDLVPLSSLVSRASASPKRQQEPPPPSRNSTPSPAPARKKKLLVPRAS AVGFFKEVEVDAEERDELMARETATLRRKGVRANVVRMSDIGFIDLTQDD FPSE_12119 MASGAGPTTLAHRSVASIRGGPSQGPGPSTPHTPNRSSVVPSYG SPSAIRADDEYIIVEIGSRHIRVGFAGDTSPKATLQCSPEDQRRAGDFRGLQQPNRAA GLQWAKEHEFWRYDLRDIELGLFQDKLGRVLHDAFSRYLLIDSRPRRIGVVLDSGVPI PLLTATLDIMFNRFQSPTVSLMSTPAMSAISAGVRSAIVVDMGWAETVVTSIYEYREV KTTRTVRGGRALLDSLYSTMESLIPGQHDATKKRAISFKECEDIMCRLMWCKPSEFRL SQRQSTQLETVDEQEEIEAESAEAGGPTGVVQIPINTGPRPLTVELPFEKLAEICDDT FFDPSADRATFDDDELPLHLLLYKHLLQLPLDVRAICMSRIMFTGGCSNILGIKQRII DDLTAIVKKRGWEPVFGKVVDKHRNTTRLHRQSSATSPTEGSPPLKQAEDEGVKSPKS AADTKHEHDPIEAKVARQRETVQQVKGQIRVVHTLGPWIGGSLLCQLKVPAMAVIDRD AWLQHGASGASRPSDVDYKVQQRQSMQAGGYGRGGYGNWTLGVWGSI FPSE_12120 MNRTLLRTRCCNVQPRLLPTHPALTRGFADAKRPPSAPKPIVDI KHIRQHAELYQQTCVERNYRKQAQNPAKILELHARWQDLQRQGRALRERSNLLRRQLA NPATSSGDEDLKEVRQLTREQIQDEARQLKQDLSTIEKGESQSVTQMEELALELPNLT HPDTPKGGEFEVMSYINDPPIFKESPEDKIWRSHVHIGSELGLFDFAGAATASGWGWY YLLGEAAQLEQALIQFALSTATRHGWTQVSPPSMVYSHIGAACGFQPRDVSGEQQVYT IAQSAEDAERGVPEMCLTGTSEIALAGMKANTTIDPEDLPLKRVAVSRCYRAEAGARG ADTKGLYRVHEFTKVEMFAWTAPDEDASQDIFDEMLDMQTEILSSLGLHCRILSMPSH DLGASATRKIDMEAFFPSRRDNWGEVTSASMCTDYQTRRLGTRTRVDGKLAFPWTANG TALAVPRVIAALLENGWDEEAKTVAVPECLRPWMDGKDKIGLGGRRSSVDRV FPSE_12121 MSRYPPAPEPRHRTTRRRSSERGLYGAYRPYLLEWNAQSCRQKD ISTAEEFQEEMSLVERPGWRRIALVRGECDMGVILGMELDKKRGGWGWEFPETETRRR KSEESDNETKEKDDDSDSDQDEGIRICRASLMTKERLPILLLDGLPFRIPSRPYRPSR IPSNTIPDRSKQGKRPPKRSELEDSLWQALVDIRPIEDLVAELIYDTWLQKLDSLPPL GSDSVDVQWTIARALETNADTTRSMERRGDFCSITSTDWASLAERLQRRIQISVTVAL QSHAQQAQDEPKDANARSLDRIAYLGGLLLPLTVVSGILSIESTYGPEGSSFWVFWLA SGLCSIIALLVIYADHLRTLDVWMEVAANEILDLDHNKRFQANRTPRRREYHSSGDPE RGEAKLTTALDGGIYVVQHREDGTRGRTWRKKRLGWLGAMKKMSGWYAWRGSPGLEFR MPGWEKKVRHLVW FPSE_12122 MPSQKNSNGTGQVSHRLRNFFRMNTGGSTGSASSDKDKDKDKEK NSTSTTPDPSKPRHTKFFSNTVGRLRAHTVASEGNQLEEAMSPTAHANPYFAHQGQPG LRHHNSDSVPPSPPDTPSLKVHGPDGAPATDQTTSETKEELARRLRRVASAPNAQGLF SKNDPNNERPATAELGKDPLLTSSGALGLIEPAKTPQNEEMPTHANPEDILAALPAPN MSMAFRRTYSSNSIKVRNVEVSPSSFDKIKLIGKGDVGKVYLVREKKSSRLYAMKILS KKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEDYLYLCMEYCSGGEFFRALQ TRPGKCIPEEDARFYAAEVTAALEYLHLMGFIYRDLKPENILLHQSGHIMLSDFDLSK QSDPGGKPTMIVGKNGARTDALPTIDTRSCIANFRTNSFVGTEEYIAPEVIKGSGHTS AVDWWTLGILIYEMLYGTTPFKGKNRNATFANILREDIPFPDHAGAPQISNLCKSLIR KLLIKDENRRLGARAGASDIKAHPFFRTTQWALIRHMKPPIVPHAGRGVDTVNFRNVK ESESVDLSGSRAMNLKGVPLDSGLATPGGEIADPFLEFNSVTLHHDGDDDHHR FPSE_11180 MARGNQREKAREKNLSKEKKTKTTMTGTQMQHANESNADIMRKK QAAAEARKAAEAAKK FPSE_11179 MKTSTLAMAAFAPMAFAGRFMARRDHPEPAMAMPAMEAPAAAPP AEHPAAAPAPPAEHPAAAPAPPAEHVAAPPVHPAPVVEAPAQVVHPGVTKEHKTEIII IWQNPGAGAETTTIAEKVTVTETVTKGGEVATQPAAAASHTVTVGGPGGLVYSPEELH DIPIGDTVVFEFLSQNHTVSQSGFETPCDLMTGGMDSGFMANPNNTVSPPPQVAMQVM VDTPLWFYCKQGNHCGQGMVFSINPSAEKTQAKFKELAIQQKGDGKLAPIQGGEPAPP AASKPAEAAPPAAPPAEAAPPEATGVVPGKGTMAPDGSCVCHVSCAAGAFPAAAQGIN SFGGMAGALPYNMGGVS FPSE_11178 MSKKQENLTHEEVWDDSALINSWNEALQEYKKYHSIHAKGGSVR DLELQNQAEIEAEPESEEPRVTEIEENVLASEKVEENKYKTKVSRNSSCLGIMLATTQ GCMKGNNKLDRSTRLKRRNNSISILPLKHGRAVAINQRGCERHEFGHGFSVDQLPLMR RPKIEIAVYSGPSTSSIAASFQFWYSNKLKRS FPSE_11177 MAPELRKRKSASDIQKPNGAAKAAQPKRKAPADASPVSLKKQKS VKKTAVTTKVAEKPAQKSKKSKPVEEVKVVEEESTTLEIADESESEAEEGKEVQAIVK ELDSGDEDMTEGDSSFKSGQDVGKIPKVSKDVQKAAKASNEDAGVIYIGRIPHGFYEH EMRQYFEQFGPIVALRLSRNKKTGASKHYAFVKFAEASTAEIVAKTMDNYLLFGHILK CRVIPKEQVHDDMFKSANRRFKKVPWNKMAGHKLAKPLSESAWANKVEKENTKRSKRA AKLKEIGYEFDAPEMKDVPAPVAAIENGETETETKAIEETAPAEKEEETKAEEPVDIV ETVVEETEVVTKPKAAPKAKAAKGKATKGGKGRKTKA FPSE_11176 MSSAVTTNPTQVTNSGSSKKKKNNKKKNANKNKASEEQPDISEP QQGSDKDALEDEPETPTQPETPVDAGVEDVNEKPTVQSNGHAVELQNKSNGLTPPPAD GEKAKTDDSDTSAKLEAMSQEREALRAEVEQLRKQLESIQETHSSEVTQLKSDLEESN AAKENAEEEYQTLLGRVEKIKQTLSDRFKRDKAELEESKERIEELEAENDELRNNAVS SGDDVAKLKEELQDATRELNTLRSRNNLSAQNWSKEKEELLRHVQHLKSEMETTANAM GEWEVIAMEERSVKEGLVDKVSELEEQVTLLRQNYESATTDRDSQATLIENLQNALRE IQDARKKELRDMVETTEAQIQGLKKQVQEANARATEAETAKQTLTQELERTAPYEKEV KEKNLLIGKLRHEAIVLNDHLTKALRYLKKTKPEDNVDRQIVTNHLLHFLTLDRGDAK RFQVLQVMAGYLNWTDEQREQAGLARPGTSNNLRLPISPFNRTPSSPSLNTDIFADTS SAKEKESLSELWANFLERSAQEGTAETPSRKGSSSSAATGPVRPESTKPESRS FPSE_11175 MAIPWDSLRSLVIFFGPILLPKAISYYRSVKNNPHGRHVPIIPV PPRVAVALALLAFLVISYIVQTLPPFSPENLFLGTQSRLQIPVDVLFNRVAAGRPDNV LTKQDEALRGRFVNLESRLLYLQFGPDVLANCPFCTSEEPKTFFNYALPQLLWPHIAN LFAVAFVTSPTFTGRAGAQWRPKATMAAAVIAALDVYFVNSYNYQANARALRLSEVDF FYWTARVARLVTLATFDAALGWLLYLSATNRAFVEPPSPVERIEVTARALMVVKSKLS ALGIVKNTALRDEDLRSRSHAYWSHEVRLMGEVMEEREVVEGVNDALSNRIDVGSITK DAEGYAQNVLQNLHTETENDG FPSE_11174 MASLMLSVFVVEVIVNLVNTIGATAINNLLWTLINFLPISTSKA AGEQRKLQADYLKVRRDLNATSSQDEFARWAKLRRQHDKLLEQLEKTKKTTEASRSNF DRVLTVVRIVVTRAPQYFLPFWYATEPMFWLPHGWFPYWAEWILSFPRAPIGSVSIAS WQLACTGVIALLSDLIMGTVGLLFSAKETKEAPIASEKVAAEEKKKS FPSE_11173 MSRALDKSVKEALKHGDHHQVFLDISDVLTESSDQLLEIELLGK SHVLDPDSTVLRDENAVAILKLRIVQAFIVAQKLHKKFLVEYQNVSIDQVLRSTAVML LMDPEHLTAANTRKRLITNKLKDKSVEEILRSEKHLLDSLLTSRLHRHTKSPTLWNHR RWLMEQYRLHNKDVPVEDDISRIIMVSGERHPRNYYAWCHARYLTSAFVLPSSKAKYA LSRIINSTQKWCFSHHNDISGWQFLIFLLHKHPSETWIVFRETLKLASSFKWRNESVW YFLRYIAAWGGTTTDMMEFENVRRALLESAAEDEAGKRTLKRAQQWLEVVDGF FPSE_11172 MRPGALLAQLALASSANAFFPYTPDWRVDVGQESVGRRSKTVGN GGGVRMGIKQRAPKSSQPLSERAAHEAARLIAKYTGGTVPATSVLAKRENEYNVMEAT KSTKKHTQGVNQDGTDYSYFIEVGVGSEKKPMYMLIDTGAGSSWVMGTSCTSKACTMH NTFGADDSDTLKETGKPFSIAYGSGKVSGNWATDTLTVAGMDINYQFGLTHTTSDQFL SFAFDGILGLAINKGSSGNFLNALAESKEVDKNMFCVALNRAADGTNEGEISFGSPNP NKYTGEISYTSLGKENDWAIEMDDMGYNGEQAGVGGLRSFIDTGTSFMFGSPANVKKV HALIDGAESKDGTTYHVPCDSKGNMTIKFSGVDHVISPKDWVSPPDKDGKCTSNLYGF EVVKGAWLLGDTFIKNVYAVFDADERRIGFATNAITSGSGDSDDSEEETTSATVTKTS NKPTQTSDDSEETGITTNSKSTATAVPDMGLGKESVSSGTATAETSQASETQDSSAPS GLLSQARFTFIFCIVPFFALLA FPSE_11171 MTHARNNGSPNPHGEDRGLLSHGPDYDDDYFGIVADDHSGSGSG SASADLGKASGKTDSNGQPRTPGRVRFDLTPEIVPVSNGQSFGGFRDDDSERRSSDDA YFDMEEATSPARAHRMPLLTDMEAPSVTLANSMGDPSELAEHEMNRPKSGLKSAFMNM ANSIIGAGIIGQPYAVRQAGLVGGILLLVGLTVVVDWTICLIVINSKLSGTSHFQGTV EHCFGQSGLIAISVAQWVFAFGGMVAYGVIVGDTIPHVLVAVWPNLSEVPVIGLLANR QVAIAVFVLGIGYPLTLYRDISKLAKASTFALVGMVVIVFTILVQGIVAPASERGSFS PSLLLFNGGFFQAIGVISFAFVCHHNSLLIYGSLKTPTIDNFSRVTHYSTGVSMVFCL VLALGGFLTFGDKTMGNVLNNFPADNTMVNIARLCFGLNMLTTLPLEAFVCREVMLTY FFPDEPFNMNRHLLFSTSLVVSALVLSLVTCDLGAVFELVGATSAVAMAYILPPLCYI KLTTRSWRTYMAGAVVAFGIVVMTISVIQAVQKMVNSKDGPAQCA FPSE_11170 MEPIVNYNHNTCPKCSATIEGDGKTCGSCGAHCPV FPSE_11169 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRYDQKIIEELRKTSQKPVSPEEGEEIRKKISAYKYLECS AKTNEGVREVFEHATRAALLSRSTRSKSHKKCLVL FPSE_06321 MQKLAKRVAQAQRQAGKRAQKAAKTEQTNYKLRNRQAIRAAVAE VRQNLQDARRARQEDWELGPIAPKRDLGFNGYGMFSEGVRTDWSNYGLYNPRPEILRK RCAWAGGVNQLSLAVSDRVVIMDGPDKGKIDRIKSINIQAGHVTLETHNRAISSGMFG NDSRSQPMPLAIDAIRLVYPITNPETGVTRDVVIHELKSIPANMKSPNMTLDRWEHGY KWDRIVPGINVVIPWPEVQVPEAETFEGDTIRETVEERSFYYNLLSPPMPENIIDELR NKFSKFRTRHEDWYVQQKETEAMSEQARLDSVKSMQTPLQEFHEMQREKRAAEGEPEL SEEMLEKLGAIIAQRKDAALKKAGVSEVAATDASLPSSTTTPPTQ FPSE_06322 MPSNSSQAPTTTTGGTKQTNIDVSMLACYIHDTSSLQDRLLIRA MAEDSQSSQKGAGYSGPKDSKL FPSE_06323 MESANSSAQTVEGSVQKHNFRPEPRSIMLGAQIYDISSVQERLL RGAAAAANASETVDGTTARSTRLNVLKNQIIDLDLILGISSRIPSRL FPSE_06324 MSHSHDGQSHSHDGFNAQEHGHSHEILDGPGSFLGREMPIVEGR EWSERAFTIGIGGPVGSGKTALMLALSLALRKEYSLAAVTNDIFTREDAEFLTRNKAL PPSRIRAIETGGCPHAAVREDISQNLAALEDLHREFDTDILLIESGGDNLAANYSREL ADFIIYVIDVSGGDKIPRKGGPGITQSDLLIVNKTDLAELIGADLGVMERDARKIREG GPTIFAQVKKDVGVDHIISLIKSAWKASGAEDERRSKGGPRPTEGLEQLE FPSE_06325 MPTAVAHSHRPTTKVTHKSFKSRAASKHELRDRAKGRVSNEKGN RKTPHQQVMSKFDRRNQAKQARLNKHKEQVKDNLVFAGKDGAPRHVALIPLCAGTDLN ATIKQLNSSVDIEDNADGGNYRVTVDRFKQKLQYIPIERDLNACLDAARVADFVIVVL SASVEVDELGELILRSVESQGMSTLFTIVEGLDKVEPAKQRHSVLGSLRSFITHFHPE QEKLYSIENRQECANLMRSLCNTTPKGIRWRDDRSWMLAEDIKFAYSESEPTVITGIV RGKGLKADRLVQIGDWGTFQIEKIESAPLPKQVKKKGEEMTIDPEEAGKVLDEPSADR DELVELAPEEVMMDADVAEMEADASEKKGVLLDDHHYFTDEEAEAAKIKKRVPKGTSN YQSAWYLEDVSDSESDMEDYEMPDEETEQARPEDGIEGYAPAPGTEGAPSEYPQSEMM IEPDEEEDLKQLEQYRAGKRTEEEDDMEFPDEIELHPGVLARERLARYRGLKSLRTSR WEESEDRAHEPEEWRRLLQVPNYQSSKSQATREALVGGVEPGTRVHIHIKGISPIQEK TYDPKKPLTLFSLLRHENKKTAVNYLFNLSSDFTQSIKAKEELIVQCGPRRMVIKPLY SQPGQTPNDVHKYCRFIHPGQSAIATFTGPLTWGAVPVLFFKRTTAEDVEKNEEEDGP HIGMSLIGTGTAIPPSTSRVIAKRIILTGHPYHIHKRIVTIRYMFFNREDVEWFKALP LWTKRGRSGFMKEPLGTHGYFKATFDGRINPQDSIGVSLYKRVWPRTAVPVEGPLLDI ATSRIQADGDMMMDDQA FPSE_06326 MEVERVDTTISKVRDNGATAVEGARMELVPRSDRERARRIREAH KAYGRGKKVNLKAIKDKKLRSNMKRLESKYEDAALKAKDAEILLENTSGFLEAEDELE RTYKVRQDDISSGVAVGTAQKRFELNLDQLGPYQFDYSRNGRDLLLGGRKGHVATMDW REGKLGCELQLNETVRDVKWLHNNQYFAVAQKKYVYIYDHNGVELHTLRKHQEVSHME FLPYHYLLATIGSVGFLKYQDTSTGQLVAEIPTRLGQPCALKQNPWNAILHVGHQNGT VTLWSPNSSDPLVKLLAHRGPVRDVAVDREGRYMVSTGQDQKMAVWDLRMLREVNSYF TRQPASSVAISDTGLTAIGWGTQTTIWKGLFDKNAPVQEKVQSPYMAWGGEGKRIERV RWCPFEDVLGIGHDSGFSSIIVPGAGEANYDALEVNPFETAKQRQESEVKGLLNKLQP DMIALDPNYIGTLDLRSEKQRRAEKDLDAPAADIAEEIRKRARGKNGALKKYLRKQRK KNIIDEKRVHVDEIWNEQQAKKNKKELEAEADLGPALSRFAKKE FPSE_06327 MNQGEQTGVIISTTVVALLTGYAFGIYTIRGYLISPSLVEERRR ALHDPVESDESDVDEDDTVLDHAPNWANGADADRKQGLKAEDEKKEPVVKDNGEECKL VLVVRTDLGMTKGKIAAQCSHATLACYKSLVRAPANSPQAQILKRWERLGQAKIAVQV KSQDEILELRRKARSLGLTAEVIQDAGRTQIEAGSMTVLGVGPAPRSLVDQVTGGLKL L FPSE_06328 MLKPSVSFMEKAKPLRRKVADKVEWVKAHAPELVKSRAFRKTTT ILAAFTLAVLLIVLTGKYTNAGWFIPKVIQKIGRYPTCQSKGINGTQEIWDVSRHKYE NLRDDKFTIAMQTYQRPKELEETLNIILSEEIPSLLEIVIVWNDLENHPPPDFVSKHG VPVRYRKSKRNSLNEKLWPDPEYKTQAILLSDDDVYYRPNDLEFVFQTWRKFGKNRMV GALARCTPVDTFGYHHYTFCSSKKGQDDYNMVLTNLAFSHISFLDYYSSNDTIMTQIR DYVDEGFNCEDLALNYVHGLLTGEGPLLISGHEKYYNFVPRVGISMKKGHIEARSACL NHFSKMFGCHPLVDETGYIQRGVLVM FPSE_06329 MSATKALIVGISGCSSSGKTTLARLLRDIFPHTFILHEDDFYRP ENELPTKNGLLDWDCAEALDIPAMAESLAYIRQHAAFPPTLDSKEDQNSVGKCPVPDS TIDAQRAKVDAALGSDHPLRNNLRLCLLDGFLLYSPSMAAVKPNLDIKLFLRTTYAKA KARREARDGYVTLEGFWADPPGYVDKIVWPNYVEEHAWMFEDGDVEGKFKKDVLDGEG IKVQGDGGADGDIVKTFEWTVDTILEELGKQV FPSE_06330 MSSSDKVMADASSIPDSEVKTIASSSVVEISAAGEKEIPTDIHV AETPMSSDDQANMEEQGEEVKPQKVTPNPEVVNPRGYQREMLEQSIKRNVIVAMDTGS GKTQVAVMRIQHELDTCAPDKIVWFLGKTVSLCEQQYSVVQRQMPSVSMKLLTGQLNI DAWSEDVWPRILNGTRIIVSTFDILRDALDHAFVKMNMLSLIVFDEVHNCVKNSSGRK IMVNFYHEHKNAGMPVPAILGLTASPIQSKSNHDEILELEVTMDSVCITPTINRKELL QHVNKPNLSRVLYDVEEHPTRTPLMQTLQSEYSAMDITQDPSIIKTKQLIAKGEKTGP EILSLLMKHGTFSQKQLKSLWNKSKDILDELGPWAADKYISELVSLFLKRFDSPMTFN ESWSNEDRTYLAGHLRQIAASPHQPKLPDRHNLADKTNKLIQELLAADEDVVGIIFVR SRAAANVLCAILREHPEIRQRYRVGSVVGSAANKIRKQNIYEYLPGATADTLRDFKTG AINLLVSTSVLEEGIDVAVCNLVICFDETTTLKSHIQRRGRARKQKSKMIVLARSTSD AREWDSLERDMKSRYEQEREELDTLEIEARTEATSHFAYTVKKSGARLDLENSRQHLE HFCNKVFHRDYVDQRPVYIFHKTELGSAPPTFSATVTLPSGLPKHLRRCQGRGGWRSE KNAMKEAAFHAFVILHQEGLVSDHLLPLNADSKEAEEEVQLTAPELLFDPWKDIAQRW ETTAEKWLYAYEFSDHEYVTPLHFEIALPVCLPRPRDITFHPEEGLTWHVKCTSVKRI SNEECLGLPDHTSTLLAMHYSHRWNVEDRDHVIKFIYENKNLTRDKIGSVPFGESIDA LLEKRVLVRDPKNTPFHYVKTIPSKPPKEQVQHPFNEYEEAPEEEYLVVEQWTRRSDL LHEIKPGQVRSSCAKPYRWVLPISRATVDEVPRRAAKCGMLIPSIIHELEVQLIANEL SSTLLAPVGITDLQLVIEAISSRSAAEPVDYERIEFLGDSVLKYCTVIQAYSEHPFWP EGLLNHFKDRLVSNTRLTRMCLETGLSKFIFSKTFTGIKWRPLYRDEFLDKKPVDGVS RFIGPKTLADVVEALVGASYQDGGISKALECIKIFLGTKCNWHDDKVARCILFRAATS DVPLPPTMEPLEELIGYTFEKKSLLIEAMTHGSYAADGQQRSYEQLEFLGDAVLDYIV VTRMFQSDPPVPNGRLHMVKTAMANADFLAFTNMQHGLRRPEVAINDDGEPVSTEVSL PIWKFMRHSSPEMGRIMNETQARFESLQGEINEARTNGKHYPWTLLARLHPKKFYSDI FEATLGAIWVDSGDIEVCTAFLHKFGVLPYLDRILSENIHVQHPKEELAKLAIDQKMT YDYTAVDGPIKEYLCTAKVGDRVVGVVSGALNKVEAMTKAAEEGVNLLSAEQKRAEKA AQDEVARFLVAMELN FPSE_06331 MQLPILSPAPDKAMTLYAAGFNAWNQLDFESSPTDREPDDLFTF AKVQTDKTIVQQNNTWAFAGSYPSKLLYREANTCLFNTPNAESGDGKVLNGQGSSIVQ YTSLAAWKANKCTETWPCESLVRQIAAYDTGFVILLENGTVLSCGDPRFRDCLGREVD ESSPAHVPNAVEDLNDLGESIKKVSAGGYTVGALTESGGMYLWGMKPPGSQSRHAAFT DLGPVPSYVEVDGDKDVQDFAVGESHAIALTTDGCIYVIGDNTNGQIGLGKSVKESAL WSKIDFILPPGEEVVAVEAGPRASFIITAKMQSKQCQSSE FPSE_06332 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGITALGIKATNGIV IATEKKSSSPLADQSSLSKISDITPNIGMVYSGMGPDYRVLVDRARKVSHTEYKRIYN EYPPTRILVQDVARVMQEATQSAGVRPYGVSLLIAGWDEGIEPEDEEDDESQEESEEK KVNRKTGGIHKGGPMLYQVDPSGSYYPWKATAIGKSATKAKTFLEKRYSEELELEDAI HIALLTLKDNIEGEMNGDSIEIGIVGAPADHLLGLEGVEGATGPRFRKLTPQEIEDYL TSL FPSE_06333 MDAQPTWDWSSVGDNSAPREPEPEPRRSSQYAWTPQAGTASREE TSTSSQQEPHPAPKNRRYGTRTCRICFDAEEPKFPDQSTTFGIQTGSSRPTYVSDDPE LGRLLSPCKCKGSQKYVHEGCLNAWRLANPMEARNYWQCPTCKFTYRISRLHWGSVLS SKWAQVGLTLCCCILSIFLLGFIADPLFDLWSDPIGTLGETVTSVITDIEGLRQPPPP EPTSWIEHFTKGFFSLGIVGLFKTMFTVNPFHWWQLRNSGVAGGGRRGGTGRNRVESI SLIFVLIGAFTFLMGIWKFVQKLSARVLKNVSDRVVDIGEDDDDDEDESKKDQ FPSE_06334 MQFAYPPRKNSNPPPFGRRSGKIPPILRRLRKRTILLGFIAIVG LLYLLFGSKSGPPYHEHVPSGNPPVVVVTVSDYSEYSTSYLESIRNNREQYAKRHGYE AMVVKVSDYNTGESPKSWARVMAMRHALSKYPDATYIWFLEQNAFIMELDKTLEEHVM APATLESLMIRDWSVVPPDSIIKTFSHLKGQDVNLVISQDEVGLVTNSYVLRNGDWAK FFIETWMDPLYQSYNFEKAERHALEHIVQWHPTILSKLALIPQRTIASYGRNKEGNAF REGDFVALMVDCTVTGQASCDKLSHIYQQQLRKKFGNEVA FPSE_06335 MASPPHIGSLSRLPANLRGSIESVKRGGHNVSWPLLNLDLLRNV LLFLFFWRWSRRAFWQLRGRGIIGSVVELYTNIRRILYGYFLRAPGVRGKVQQQVNES LVKLSDKLVPKDQIRYLTLPKEGFSHDVVRSELENLANMDHTRWEDGYVSGAVYHGED ELLALQTEAYGKFTVANPIHPDVFPGVRKMEAEVVSMVLSMFHAPPGAAGTSTSGGTD SILMACLAARQKAYAERGVTEPEMILPETGHTAFRKAAEYFKIKLHLVACPAPEYQVD VNAVRRLINPNTVMLVGSAPNFPHGIIDDISALSKLALRKNLWLHVDCCLGSFLVPFL ERAGFETLLFDFRLKGVSSISCDTHKYGFAPKGNSTVLYRTAEHRKFQYFVSPDWSGG VYASPGMAGSRPGALIAGCWASLMTMGESGYIDSCTKIVGTAKKIAEAIQTTPALGGE LEIMGKPLVSVVAFTARNLNVYDIADGMGTKGWHLNALQSPPAIHVAVTLPIAKVWEK LIADLEAVVEEEREKERVRLVEGKGTHGKAMGDASALYGVAGSLPNKSVVVDLASGFL DLLYKA FPSE_06336 MLAGSSPSPISALNMNGGTSTGRVHKAETGSIQIPQRKTAPKDD ESFTSGSTSSTDSHMKKRLSVDMSKEQVEDLVRKLTIDEMNKKWIDEIFEKVKSEREL TAFYDFGGISLTRSAVVEEHSPANSTPSSSEPPSPMSNPIKPTPSQQPTPQEPVREST REPTRTPAREPARENTKKPEPETSSSESRNKPNDPVSSNRNSLNTNDNPSTSYVSDSP SSNHYNTQQQQQQQQQQYPSRPKSVPLPPQTATSKARPSVRFSNSPIILNEEPEPEPR HTAPPRPASRSAIPSDPAKQGPALSAVDLKWGRLFDVRGDPTPRLGQVLRGIANYLIV EYSPRNSLVVTPEKLKVFYHEYKLDTETFPFQQIFDCRPHGALDNLETLYQHLRCENH LVQRRPGGMPHIPSLTPAGFERWMTCQIQAFPEQEARRLNLIMAELPIIADGSFEDGK PERLPKQLSRHLLPAARNREVNDVVVDAITGWIKRTEENESDLRRTSSEDVYKSAPKD DKGGRYRSDDYRDRDRDTKYRRGSKDSHKPPLSRSAFVPRVNSDSIVRPKDSSPIPSG SSHRSRSPIMSNRYRHSNSSLEGGANTADNYDLPSPSHRNGGSRRNRDKEYRYSSSGR DSKPPPESIPRTLPRRDGDRDRRSSLILEETGKDPNGMTYDEYMRLNPRPMRNAVVDD GGHYRTPYSSGSDERAR FPSE_06337 MDLASIDEDPAAALAAVEADAASSVSDPQYIFTTPDRKHHLSSS PSSNATTPGYFSYGTIDSNYRNEFRDRYHDDQDIPGLRTPSLLSSPSVASSLGFSTGR VSPHKREQSGHSRLSSINDSSRNSSPSVRRSNRPRNSRDVLAQRLSQLAHELTTGEDD LLDDSGVDILTAQLDQLEGTKMLKKTVSFSVPSTPSPRPNIRRPVSLDMYSPGDSIFG TPGSAFKTRFSDLGASIRRDPVPEPTPEPEPPANLGMTVVQAKKVIQEVTQLNEEMSQ LVENLKARQEESDHIQGLLIERAERAAQRIIFLQNRISHLEQELRENDDELQYLRICL KAVEIQMPAHPDKELQRCISSFKKDYQALKRKRVNRSSIASLSSLGSPYPGSPA FPSE_06338 MSWRASERLMDTIRHYARFPATGVSLRQMVQFGEKPSVGTLFRA SQFLAEELPIRLAHRVQELDELPDGLNEMPSVIKVKDWYAQSFEEITQLPRPELPSDV KTRLMKPSKAIGRNAFRLPAATPNPSIDEGESDGWGGLQNNNSKNKGLTRRYFAVVDD SSDWPADLHLYNQRFAQTLHQIKRRHDSVVTTMAQGILEYKRRRQRMQIDSTIQSFLD RFYMSRIGIRMLIGQHIALTDQSHHRDPTYVGIICTRTNVQDLAQEAIENARFVCEDH YGLFEAPKVQLVCNPNLNFMYVPGHLSHMLFETLKNSLRAVVETHGMEKQAFPVTKVI VAEGKEDITIKISDEGGGIPRSAIPLVWTYMYTTVDRTPSLDPDFDKSDFKAPMAGFG YGLPISRLYARYFGGDLKLISMEGFPRGFFANDYRAETERYRNGNRWATPRPTIARNN MRRKKMTIKNYNTITTTMPTRQTTICPEEYHANRNAQEIWLFERGGKRLLESEDEDPY AQERNSTWFTKPEGSTSGPNAGSLYGLTKRVVARMFGQ FPSE_06339 MPNADQYRCSVGGEWKKLGAFSKSQQKRANLKDRANPGMICMEH SQPVRCEITCTVCDRARPIDNYSHGERKSDNPRCEQCVAWDTDQEYGVVPIQLATGHR SAEEPELKSYSAPTDTAAFFESENMPFAPITGPESLGLQPTPGYNRAFLHVVGNTDTV GNSETSVRATTVSYSETSSVVNENRSTTSSHLPPHLRSRIANNTSSATPSQNADVPRF LQDFFQDMKLGGSSVAENSKGMAGAAVAGRKLPPHLQGKKKPEQSSEATPSGMNGSTT GSISTATTLRKEQEEDAALRQITYNAWDSRGAHHRATKNPTVVSSSASVVSASGKTDQ DSNLVGNWDDIPAAPMPQTSGRGKWPKASELRFTQAQLKLQERLSGRQK FPSE_10231 MTVPSDLTHRGANGQHLSLEDRFEVLKEIGDGSFGSVVLGRVRT AGANVARRGTVVAIKTMKKTFESLQPCLELREVVFLRTLPHHPHLVPALDIFLDPYSK KLHIAMEYMEGNLYQLMKARDHKCLDNGSVKSILYQIMQGLEHIHSHHFFHRDIKPEN ILVTTSGHNDAGNTFRRYSSLVTPPSTPPTYTVKIADFGLARETHSKLPYTTYVSTRW YRAPEVLLRAGEYSAPVDIWAVGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSPGNW YNKSGNRVGGGDWRDGTRLAGKLGFSFPKMAPHAMDTILQTPQWPASLAQFVTWCLMW DPKNRPTSSQAIAHEYFVDAVDPTRPKSSASRILGRKQSDLSRSSKEASTTPTSVKQS WFRKSLIGRSESTDLATMQTQTKEPAGPRPAPEPAAAKARPAAGKRATWTNGPSNVAP MPILPTARPISPIPDAVNARANNAYDDAYANGHGQGKTKKLGRQLSVASSTNNYTEMH RQQAERALNGNSGLASPPSGQKESFFSHLRKRARRFSGRHQTPVSPSYDDDDLEAQVG CGPWGSNRSSMVIDQSQQPAPVPKSEVYESLDKALRDVQTNLDSRPPVPPAHQVSPTS TLKRHHSLPQQQARSVDNLIGAARGGPISSRTRRAQATHGVHQYEAPDEEEELMDEAM TTTQRAMKRMEQNQNKPLRQSASNIGLMNPYPTPSPSANGNQVLFADGQAITPKPLDL NKRTDQYKWPTPPYEESEWAASASASIWAAGSRI FPSE_10232 MSLNLPIRQSTSSQRNRDSRNNYFNSYTPPSSTEAINGPVRELV REQTPLNDRLIVGVDFGTTFSGVAAVYTSTPDDIEIIKTWPGGNGITSDKVPTEIAYS YPPNAPQGTEPTVKWGFQFKPEESRLRCIKLFLDRSQKLPFYVSPLDTAAQLKRFNKN VVDAVSDYLTQVYKHTMDTLTRRYGESFMASTKVEFVLTCPAVWSDAAKNTTLQAAER AGMGSRSEIQMISEPEAAAVYTLKAIQPNHLNVGDNFIVCDAGGGTVDLIAYKIISLK PLRVEESAVGTGGLCGSAFLNYRFEEHVRGRLGQTRFDEMKSKKGKTWQMGLRYFEEF VKRNFNEDEHQEVNVPFPGLPDDEEAGLDSGFLVMTAEQVKDIFEPVVKEVCDLVQGQ VSGLRSKGGIVSGIVLVGGFGQSDYLYRRLKSHFTSAAPPPYSERPTHANANSTQETG SIEVMQPVYAWTAVVRGAVLRGLEGNMVISRKSRMHYGTSYATVYDEDKHSVSERYWS PLWERWMVSDRMQWHIAKGEALSPLAPIAFHYTRNFRPGQSLIVTDDLIACDADEPPA AYTRDLIHVCTLTTDLNAVPRSLFTRLTTTRGVEFDNLDFTLEMRVESAGLGFELKVD GVRYGRVEAEFH FPSE_10233 MTASTSSSRKLIHLITDIDNAPLDFESNFDPYYRLFLSPDPRPH GFILPATVSLMPWPNTFTIDHSARTVTLTSPPSSSSLTEHANAAFQEAVDKAIDDDLF PILHKEHSEYFRIVGARSFVQVERFAAPLFGIATRGAHLTGYVRENDGEIKIWVARRS RHLFSYPSLLDSTVAGGIKASDTPLACIKAESTEEACLPPNLVSTHVEPAGAITLANI NAKSKLFHSDIIYVFDLEMPRDVVPRPGDDEVEEFVLMGCGEVVERMLKGEFKPNVCP VMIDFLVRRGFITKENEGDFEEIQKRLRREIPVPMESDV FPSE_10234 MTSSSPSERAAALVQWATSNGATINPSVQVSHLPETGLSFCATA PTSPFDTIVSIPPSLTLSYLNTLPGRDDPKPFSSNFLAKTPPHVIGRFVLIKHFLLRE SFWTPYIQALPQPNDVDSWSLPPFWPDEDAELFEGTNIEVGVANIKANVMREFRAGCD LLDRDDWEPELLKQFTLPLYQWAYSIFSSRSFRPSLVLGLEDQQRLPENVKLDDFSVL MPLFDVGNHDMTTQVRWERDEKSNDCSLKVGKAYQPGEQIFNNYSMKTNAELLLGYGF MLPETEELHNDYVHVRKRQPAQGEATEEYYISLRPIRHASSLLARTKQAVKLKESTSV LGAFQHVQHDMVWDIFCTLAPPEQRAQFICEGSEQEQQNKFFSGQVSEDGRMFMQQTA AIIQHKVMQELERLLETDVEVVGGGDLTRNQQLALDYRARCKKVLETTLEAMDMDELF GEDEEE FPSE_10235 MSSSYFTFQWPRPQDNNFICWHRGFDNPNRNIMVALLVPWIQWP NNPLDDANSHWTVIQDKAHQAVTQWRRALREKVSGEGHQKHMKLTSIILMNSQLRLTV DSLGEGEEHMMLFYGFAADELMDMEDVRDVRMGKSVIKWIGDGQDAYPVLRNFRSRV FPSE_10236 MASPTPDAPAADSPSRDVTAEKEHKSEVNGHATPDKPTDAPEPT TVNGNDNDKTEDHPVENGVSNDVEMADSDEKKASPSPPKEDAVESENKSDSVDQAKEL GDKESDEPKPAEDKPVAASEDVDMADASPSDKPQDDKPAQDESTKPPVEEDVKDVDMT EKPSDAPENTDATDDKATASKDAEAITSEADEQPTSLSQLAIDGNDADGPKPSVDSND IDAPKPSTEVSMQDAPALDVSASSKVAREREEDSADEPAPKRAKTEPKSEEPADAMLP VTKTETIPNESAPEQEISRFSGLELWNNKEYKDQKLTNHQRREFRKVLGRVKKTKSGG HFKDSVAKLWPQLADSYLSKIDKPTDLSEIDRTLRDAVYTTIGDFQNDLALMYDNTQT FNGTLHEVTTSAFNAIRDIWENIIQIPAEEMVKPKSAPKPKPPREPRISSLGDTVARK PSVGPGTSPAAESVSSKPRHESQEVAAAAAAASELRRASNATEGDRPKRTVRAPKSKD IDYTTKPSRKKLKPELQFSEEVLSDIMHPKNTALNSWFMDPVDAEGLNIPHYYSIIKK PMDLNKVSRMLKNGEITSVKDFDKNVRLIFTNCYTFNGSVDQGNAVSYVASQLEGYYN GLMKEKDAWLARYAKANAPAPNGSDDEDEDEDEAEGDAVEAAGPPGANPTKEVHDLEA KLREESSKLTDLYAAEVPNESMIHIQQTIVNVVQNSLLKAKQALSQHRAKNPDKPAKK AAKPSKPKPSGSVPRKPSGTLPHPKKPSGTKKAATKKTLTAADKDLIASAINDLDGAQ LDRAIDIIKRDTGQNENNDGELELDIDQLSHEALLKLWELCRKVLPGFGKDSNVPSSP EASRAAPPKHSKTSSTSAKPKKNKPMSAREQEERIAQLRGLRDLYRPGQEPGDAQPVL QAPTPTAESSDESDSEEE FPSE_10237 MSTTKRKAPVKLAAPVSRTSARIPNKAVIDESKTSIAGPDAYGS AQVETIELSSDADSDEDLSDAESLTEEQQKPTEEASNTKLVKQKSNDEAEDEDEDGAA PSFGELLRVENDIIDVPSAINGTIVSHSTRNAVAPPSHQSLATVLSQALRTDDTDLLE SCLHTTDLATVHNTIERLDSALAGTLLTKLAARLYRRPGRAGALVAWVNWTLIAHGGA LASQPKVLQSLSGLQKVLSERAKGLSSLLALKGKLEMLECQMKLRRRVYSGLNNSGDS DSDDDEDVIWVEGEDEAARTPGRRRGALDGDFDDSDDDVPITNGFVGDSDDEEESAGE EADSDAEESLDEDEVNHEDVDDSMGEDEESDVEAAAAPPSKLQKTASNFSKRR FPSE_10238 MTSSKATMSHDGQEIDEAPDASLLLSSPLSTPLTTSPELVSIQS LADQNPTPLSMMDDSCFLDIDKCISTGLEAGSVSAPASVDKTAATSNQSPSNSVELPA TTKDPSTHSQRSADLPRPKETADDGNAEPTRAADDGNVPYSTGKDSNSQLILGGQSSY KSQEIAQTGHSKNGASQAQPKVISPSALNTTGLSSQNSNAPSNAADPALGFRGLHSDQ HEYRIDSSTRYYSQYETASAPTGPSSAGALPARGTATAPAQTSSHYTATQSATRAMSS TTSTSNNSVPPGHQRHRNDHSSHDNGFPPSHNTSLSSRSSGIKLDTSFIRPTPRPIVH TAPDSPSLNIKHPTPDITSQARSGAYVGNIAQLEATAERLSMTSSIDDAIRDLHTELK RSDSRRSSILAASLKASGSIDDYTNNPGSAVEQLRRHPSIASSIVSTNNAARHGGYSP AGYVLSPNHSFTGRLRSGSKNSAGRPDFDLDSVLSRHGPGKASVRSVRSTKVSLAEIS ESEPIALTQDVLDMADKAPAKNPEGDSLLPEELRDANMPATDVFQNMMGDDDFMDATK KRPHAGANTGHQESRDPQRPGSSHSDTTFQQCQDAFGDFDGVHWVPDQDDPYAPPNDV EPQPQHQAAHRPAPRPDIRPQSYMDPESGQQMLYYPARVPAMLNLPPKLSNKPKANER NKRRSQVMSAMFDGGRKSQVMDAPAPERDTTRDSWLPDPLAGHRASFIALTSDELREL GQQPDPARSVHSEHDAAPAVQPEPTADSSVETLRRPQKLSKKNPDDRNTRMSNLPPQL RASAYFDLPDNTQPDIEVKDGSAMATLESILDASANAPVSAFTDHERAGRLGKEVYGK EKKRASVAPSAALQPEPDKKHARKRSSFLWLGKRHSHVSDDNKDQKAQSESGLGPVNA DTNAAEHDGLARSVDGRGDGHSDDEQGVKDDDSDASVEEEGYHGPPTTLLAELQLRKQ QQKERTQRTFPGGMHATLLEMDAVAETQKKARNTKRINLAWEEGQAQPVDENSDDEDI PLAVIAARNQGAKNVMDLNRPIGLMERREMEENEPLSHRRARLHGQDPRSMVITNRPS MNNLSANYLPTTPHSVQNASPEPDDEFEGETLADRKKRLAAKEEAENLLPKARAVSGA FSIELLNQFEDPEEKKKKEEESKPKSGEEETLGQRRRRLQAEREAREREMSFNQLSAT SEEPPVPVPGVNGHMHQMSSVLSAHPKRSVNEAMAERERLERERRLVREGDARMAAYR NQMPQALGQAGNASSGGYRGGTFNNGLGGQNSQAAMSSPALHTQIFNQPALNHRKSAV FSTYGTPMQPAGYGAGGMSVYGGNMMQPGMPMPGQAGPTNRIEQWRQGVLP FPSE_10239 MAADVMSRPDEENTGVRAGDGSRDSSVDVEKLGRQRPEVFKSIW SELGFGFSVFCSMLLAEFFVSGFHVILPPLSEELEIPAASQTWPSSVFSLVTGAMLLP MGRLGDMYGGYLVYNAGLLWFFLWALIAGFSKNYMMLIFCRALQGLGPAAYLPGGVML MGKIYRPGPRKNLVFALYGSFAPLGFFLGIFVGGLSAEFLNWRWYFWIGTIIFAVVCG ISLVAVPFDYRDRKVDIPMDWWGVGTIVPGLLLIVYSLTDSSHAPNGWATPYIIVTLI LGVMFLTAAWYVETRKAPVPLLPADLFEPKGMKRFLAVLFMAFGTFGLFLFYSSFYME LVLHKSPLTTAVWYIPMCVGGLIIGTVGGFTLHFLPGRVLLFISSMANAICMLLFALM PENPNYWAWVFPSMVCCTLGIDITFTVSNIFLTTNMPSHRQGLAGALINSVLFLGISF FLGIADIAVAETSELGLRKSYKVAFWLAFGVASIPLLFIPFLNIGSAKSDLTMEERQR LENPQRSTEEPERDREAKTSA FPSE_09943 MAEEQSSSAIEDGKSLHQVFLQKGTDPSEVVDLIKSTVGHDYIS PWSDADDASISAQFKAMSSQIAKVKDHKDIVRVIKVEFPVQDITTPRGRRSFAESNYY IRPIN FPSE_09942 MTTPEKPRRRFVPEPIETTFSTSRSSKNTNDQNAMRPNPEPTPE PSPRSPSPGLHELHQKARPKRRFAPQLIESSRRSRRVGDAGPATKPSDKTDITPYTRN IYTATKHRGRRRDDAHDSPSNGPRRRESEDENVVQFLLEIAAKEAERQMQEAAMAAFP NSHVREGNVDHFYFRESSGSDQSPESTSPVHEHHNRSGKHHNNNARRQSSDSNLGWWH KHMQEHAESKQEQQQDDAMAIDEKPEMDVVVEEPETPAAKKEEDTIMRNDTTDTAELD KMDLELPPDPVWTTSNTPRDSPSKHSSIGFSGPIGETHMPLLDTESYLTVEQRSKAAS PAPAASRHIGESTMPFIPSAPTVGSADTPFSKSSQIPPDTGGFASRNVARPFGRPFGG YGRASPAPLSQKKRFGVTPPMLGKDLVFRKCPSPKQTKLEPDHPFAERHSEEKFRDVS GQNGLWRGYCFRSDSNDPYLVPADLHAPPMLATPRPVVTPGDASHISSPPFTDEPASL NGTANGQVNGTADSRTRAGPPKGLHMLHGINERLQKEKAHAEREDKIEHEFDDSFVTQ VYNYLSLGYPAMARAFDGELSRISLMSIEDLEKDDEKQLAQGHLVESENDKPRDQRCP RWHALQSYIKEWARQHPNLDSMDPVGWGVRERRGSWAV FPSE_09941 MGTISIRKFIRWLPDEPSEPTTTLVITSPRLKRFVDLRILLSDD DSTDILPFSRLDWAIAGTTVSTQKPDAEGVLTSHSTFIQWISSRELGNDAGFMYPQPG EDLTLEKGSMVNPDTGIDTAYEELWHDATPTAVPGEPDVRVLVLQTDDEEKGARGCVV RLGRYAQGLIRVGEKIALERWEWTEGGWKRTVRMGDEELPIGKILGEETLDEDDSVNV GGRTWTVIEASGKTSSK FPSE_09940 MRSISALVALAFAASFKLAAAGKCKPSSPLEVDTTAQSTSIISG TATKSAPASQTTEGPIIITNAVTNGDFGGYDPSADGGIYAFQAGGSAKLLQGPGHQGQ SEERNCVQLKTGLTRKRQTPPENPWIQQQLEQLEPSEYTVRFWYSVVKNDLADTCRIE GYYGGEQFGATPYFPVVSGDGNKWLEFVDNMAVTTDSGMIRFELVCVNGGSAEAYFDQ VFVSNKIGDEWVDGISLFFPTSKHAATVGPAQTSTALSVDTAVTTSKDINTSDVPTTQ SSEVPSTIEDALVTEKTTDTASTTAPSSTPTVKDDEVTPSGPKVCAKLGAGAAGRGCA KRPYSSKQGYKRFGGSKIIKEQCAALCLADTKCQSFEWLYSGSGCANTCNLFATELAD IATNGGLDAAWAYDRTCIQEDQCSEQPAGTVCVNVNADTPAKSCTQLQGVAKACAKPF LTAPTRGACGLTNECRDLCAKYPSCKSYSATFGSCSLYNARSSEVAEPANAYSFFYDM DCHACGTGNAYFDYIARGQDPDNLPQWTCPVDGKTTLAVSTTAEPAVVTTSSVEATTS AGPTENENAMTTTVPTTTVAPPSATTSICPNGVPSPGLCSSANPVPSKALCGKRGWPQ FIDAYGYGLDDRPNQRSVEDCALICKTDRQCLAFGFDDSQPRLKCLLSSSDLEDASVD ENSGSNAVWYDMKCMSCKVCGEKETDPTPSPPELGCSVLSDNAGCRYKENGEWPIGNN GRGVTGYTCHSNGKVTLGEPFSASTDIWPRQASEIQCTETCLQLENCKSSAFNRDTFR CEFFSTSLQDAGYRFRDGSNVWYNDNACFDCSGLCKEPPRRGHTGTESVSFFPPPTEA PTTMRTSTRPVDQEPVTTFAATRTTSAVSEAATSTAPQTEPTACSVPVASLSDNVTCG LPGVSNAAQSKQVDGYLIKVTGTLEKCALECLQIAECKGFVFQKDTAKCNVFTAGTAE LELVYHPPSADRFYDRDCFKCGS FPSE_09939 MKRSVLISLIPAVLGCANIKSNACAMSVAANVAGCSDVNDSSSV PEWASGCSKKSLIKECECQYTAGGDAEAPAPAPASTTLATRVASAVPEATAEKPVESA LDTGAAAGGSCGAAQVDQLVGYGDGTTGGSGEPVVVKSCSELKSALGNGGVIHIDGKL SGCDIMEVPSDTTIAGVGSNSGLTDSGFRIRKVSNVIVRNLNMHNPPKEMDLIDIESS TYIWIDHNVFSSEGIAGDKDYFDGLLDAKRGSDFLTFSWNKFVDHWKASLIGHSDDNG SQDTGKLHVTYHHNYWSNINSRAPSIRFGTAHIYSSCYEDLPTSAVNSRMGAKVLVEA TAFVNVKKPIITNLDSREDGFAVEKDNLFENSEPAITQEQEFTPPYEYTTDPADCICE LVKAKAGTGVITA FPSE_09938 MLQHGDNKVANHQTDNSSTDKVDYEKAVSLHEDASQEVFDEPAT KRLLRKMDVKLLPFLALLYLLSFLDRTNIGNAKLAGLEEALGMTGKWDYNIAVAVFFP FYVAAEIPSNMAMKRFRPSIWIPSIMVAWAICTTLMGITHNFGGFLASRMALGLAEGG LFPGITYYITLWYKRHECGLRMAIFFSAATAAGAFGGLFARGVMEMDGVGGLDGWAWI FIIEGLLTFIFAVAAFFVMHDYPDTAKFLDDSERKEVIRRLEEDRSVLSDGFDLKFAK DALKDWKIWVHMLITIGIYTPLYSISIFLPTIVKGLGYTNEVAQLMSVPPYVVACLFT IGGGFAADKHGQRGIYMIGFCIVAIVGFIILATVEAHGAKYFGAFLITCGIYPNVPQG VAWNGNNIGGSLKRGVGIAMHVGFGNLGGAISGFVYRKDDAPNYRSGHWTLVATTTMS CILSIFMTIYLRRENARRDQTHKAPHEYTRAEKELEQDKGDNATFFRYTV FPSE_09937 MVVLDIDRWVTRPGTPAWIGSGTKSGRQGEAQIDMRLCWFCLFG ILHIFQVLKDKQKLGLIFGQWSWIKSEIPSVRLSSPNRGPFVREHKTNTQPNQHGKML TVTEIITQCQFKAEYSIHQ FPSE_09936 MEATFPKFLDLPKEIQILIWEAAVRPSGDRHVHRFSIAGFPLRH TNRKHLRLERTKRLSDGTSRVVSCSTFTVPADDVEGNLNDSIYLSDSGLWTACKDSRD AMESRFKRNEWWSYIKSPHHPKRTAMAGECLGQEGTSHTASYTDYDGVVKHITIGYDR DLIHIDPRHLCNIENIDWFHSSYNIFQLPILDKRLEVEGGPIVKPSFVGENIALDYDR SILDQFSSQKVHYREKALEARSVDLFDMLFFLCETAQRNVWFIDHGLIPAPNAAVNTA SVREIFRSGYCIYTEVKREDIGVLWLLSDYDKALDDENHTAFDMFRTFEEGSWELEDP SRLRVLACQPVPGRNVKPRKPWALRCHGHPSCELCNADKPVPRVRPSTIEGSESSSDI SESDLILFD FPSE_09935 MGDHEDEIRLKPTEDGSFIRPTSSFRHFISNEPGSQFPPEKNRY VLYLSPGCPWSHRAMIVRSLKGLDDMIDLYMCSLHMGKEGWMFEDNPEAAKYGSLPKD PLYGFDTLKQLYLKANPNYQGRYTVPVLWDAKTHTMVSNESSDIVRMLSTEFDHILPE ERREINRPGGGLYPEHLRQEIDELNEWVYETVNNGVYKTGFAMSQAAYEENVDKVFKS LDRLERVLDKGPFLLGEHITEADLCLYPTIIRFDVAYVPIFMCNLGTIRDHYPNLHLW MRRLYWDDSVRTYGTFKSTTSTWIDQYKILYSKARRRVLGLNDLDIIPKGPLVSIHEL KDGEALEG FPSE_09934 MKGPSTVAIALVPFTAAKQLVWPSKFDYLEDLQYNQDGYNKFGF ADALVTCTFGNSQPGRQNSAEWIRTAFHDAVTHDAKAGTGGLDASIFWETTRAENAGK AWDNTFSFFDGFYNQRASAADLIALGTVVATGGCYGPDVPFRAGRIDAGKPGPTGVPE PSTNLKETFAAFQKAGFTKEDMTAMVACGHALGGVHSVDFPDVTGIKADPNNDTSVPF QKDISSFHNGVVTEYLDGTTKNPLVVAKNNTLNSDKRIFDNDRATMKKLSTKQGFNTM CADIFARMIDTVPKSVQLSDVISPYDVKPYVTELSLNSKGKIVFKGSVRLMTTNKIRD GDDLIVELVYMGHNGKKTTVPTNRAMWRSGATFGSGQQFVNFEFDTTIDAKNGITKFW IKETKKSTKVSKSHDNQGTHGYKVDDTVLYQISDSCLAADQLPGAPMTIAAVVRDSQA KNALTLKAVDRVDQKGTIVPKLQTKVVSFKATGKKANGYTAFKAQTKINNNNIRFDIA LAGSPTAGVQFQAVIAIPTGSCN FPSE_09933 MVQGNINNPSLDLLDAFPFQDGDLQLVVLLLSLRISTLFTFDNM NLFTRLRNNAKGKAPEQTQDQSHLDPHQWKLPSTYVTSTQPGTKPTIIPDPKVFANVF SIPTEFEPVRVETLLAYPDASHAAVHLALLECFRNLRASARALDVSVVQPPSYNEKST GGLSSETAPLPESQRWDFLIKLAVTRFMAWWDNIDMVLNHASVYSHHAGSHVALQLTK DYLPPLDILLVWYSLMLNTDVYDTACQAHGSNVARLKNLCFPWPAIRDIIDMDKMEYE LPRAAQNLFRNLSSQSCDILTYLDSPPAYTDSGKVSFKTDLFSEVKKHDKFINESHQR LWIRSPALHGSLGRAGGEYLDFHLREPNVVEDDVLESLSFGVQLFWRTHRLFPRQYKA FLVEIRRNKGEPSSKEDLKIILDGEDEALESSQCCCCWICERIRDDVPEFYHAQSLSV PSSPTTAVPQDTVQKQLSSLSSEQIRQIQDDLGFYLAVQDARKRQIPLPTRPPTTAEK EAEKIAEQKKKEAGYLPGLNEYVEVLPDGTRKIRQRKAKGNRYYYMMAL FPSE_09932 MAVRGSGETGPIEGVSLAVNMTIAGLFAIALYNCLEILISLITR FKRHDGLYFCSMMVATLGIILHSIVVLLRYYSLGPNFLLCVLTCIGWYGMVTGQSVVL YSRLHLIVEDKSKTRWVLYMIIINFFILHVPTTVLFLGSNTAHSNLFIGSFNVYERIQ LAGFCVQETIISGLYIWETARGLKPIFAVRKAMERKVIRYLVVVNILVILLDLSLIVT QYMSHFNIQTTYKPVVYSIKLKMEFVVLNKLLLLVQHSDCNCMHMVGEPESTPPPDSS HTECQSVGQNPSTSDVPMQLNGEHKFDDDAPPRLDYSKRTKSLQFLTPNFSPDRKQSL HITPRPHKFDSHMSKKPLTAQKAFSTAGAFDVAPVA FPSE_09931 MDCISIEILSLIASAVASSGPQIDLDKAYDVWGLHDKPKFPGNG KPQENSLAPYAAVSRDWQLAFEPFTFHTLVLSPKRILQAERQGYLTRRRLKYIRNIAV PITFPLPWPWDVPIVFSQVGEWPPPSEAEDYKVPKLIEDDESVDEDEAAWQDVEKVDE DKDMGGYGFYSDEDDELQPPEERGYDKLFTSIIRALFRILRMAPVQENGHPYIDLRLG FPVPREYGWCFADNIEEPEAERIEAGWCKALYFDLGLSKDDLPELPVIASCRFDLVSW SLFITPEAACTIASKMPHLRRVKMYLSDMESKNPALRIELREGFARSLSLIPQTVFDF EVHYPRRIPRDHSYTATSIIPSSEKHDFLSQALFNFSQRETLIRFSAKGSFDLSIMGA SETVSASPGWPKLETYEIGLLVVTPAGQWLAVPFTDRPNTDDFRDERWGAPSENPRSC LSMFDTNEFRGPIDADYAHRLLCTAGRAASRMPRLRRMDINVGVVGGYKVCYTSKRVN PCMRIVGKKLQAPTDELLHVWRRVAQERDQQFCLEWRDTAKANKRRELFS FPSE_09930 MAGFNSTAVTKPFNISVLTSNGTDQINTLFAPPWVSTPNVRGTS EILQSCVLTLVACIYTALHLDVPKKKTWQHLFWQKTKWVAITLFAPELAVFVAATQLR YARILKSELQKIRKKQQESPGRKPDADFEINLKYAFFIVMGAVRFDVHDIFSLGDLST RYRDYFNRTSLDRRSVRSAPASIIWLAEHGHWIKVREQDIDDKSKADPVQKALVLIQV LWMVTQCIARRISNLPLSLLEIHTVIHAACAVLLYVCWFKKPLDVQEAIIAPTEGFKC ELASMLQKHFYADISCNMALFPPRENEEQPPPMDSNGSKMRWIEPRSGVVMREGDILT TGLALSATKVNKEYESSTYYLYPQAKPTGISITLEPELLNRWNAILTKYLHDDRGRLI EEIVMQPFYRSNETQARVPEQKVLFLPITNELEPTGWVTDWQRLFWETESILHLHKED PSRPRYAKHRKGVFDKKDLTVRDWRFLVLVITLCGAWGGIEARVFIIVEAFICLRREP VGVFLSPEWLQLFPHF FPSE_09929 MARLLWLLLPFVGSSLAKEGSVAHSLVNSASGHSTLSTASSLPV TLLDDLNKRDARSSPFTPQINPLVRRSSACKPRKLPQGGTVIVGSSIATTHSTTTTTE LIGLTTSLTGSIASESSGNPVDLTSSGTLISSAATSIETSAATIGNVDANTASGSSSE VSLSTETTTGPGSFISATLSESSLASATGDSASAPTTETSLGISEEGTTTTALSIDDS TTTVADSTIAADTTPAVATESESLSLGSTTASPGLPIDTTSFEFSAKEASSEASDTFT SSPESQSSETSLSLTEFPSSSTIASSDPATTSESAVPTSTDSPLVLTVAADGSGDYTA INDAIKAAQTSGYPTVTVLAGTYTENVVIAATPTVTIVGEASKKRDGSSAVIVDNGGN SDPALSFSTASADGITWRGIKFLNSLADNSVGAVFLRGSKNAFYNCEIVSAGIAAITG SYASGIIANSYLEAQDKVIYNYPSLYVYGTTITATKSNGLLVYNKGGLSGTTLYNSTV VLDTCQVVKKSGATNTRVFLAAGNGDGSVVVYRDSSFASGFLASSGVYVDSKTQSPLN RYVEFGTTGDVTDRSKYVTLITDPKDLSDYEVSALFKSAYPSVAVSSVDWIDASVLSS IKASNAKDFKEDTTTTTAAPSDASSTESTSTSTTDVLSAASSGTSLITSSGVSSAPAS DPTTAEAEDATTSAEPTQSSCALPSAVPSTAFVVGPKSNPCASYDTIASAVAQLPNDA TSQYIYILAGTYQEKVTIQRVGATVVRGQTSDGSSAKNNKVTISSSSGVLSSSGGSSG TATFSASKYETKLVSFYNINFENAFEAATNNVALAVYAKGKVAFYGCDINSSQGTLYL DYGSFFASHSILRGTTDFLWGQGAGYVYNSVIVSKGTTTGQAIAAHKFQGQFGGSQFV FDLCAVVPETNSVPEGSTFLGRDYSTKSNVAFVNSFLDAHVASSGWKVSSPSTFSGTF TEGNNTGPGWDSSSRISAVTVVDDTSSFSAAAILGGDSWIDKAAIVPFQGWPDSKYAK DTATTSAAATATASATSSATAGGSTLTVAPSPTGDQFKTVASALAAIPDDGEDYTVFI KAGSYNEQLNLNRNRGRVTLRGETSFENDFTQNQVLIWFKLGYGTGESRNEETPVLFW KTTSSSAGLSLYNLNFTNTYPQTRDTAALAADFFGNNMAAYGCAFSGYQDSVLVNQGV QVFSNSYLEGSVDFIWGYSKAYFHQCYIASNAPNAYITAQNRKSSSWAGGFIFDTCKV TYTDSYGSSFGTTSLGRPWSQYALVVYMNSFLDKHISKTGWSTWSTSSPQISDVLFGE FNNVGPGNWTSSRASFATKLTESQAVAYSLGSFIGSTSWLDMKAYNLVPSYTIGDSSA TEPEPEVAWPSHPSDGTTPPKNAVLVSVDGEKSGSYSSLTDALKSLPKDSTSQVIFVY PGTYEEQVPSINRPGPVTIIGYTESEPGKTYASNQVTITQAKGLSVSGTIPAGRNNAD TATIATASTKIAFYNVKFINTDNLDGATPSYVTLAASVYGDKIGFYGCSFFGWQDTLL TGATVGYQYYESSYIEGAIDFVWGYSKSYFKGCTLAAKRAKSAITAQSRKDANAIGGY IFDQCLFTEAASATSDLAGQVYLGRPYSAYALVVIKNSYLDSTIQPAGWKIWSATDPR TDHVTFAEYANEGPGSWEKNSAAREAFGFATLLTKDDYSLESVMDSTDWIDKTYWDSI VTPKPSTPVVPVPDPNAVYSGKTPPPGAYIVSKEAIDGVTTYSTIQAAIDALPVSNKV TPTVFIYPGTYKEQIILSRSGTTFFIGYSESPDDYSKNQVTITYDKGIDTQADASNSD SATFYATGNYFQAVNINFKNTFGTATNYASLGFAVKSSKFASLYGCQVYGNQDALLVN GNLFAYNSIITGNIDMIWGSGAGYFLKSRIAPNADKIALTANKKGTNAGPNGFVFDQC TVAPADGASFSTIYLGRPWDQWARVAYIQSELSASIAAVGWTAWTKSDPRTGNVIFGE FDNSGPGASTGGRASFAKQLSNDGVAQFQLGTFFPSTSWINMTLVHASPFDAAVAATQ TVGSTTVYTTQTVTSSQTLFTTTTGADVTITEKSTFTEDIGTTITPDPTTKTSIEKTT VTEVALVTQPDKVVTVKSTEIVDVGKTITPVAVTSTSTQIVDATVTSWVTSSAKAVTA TLTTTAVTVTPKPVTEKVVERTTVVVSKTTTPKGLTVKGTTTVTVGTGGVTTTSLKAT TVVSTVVTTSTKTVSKTTTLKCIPTQNKRDLETESTVIKPRSAVVFGNPSVNLLSSLT RRELDLGSINLEARAAGLSTVTVTLFTTFTTNVKTSTMAVPASTSTTQVITTKTIGKK STLRPVTVIDVSTSLATKYATSTIPASTSTTTVVSTKESGKTSTLKAATTTVVVTSTS HVTSKRTSTIPASTVTILKTLTTTLPTGTVKVTTTSTVKQTSAVTLDPNVVTNWTTVK STVRPSSTATLRNTVFKTSTSVVRETKTNWATKTSRGAAVCTA FPSE_09928 MHTQQLTHIVVGPGPDDVAPITSHNIDVASFAREEALLQESVLK LCPANLWHNGSYASGCPRPVLVGEHHQQQMKDLHEALTAAIADIVQRWWSDTNARFPE RMPLEPREEELLQWIETQVEIGNLAPFSQRLGSWRPDFLVEETAKCQENYCITEINAR FSFNGFMHEAYGQEAINRNVRGEETGLIGATEPGMILQGLFSLFDPSYPLHLLKGDEK GIDIHMFIDAVERRFGIKPRLVTPEQLRLLPDVRSKTGYRLCCVVKGIDEPAVNSWRF KAKNGEVWEEIHQVGLELHQRELDALDLDVLRQISLRCFNDMRTVLLVHDKRMLGIIR QELPRLMARGVLTETQAEALESNIVDTILPGSTELDTLIQTGPQLRQGYILKPIRSGK GDGIIFGDDLTADEWTARLQGLSSANIIPGVSCVVQRRIVPIDYDLVLRASVGMVQYP LVGTYHVANGKLLGLGTWRASGGRIVAVSSGGSWLCSVMRK FPSE_09927 MYRFSSTPRLLRSLTSNHSYQPARGLATLASQHTLSVLEAPSLF HAQHRDHVDRVSSQLERTGMLKISLGFRDDSSSYLKQLLISLHEHHNHRLPISHSAKQ GWFWDVRPSKTMFQASDHQARSETMSEFPWHTDCSYEDPLPRYFALQVLQHDRYGGGT LSVMNVEKLNELLTPESKAALMSSEFRIEIPPEFIKDADRKHIIGSILKSNGESTMIR FREDIVTPLTDRARLALQELREALMRHEVQAHTTVHLKSSDLPKGSIILMDNRRWLHA RNDIKDPERHLRRVRWDACAFNN FPSE_09926 MDSSKLYSLTDVLVVAKTHPFYSDAKYPPNDEAIRVAREKASVQ NSQPNYISRSLIKPLFNLLTLTPRGTSSLTPEEAELKARPLLHKQDLYDVIERLVNDI SPENTYRHNVYTSTTGGGSTLSRPLFFATDAMENRRHRAYFGDFLSKTGLIERGDWVV TTHCGGSLYRSLDLTLETMENAGASVLAAGSYSKPAETVSLLKEFNVNVLTGDGSHIV SVVHYISTLKEDRDKIKLNKIIYTSEALTVAQKAHIYDVLGPVKICSVLGSAEAGPYG VSTPELTPSEPDATYNDFIIDTRMTLIEILPLDYAENKEIPVPELLSEGETGVIAQTV LARLRNPVVRYVTGDVGSLHPLPEETRSQIPQSDLPYLRVLRLHGRDRRFSFMWDGFD TRFDNLATLLSEEQYGVLQWQVILSKMEPSLEAFLEIRLLCKEDETCRQAALSRLNTF LNIYPPNQHKFKVTFVEGLTGFELSKTGQKVIKFIDRSA FPSE_09925 MAQNQPGDANFPGGLPSERRSSSASEGSTQASLAYENASSQTSN HESQDDEDEDDDGSEAGSEDLPRREPAYTTQELVAIIIDFYNFLTTLHFDAANLKTAP PEGWQNLTPEALSILDKSEYVLDLICHIPYFKQSFWSIGFLYKSQLCDIPEYSLKDFE EEMWWAEDYEFVSTRGEADGRNFIRLAEGRESGGRMLWLNAKDGEIIDCDIRGDPEDP VDIQVYFNSLKERYRKLELFPGPPSFVTQEYEEVPEDDDTITEQEFLRQGPEISVYEN ANFARKLYRRFGWPDAFRRDECWKEVGRLQDEMNDMDDSIWEGTPVYGRH FPSE_09924 MSSTYPGLEGLPAEIQLSIFSQLPNHNLAQLACTSKHLSNIVIP LIWTDVEFHAVGFHESSSELNVPPPFRSPSGRKCHPESGWNHGDLKAEAFFNTLQTLH AENPARLEHVAKRIKHMCTVVDPCWLPRTRDYVEIYPDTIRVWELLPYMTGLETLEIY AREFQYLDQTDGEDPPVEQIKGPTPRLRFVRLSGYVPRTLPAWALKAGDTLERLELGM LDRPISTSNTSHPDYSPLPHEKIQKEIDYEDSEPDSDDDESDWGSLRGEAVIPRPLGG YLSSYQDRGLNLPKLTHLHLSQPAESDYEDSCNEYTWSTRAEKACHSDWRQILQASLP TLKTLALEQRPAADYIENDGIAESEWMEDKTTAAASENLLNMARTVLEADRSQGSLQS VYLYGIYVGELDEEEGLPDPTGPLGRFMQFLRGCGAECEARLGQWCFFDKSPGVAMWD AECETGYEDDDEGTKWDEVLCIV FPSE_09923 MSSFAIVKDIDHLVLTCHDVQATIAWYTKYLGMKHEPFGPESSP RHALKFGTHKINLHQRGNEFEPKARTALPGTTDLCFILEEGTDLQELITEFEKEGIKV LEGGGVVARTGAMGGIKSIYVRDPDGNLIELSYYTS FPSE_09922 MSSSAELQREREVQSYFQSWQIAQGSSLKVARNVDGISAPSQIN DTNVPQPSSDKALSAFAQLAVFRLNVKRAMVSLIDTNNQYILAEATRNLRLGTLADDA NDKNKKSSISDYNSELWLGTSILSRPDAVCEHCLHNSCIGRDPDGTTYTASGLIVPDC RLDDRFKSRVYVQSEPGVRFYAGVPIFSRKGYQIGAYAVSDELPREGLTVEDVKFMQS VAQAITEHLEWARDRVDRFKGERIVRGLATFIEGCSSDDPILSKDDQPQRPSIKRPPG MSISATRRSSLHNNMRKVDPDSTRRSQKETSPNRKTKPKTDGLSRMYHRAADNLRSSI LADGVVLFGATAANIQHMSKTFQVDHSDNASSEDLPTRVAAEPDGFSTDSSDSEASPT SRPCKLLAYSLADKSQPLDLEKGPSFSIGTLEKYFSRFPKGKAFTFTEEGSGLSSDDD SASDASSVPTTGRHKRRKDKNKDIMDHRELLKKIPGAKSIVFLPLFDYVEDKLMGGCF LWTSAPGRMMNLDEDLSYLRAFGNSITSQVGRINTRKNEAAKTTFIASMSHELRSPLH GILGAAEFLKDAVTDSYEVGLVNSIATCGKTLLDTLNHVLDFSKINNLGSGQTRKGKH AKIISLSSDSMESLNMTAAVDLSVLVEEVVDAVATGHNFKKLPKKSVDQGCDGMLGAG EQVVDGLGTEHPVSILLDITPRTSWMVRTQPGALRRIVMNLFANALKYTAIGFVCVSV RAQEISDDARIDVMIRVTDTGKGMSEEFQQNRLFVPFSQEDSFQPGTGLGLSIVKQIV DSLGGTLEVKSEQDKGTEIEVRLRLEPVPEEGNKPEEPMDTMKQKLQGQSLVLLEPSE DFNSDTITQQVKVRNEALIEIFSSWFSMKAAREIDTNPPEADFYLYCEPPSAKTLEKR FQETVAEGPRNKKTPIIIISLNEEEAAKISRAQTTALAKLSNVVEVIPQPCGPRKLAQ VFSRCLQRISEVKNDGDDGKLVHSASTDNKKRGGHGTPKTRGVAERMNSTLHRDGNNM SDDAHTTTTPLPEDEQSQSESKADGYVGERKSQKDQQKQMEHHNNDRKKDEQSNNRKK DVGTTMENHVREQQEYSQSDGQKQDGNVDQNGKSARESQKPNKPEMPNKAHVLLVDDN KINLNLLTMFMKKCGFTYEEAENGEEAVETFTKSTIGKGEPRGPIKKRFDYVLMDISM PVMNGIEATKRIRMIEAKYKVPRTTVFALTGLASADARLDAISAGVDLFLPKPVKFAE LKTMLENN FPSE_09921 MSSTKHTARSILDAFYDAERIYMASSPEERDFSGMAATLSKEVK LKQTSGLPYAGEYVGPEGFQKWAEDMANYFDKVDVQNPEIFEREGSNRIISLGYLHLR VRKTGEELKWPLCQVMTVDLEAGVIKSIMPFYWDVYALNKAIEHTPEL FPSE_09920 MGNTYSLMFPPKPQFTEENLQPQDGRVFLVTGGYSGIGFELSRM LYEAGGSVYIAGRSQEKGEQAIKQLKEHCPDSSGSLSFLKISLDDLKSVKSAAEEFIA KESRLDVLFNNAGVSMPPPDSVSVQGYELSIATNAIGPWYFTQLLVPVLTQTAKDQPP ASVRVVWTASIVTDVALPKGGVDMKDVINPSKDPQVNYTNSKTGNWYLASVLADQIGS QGVLSVCHNPGNLQTSLLRHMPWAVRYAVSILLYHAKFGAYTNLWAGLSPDLQIEDGG RFIWPWGRFHPSPRPDLLENLKSKEQGGTGTAAEFAEYCDKIIAEHR FPSE_09919 MAASASVSIVYVPADCGSIIPGKSKAPKAFQDVGIVSKLKEAGV PSVSEYHALESPATYIAATFSPGGARNEDVNISVCESVERTVSSNLASSSDKPDFQLI IGGECCMLPAILSAFWKRAGSQSPPRRVGLIYIDADTDLTSPTDPGSIGTFAGMNMAH LVRLPGALSRMEQFSRPSGEPVCDSSNTVLFGTNMSLPGNKPEHFKYLFDNNYKVVSS ASVAKDPEQRARETLEYLEDKVDVIMVHLDVDSIDPGTFPLANVPNFTGVEFEEMMRA LKVLLGSDRVGGLTVAEVNPDHDPGLGMIERLASHIANILAERGS FPSE_09918 MKATFFSIFALAASAIASPVAQPAGVNPTPDTQEVRGAVANLEN ILKIAGVDQVVDEATKGSASKRDISSPAQLISVLQSGASNIKSKTSGFSGIADKVKAG DLTKSEGADKAIPGLEGVNFELTQIVTKLTGAAGLPVADGDVDKVLNLVVVLVSEVLA AVKTIVTVTGLQPQLISVLHSVFQILAKVLTLVIGLVGAILPGLIAALSPLLAGLGTG VLAPLLTPVVALLAGLGAGI FPSE_09917 MFDFRLLLLCLGAFLTLSNASPSPYPQSSNTPAALNACLAQKKV PYIPRDSAQWVKEVKPYNLRLAYTPAAIALPTTVKHISDAVKCGDQNKVRVSAKSGGH SYGSFGYGGENGHLVIVVDAMDTVTLNKDMSCTVQAGARLGHVATDLFQFGKRAIPHG SCPGVGIAGHALHGGYGFASRTHGLTLDTFLGATIVLANGTIRYAADWEYYDLTWALR GAGSSFGIVAELGFQTFAAPETVTPFSIELDWNENEAVEGLLAMQKFAVTAPKELNMQ IYMGPSGQTIQGVYYGTRANLNTALRPLLGDLGAQISTASTGGWIQMLSKYANGQALD QRRPYDQHSTFYSTSLMTKDLTRNQVKSFARTLFDNMNDSDARHTWYILIDLFGGPNS AVTNAKTLFTDLPINSAFPHRDKLLLWQFSDHGNYATHANNGFTVLKRFRESVTKTMA DGDWGMYANYLDTQLSNEEAVKRYYGKSLPKLKKLKAELDPKDMFWNPQGIRPA FPSE_09916 MFSKLILVVLSATAALAAPLHPRTLGSITFYNPGKGACEETHGD ADMVAAIGRGLYDSGDYCGKTIKVTGEAGEAIVTVVDRCDGCADNDLDISPAAFEQAM GDKDQGRVQGEWNWV FPSE_09915 MERPVSRSSHGTRTASRSSTSTNNTKVSMHTAHTSNSHPPGSPA PSHAHRFYHATCQRPSSTTPRTTDRTSRLTREASSESTRQPAMSSFLQEKLRESRQAE SDKSSTWSRSHTDANSSGEFSRSLGSPARVGDSEHRSYSPFTETSKKKGMGVMDMEQV ISSLHKKNFDLKLELYHRRERQTALEDKVEALEMEKSRAEETNQHLSQEMEKRDKAIE EAVAMIVALEARVEDFAKERSIIEQIQSEGQYSAHNFNPCYEDPRPASQTPISVKRTK DDRIINRMPSFMSDHSENTENLRNVYLGARGSVLSLAQVPEGPGEGDTGRSPSLSILS ESSFVSVYGSKEDEDSGLQEDEPVTFDGSASLPARASAINRPKTAFVQSHSSPNRSAR SSSVSNHPAHFDSIQNVVEYSSPRRSERHHQSSRMASRPQSRDQDGSERFTGRASMSP NHQRIKQDKRDSLRRVTTEAPGGVRLHDQNLPPTPDTVSTSTLRRFNNSNEALLRPPT ARPSHSALSEASENDGSLGTYSGVRLEQTPMRAVKASAQIIELNNRVYRENQGKSIQR PRSADETTISNRRGNDWDSDIDDDSDVESLESSIDIWMRQSSKQIKKNGRTSPDLFSF PPSAAARGGWAMDAMFGAGNGHQGGASTGLDPDRIRDLFPVQQELFSASMPPPPPDRS SSLNPQAGQQSKTSFLSRPLANAKASKGGRKKGHQRRASDDIQIRAGAHIQAQGPSTG DHKNHYPPIANQQSTQNKFTKFFRRSSTGPPSGAPTPTPRTEHGPTEPPNGAFSSSTG ASGLPPWISRTGAAEEDRDSATPPPIMRKSRQGRNVSVDFDMADDPRMSQESGTPGTP KPPSNEKDTAEQTESPRGAGATGSRRKWLPAFGLRNKQG FPSE_09914 MRRFNLILLASLQLVGARSPGGFNIHEDLLTYPQFEVVFDNQYI SEKDAHSLLDSQHPTYSADFAQSTLGQAREADARDNEAENKDQDGPSYKYELMKMPPN EYLCSIPILQSPEAENKTANELAKAEEARELTRATASGWELLSELEDSCLYFMSGWWS YSFCNNREIVQFHALPSIPNGQPPKRDPHTMEFTLGRVPAVPASAAHQAKMNGQEAPP PAELQVKGDQRYLVQRLEGGTICDLTGRERTIEVQYHCVPGMKADRIGWIKEVTICAY LMVVNTPRLCNDVAFLPPEETRANPITCKLILDELNEPPSLDQTVPLAQDEAQVPLKQ EDTGAKSEDAAPRDVGKEPINIGGVLVGARNVLSGADEAGKPPAKLPPPRSYFSNSNT DTERFLKVVASGKSKEDGGEMEVLGNDELEKLDLKPSVVKDMTERMRKLAGKYGWKLE LVELPGGEKELRGYIDADEEELAKNKAKLKKEKEAAAKAKEDDGEEVVEGSEEQFFDK KDEL FPSE_09913 MGVDISHAPKRLSCIKWWAMRARKRHDMTAFWVQGAAVSSRTRR GQEFGVLGCLFEDIPDQAGTLPRKPTCYHVPSLVSNGSKYKQDASNVSVAKLTPLGSS QPPVGGGTLITIFPALHEEGTGLMCHRRVLVLPDMTKCTIILATATATATLHLLSGTH LFTQNEAITETGHPSIGCRTRSGIPTIHLDWFP FPSE_09912 MNLENVTTVEELQRQLEAANARNSQLEHFVQSQLRGDPTVLNHV AQNFAQGGFQIPSNAVLNSRSVGLSRSKSTMAYPTQVKSAITERFQAHKRERRAFSQQ SSSALPMSRSVSNRSETHMPFSQTGNRIAPLSSTMDRFTSPQNQSANLPLEAASNQLQ CVQENQPLLNIGMDPEDFLKQWPETPQAPQHYDSAFSGMTYNMVADNNQYATLSTTIP SSYPMSSACPSMISGPSAAEAASPLTRQNSSYDNYGAGMERMESSQSQADALFAPDLS PTSVNMYPAGKQYTSEQDLFGLGASLTSANVQQYVDSNGNTLMESPESTDMERSFSNA SMSSVRSNASNLERRAKEARERVLQAAKNIQLAPKPKEELAKSEASSSAASKEAKLPV NKTNYQRPKHPKVYCTQCNDHPDGFRGDHELRRHVNAKHEGTVKKFVCRDPASVGIES NVKAVNPLSKCKACISGKEYGAYYNAAAHLRRTHFKPKTPRGKKGANSDEKRGGKGGG DWPPMSELKAWFVEKKVKVDFNESPTPEQDESDDMVESEMEGSMPPQMDMFQGIGSNM TPFNMETGYDLAVDGIADPAIMASVNGELISAPISSASGNFGYSPFSNASPIQGLPDN YAFSEHATSAYGSNLSSSNTITPATFQDMSHMGMADNGMWVS FPSE_09911 MSYYDIDAILTDAEKVPCQFEIDVPYLGHLDNSPNGLKANTPLT LPLWLAEMLALASTPTSSAPLTLNLPPCLSTAVLSALKADPRAVPLRDHSLHFYGVGV RMLDLFDEKDVAEVLRKTFVVRAGDVGLHARKADEGMVGVNGEEFLRGLEEWERGLFR RGHEGVKGAKEWTDKVKKT FPSE_09910 MASALLRSTPALRAGLRARSTPLAAMATTSFVRGKATLPDLPYD YGALEPYISGQIMELHHSKHHQTYVTGFNNATDAIAEANHKGDAKAIAAQAPLLNFHG GGHVNHSLFWENLAPNGKGGGGEPQGKLLTSINEDFGSFEAFKKQTNATLAGIQGSGW AWLVKDKNAGTLSIVTRPNQDPVTGNLEPLLGIDAWEHAYYLQYQNRKAEYFSAIWDV INWGTVAKRFEK FPSE_09909 MMNPARAQMLARASPDDARDPTPLPEVDDEEGWDLGPLRSGSNC SALGDRSRRISRSSQQPEGSRVASARRPDAGLPRLREDINSNTFSFPKRPLSDVVKHE DGDREKKPKLNTTKYYTDVQTLGRAPHPDADTRYLASLLKNRTLLLTLQGLPRKNSDP PKPKTYEFRISQAGLAQHERLSLIAHTRALPLFSPESATSSNDGSISQTIVGELLEDL WPSLSKHEKYQYARQLRNLLVKLRCNGRVPAGGAFGSAHSGPYGLILDRHQSHTYFAV RQDPNQQQFMALLMSTLYSTVPTHVSKALLTQFRRDYASVLTHGNLCPRNIVVSNNTI VWILGWDCAGHYPVWWEYARFFEARTTEENSDWYEYADEIFADEYPAELAAYQGIARC QQP FPSE_09908 MSSNKPSRKFSTGATSHRKRQMSLMVEKDGHINAPLQASSFSFF QLLCPFHSYSVMTTCVIATSTLYLGISAVFADDHTAVIALAIHDTVYLNDFSIKHVSL DEEMRQGQDLIADHIINEVETYEHVNFVKFIGAGLPVTLKYMSPSLCSRLWLDLDIVP VVLRPDHEAKEKNFWDVKRVDEQADSMARKCILNFGPSLVPHLQVGYRGIVQTDAGFR VHLTNIQNHKDTCSLATWNATQFYANKLREKKTKLAFFSATPQGGGVALMRHALVRLS RLMGVDVTWYVPKPRPGVFRITKNQHNILQGVSHPDQRISDAEKGAISDWIEDNAKRY WLSEGGPLRPPEEGGADIIFIDDPQMPGLIPMIKRLTPDRPVLYRSHIQIRSDLVAID GSPQNDIWNYLWSNIKEADMFISHPIPKFVPHTVPKEKVVYLPATTDWIDGLNKHMNK WDTGYYAHIYNTQCRNQRMTELDWPNRKYIAQVARFDPAKGIPTVIDSYAEFRRRCDE ANITEVPQLVVCGNGSIDDPDGAIIFDQTMTQLEDHYPHLLDDVSVMRLDANDQLLNM VIANAHVILQLSTREGFEIKVSEALHAGVPVIVSNEGGIPLQVKDNVNGYLVTPGDYK TVAKHLMDLYTDHDLHARMSREAKNGVSDEVGTVGNALGWFYLAAKWQELGTNPGLRG DEKWVNDMAREEAGYPYSEGENRLPRHFTQRKEGAQNGKVQENGDNE FPSE_09907 MVPKTSFGALSLLLLQFASGNENENAERTQPDLKYAEDRAHMVF NEIHSAGRLWGSSLYHNGFGFFPATVPAGTMLYHGSQYNVTPSGLEWLAFDIEHSENF ARSFRLKPGEGPPPSVPLPDKDKSEQDDGLLSEGSLSEELRRRSERSTRENPPIRYNN KDEDVNIRGYLHLYQTTRDLNLLLIDGMSAGKTHMGTLDSQDLVLRANKDASEKMGER SRARELCELAAKWGLDGFVRMEIGVEVIKCDFRKDLDLVNVMRTEVRDDIMEDKGMDA FQWIRAVAERYDGIGADRLRIDFSSMISGLFFPINISNTNPKRPDLKRLGAATPKELN DIKVYLKGVLSQPRRYTVNWQGVVDLIVSRYSRRIALMAYKRLPSHYFINEVEGATMT WYDAPTSPDDATLTEEGSINRTADAIEECRVHYLRPALLVKEKWSMEDRLIHASLETV LGTICNTLYSVRRYILQASERDGDHDAELEKAVQHGRVAMQELMGELGWTTWRKPQAC APDELSVIAMWPFGTKEDHWDPGCRSIDTVQHPNGTYWDVLGLFN FPSE_09906 MALTAAQVAIVKSTAPILKEHGKTITTTFYRNMLGAHPELKNYF SLRNQQTGAQQAALANSVLAYATYIDDLGKLSHAVERIAQKHVSLFIKAEHYPIVGTH LIGAIGEVLGSALTTEIKDAWVAAYGQLADIFIQREGQLYDAAGEWNSWRKFKIAKKE AENDSVTSFYLEPLDDKPLPKFLPGQYVSLQIPIPELDGLLQSRQFSLSEAPGSNHYR ISVKLQGPEAEPSLEDLSAGKIPGLVCTRLHKRYNVGDEVELSPPAGEFFLNPADTSA AKKPLVLLSAGVGATPLVSILDSVLGSETASRPITWIHGARYSGSTCFVPHVLDSAKK HDNITAKIFLEDVKEGDQYDFKGEINLAQLQKDKLLQLDSSDAEYFICGPEDWMVKVR AFLEENGVPRERQHLELFKTGDV FPSE_09905 MAETVIRKACDRCHAQKLSCKRYNDEPCERCVRLKTECKSSPSL RYRKNQQQQQQQLAENSSNNIGNSNSSQPALNSVSSTERRSPKRRRTDIQDDASLVSP DTGTCPSFFLSPLISPSLSFFLVVSVLDPTDSLHCREVWKVAVPIVPHTASLTSKSHV PVSPDPVDNSSSSAAAADFTFTFDQQLAFFTNNPQYLSHPEFPIDNHHHPPVFWEQPT TTTTTPTLFQPEGSVVVAPPYHHHQVAPEGDALQVPVPLTSVGESCAPEHSISSDKRA SRPRRTTTKQRTGSRPRPRQITLRHDADLSPLQHPEAPPIHWMAQLSDINARLLDLAS ALPSPQDGPFIGRPVDERFRGQGFPIEDMFKLTRRVADILEKPSSSNDSNKMHHSTIN GSDPGNAMFILSTYVRLLDMYQRVFNLVHTELLSQPDPNTTFSFWKLPDVTVGSFAVE SSPFLQMSLTIQLAEEFLSRLRGSTARWSGAGMASSMFSGVVDVSFQAFRDREEALAK HLVELRSEIEPWIDS FPSE_09904 MIYQVAAAAAAAAAGIVFYVGLNQISRVKLGTDKIFFL FPSE_09903 MYRWSLFDLGEKKGPSQTQSQTLFRAGNANCKPKDACMRCIAML ASNLLANEYAGGGKGLTIRLHQYTLLPFSSSPRRSLLGDGTIAEALKGS FPSE_09902 MCNYIYKELSCQHHYHLVESWCPKYIETERRCPPTIVSKQYWGD DICAACRERQQPSQYPWAKLIQRPESRMSYSPQLRA FPSE_07808 MCILLGARFDVVARGTDTAADGTSALPYHTAQSNLD FPSE_06116 MGSSDIPPPSAPAWLVPASTACLSVGITFWLLAYYFMVRRSLAT HATPAPLLALGLNLAWEVVYAFGVCEAPIETFGFTCWLLLDIPVLYATLKTAPRSFSS SPLVARNVPLLLAVVFVAGLVGNGTFVWWWLKEPHRGYGIKWGKTWKGLEARDTTELA FWSAGVAQMIFSVSALAMLLQRGHSGGQSYAIWFCRFVGTIMGLPVCCILLWWYWPEA HGFVFHPLALFFVGTSVVCDLAYPFLLAHVRTTEKVLPDGSLVDGRRLSDAEKKHQ FPSE_06117 MASGSAFVEQPDIDCEALDEALDESPLELFVSGEKPPVHSNEPK KQQTEKGKGKEIAENDTLPMVHESLTEEAEAEKAWNEQSGFSEPWRQLHEGVWTQFGT HTTPSNGEASTGEASAEHTGAEEALDDEASGEDVSGEEISTEEISTEEALTDQTNVAF SMDLPDVEEMDEEALAEFLYVFDLVKQDVAHLGRPKPIRRME FPSE_06118 MSDIIAWLVPTARNSSADKTAHLPSNIFRTVQIESSPTLSSRLP NLLGSRPTRALKLSFDSPPKRPGSFVLGSDPSTCDIVLPTLPGIDARHCELSFDAEGR LVLNDYSQVGTQVWYDWESNGDQIDYSWILSPGAEQGFPSTVQRITVDIQGVRFQIVV NDHSDDWDAYYAKVKDFVRQPSWSQGLSAGWDRGSVIPVAPLFSSAPLFQHILVKALG EEPVGEVYLWNLARPWEPMVKAAA FPSE_08297 MNDEQLEAAVRSFYHETSDTLKNRRHYPTEEDIERAAKVWHHKD YYENAARHKLETPLSLNMAEQYALVKEVDHPFSESGMWTVVFTVSLSAFLQGFAQSSQ NGANLFARYWISSSSDQPVNSRFAFANAAVYFSAAVLGCPLAAPLNTLLGRRGAIIVA AFLIIASSIGSACIPLPTSDNDSARDGTWALLAGIRIIGGVGMGLKATSTPILAAETA VGSWRGSSILMWQLWYRRLRNAAITTGIVALSQQLSGINLMAFYGGTTLVGITPGNVP DGGQILKAMVYNLIFGSINFLFCLPAIYYIDTLGRRKILLYTIPGMAIALMAAAVSYD QVTIEVVAFWIFFHTAFYSPGMGPVPFVLAAESFPLAYRETGASFAITINFLFAGLLA WLQPLLVAGVNFGGTLGVFSGLNVISFFLIFFLVEETHGNDLEYLGRVFRRSKLEFAR QQFYKLVPFSGKAGQDQDREISSQSDHGNENSSEGTRMDSLNMTRAVNTNTNANI FPSE_08296 MSGPDAAGVVLAATQICSQLAKEFYKVIKSVPGATNEARKTQNA LVSLHSRLKRVEFLFQTTTAQDAAEESFRNSIIEILENIGKELRDLQAKLRLNNILSS KSNIGIAWDKLATRLDEGDIKDIQARISLHESNLQTYFDLISLSTHLKTQRDLADFIR VARTVFEVAQNTDRLYRMEVAESCTGRTIRHEGTLNSTYPKSIVGNETGPKYLIAIDK WLQSIDPGPSSNKSLHQDITSCSSVIDEDCIKSLASINSSISEDQGYFTTGGVIPLSP PSLTIDSAIGIPRTTIPSPDLDAPRIRAWCREQGFDINSPDFGYDRINDTVLDHLKGF SPIHHAINTGENDILESMLSSPYYDLEVRLETKNDNATPLLLACSKRNARALRLLLEK GALRNTTDNTGKTGLHRCQSSVPGGKNFACLLLDSQGAGSLDVNAHDQYGMTAVHMAA RIGDIKMLEYLLREKQADANSCQPDGSTPLILALKHNKVNPKRHNVVFTLLENGAKVA LKNKKGETAKDIVKRTKVEGDKTVLVLLKFYKVHDRSATIRRQSNVS FPSE_08295 MRSSNWINPILLASSLSAQCLGAVVSNDQKALINDTAHGKKKNI VFILTDDQDSVLDSVSYMPKLKENIIDKGASFINHFTTTAICCPARVSLWTGKQPHST NVTDVSPPYGGYPKFVSQGLNENYLPVWLSEAGYKTYYTGKLFNSHTVNNYNSPYPAG WTGTNFLLDPGTYDYLNPIYQHNQEAPVYHKGEHTSDLIAKYAHELLKEAIDSNNPFF VGIAPIAPHSNIDVDRVAGSGMTIPIPAERHSHLFEGVKVPRTENFNPDSPSGVSWIK ELEQFNESSVSHLDNYYRARLQALQGVDEIVEQVTQQLEDAGLLDDTYIIYSSDNGYH LGQHRLPPGKECGFEEDIRVPLFIRGPGISSGAVEKTVTTHIDLAPTILKLAGVELRQ DFDGTPIPVLDNEEGKRHEHVAVEYWGVAIAEGGFGGFDGKGLLQIQNNTYKGVRIVH EDYDLYYSAWCNNEHELYDVKTDPGQLNNIFPLDGKATADKAFLGTTAQQVVNRLDAL MLVLKSCKGNTCIEPWKTIHPDGKVANLKDALTGKFDSFYEEQVKVRFDRCEAGYLVD AEGPQVGYEYREGLEWHHWT FPSE_08294 MGSDAITEPRQATREEMRDAKLPLAYRDSCAHLLIPLNKCRRDT WYAPWKCSDERHSYEKCQYVEFKKRVAKMDELRESKGGARSN FPSE_08293 MSTYPQDAHNDIYPSDQGNDSSKTNSKEKMPLDNEKGHIDDLQS AHNVPVVENGFGGGEGAKNFRNMTKWDTTFALLTNQVGLGVLSLPSVLKTMGIVPGLI SIIGIGILSWYTAYVLKQFFGRHQHVMNVVDMTKVVGGRPLSLVAGCGLLIQVIMTAA SASVTLSIAFNTISSHSLCTVGYIGIACLCCWVLCAPRTSKFVSQSGIPCMVSVIAAS ILVMVSLGVKNPTAAPADWHKEIKVVANPDFRSGLNACLKICYAYSGNINFVTYMAEM IDPVKDFGFALAWLECVSIAFYVIVAIAIYCLAGEYTVSPALGSAPELIAKIAYGIVL PAIFSTGLAFGHTGIKYVYVQVMKHFKLQSEMTTNNVRSWSIWMTIVTVFWVLCFILS NAIPVFDSILSIASATTISWFTFGFSGIFWFHMNWNQLFSSPKNIMLFCLNSFLIGIS LFMNAAGLWSSITELLDLFANSSSSIQGVFSCGSNSIF FPSE_08292 MAPIDHAAAKAEKKARKEKKRAREEDAAVETDRKHKKSKSVVAA DVATEDLKADKKKKKSKKYKHADDTTAPQESEEAAVDEAKPEKKHKKKKHHDAEVAAP IEEAEAEAPVDGEKKKKKSKKNKDTEESDNADKQKQSEDAAPSDADAMDIDMPPPAKP SKSSDNIYQPPDIPANPQFPFFIQTVSLYEPLFPIGWAQPVTNCQFQHLQHLQNKYVP SLRGVLLDYRNVAFGENPGRHGAAIDDEMPATVMAKGEAAVGWGWITAEVDLFVPSRG AWMEGSVNLQTEGHIGVVCFGKFNASIEARRLPPDWKWVPNESPEAQGFEETASVITA DDHGVVRQIHSTGFWADGSGDKVKGKIRFRIRNFDVGTSGEISYLSLEGTMLDRAGEK AVVAEEAETAKMRQGKKGAQRARRRHIPEFAMTRFADEQEQTQDNEEEKREVLALPED H FPSE_08291 MFTKFTTIVAAAAAALVSANPLPRGESGSCSVTPHDMYSSSIGV LGCKINTNRVAYWPGSVDCNNICVKVSNEGRSVYLLKIDSSGGAHDISYDAWNYLGFG KSAAKDPQMGGGINMDYEYVHASKCKDLLDDGKLPLAAANSMNYVASCLSEPKSWVAQ NYELYNINDPVCKHGVNEKCHLNLAVSNQPECPSGLGSVKELKLKVENIMYGTGKKAV AL FPSE_08290 MPSDATPGSPAGSNSALPPFYSPKTEPADASTPAHHHNDTLEQS IDSLSLSPAPSTPASAPVSAHPDPETPIGLEPPPSLLSPSFTPPSTPGTSTPTTAGLR GVPVDSSIPSGGCGSKRPKLLPTLPEVECIVRARIPTVAGTEMFLHLYTNNVDNKEHL AIVFGKNIRSKSLDAVREGETEMDRMVRGAYTGRLFPGRTTSGIGPATPQEEQPPQPS DQPPLVRIHSECYTGETAWSARCDCGEQLDEAARLMSLPGNKAGGIIIYLRQEGRGIG LGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLATAMLLDLGHPEVRLLTNNPDKI RAVEGPNREVVVKERVAMVPLSWKGRGGFRSQEVEGYLKTKIEKMGHMLDMASVPR FPSE_08289 MSYQTIRLRLVIRRHSVPEVKLVWPCIANDDLTVSKLLALVNEV VPLESPDWGLEDYVVELADGKGDSFELLHFQPVGKVLKEDDQVLIRSLSTDDLRRRRL SGRHQISLDGKHLIDGLAFGRPWLRAPRDRPALDLPPRKRARITHEEEFEEEQDSSAD DEDYSSTNENEDSSIDEEPSEQLLLEDSPPANDQDEPGSVRLRARFIDTDQLGEEDDE ELDVGTDFQPTKDGQAEPESDDDGDSGTSDEDEDEPDVDLVDELKLLQQDNALIEQVP GKEQQISPKSNRQEAQTPSSAPKFDLAVVDKITALRVAFPFTSLSTMHDILQQNDNDL KKTFQVLGTTNSPTITFDEVLEIALLGVVFNTSQSSPKQPAEQHNSGEEEEDEELETP TLRLPGQSEATGSKRPLIQEVDNEESSSSAPSEVMGGTAKRLISVVDEDDDTSSSSDS SDSDSDSESDEDSYNGLDKDSDSDSDSSDSEDEPMGEADGPTEHSTRGASSSDISDSD SDSDSDSSSDLSKTAGGAKISLAQDSDDSSDSSSDSDSSSSDSDSESEPEELSSKKQS QAKQSRTPAVPETKTESQAPKSAPERTEDSKPPGAGLTRTKKRNARRRDLKRLKAMQA LEDTQSQPSTNQAPSEDAEFLARKRALLSVVSDESENVPSPPKETPATGPTPMIVEVE TEEASAPTTQDAAPTEESAQRRHKVDMGAGRRMLFGALGLKNPKSKADEDKLRNSLMK DVKPLKNPRVHVEEPKADEAESTADQEDEDPEAWRKRISYRAVECCQENITLSEPPFP FVQRWDPQQQYTSVRKRKRASQEFNDDSYYGEEWNGDQEWQDDTQTKKKKKSKKRKGK GGQQGGYDGGYDEMEMTEDSYAETGVDGDEDVVLNYDDIPTTSSKPRLQDTQFTEMDD LPSLPSDLTTLPSLQLSEIKPGMVITWKQLLMSKATKWQPEMVSTTGLILSISDDKCL NVLLAKRDRDEDEKEYDYHTGQRVYGKFEVPDFDEDDDNEEEDSGRRDIAWEEMSDTR LVQPAPAVDSSETLAKGTELPTEPTKPVQDGTANTKVSARTHPDETMAEELDTQKVRA EIEADLKDREATDKPSGTDIPSGQQPPRLEFSGENSPISSFIRPPMETPSDVTNSPTR QLRETMESVAREDNVLSCDSEERSNVEERRANAADEMDGVNDAKDLDEPMPTVEAGWD TIVPDSMPSPELPALPTKDDIPMDNRLAVPSSVGSIRSGRQPPSINGLEDLPEERVED SIVGNDKRATSPQNSIVSVRSSSPFPSLEELFQTARQTQSPMKFSQPSAPQHLKTEKH DAEYEEAMRKLDEGEDETDRSHLHNKSLRSLLSHAPQENSPLQRSIKIEASQTEAVEA EQFTPPPSEKVKQESQAMVEEGGQVIELSSSPPSVQYTEHYAQDSEDETYHESPLPQG EGWVQKNYSEMKTRSRGKSLPATTAATTEINKTTTTTQSRTARGRTCLPPVRDVTASA YSQIRGRRKLTRKF FPSE_08288 MLPFHYFIFTLLTMVGSGFCLPTQTLEAQPAPTLSHALPDTTSM PDSLEERQERNNANPSVFNPPVTAAPDQAEKLESMGWRQTTYYECRTRGGQERCGWHI PVYKAAAEGRRGEIVGWKVVVGIALGLAMLLYGGWW FPSE_08287 MADNDSPVTLRTRKFIRNPLLGRKQMVVDILHPNRANISKEELR EKLGSLYKAQKDQISVFGLRTQFGGGKTTGFALVYDSPEAMKKFEPQYRLVRVGLATK AERASRQQRKQRKNRQKTLRGTAKVKGAKAKKEK FPSE_08286 MSSPNSQQVDRFCHQYLQLQPDLDFPEPALLKTSQVQDDFYERL FADDAVRYGPPPRFQLRVLKELMSRIEASIDDWEEYGVSDDLMSALSLFLANPLPSEA TSAQQKAYVTYHLSELCQEDHRDQTSRPPCITLLENRSLISGSGTTGLRTWEAALHLG SYLCQNRHIVKNKRVLELGAGTGYLSILCANYLGAQHAIASDGSDDVINNLPDNLFLN DLQDSTLVTPMDVKWGYALMGTEEEKWNGGRPIDVVLGADITYDKSIIAALIGTLIEI FELHPHVEVFISAAQRNEKTFQAFLDQCQANGLSVEVIQSAIPKLQDQQGPFYDDKVD IHICKVSKA FPSE_08285 MASIGAQTYTMALQKYMLLTEQHQDLCDRLDQIRPEFHSSSRSQ FSSPSTSPTRSSSSSLSLPPSPKRHSRSGRHHSRSHARFSGWENHNELTTIPDEETIY EISAEEQRLSEVNESIKRTLTELLNCSSVRADRAFRTWVQTRLMETEKELRSGRRRRS SGNE FPSE_08284 MSVLLETSAGDIVIDLLVDHAPKLCENFLKLCKIKYYNFSPVHS VQKNFSFQTGDPLGPLSKDSDGGSSIWGHISGDPSERTFPAFFHPKLKHLERGTVSMA TAPLQSDPDVRVAGSQFIITLGQDTDFLDGKAAIFGKVVEGFEALDKINEAIVDEKGH PLIDIRIKHTVILDDPYADPPGLREPSASPPPTNQQLKTVRIADEAALHEDDNVDEEE LERRRRNREAQAQALTLEMMGDLPFAEVKPPENVLFVCKLNPVTGDEDLELIFGRFGK ILSCEVIRDQKTGDSLQYAFIEYEDKASCEAAYFKMQGVLIDDRRIHVDFSQSVSKLS DVWRKDTNSKRRTNAGRGGWGGVDELEKRRQYRDEGERVTGGNYRMVYGEEEMKGKVG RNAPKQDKDDSPPLPGPRDNGEPSRQQNRSRSPRPRDRSRDRYHKPRDDRRGDRRDRD RRDQDRNRYRDRDHRDRGREKDRYGRDENDRRSRR FPSE_08283 MSDRDDDVTPQRKRIAVACGRCRKRKIRCSGDTGNGGPCTNCKN AGYEPCQFLRVASQETSLNGGDSNFTYSLDASRQYQARGSSAISSISSTAPSYTDGLP AYNADAFVYRNPANFGYGTKPYCPLSWNGIQDEPSYSGYGLYQQAYSPVHDSDYGMSY RIASGNPGKSALCVDTEPNYAFTGNTMTNLVHRPAQVTADSTSVPYQNLVPDSKNVAF QNMASDPKGIALQNMAAGVSVGERVLPTPVARSSMSSSIGSSYKNDSGSSIYSSSSSK SSQDSTSETSPVSSTSETLSSYTSYEPSSMASTSSCLPSYPPITLASQCGRSSNDLYS HTSSSDAALFAPADSMRQGPPDMTYRYTDTTSGVTAAAVASVSGRRDLPHLNGSGIGA FSLGHAAASYIPHQGAGYMLPTGGEIGADAATDHYRKSAGSL FPSE_08282 MQVPLFRLQCGVNSYAWGKKGDDSAAARFAAATPSDELKIESDT PYAELWMGTHPSNPTRDLNTGRTLLELCEDNQALLSQTISSHYGNKLPFLFKVLSIAK ALSIQAHPNKKLAEQLHARDPKNYPDDNHKPEMAIAITPFEGLCGFRPLGEIAHFLDT VPALRALVGDEAAKEFAQVAKDDEQGVTDEKKKLLKKAFGALMSSSTEDIAEQMSKLA EQAEKEGVDFAGGGVVATSGEKLAELVKRTHGEFGDDIGCFVLFFLNFVTLEPGEALF LVADDIHAYISGDIMECMAASDNVVRAGFTPKFKDVSTLVDMLTYNFAPIEEQKMAPT DYPYATLNRTGYSSGSAVVLYDPPIEEFSVVRSVLRGDGSKATFDPLEGPSVVICTGG RGKISVGPTSAEMKEGYVYFVGATAELVLESEGGEDDEFTTFKAFCEIDDSEKQKL FPSE_08281 MANREKPSRDELKNLQEDLGPYYQIPLKPRRSGTHSRENSFQES LRQSSNTPEPKRSSSKRQDPSSRDSTPNRPSKSRTKNHSRDITSDAGTPKRSSPGRSE TNTPRPESVKPPERNNREMSQRNRSGRASNRNNGNSHGEEVQIWELCKSQMGEIVSGI NAENDTLTELVTMDKQVGAMNTEKIPGDSLKEMEQLCRAGVKHSEANMSSLKNTIEQL KVLRAVVHAKEQQDAQAAGPGKRQSRDTTAAASSLYDFDGVGDSPVPSPIGGNSRKYG DRARERERERERERERERERDRDRDRGDRDSMPPKADSVEPQGSVGSGVGSGNNKSKV VFQKGDSVAFKPKQLSSGDSLPDWILGEVAQVMGEGKSRRYKVLDIEPEDQSKQKEYR SSASSMIPITPESLAATLKDWESGKVVLALYPNTTTFYKAEVHSMDNDGKVNLKFEGE NDSSTLQQVERRFVIEYRV FPSE_08280 MGGQFSKMMGKIFGSKEMRLLMLGLDAAGKTTILYKLKLGQDVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYFSGTQGLIFVIDSSDKNRMEE ARQELHRIINDREMKDSLLLVFANKQDLAEAMSPQEVTEALQLSKLKDKVWYVVPSCA TTGEGLLEGLAWLSNNVKAPPTPAKK FPSE_08279 MPLRPHIGLGFPAHAYQKRHVEPHDRSTGYQPKVRITDRYRIIG FISSGTYGRVYKAVGRNGKPVGEFAIKKFKPDKEGEQISYTGISQSAVREMSLCSELH HHNVIRLCEIMLEDKCIFMVFEYAEHDLLQIIHHHTQQPRHPIPPATIKSIMFQLLNG CQYLHTNWVLHRDLKPANIMVTSSGEVKIGDLGLARRFDKPLHSLFSGDKVVVTIWYR APELILGSYHYTPAIDMWAVGCIFAELLSLRPIFKGEEAKMDSKKTVPFQRNQMQKII EIMGVPTKDKWPLLPTMPEYNQLNTLANTMASSHHGHHGHHGHHPHHHGHYGSRNPPP PPPGGSNLEKWYFSTINHASTPGGTPPLASLGQEGYKLLAGLLEYDPSKRLTAAQALQ SPFFSTGDRVSANCFEGCKNEYPCRRVSQDDNDIRTSSLPGTKRSGLPDDSLMRPAKR LKE FPSE_08278 MANPPPIVNPCADLTSYRLVLDGGTGFLKVGYAAQNFPEHQYPS IVGRPILRSEEQTDSDLVIKDIMCGDEAAAARTMLQISYPMENGIVKKWDDMEHLWDY TFYEKLKVDPQGQKILLTEPPMNPLKNREKMCEVMFDRYGFGGVYVAIQAVLALYAQG LSSGVVVDSGDGVTHIVPVYESVVLNHLTKRLDVAGRDVTRNLIKLLLRRGYALNRTA DFETVRQIKEKLCYVSYDLELDKRLSEDTTVLVEDYTLPDGRVIRVGSERFEAPECLF QPHLVDSESPGLGEFLFNTIQSADVDIRSSLFKAIVLSGGSSMYPGLPSRLEKELKQL WLTRALQGNPERMSKFKVRIEDPPRRRHMVFLGGAVLANIMADKESMWVTKAEWEEEG TRVLEKLGPR FPSE_08277 MSEISFLRRNLRILVLNPNSSTTMTEGMANAIRHTSLPESVEIY TYTAPPHSAPGSIDDQEGIDRSTRAVLDDSKIQEELGSDKYDAVLVACFSVHCLVPKL TRYRHLAVTGIFEASILTSLSLMAAPENGAKWGIVTTGKFWEDHLSDGVKKFLGQEKD GVNSKFAGVFSSGLTAGDFHTVPPEKVREKLKEATQKLLNQGQVNCVVMGCGGMAGLE GIIRSTAIEQYGKADGDLVYIVDGVKAGVMQLEQMVRSKRAFR FPSE_08276 MAGSRLRDISGYLILLIAITTLGSLQFGFHLAELNAPQDVITCQ KKSINALSALDKIKGLIHKKPVEEDHGLLPHCIPMDEAAFATVSSIFTLGGLLGALTS GPFSSKRGRLPAMRITGLLYLFGAAVETVAGSVFVMALGRLFSGIGAGASTVVVPLYI SEIAPPKERGLFGFMTQISINVGILAVQTLGYFLSYGTAWRWILGSGVFIATAQTLGL FVVPESPSWLAAQGDGTKAKRTLQRIRGNGYDINEETESWNGEERDASEEDGLLQADS ASESAPKNRVEHLGFLQVLQDPDTRPAIIAVVGIMFVQQLCGVNSIIMYSVSLLADLL PISSALLTILISVVNLATTIACAPLPDRLGRKTCLLASIVGQGTSAFILALSIVFGAK IISAVAVVAFVGFFAVGLGPVPFLLASELVGQEAVGATQSWCLAANYVATFLVAQFFP IVNTALNNVLGGHGWVYFIFAGLAVGSFIFVFWKVPETKGKKDVDEVWGRTRRVD FPSE_08275 MSIPDKKQTLYYKECNKDQNESIIFLHGGGGSHIEWKQVASQSS MDSYHLILVDLPMHSASRDIKPLTLDTAADEVQEVIRNHAHGGKAHVVGFSLGGFVAL VLTSRHPNAVLSTFVTGANPYRGLFKWVMQRPTAMWIVNKIQNIPGLTEMALKRQGID YEEWMAETEKNKSPERDDAVRREVSEFSMEHVKAVGDSGVRTCVIAGGKMDQVDAVKE MGVVLREGGHEKGVKNEAVVVRDAYHPWHLQLPELFAAGVAAWLGNKELPKEFDRL FPSE_08274 MARISSGFEYGKPCDHTIFRTSKDLVVGPYSIAQPVVADSVDVK GVLGLVGKDFWLVKKFPDGGVEKQDL FPSE_08273 MMLLYLLPSFHFFGSSKSDDLATIPDDTEPNEPLFLDISTTSIL KKEVGFGLVEPWTSRYVAAIYEKRSRDALWARYHIFGEVINGTIEETNLTVLDRIEED AMEYKVNEPELFSYALYFYANTNSNDTHSEILDLLANVNLKALRSSHIWHQLRRRKLA VYSYGNCLARVDLTCYLETHSHSVHRGC FPSE_08272 MSDPLSLAASIAGLISLADVTFKYTYKFVRAAKDAKPDVSALAD EINNLGSVLRVLEALASDMEAEGDQFDPTLRNHYLNHCFKTLSRIEMTTKKATERFVR SKVDSIYQHLKWPFSSSETNNLLDELSRHKETINMALAADSMQKLQTSLTKMDKLGES ISKKQQVLSYFMKADPQDNLATSIKLRHSMTGLWLTESTDFVRRIETPGSKLWLTEIV YTLQEAKNEEDRREHGKRQGSEKTWDHLATALERAVRAYDYSGITSLMDSGCPIDIHM PTKQGGPALILALELERPAMVKWLLEHGASVLSAKYTNGVEDSVIEIASTRAIFSNQL TDIVGRGKDLSAILNRQSLSVFHGTKNWRSGTHHAFTTPLHIASWLGNKSGVSLMVNH GALVDASDDNGWTPLMYARSVDMACHLIRLGASTSTLCRFGSLTSMIRWFGPELFQEL YELLPSGLSEELLDQRSPQQFPAACDDIPMTEAILGNMSELQQDLLAEDHAGRSLMHC IVCEDDLFYFALNNYPDLADIGPFPWHLEWRSFSSLAFLTSSFRKYRRYVPLESFKTF LNLEPSRGWSPLCRAAASNIVTILENCLAIGADIDFEGCPLRSAVMIASACGSLEAVR FLVRQGASVTYTSEDRFKSCYLLAGTQPVKDWLLVGRYRDVMILTDGSDTAPTKEESL WSGYEKVKVVLYGKRARGPDESERDYRRRLARLKRSLHGNIVYSNVHKPTAGTGTELA GRSGL FPSE_08271 MFPRTMIPIFPHTKRNNMNFKTWKRIPASRREIFDLEDIDRKSS HILNAAVRSGVTSFSLQVCPVRGDQTCRYHFYLELDTSIPFRDVEGNMASIRGLVMWM ECYGHGWGELTFGFVIRDRPFPGPLLADFKFPLMGTQTSGCITLLHLIDLLRGVPREE LRDEDCFDLTKFRFCPISQDLRGYRDALTQWMVRLCNNGFVGWWSYHQSVVNTVYVDD YEGDEYPDEHANNAFGRIIGLNYHHNAEFTAERRLIVHMTTRLIRRGVWLDREFHRAE HFGEIDLPYEYRDDDEAE FPSE_08270 MSTPAVYSSLEKEDRTDEKTPDHQTTSSPLNTKHGNKGLYKLDS WLRAYVIILCIYFAGAVFCLAWSYTGAVLDTDASKRRVFRTSPSFPVDQLYSGIALSS LLGPAAVLVQFVVWDFRRLHLFALTTQQPVAVKDLDDIAESLTLLTLGTVVKYSWWYG VMHAALILTRTLLVPVGTLILTVGPYIHYKDGLGVVGLPISAADALSSNITSLSTAMG GNPNSKFHPSLSKNDTFLSQTVFTFVGSLVSQNALANVDSGILGPVPTHNLTFATNTT YEGVVFFNWTANCEAATEVNYTSYKDDGNTVYNFTLPDDSVESIELLSQGSQRIRFWS NATKHGSDKIPTSGTTYFLSATKSIPNINETALRNRGDDKSLVQTDGGDWISRTKCTP RLEWLVGSCTFNGTVMASCFAKADSNKTALDTQALDSLSVYMSAIPWYIIRKQISIVD DTLDTLYTIPTSKDFGHFFGNMAHAIVSITTAGYFGTSEVQTMSKVIESVYIVRCSIL IAVTVMLFLTVTVSIIDIIRNRVKRLPQLHASFLAISHAVRGQWWDQELVGYKPWEAT KSRSGGESTVMFGLDTEDPRYIRLAPTVAPIR FPSE_08269 MASYYPEGECYFVRSSLRLPNNWNANNRNNFYSHILVEYYWNGW TATSTQSRSHRRSSWRHGTPSGINNTRFGDWDRFWVGESRDKNPEIMTAMHSHPNSLN WNECRDLNNGGPRKYILRPIQIQSRETEWLWIDGTIPIRAVYFPVAQQTDQAFANLCT YVVIDFRHREEIDIMDYRGFNFNKFRVTSHAGLGWRESLTWGFHMPDIIAYLTIPHKL RNPDQRLFKAKDRRDDLVVCASSSITWRSSSTVIHHPDFFRQIDFADVLSATFGVEVG WRPYEPSNFLRNLIIDLVNLGLGFIPGVGPILSVAFGIAVQLLEDPKSFSHENILDLN QAIMDNVTRSANKHRKYLAPGFMGKGRGRQELVPLSDDERASRQKYGEELNEKLTKEL NPQVVIRSLLDQELLLYGAASLNGVGEEEQTEVQTISIDAAEEEKETVEGDESKKDE FPSE_08268 MDMTRIIRTALMSAPFARRKRTSPTPSFERPVKASHMKDDEEGT PPLPSPNAVSYRLKLRDANDNESNLDPAISDTHVDRK FPSE_08267 MTASDTHPLPTTPNQVTASWLSKVLGHNVRSAELTRSILNATAS KLFFTIEYQDDNDADRPKHVCLKGGFNPAMMAVEGYKDMLIAAYTNEARFFSLVAPNL SHISLPKIWWAGVEEEQGILVMEDLNHSGFTFGNPQEVWPVERLKAGAEQLAALHAST WGYSSEKYPWITPSYEGIIMGLTEMWDDQILGADRPPCPDVIKNSRERTVSAIKKHFA TKNPKFICLIHGDPHTGNTYSDKAGNPYFLDWQTFHIGSPFHDLTYFIVGALSVEDRR THEMTIVDHYLDTLVRLGGPSLSTKDDEVMREYSKSMMSGMGWILTPYTLQPKENVFA MCERYGAAIVDHKAIEISESWPDPQ FPSE_08266 MSASAVIFDLAVKGLTGVVHIVTLVEKARGYALEWFKKKGEEQK GNDDDDDDDNDVELSHVGVPELTRRHEELAAGTVSNPETEGILCLDGPGHLSHRQHHI ILLRRHHHLFLDPREGLPSPCRRVRPTRWRENHVKNSRPASVSILRTMHPLYSHTLFS RQSSSYARRIFLGVPVVTEYNFEVGMGSTVVAGCNLDRTIELSILSGSN FPSE_08265 MGKLRSSLEAHKSALELALDMLTLSLTKDIKTDTTEIRNDTAAI KDDTAQILQEIVQLQARLPETAAAPNDFILQKFLEDMATYTETTLDVDGGFSDRASSK ALSFIDEREESPRLSNPSDLPVRTSQNECNIEADQGSHLSTTAYDDDGVAKADDLEHP EENVFAKLAKRKSLIKGYDADLAERNVTSQSLSPYLEPTPGSEILEPIPLKPAWAKSS DCDYVVEQTSATTLDSTRNVQTVLTNPRPDHVVPSIPKKLIPDKPGVERRAHVKDLSP EMVFRVAYNVHPFFNNFVHDVPVPDDILKAVPLKNGREFTTSRFTWVTCPPHKMVAEK YCLRPTFYTTTPVTKFILLVVVRPLDQDLRNTWSLIHKVIAYAEEKIGPETWKQIRVH IHIEWHISKLCFGFLKIIGAIQDFNIPAKRVYQKMVLRAALTQDTLEVTGKYVEGTIQ ELIQAQSTVQLREAYSGESGEVHPADIPIRVVGLDRQKASGHDR FPSE_03710 MASGYTGDFIGDYIGRPNNRDDAMSIDSPPVKPSFFGVTGHNEI FEETKNYLSKLEAFSVNPDDTSMQASSAIKFKDLPPNIQQTCLAVLGCIFGPCYDRLV AHKTKYENRLWSNLTVPGIIRSLESTFGSQLNLEKVNLELIAERICNNTTRPFRDTEN SQEWVGQLCGQNLRWESITMLWSVLRRIPNSFEPMERQKFHILESGTLNKATLAFLRH GVSLARYFTVANVMILDLLQQKTIVESMIIGDASLAVWNSHGEAVAMMTYLGVHAQRN ITSYTASLSSEHKRRLFGRIHNLDKAIVAFTGRPPLLDSRYCTTQMPLDLSDEDLLAG GAALERATAELNPGGWNTHGGVYPSGFCRAAYQISVMLSEILGIALAPDPKTNLETLS NIKQRELNVIAQFPKHLIYDPDDPHDLPLPQTEPAPHEKDLSDPQVEINVIPLRIFTR LTHLQNMFLLERLFLQNGAVDEGDVLLVSFEMISLTLLFWTHKDKFRDIRRDFEWMLM AFAVPGGGILCQELLEPTFQGRHPKDSRLSRSSIIQKLSLLVGFLDWVHPSAPNGDLC ASCKTVIQRVLDYQLNDAVNELGSLEQFSSGLAGRLNFQFELLNTFDWLNA FPSE_03711 MLPVSWSFTFPLFLLSHFASTRTIHKHGQTCQILRNMTCDDQFA DFRWDVFQHETVKSFTTIATCDQLRDIKRIFLRRSLCLPCSKLFDSGELEERMKKLWQ RVYCSGCKTEHPELLFRQGDRGSNICVGLQGEFALCKHIKVSGKVKVHDGEYHKLACT HTEHFEQLPNFKRYRPFILSFRSLGGVSSEYSRSFPLVKIAPEQYPGMPALKSRLLKQ LKETHYDGLCHHSSTQLESIVSSLPSDKCDCFPAEGLPVRQPEPITLRYYWCKNHGYD CRHCGAHYLWYYDNNCVVLRVQIPFGNSNVYNIGWLSNITFHSDDYTTHPILNENTKG ILWCTDPSCGTGCGNRWLLMVEILERLSLRQPGVYKSLPPRDRSSAANLPYTLEYQVF QNAAGWLTRPDMLSLELLFPRATKFV FPSE_03712 MQSKIRFLVLALVNANLAIAGPCKPSRPTTVSSVSLETSVTTDG ISESLSDVLNSQTTIRTLSAESSVATTESDDTTGLSSSHTSDDGDPFTASAPTSDVPS LTLTVPQSSTTTEEEVITTLANALAGGAFASRDPDSPSGLKDFGATGNAEFHSGGCYK ADGSPDDGCASLTAGESPNGKRGFFSRLASIYQTIRAAPRKKYTIQFVYLVNSAGSQD CVVTAAFGDRQFYSLPATSSGGTIVNWARVLEQVVTVNANPTFAISLECTGSGYSSIL VDSIFISDKVTPETIGNYHLEFGSYPELETTSSRNQEPSQTTSTEGSSSTSTVEVVST TDIKSTESAQHTSQADKTTSTSEPTATSKCAVQCNLIPGFQNNDMCNLVGVFIKTDAI YGFPGDDNPATQHRSKSIAECAEFCKRDMPGCKSVGFQKLSGQCFFSNTIVTRDDIRD GSDSKMADWYNLEGCFTCSVSGCDSSTSTPKIPSTTQAPAVSHTTTTASPTQSVCKPT CQRIQDLSEHEDWNCGLYGHVSDGVYTLPGDEEPGGSNHHFDNVAQCAEVCRTLPDCV SAGYQFASGRCFFSNAEVTEVERAGDSQSADWNSLKCFTCSGCGLDDTSTSEAPTLQP SASETPTSLITTTRASQPTSACHNSHGEVCEINHFGVENNPYVCITGGSFSGDSWTEP RSKYPMQESAEQCAAICNTLEGCETSAFWGSENHCIFTSTKITASDLTEADPSYDDPS FDPKIAGWSHKSCWTCPACVPNNSPLPKSPTCNYKQGDSCTRSTTAAGVCNVSGWLTT GYHSMGSSWYPDQGTSGKCAAICRASSRCVGSTVIGGQCYFADNALTAASIVARPNSN NIWDEPSCWDCPGCHT FPSE_03713 MATTASGFPGLAQNIASTPDYETFIFRKFDRLSARNLLHLESRL AYLEWKLDRADEQAMQSQDNETLRSMRAWEAFEENAKDQSRAENARMAIAEEIKKTLG EYREDTLFSFFFASEF FPSE_03714 MQPPQAGQRSELQPLRDYKKPFKFKMPNSETTRTLHLELPTPFK KDNGLADSGLVHNGPTSSKYGGSQSFLRPRDEIGLSESPLVYVPQIKDLAPRRRKVVW VWVCCVCQRPLQAGSCPPCLECPICKKRRRFSTETTHVSDKVEEPTKHPPAFVALNER PRATSITWPSGDIKTGGTPCYEVPGFINGIAINGLPDSGSSVDCVSEMFIQRHSMKLE ITRSQMIRLPGGSNAESVGKFMGYFKFQNEERVYRREFHVLRNCVYDLVLGREFLDMT KVFTEFRDRIIKRIRPCVRRGNHLFLLDEGPKNLIRCAINGAEASAFPDTGSDLMIAS GGFARRHNLKVHRGEKYRRPVELIDGTVIRTDGMVLGAELQFDVSTSASQVLDYDAYQ TFIDNLDSLANHGYHHQQRSSFICDLHIIEDLLHDIILSNEFIFHNEVFSRFKHLFYA QLTTTLPGSNLMESSILFVRKARESRFCRWWPSRWRRQYDNTETSAPSSDLVTTLKVL SWEERWVVEEARRNSAQLRIAGLPELYRLDAQRIENHRMSLWDTKNSRSLSAG FPSE_03715 MGFAGKPSQACEPCRLKRRKCDQKKPQCSPCIRMDIASCEYRSL RVLKIKDETLKVKEKANQRNQGLGNQSERQLKEMRPIRHVVEPIPENIPLPLEATALG YFFSIFSRSGTFAYLPEYSSSLVKDGKITQALSRQYYARSLVQTNQLLSQPETVVLDS TLLCVLLLSAFEALCFHNGGDPENWNAHIRGSSQLLLLRSKTQFQSPFGRLLFHHAGV NVLIDSVVHQTSVSLELNRLFEYATSSSSLLDSVSKSIMFLLWRMAVISPNMKNMTAN EVLKETLELHDQLIFSALKRGSGIESSCQYPNTDCIIPEARDFTMEKVLVESAGLVRD ILASVPYYLDLLESQNSIEARYLIWPLTSIVSLDRWQRCLINEITYRIGEELPVSQGL SVQDLANFARKGLTSVANNDGEAKSVNIGGAVRHVFKDKLRYQLVLARSRLLDGAVEL E FPSE_03716 MKATLKNTALLIANCSLTPALAFPTTDSFRPNVMARSHNNTINA TMAPIVGDESFNFNILVYMSSAPYQGADIGEVLVAANNIKDGDSESFYEQFNSLATRV HDQAIAIDAKKHPVSARNAFFRSSTYYRAADVYLHGNWTDPRIMDLWKKQAADFDKAI QLLPQPGERIEIRADNFTIPAIFFKTNMPGRRPTVILGQGYDGAMEDLYHVMGEALLQ RGMNAIVYEGPGQPTVRRYQDLGFFPEWERVVTPVVDYILTRDDVDADKIALMGYSFG GFLAPRAAAFEHRLAAVLAIDGIYDFGEAILKQFGPDAAKAVLSGKKEYVDGLGKQLQ ADPDTPTTMRWGLNQGEWTFKTHSAYDFVKTAQDYTLEGYVQNIKAPVFVGEAKSDVF FEGQAAKLAKELGKLATHHVFEDLLGAGEHCQIGASVLMNQVSLDWLEDVFCN FPSE_03717 MASVTSRRRHKKSRLGCMECKRRHIKCDERQPICGNCVVSERPC SFPSPRPHQSCPVTPTLSVTNHGPKYPKLEPLSLSIGSPVQGATDFHNDVNIQHMELL IHFSIGIPLPDSDEALQASFTKLVLNVGLDAPYLLYQTLASSARHLAIVKPSLSPKYL RQATELQHTSIEKFNSLNLHIDDSNCVPALLFSSFLARDMLTSTLAAVRQSQDFSMFF HQYIQYIHVQRGVHAIGTSTWPFLMQSELRPLLIWGSRLSELSPQGRELSELIASITR NSTLDKETTEACITSVQYLQVGLDYLLSSNSRKIGIQMVFNWAVLIPPKYIELLALRE PQALVILGHYAVLLHLCKDVWQVGDSGRYVLAGIVTELSSKWVQMLWWPLSAIGLGLG SKASSEASCSTSTME FPSE_03718 MPSIQNLIIILPFLGAGVEAAVSFPPIPSDLSTPVQQRIAFGGP NSITVGWNTYAKQAKPCVQYGTSQNALDKQACSDISTTYPTSRTWVNSVTLDGLSPAT TYYYKIVSKNSTIDHFLSPRTAGDKTPFAINAIIDLGVYGQDGFTIDMDHSKRDIIPT IQPSLNHTTIGRLATTVDDYEFVIHPGDLGYADDWFERPKNLLHGQEAYQAILENFYD QLAPIAGRKPYMVSPGNHEAACEEIPVLNHFCPEGQKNFTDFMVRFGNIMPLPFASTS SDATAKVNANKAKQLANPPFWFSFDYGMAHVVMIDTETDFPDAPDQPGGSAHLNGGPF GRPNQQLQFLEADLSSVDRDVTPWLIVAGHRPWYSTNNEGCKPCQEAFEGLFYKYGVD LGVFGHVHNSQRFHPVYNGTVDPAGQQDPKAPMYIISGGTGNIEGLSAVGTKGPENAF AYADDFSYATIRFQDANNLQVDFLRSATGELLDRSKLFKSHKQRFVKQA FPSE_03719 MASNLYTQLIECDRLPFPLLESLLSFECYSSQQVCGNADYTPFY TNSDFDTQPCYDDVDGNSNREQTILEHHWIQKVRQPLNALLRERFQLFINTVQYVAPQ NNNKFHNEDFYSSDGPVVSTRLMNLFGNTVHEYKDDGFVLVSPPKRNLHYACPFETVY PERYGRCSSQHSLLSMDDVMGHLEGHHVDPLYCPMCSEIFETLIHRDRHIIKRSCELQ ELQVPKGINAHEENALMRIVKMNISDEERWNRIFTTIFPNTEPPLSPYLDSGRRLTIS VARDYFMVDGRRCVSELLQAQGLNTNVEGDQHAQAALCQLALEDLLSDIMERYRDADN FPSE_03720 MAHFPGGAYSDPGGFGTQSTTWQGGQPPNVALRLSQGYSYLPYE NHGGGNNDFSDNDDQHDEDHTPHGAGVRKRFACPFYKWDPDKYQSCEHYKLTNWYNTH QHISRIHGLGTVMNSSYYCPNCRLLFKGDNAKVVRDIHVRDKKCEKTTKEETGMLLPE EYHMLGKLGKGLSNEERWNAGWGKMFNSLVTPSSPYFESRVDLLRRHAPEKLGCALGA LGVLGLDDLTISRIVDELFSAPIIPQKVSQQSYMTGPGVNASLTQAAGSAQFNNNNNT WFLAESQVSPSPVHVPVASYAPGLAQYAVMNAPLDHTMEQMGPGLHLEATNATGLSFP GQAGAFFDTSGLQSTLPLPPDEDLAMYTDHGYMSHSFPPGSI FPSE_03721 MKAALLNVLTALTLVGYSNATPATGLDKRYVLEDNGIKYKVFEH AATKSQTKIVSNSGICETTPGVNQHSGYFSVGDNMNMWFWFFESRKNAKTAPLALWLN GGPGCSSMIGLFQENGPCTFNKGGSKPTLNPYSWNTFANMLYVDQPIGTGFSYGTDDA VSTLAAAPRVWNLLQAFYAQFPEYENRDFGLFTESYGGHYGPEFAYYFEQQNAAIDAG TIKGEKINLVALGINNGWIDPANQYRDYIEYAANNTYKKLITSTQYSKYLNTYNQKCV PAFAKCPGLTGNDAACGNADDVCSQAIERPLENSADFDVYDIRAPSNDPFPPSTYSTY LQSSSVMKAIGAQSTYGECPEAAYDKFINSGDRGRSFLSTLSKVIDSKIQVLIWAGDA DWICNWMGNYRALNSIAPKSFVSAPLQSFTVDGTKYGEFKTSGNLSWLRVYGAGHEVP AYQPQAALAAFVATLSKKPISST FPSE_03722 MSDPRKYTVGWICAIATEYLAAQLLDEEHGPPESVSVNDSNDYT LGKIGKHNVVMAVLPFGEYGISSATGVAKDMLRSFPNVRIGLMVGIGGGAPTKKHDIR LGDVIVGVSNNGDGAVYQYDFGKTVQGQEFQTTGFLNQPPTFLRTAVQGLLTQYKRKG HQLDKHVNKILAENPRLQKDFQRPDLSSDRLYVSSKVHPKDDKSSCAEVCGDENLVRR PDRGEYEDNPAVHYGLIASSNRLMKDALARDALAAKKGVLCFEMEAAGLMNHFPFLVV RGICDYSDSHKNQQWQGFAAMMAAAYAKDLLHQIPPNKVEAEKPISEVLSSIESLGKE TKHAVMSMASDSHWYKIERWLSPSDFTTNANLARQRRHPGTGTWLLESTAFQEWKLGS RQHLWLYGLAGCGKTVLSGTILDHLGESATNHTVLAFFFDFNDIKKQRLEDLLRSLAI QLYHRGNETARTLDRLFALQDNGRKQPDSRALSDCIDAMMKDAGRVFIVIDALDECKT TDEVLRWIGGLASGNVQALITGRPDTKFQSEISQVIQERNCILLDKQSINTDIRIYVK ATLETKADFIRKRLSGDIIDEISERIGDGSDGMFRWAACQLESLSRCLSPKHIKLALA TLPQDLDETYHRILSNIPAEYKSGTMRLLQFLVHTKRPLKLAEAIEVVATEIDEEPRG FDPNGRLSLDDDILQYCPGLLTVIQVTEYHGVVCDQLHLAHFSVKEYLLKQPKFNLHN ASAAISRTCLAYLTDIDENVTHIYHEFPMASYASMYWTTFAVLARDSKQCVQDTVIFL RDQMAVLRWRRLETKMRSPVPSNGDALFYACQFGLLRAAKTLIVEGADVNAQYDRFGT VLQIAALMGHLEIVQLLLDNGADVNAKSVICGNALYAATLKGHSEVVRLLLKRGADVN ASADAQRGNALTAASYRGNLEIMQLLLDAGADINASGGVSRSPLQAALWMGRAKCVRL LLDNGAEVNLKGEVYSDVLHTALSPDVKL FPSE_03723 MAFAELDDVLRPTDDTFKAFYEKGYRSWHKVSVDAADGCACVPP ECLQWEDLFPHHPILPDGLEPDDSGLVTAKSTWISRGNCDSPEFGQILQMTLTIGKPT SVVLTDDPELCLSELGDGHLVVLILAWAYALSVRWAEIIPRASMEYTSSQAPWTVHSD PSKVDKHMVVELGELSGEEARWWAAVLSTGEDWKATIPDHRWCLLSPWSIAKNFNNTK LVLSGTHSPMTLTSPTSVCFKTALKYIDDYTKIHNTGLQSRAALAAALLLPLAKLDNR TVTLHTPKGSYRQSQQTQSPRPSLLDKHCLHQLDRLLNLSVNARGLKAVMGSIFYESG IPANACGAWLQGTAAVLQSKGTKNINHLSRMFFNRSPHISFLWLGGIITGAYKDFLRN TYSLLGLNRIELHAAAWTGTLLSFIQEPVHPIQTQNDTSFISRADECRLMFLIQEQRR DIPPIYPYPPLGETNIRDTDLGVQVHAYCPTPHELQFLSIAWNCVGGRKDIQTTGCIP PISRDKGFKIEEGDCEVHYTFLDRDRDLSEGVTRNMFTWMRDMDGFTVAEREICRHEW IDAFDSDSDDESVDPEGDGKSTTGPSEAQVGYWLVRSMTRRCDSI FPSE_03724 MPSDRKPKVRAKDVLEEWYYDLDPLANDCAKIAESQYRAGYEIR RGLISTIKSDSQFRSCLERNKSSRLLIDIRPENPAGSLELPIVALDTYRELESLEGSH GTGRVIQLVFFASQHKDVTRDRHMDPSDLALSLLSQLVYHYRHFDADDLRRAKKRIDP RDISSILLEFERLLIRLPRNTTVIIIIDDLDAFAHPSSRERRMMHTIGRLLEIHGDRQ YEAKLKFIFGNSSRNIFSYDLFKERDTLRIRSSSRR FPSE_03725 MRSLVVLRVALGLFAARSAVAGPCKPISVTTTSGDIPVTSTYTS SVVSAVSSTADVSATSFIPESSTETYASSSLEMSSFAITETSATTTFITELSTIESTT VEPTTTEGTTTVPSTTESMATPTFTIFATGESVIEGDALHTFDTDGYVATFDPNAIFG ETSVRPYSIDSQGRLVNDQGYFLCGTYVATNSELNAPAVVGTCTSDGPKKAFLDCTLS SGLALRCEIPGRSCVSNPAGLPICEVSGTWRTLSTGSVIVGHALKIGPVDIPVAPVAH QLIGLRASIV FPSE_03726 MPSNSVVSGVSSAKATDRSTGSPSDRDTNISSTVFRSSDSDEAE TEDQKKKRQDELLIGVGSLLNIGTMVPSNVFPAPESNSVETEDQKKKRQDELLSGIGS HFKKVTNILMRNK FPSE_03727 MPSKTEVSDVSSANATHGSATPPSDKGTMISSNGPASSHYPVAE TQDERKKRQDELLIGVGSHVNKGKSLTVDDEATYHCFVPDSQKRKHDTHRRQG FPSE_03728 MSRMPADLINVSPELFPQSEDDKYLHVKNSDRWEPLKPVIVELY TGNYGKNNKPPAKAQIVEFMRKYYSFYATESAYANRFRQWGVSDRRLTKAKLNEIAVA LERRPTAEMSTSKVSLKRGACEAKLDARQVKRHLANGTSSSKPQSMQSGWLSSWALPY AAFVSALPKNPDAASPYGTQPATPGYLGIKSERLSDEPIFGGTLRGSHRQICVDYFHE FYMHGFLTAKNWGSPPSISKVRTPSAFLEFPSSSLLTDASNHIDIVNPPTNLCRWSIH VKEIEYEPLPEEQPDSFPTSRSFPECLQNSISTGDFTELRNDNLPLSHETVIQSLTKN PLALELDSWKLAIMAGNADLLYDLGKKGRTKTREEVKSIHPYHLAASFLNGGGTCCTI FDALSTILGRSYLLQHNINDLGHTILDSLLVSILRSHTSVQPEMVSDGFRALSRFPGE EKDICGRWDADSPEVRGLFAQGYARIPTRWKHPFCHSAVQAVCHCAISTFGGLTHADV NCLSGLFVRRCTECGMELRLGPLHTLVAAAFFLGQSGMSGETLFGPVAILVCLINMGI DVNMRKNISVEEILRTSEPGQCHHRSMTAAEMMMCVPASVVHNWTNACQVGWDCLLHT LRLAESSDTENSYRHDRDSPECELSAGETDGESQSSECGLQDIHDDEVLKCTHKMIGI MWATIQAEMLTYRRLTVNDPWISDNFQMLALQAWLKGETQGFNTPLVVQDMMKTYSRC GWFYETKYVFWVIATSSDVCKEWFANLDNYGRTTFVPGVEFWGE FPSE_03729 MFVYQGKLNWYHYGKDETFVIILPSGPVRVGDSVYLFSQWTEDA QGRKKQNWFQTITVDSVTQTDSSDVTFVLKGSWYNFTITTKGGYKDLSVIMRNPQGGA SSPMSLERIWESKQELTGTTRVWTGKFKWMHFADNEPAIFIVPDGFGEGKPILSTWQW TKASNGKTKDPSFRDAVQKNVTGLDTDKVTFSYHSYYDINCTWDANKDHLDVKVTEGS HTEDVGDMIRSAIIERKQHIHDVEPAEPKPEKGECELRKPQPQATLPRIQDPMPFPKG LLETLAHTAAFVDQAGYLAKYALDHFSVLDADYHVQLEKVKAKEAEITNLKKTVEDLT GDRSAAKAQVEELQKQLEQANKDMQGLRDQIAELNDWIKKDMAKDEKVRKVLEETQKK VESLMEEKSNLRKELDAANATISELNARIADYQKQIIQRDTEISRLGAENSAQVEMNK SLTAKNDALTKEVEDLKVSQSSLQEDLRRAKIRIDELVVKNKEARGQITTANKERDDA NVKLKSADEERNKAVEKLEDYKQKMYDQGISLD FPSE_03730 MSSSDPNSTYFQPNYENCADGVSERCPVEASLYGDYFNLGACIF FVVAHALALIPQIYFGIRARTWSYMIWLAIGTIFELVGYCGRVVMSSNPWVYNAFVIQ LVLLILGPTLVAAAISITFKHLVLWYGREYSFIKPVLYPWVFVGTDLFSIVIQAAGGG ISSAATNGENADQNLLDVGSAMLVAGVVFQMANMIFCGGLMLVYIWRRHKAIKNGAAV RAGEEHGVGADVKVIRASDKKTKMFVFALTAAYVAIIIRCIYRIPEMQMGWGSTLMQN ETTFLILDGTMILISVWTLTIFHPYFFFPFLGKKGKAAIAEAEKSSQPEGTQSGPVMG SA FPSE_03731 MASLTSTKMQKCLPSENWTSYNDWNWGNMRDRLEERVSTLNMEY LKQHAETITGHTVTLSPKFSAGQYWVCFELLVADRGLIIARVRLPQHPTTPTLTEEDE SYAAKCEIATMRFVKQRLPGLIVPDVYTYQGPGSPGALQVGAPYMLIEGFYGTSLEHV VYGDDGSTNFNNLSASEQEHVIAQWTWVQAMLATLTFPEIGSICDITEAGGAVIGKPS SSAVERHTPPGPFKTAIDFFTAFGEGALRIAQQSDGTEGESNLSILGARVFLDIVRST PLFQDNGPYHLNHMDLHLGNILVDRHLNFLAIIDWEFAQTAPWQVNYYPMPFPLGGMD DDIESILQDPSHIAHENVKLAAASRHVYCRKFDEAAANLAQQGKHLSGSYSEVLEGAA SRIYASFIDMIQQPERAQHFINGMVNLAFGFDAEQTKQYLESLS FPSE_03732 MPSGVSSHIFALQSQIIIPVLSLSEKKHPAESEGEDNFRSEEER DKAGDVESKGGAEIDNKTSGNGKVIMEMGEDDSTWADVLDEDEHEIQGTDITIDGEEI QYVIN FPSE_03733 MSGQETSPQSPPANTPKHRRPWTAAEDATLRTLVGHFGASRGSE GRWKDIAAGLEGRTAKDCRKRWLHSLDPSLRKGRWTSQEDEILLSAYARLGPLWNDIA SLIPGRKDDQCSKRYNDILNPSAKNRLSDWTAEEDNLLRQGVAALGHRWVAISARIPG RPPLTCRNRWRTLSRLSHQRQSKSQGTTPSSSSQMSPTDIGISPAPQNPNISLETTGG SMADHLMPFPTIDTDLGHDQMGSNFLDTAFFDTFTGPSPSPLRDSEGSEDTETPNDSA PPTIPGPAPDLSTNIPDLAQNGPAQCPQPPRPLQAQPPNNPNGSFTMPSPSTWSSLGT MLPPGTSPTNQNLWLGSAGNPAVPKDWDVPDDSIPQSYTEQFPLPTSTAQTIHHHHHH HVHHHFHHYHHYQGGGDSWRQLEQQCEAEALQAHVQQNENGPPNAG FPSE_03734 MADDIHGTKEFDPESAHNQRITFQDGPDRDTEANRLGRTRSRSL SRRRSHSSSRSRIHPASPYSGYQIEYRTLSIQVTEAKQVEPDIDDSKGLKPKTDEDYF SKLQYHELQAEQLCQQLNVSADAGLSESAAATRLERDGKNTLPHPKTNYIKRTLKYIF GGFCSVLWVGAIIFFLCWQPLSKPPSNQNLSLAVLILIVIFLQAGFSAFQDWSTAKTM NSILDLLPSFATVKRDGELKSLATINLVAGDVVHLQVGDKVPADLRIISHSGDIRFDR SVLTGESDEIEGAVDATDANFLESRNIAFMGTTVMNGNGVGIVILTGGRTVMGRIATS TSGVKDSAALIQQEITRFVTIIVCMTIVLALAILLTWVGWLRVDHQDYMSVPAMLVNV MACVVAFIPEGMPVAVALTLMMVARRMKAVNVLPKGLSTVETLGCVNVICSDKTGTLT QNQMFVSSVAFVDKKFESSDEFEYLVNSKEGDEPSMALQRAALLCNDASFDPTTVHLP IQERSIMGNATDSAVFRFSASGPTGDSLRKTMPRVFEVPFNSKNKWMLTVFRSEDNRG AYRVIIKGAPDILLAGCTKYWSAESNSVVTLTRDARIKFQEIQDEASRRAERVIVLCE KFITPRSVAGTNSFSDEITHSAIQDLTVIGMLGIIDPHRPEIPATVEQCRRAGTRFFM VTGDYALTAAAIARNTGIFSCQQDPDTIDSLYPGTPSSNEEKKSKKPRKGDRAEIIKR SLLLEGAQLSRLSQEDWDIVCAYEEIVFARTTPEQKLRIVTELRERDNVVAVTGDGVN DAPALRAADVGVAIVTGSDVAIEASDLVLLDRFDSIVDGMRLGRLVFQNLQKVISYLL PAGSWSEIWPVILNVFFGVPLPLSAFLMIIICVFTDLFLSLSLIMEKEEFDLLSLPPR NHKRDHLINTKIYTQAYLFTGFMETITAHAMFFLYMWRYAKMPVSELFLLFEGYSEGY HGYTKDELIKFNNTGQCVYFVTLVFLQWGNILAVRNRRLSIFQASPLHKAHRNPWLIL SMLISLCIAIFVTEVPGIQKLFDTESVPIEFWFIPIPLGLGILLVDEIRKFFVRKYPE SFIARIAW FPSE_03735 MAADTVSNITNKVQRAPSVVAFMAIGLLQGLTISILQGIVVYNW NEYLKPTVLQVPRGYTIPANLAIFIFGFMFQLIFMADAIRLKSTSQAMLTCLLNAGFL PLAVYQRKQIRESIESLSGSTDSKGESLVHLDKPIWEDIGGMLSAMPFIVGICTIFLV ITVWYLKQHFDWQAYRNVGADARLRRIRSIHLIFIMFAKVVIYFIICFEVMYGVTQLR GMGTEFIIRMVLLGVAVIMTTLSILWSKSENRIGMSASIVVFFASIGYFVYEIVKIQT HWIKFGATGDILTCYALMAAVFLFATAIFGILCLKNFYGGLKEHLAKHEEGGQMAPSV KNFELDARASFTYQGVRGGSARDLDS FPSE_03736 MKFFAALTIAGVVAPALAVPAAPVVPDILGNKGCTVPPKRVEWR ELGAANQKSYLDSVLCLKTKPSRIGLKSSLYDDFPYVHFKLNDWIHGGAPFLPWHRYF GVIYEQALRDCGYKGPGTYWDWTKDAEKGLLSSPLMSKTAFGGNGDQEDREWTASSGL QCVRDGRFSKLRPEYLEDEPKVLSSGGHCLFRNMPEVTEPEAYKMMVPTITLKGINEL QKAGNWSYFHTATEGGPHGSIHASLGGEMNPTTSPNEPLFFMHHAQIDRVWWQWQQKN ASRFAEYDGQGMLYPKRDQINVKLDDVLPMFGLAKDVKVRDVMNPSKGPLCYRY FPSE_03737 MAHLFDELAVLKDELEEQLTEYIKSFILTVPQECEGILLKMILE SRRCMRGSLQFDFEDNFRDIVPEFWYWDLPELPAPKAAMKEAKKNSEKIFSSYNLLNQ ILQRHEPTIQKRWTKKTREQRREILRKAWPNMPTTHRPDWAAFEAEHQATRNTREGSM FKEWFMWPHINQEDLSQPKLMLLLLNARGRNIPPIFAAADWEAMHVGQVTEALPTPWV DKYTMIMNGATTSEEYGKMVEWDKNTISEPEVWIYDGIQFWVGEGLLVLRTQVRTLDF LVNCCYQILHEIPPDELTGSAYSVQPGPSLKTGIDELGYSSIATMAAEVPYIVPSDLN LDRLASLLEAQMSAMEYHIWSLREDPGYFETELLEKRDHSYESVPDKDGKSHPLTMKI NEDKLWGSLVTRVAADSYWKLENMALLHRKARNLSVLHNKYKDQVKPTEELLGEYRVA LNTF FPSE_03738 MNNMSSLQETLTASGGAESAGFINDIIAQLWPNINVAGGQMVKD IVEPMLEQMLPGPLANLKFVKADFGNEPLKLSHVDVHRTPQEGIKLDMNLDWDGKCDF ELDASMVPKIGIEHVKLRGRLSVLLCPITNVMPLIGAAQVAFINPPSIELDFTDAANI ADFSIIDKTVRKVILNIISSMAVLPNRFLVNMSSNNDYFKTFQPHLGVLRLTVDSATE ITGEKKSGAKRLLQKIVKDIPDCYCDVAVGAEGEWRTSTIKNKHDPKWNETHDFLVTD YEQQITIDINDEDLGGDDDIGIATTTVKQLLLNGGTQELSLRHKGQPVDTRVTIHGKF FNFVGETNSISASSQNEGEICGLATVLIASVSGLNGNRDELKPSVKVTWGDKEFVTPV KSYSPGTDIFNPSFDAAFRFPITAEQLSSPSSFRLVLQNGNSEQGTVDIPFNDVSGAD GMVHEGEFDVGSGVSVRARVAIRGLQLAE FPSE_03739 MSMYLPLNPGFFRLLRLPTPTTVVQELEVVSFELAQSPLYTALS YCWGNLGRSRSVQCNGQTLMITPNLEEAIASLRSLQRETEWIWIDQICINQDDKTERA IQVDMMKDIYSSSLGTIVWLGTSVPDIDKLLPLVDLMSNFHKVDINPTGTRKRSRYTK EEFLALGLPDAQDPAWSAFGEILSRPWFVRSWIIQEVALSKLPAPMICGNDTISWDKL VPAASWLLSMCFHVSPLSLIPATLPALRSSKFFLELEQLGLPWDLTTLLNKAMRFKAS VPRDRVYSLLSLTDEASDTGTLPPPLQADYHKPTDQVFCDATRHIITSTGSLAILSLI RYVPNWNQYSSWAVDFSATTEWDRISYFDWDQQDHKRRRLVETLNRASSGRPAVVVNH LPNHVLGLEGLEIDTVNTTFEVMSKSDLASHDPQIWATWTKSYDHLESRYQSTEAIAR AFMVTLTGDWSLNNRERMSDQPLSHFWEHMWRVYHRLRRDSGFGDAVDIQQENTKYLM PPKSNSNDHVNVFSLHLDAAHERRLFLTKDLSCIGLGPKTMEEGDIICVLFGGATPFV LRRFGEFFRFIGECYVFDLMNGEAMDDLGNKEFHPKAFHLV FPSE_03740 MVDYEIYTVGWICALRAELVAAQELLDEELMDPVPTSKNDNNTY TLGKIGPHHVVIAGLPRGEYGETSAATAARDMVHTFPNVRIGFMVGIGGGVPTKYDVR LGDVVVGSPSYRSGGLIKYDHGRATQGGGTDLMGSLNQPPVSILTAITKLSAFHDRRG HNLNQAVDKVLTKNPRLVRLGYQRPPDDIDRLYDAGFIHPSRGEECSAVCPDMNLKQR RPRLESDDNPKIHYGLIASGSKLMEDSVARDHLAETEHVLCFEMEAAGLANHFPCVAI RGICDYSDSHRGRTWQGYAALVAAAYAKELLLQISPENIKQEETMKEILQGIEDVKTG LEPLAKTSQNVEYLNDERKRKDELKIIDWLNAVDYVSEQYDFLKPQQRQPGTGQQFLS SETFQTWLRTKNSFLFCSGMPGAGKTITTAITVEYLISKFRDDPTVGLAYVYCSYQKR DQQKAQDLFTSLLKQLTLHQSPLPKAIHELYKKNYNGRERPSFDDIVTTLQKVVNAFS TTFIVIDALDEHDSWDEFLSHIQILQDKTTANIFLTSRPKPTLPGKLQRFLLARIYLD SLMDETNLNSIRTFLDNLPTGLRAYADAYEKTILRIRNQRMKRRDLARRALAWLTFSQ EPFKKAQFLHAVSIQEGMSELKDGDLEITNIILDVCMGLVTIDEGSRTVRLLHFTTME YLKANPNCLLSLEASDNPMFVDNPSDLEVERSVARRYYEMKLTTTCLTYLLFDEFKSG QCQYAVKPDFRRQVTHENSDLQDRLVKYPLYSYAARYWVHHAGEGEPCSKVLEFLNSE SHVSASSQCIAAFRCGVDRIFYDKSVHLGRVTGLHLTAFLGLQTETVSLLNTRMETDV RDGWGRTPLSYASEEGHIDVASRLLDFQVDVESKSNLEDYNSPRTALSHAAKQGHAGI VRLLLTKGRATPDSMPSLGDGKFERTPLSFASEAGHQDVISILLGGQGVDPNYKDHLS RTPLHYAVQAGHNAIVGTFLATEGVELERKDWDGKSPLHYAAQAGHEAIVRLFIATKR VDPDLSDDNGMTPLSHAAKSGHEDVVKLLLAANKGHSDPLDGRDGSLFPLILKPLSDS EKCQPLADIIKTMIVSGGVYANCRDNKGRTPLFHAGLNGQESIVKLFLSRTDAEPNTQ DSEGRTVLSHAACHDNGIVELLLSFKGIDPDLADENGRTPLSHAAESRHGSGFQLLLA DDRVGADSKDTFGRTPLSYAAGRKDASKVKLLLDIPGVNRNTTDALGRTPFSYAVETG DLESCELFIEDVRVDADCPDNFGRTPLFYWAKRAEVLRKKLGSKRKSYSHLDLNAEDY QKRDEEELDQIRRLTRKLLAEKEVDIVSLDTDWRTPADMMPELKDFVKNPLLRQQGKK RKEISE FPSE_03741 MSISAMASQLHDGFTVLAQYHKTIAAFCQRPNTIPKTYSEKLVF RRDSAPNLFIVVKSTRDPPIIDIINDAGTTADLNFISWNKAYCLLPVPTIQHHKVYLL KAFDNALGQSLRQWAKLGWTTRDILWPDLTKELISDKECRLIGGPSSLIINLGDNPPG DYTPDYVLERCIYSMVWKMMGSSRRLLATIKPAPISHALRHPYTNGDIGDGSKDWWRF LRERLERWIYVEVVKTDYDQRPHGFYFLAPGNFRVSIPVGYQVPDTWDYADDQIIPWF KEWEKSWTMGNEYKG FPSE_03742 MMLFRSLLAGLLATLPLALAQDAAMSALATLPKCAAKCMVTAIE SSTCELGDVKCSCTNAPLQAEIEKCVLTSCTVPEAMSTKNTTLTACGAPVRDHRGQFV SLNTVMGTLSGLFVLQRFGTKLFLKLPLGLDDLFIVFVMCAAVPSIIINAYGLAPNGI GRDIWTITPEQVTNFGRLFYIMAILYFCLQTFLKLSMIFFFLRIFPTKGVRKSLWTTV IFTSIFGLVFVFITIFQCKPISYFWTKWDHEHTGTCLDVNAITWSSAAVNIALDIWIL TIPLSQLKKMNLDWRKKIGVGMMFSVGIFVTIMSILRLTATVRAGTGKGSNNPTWEYL AVTRWSTIEGNVGIMCACLPSFRMLLVQIFPKVLGTSRRGYQTYDQYGSNKPTNGGTN ASRNRSRAQLGTTSHVDKTPPSRIDPIGITCNRTYEVEYGQTDETHLVAMKDIEMDWR SERSQTSQA FPSE_03743 MSEKPDNYEFERSLRASISRYTEERSQRYCNRMHPRSGPESVGP HIDYRGLMSYPRLCGVMTDDEIAHTMSTDPEWQSKARGCRLHISVIEQENHDYEDDDV DTVEDEDQPTWKDRIVEGKNRKRADLTSVDPCICLDDNGVGIAFLLGLGLVIKTCPDG RVVQAVVEENAERTRVQIPPTWDQSSFITLAKVFSLLALALERIDFLGVHEDMEKALE EARSKIQDELLVIITSRTNDTDLKIAAPVLPFLPLDRLCGNGQSLTLERFQQIVSRTV PYYAVAPRDKFHRAQCGCLFPGGLAEIGKTSVVPETKVVPQRFYDAHQSNASNLKDKI VTIPSDESVDSYVALSYPWKSYNSEDLERIIATVDKDLKHRYYWVDRWCIDQESFEDK EKEVPKMKDYYSNAECTLILPGIPLPIELAQLNTNGAKVQLFNPQFAQQVKRIWEDCE WTRRCWTLQEAYMSKECIFWTGQEGTPLIHCTQLLGILHSSPFDDHHYINALPYLPVD IGQFGDTTLVGRSLAIAESTAESIYQRSIVRCAGHGTILDANAYQRPLAVLVDKIRGR EATLELDEYYSLFSMASDKLPAVDYKISTFQLLERMVSSGALGANILLTNTGKGSGEK GSWVPRRCIQRDHSMIGMEVNAAQPTVSDGAMIVSAYPLTITTEDDGHDHFAASTQMS MLYPQPLGESIYEVGVSGTISRKLKKASSYLLLEPPNWGHAIFTSGLVLLSTEEQKPG DHCMVDATLLDNMTQDKYLENGHMYDGQTFRLL FPSE_11281 MEGFQPDNGFHQGGFQQGGFQQSGFQQGGFEQGGFQPTSGKQGF SSTSDDVRVQGSDIAALRKAIDEAKARIAEADELIKKIEFLPVATNDPGLSNNGF FPSE_11223 MTDLSWAQRVTDRFFGDRKSPSQKQCDDVARSISSASTVSSVES PGSMSYTVICSGCPGPQQDLVLSFREQGAMLDVDIVKLAKEIHGNLVPESTFHGNMEG ADPPLFIYSMPYLRGSSYTGVQPFWVELDSNVESTQRAFVKDLARLAEESPPVLSKSI LSKLIEALPSIFAKDYPQVLTHNDFSVTNILVNEDTLKVTGIVDWSLASVMPFGLDLD ILFLATGFRTLHGWHDYGCKQQLQDVFWDEFWFSSGIEGEDLRHKTQNLAEVAGQIGA ILRLAFRRNDDGSPSEEVLVSGHRIRQLKVWLGE FPSE_11224 MSKELEHSEAVGQHISNGGEDSKENTQTPEAIATALAATGAAPS PWGRGHIQLYMACALIYLCSTMNGYDGSLMGSLNVLPEYQNYYGLGKSGSTSTGLVFS IFQIGQMAGALFTWICDWRGRKITLVVSSFLICASAVFTAVAPTLSSFIGARFLLSFF STINGVAAPMLLVEIAPPLNRATVAGIYNTLWYMGSIIATFTLYGANIHLSGNIKWRL PLWLQMLCPGLVCLGGWLLPESPRWLIAQGRDGEARDFIVKHHANGDAEHPIVAIEMH EIRESLLEVQGRSQWACFDLRSLYKSPARRYRLLLVIAMSWFGQFSGNNVSSYYLPIM VQNVGITSTNLILLLNAFYALTGWIAATIGARLHDIVGRRKMFMGSCLGMSISLAIVA ATAAEYERSGSVPSSSASIAFIFIFGVIFAVGFTPMQPIYPAEVLANDMRANGMMVFM ITSGCASFVNTFAAPVAMENIRYWFYVFFVFWDLFEFAFIYLFFVETKGRTLEELTVV FEAKNPRKASTRSL FPSE_11225 MPKVPAASTWAGAPPVAEDGFAFAEGVFFAQASGQNRHRRATAT ELKEHFSSGNDKDYPAHWFEAQLIHYGLQPSKTKSVARMRLFDAVNAGGLKVPANVTK LETKLKKEWTKNDREAKKGATNSKPAAKPPVAKAETKATAGTKRKATDNDNTPAVKKT KTTTPKATAPAPKTVAPKAKAPPKTPAKATAKTTTTTTTTTKTTTKTTTKAPAKAATE STTPAKPRLKQTARRGDSSQGPGRNASASEPARPPRTKQTARRSGAFAARGRIPVPPS GDFDAPPPYSEFPHQDYYSDGHSPSNSYRSYDSDPDDGDSLEPLGLLNGDYEIIWSDV TEQWSHYDPDNFELCLTLEGNRLWGQFNLGVYEGVLRFNQRPMRSSHDRLEFTWRGRE DMGPVIYGNSNTGWMEFLGDGRIIGCVDGGQTLSFRAQRLEDQGTRSRIDADSMQDEW GSYTYQLYEAENRARW FPSE_11226 MSAIRGFLNVFRARSVPVPAGRIINLKPLSDSTSNTRVGTAFLE RCNPFRDIPNDARLENTLCEVRYIDRTLRDLMVPTSLPGDDYNLCSKLLRSLETRRDL TWLVLRETDIRDTVSAIARRGGRRAPIPDEPHDLHSRVKALERHWSALEKCHTKLREW EPKYATQPQPPLLEGQEAYDLELNDEQATHAEIEYREWRSDRDRKVSYLKLNPPEPSA FVPVERRDIQTDETWNILPTSKGHYGKRLLPVWTPILFQEVPLDWESPQGPLLSTRAE RRRRDQFRTRENNRREKKYEFQKQLRQQMSEA FPSE_11227 MGLLQSPDPTTYYRDQAWDAKYSNLSTDALERKRNRIEEQLMKA RKEFKREIYVNDNSDCRERSLKVSLLHVKLARVTSQIELRKYSPDTWYNGSEAFNLKL RHDSWTQWESITRKYESTVQNSTSRESFMRLFATSRVGLGRDTSLQSNMAAEMKRVYC PSHPEDLEGYRWDPILHGWLDSCFVRTAHLFPWSQGAFMDDIFGRGSSDDLFSPCNGL FLHYRIEAALENGYIAIVPDLELEPADPMFSFNDKDDRQDRVKKWETQNPKNYRVIVV DMDHPQVKKKISDEKDMGGFETIAELHNRKLVFLTDFRPRARYIWWTFLNTILHVSWR SNSKDQNIQHEEVRKTTRYWGTHGKYVKKNQLLGFVEEIGHDVASILTDENGTEETDG VEPEIEATQALVGAATVRSSKEVLEALAEDDPDYSDDSDEDGYILS FPSE_11228 MAPHAEQDESIPIITRLPPPERPAFSASPPRLLIIGAGNRGKAY AEAIKNSSNGVIVGVVEPIALKRRHLGRKYIWGTREPTPGEEFSDWPEFVQWELSRRQ RVTSGATDIPEGVDAVFVCVQDGMHKDVVLGLAPLKLHIMCEKPLAPNLEDCMAIYNS LSPDSSGCSEKLFAIGHVLRYSPHNIMMRKLLLEDKVIGDIMSVNHTEPVGWYHFTHS YVRGNWRNEKAAAPSLLAKSCHDMDILYWILAASPPGSNKPTHVPKDISSSGSLQYFR KERKPVEAGNATNCLSCAYEPSCQFSAKRIYTGADLKSQQQEHFCTVVAPEIEDCIPN AGTEVAKKAILSKLAEDYSVDTPADEVSKRNTFGRCVYECDNDVCDNQVVTLSWDADP IAAPGETPLQALSGRGSKTATLHMVAFTEKICTRFTHIYGVHGEMYADSSSITITDFR TCKKTVHYPHIPEGGGHGDGDEGLTRQFVLAVDRVKNHGEKVSTAQQEYIKCNLRDVI MSHTMVFAAEEARKGRKVVDFQEWFEKEVMIKLGA FPSE_11229 MVTAGPLFSPDLIGADVVSSFPQGYTIRPLERRDYAKGFLDCLG VLSDVGNVSQGQFEERFDWMKTQGQGVHFHVVIEHENRIVGTGAIIVERKFIHNLGLI GHIEEIAIGKDFQGKGLGLKLLASLSSIAKNVGCYKTTLGTSPDNEPFYVKCGYNKSG NIMNQYFEEPKEPYYRG FPSE_11230 MASLDKEANRDNHEVTDLKRTELLEIDATRDLTVEEHNLGFREA ARQYPWAVFWALFFGIAVIMAGFDAQIITSFYALPAFQTKYGEKVHGGDGYEVPAPWQ TALGMGNPIGQILGALASGYPLEMFGRKKTLAFCCVWSIALVFVQFFSTSIGMLCAGE ILGGLAYGFYVVIAPTYASEVCPLALRGFLTTSINMAFVIGQFIAQGCAAGVETRMDE WAYKIPFAIQWVWPAILLAGLPFAPESPYWLVRKGRREAARSALLGLSSSTNRPDIDK ALTGIEQTDLLEQEIESSTSWMECFKRANLVRTEISVMVYLIQVIGGNPLIGYATYFF QKAGLDPSDAFNMGVGNTALGFTGTVLSWFLLNWFKLGRRTIYNAGMTVMTILLFIIG FISISSTTSAAIWATATLMDIWTFVYQMTVGPICFVIISEISATRLREKTIAVATAVQ AAASVVFTIVMPLMLNTDEANWGSKTAFLFGGISLVCLVWCYFRLPESQGRTFEELDI LFQRRVPARQFKHFDLLNGVDNTMLALSSTSKTAEHKDIVESTAAIIFLGTPHRGSPE LSAIGEWARSILSTLQFQTAKAMLDTLGLKTTDLQRAHEAFCRIWQDYDFQVKTFQES LGLTGIDLGVLGNKVVPHESSLIGDPREEAETLQANHLQMSRFSGAQDPNYIKVSGEL RRFYSAIENAPVNGSAGRTKLSSGVSNIGSTPYIPMDKKDLDVFLGMLQFDGVNTRRE SILPPSANTTEWLFQNPTYNRWCMSSKAADRLLFVKGKPGAGKSTLMKNAARHTQAKT ASDNRGICASFFVDAGGLSLQHSPKGIYQSLLCQLLPLEKIWTASPDSPALRSLSDTI RTAPVHPSAISTENQLQIILMDTIQVLSSTGTPVWIFVDAVDELGPMQRQQVEFWRVI VLSDKFKTLRVCLSCRHFPNISVNGWLELVLDTCNSLDILIYTRDRLEGHISQSEAHW RKWLERKITSMSSGVFLWVVLVLDDILAKYHQGTSLRILYRHIELMPTELEALYTRIL GELAPSEGQLAERVFQWVFGATRPLKLNEWHHILAFMRPSRPLSLRQWRDSDDFTDSD EQLEREIKTLSKGLLEVSNNKLSEVSNRNLAEDSSVNVGAGSLDQGQGSSRVVRAIHQ SVYDFFIHNQGFALFGLDSANPLTDCHCTIANTCLNYILIPELDEYVIARQRIKTASV ATSSIHSFTPQQLQKNGTLKLNHETEDAIEVLEGTLPLDSVTIVESWLARGDMPSYRE VAPYPTSYCASHDSVDIASQALEDDPALLLYSITELPYHIDLAYSNSPNLDSAQLQWR LNDEVLGQRLVALQQDNKGRSERGATGSKNIYAGPVGEPADRGSDIEPVKGRDRGTSR ATARSQKTKEYREFWLCEQL FPSE_11231 MGLKAVVLSALSLVALTNASAIDARTNKPANTQYYNSKTSQYYV EPKGIPEVSFDVGESYAGQIPVDLKKNGSKDSKFFYWFFPTVNPAGKDDVVIWFNGGP GCSSLEGFIQENGPFKWQYGTYKPVPNAWSWHKLANVIWVEYPIGTGFSTGPVTAHNN TQTADEFVKWWKNLVDTFSLQGKKIYVTGESYAGVYVPYVGAAMLDKKDKKYYDVRGA LYYDPVMPYADELHLDKAAFPAFFRHWESVFAIPNKNKKILEDDNEKCGLDKYREAHL TYPPPSKPWKSVPSKGCDITSHFDEIIPVINPCFNVYHVQDTCPVLWDILGFPSVEYV APGASIYFNLPNVRKAIHAPSAPQNWTSCSGPVFVKDDPHYDPAEHEKKFQTLVEKTN NVIIGSGMADYIITSNTTALAVQGLKWNGKQGFQKAPSQEFVVPIINNTALNTENWAG GSVQGEVHSERGFTLATVKTSGHMVPQYAPPAAFRQLEHLLGRVESLTEPEPFSINIS TSFKWPY FPSE_11232 MTQAAETFEREKKLQRQVEGEQEKAFDAEARHRARHGITEQDLP ENLRPLDTTEALDVHEAILCAGSSNLGRIFDDHAGANALPRMTRELEGLLLAGLSSMH PIIDHEANFTNIVSQLTPLSTHIRSLVTYRGDGLLPSTIRVEECHYNDEDPDGKLLVA QGILHARSLSNICDDRNSKAMMDSGVHRAGVITAFDLRTVKMLDNDPPAFFGNKSKLV WRFSTLTREFSTTHRQGQNANVLESDRQILGIEHIETLLRNTNTGGLHYYYDYFKIDP SIPFPIGRSSFVEWLAFKSPTMIRVLQLLDKHVREDGNRVLLIVDTLWIQR FPSE_10853 SSDDWRVRAAIFGCALILLVGETSDDYASWLEAEKEALERLEVA KLLLTNWIVTSSYNCGLPLWMDDEILEISLCEVMKSLWHQPFNRYAWLVEHEYSQFEM SYHANHIIILGHVFSMLARLFLSAHEKTEQDFYNGNVDVLVEVARRLSNPESDICHFR TVNAAEHYLRLDGEIFAPRMAKIIRRTIKVIREETELGEASETESHNVRLRAAVDARR AKVKNKRMWIISTEAAGIACKIAYH FPSE_10852 MTYTLYIGNKRYSSWSMRPWVILQSFAIPFEEKLNLFKPGLRQP DFLEFSPSGKVPCLHDSENSIVVWDSLAICEYMAEKHPEMWPADVAARAFARSAAAEM HSGFPAIRDECSMNVGLRIELGEPSEALQRDIDRLNELFKQGLERFGGPWLAGKFSIA DAMYAPIASRLKTYGIVLDGAAKEYADSLFWQPAVQDWIKEGIKETSREPFHEKDCLR GRRMLKDLTKEE FPSE_10851 MAPTRPLLYVALGLLMVVSIIELSFISGMVGWLHRTATGTFSFQ WQGTKYQIKGEPANIITDQGHTSNGAAGTAFVLIGCGGILSLLLRNRQTPGKFSRFLY TTWLVFNVLSLLLVLSALIYTFVVTNEHNGQSIIPSVAAKLNAGQKYPLESWTPQNWF SALLDLDLSDSNERSDIEHHLRLMKGWQYNLIPFFVIHLVETVLALWDAMQRRNELVP AYAPAKHDAYGHV FPSE_10850 MYIVFRYLLITGDAEIQVWPDLREAHDATCNKGVARADLAAKFP HLDLSGCPERWDFPSHTPGDATVRAERVRQRVSEIAKAGKYKDIVLVTHRGFAAFMVQ GDRFSVCEYRSYRFADTDEIDQDKRFGLNVDTCVKQDFGPTLLLPLVER FPSE_10849 MPWQRWIIVLYLCCGLILIRSIYRVIEYATGPLGVVQSTEIYFY VFDSGSIFIITCLFNIFHPRQLATVSKDDLPDPETIIITPSKPLSRYPPQAPPRYLQP PPFLPSHANLRNRDGRLVARKEAMEECLAFEKVYLPQQVVSYVTEFSGKLEYNTPATA KAAKKAKEAGCVYKVVADCGYLAGFETPPNESYNPVIPYRTEHNLPSAMQSTYSDISG GPSTSTTPLQPDTDSIRFHGIRSSSITTQTQSQDDVTTSQPHKTSDAISPLIRPRYLC YVTDFENRRFETVKVSDYIKEHENENVDLEFVFVSYTRVQFRVATDEEIDNYIYASEA ERNANKQLAHRDRQQLIDWGIDAARRAGKKSFWLDFECIRSQDGIARATSSSGDVYHI CDIVRAAHSMIIAIAPSTEDKIASILNSRDLEAFSPNKVTPWLQQWGSRLWTLPELLL CPNEHRIQLYIASDTSEPMRMAKRNFAERAWEDAIVVQELVHHFEGSATLTTTQLMEA AFECFSRRQTDQFSQGDITYAIMGLFSRRHRPTVDKGDSGLQAFAKLAFKNDCGAFLS RVICFDPPPSGPWYSSVDLRGRQLIEVHPQVTDVLGPDVLLLDNVYGAMIDWDNLDPE SDITRLRLGDIYNGINFVFVESFVVLCLLLCFLAFVLKIVPTGYELFISIAGLILWIC ASVAIIRTFILLKSSISAAGTAPSGRLIGIEGRVSVNMIEEYLWGFNHGRLKIMEPQQ DSFISRPERVTPGDAGAYDFTIVDTRLMTITYIVSDEPPTAVLVLRKRDGLYGQFLCS YDSEQQAFHRHSVFRTDLKGVEDFHKHKGIRLSLDLLTVQTSRPTLQNIRPDNRRATN RFNDATEKSIAADVPKRWRVLIFMYFFLVSLQPPLLPPYEFESWANQYRSLGYALSFA IAQPWAYFLLSKYSLHKCWFCIASLKGYQGLFYLPVTHYAYCKINLRLIALSIVVLLQ PIVGDDLFWPIYGGKYYEFMCEVVKGFISGLEIPCMVAIAWTWFTLEELPLILLALTL TGEKFLVLASGSSIHQWYPYLMVIIGIGLCKIPSTIHTCLIGSPDEVSWLSAKDKISY KEMSANKASQIARQTMQRRLIRYLLVFVFSAITKFDVHRNYVAGYTDGFGVLHLIIVI LTQSSYMVIYDLALVNYS FPSE_10848 MSWNHLPAELRNSILSHIAEEYSLSGRGSNLSDATSYATVSREW QGFFEGITFQNISLIIHDATDLDDFARFTSGSNVYRRCYIRNISVIIVLPPYDCEDCC GPESAEETERNNNVFTQSMQALLGILSTWTPNPHQHGLTLELNIKSSSDTDHHFYVTQ ITDEFRVKHWNWSHEDKLFAEIRYPDHCNDEEVWCDSVSTQLRSEGCRALERYLGSLL EFTDLRLPRVEVVTSFMQRHQFKRQLSPCALGRLMQESLVRLSSLRLERWCRPRVKAE RMYIRHFKEHFLPCLPESLEVFQYYAERPMSVNLSAKGRVKPLSLVELLSIEGHRFKQ ISVVEPYDSTVCLQTMKSITDASLTKPLVTAGWHMLEKVCFESKVVGGCIQRKNRRMD RIDELLKLSASMAQMMPNLRSWEIYGLGIPSLIGGCHFHYSVQLSSSSASISWKGWWI NGLDNTGEDFSFSPNVKSAWDEVAILHTGNGVTCLVDENQLADDDIDHPRMETMVFEL DPIHPFTRHLDQWGYEALATASHDE FPSE_10847 MGVKLEDEDSDFGLSDTDAGESSESDNHSGNDSGGGSGGSSVRS RGGGSVRGRGGGSVRGRGGGSGSGSGGTVSSTKRKRPNAGKSASTDSAADQGNGNQDD PVDVENPKKKAKNSRPKSGSASAPTAPTTVGRMTRSAGSKSKTASSKSKATPSKSNTA ASKYKSISSRSKGKGKGKAKEPVSSMLVNSEDDDSDGAGNEDEENGDEDGDEAE FPSE_10846 MVVVSGSPWAKKVAFIVISVNLLFWWHCFSVPQSDDVNPPPPLS RIQRSEAIPEVITKKKAKDEVKEVKDESTTDKKGFKTFRSWSHFEITRPSNDKTFIFR RFKSSPHKPPHIEWNPNGGELSKGYVFITPQSTGEDKGIAQAASFIMKQNAELIYAHD DQPLASEGFRVQNINNEQFLTLWRGERKGAHGFGEVVIMNNQYEKTIIHLDAIINNMF GHKFKGKLDFHEQELTTRGTILVTAYNTTAYNLTAMGGSERGFVSDSMFFEIDIETEE VLFSWSALDHFWPEDSMLPLITASGCGTPMSPYDFFQLNSVQAINHDSFLISSRNFWS VFLISRSTGKVLWELRGNTKGGDFGAMPPHGRFRWQNHVRALEASTQGMVISMFDNHN SPEDITKTHSRGLLLKVKLPPKVDEKPEILRILSPDRAKVATQDGSYQVGLSNGNSFM SWGAGGVVHEYGPEGGHDLRWQARFGHDESIRSYRAFKDVWTGTPITWSPALVIEKVG ESVLGYVSWNGATDIEAYNVYLYEPGSAMMPMGKANVTGFETGFDLGTKFNETSCILV AAVRDGRELKQSNVGCLQGRTFVSSFVDSYGQAAGDSTTEKTMMQKLMGWWNS FPSE_10845 MSEEAKPVVPEEGVPPAEGEAGPSKKALKKAEAKAKKEALKAQR AIEHAATQAAAKMSLEDDPAKDSYGNKQVTSESFSSDAQEVEIRNLDESYDGKTVIVR AWLQNSRVQSAKMGFVELRKGGNWDIQGVIMASDKEPVVSKPMVKFVTSISPESFVVV QAVVKKPLEPVKSCRVSTLELHINKCYVLAPAPAVLGMTMDAASQPIVNFSDESKAGD EAEKPAEPTSTIPSASMLTHLDNIAMHKRTPVQQAIADIRIEVKRLFRNYLEPRHFKE FEPPCLIGAASEGGGNVFRLPYFGEEAFLAQSPQFYKQFEIAGGRERVFSSGPVFRAE NSNTPRHMTEFTGLDLEMEIKDSYLEVLDVLEGVLLSILRGIHERCATEIETVRSVYG SEPLLLPEPGKEVRLTFADAQKLLREEGPAEFANVRDDEDMSTPQEKALGEVVRKKYN TDFYVIDKFPETARPFYAKLDDAGTTIGDGVRVTNAFDMFIRGQEVLSGGQRVSNPDE LEERIRAKGIDPKSDGIKEYLAVFRQVGVPAHGGGGFGLDRIVAWALALPSVHLAAYY PRTPKRLAP FPSE_10844 MSSNGAERLKNRKPIKKPVPAYLPGPGSVLTVDQTLYSTIRDAP RELIQEFTLPIRSGKAWKAPAGSIVKISTPEGPQVGDLNIWNAHNPRERFWASRTKQL HASHVSTYDRLWSNLPYMRPLATIIKDSLDWYGTDEHGGRVHDLLGTRCDPYINTVLS GGQYNFQCHSNLTRAVLPFGLNEQDVHDVINIFQVTGLDEQGRYFMNPCPAEKGDHIE FLAEQDLLMALSTCPGGDLSLWGFGEDSEEEMIKCCRPLKVEVYRLKDETLLQKNGWK PAEVSGYSGRHGLDVPLGENREEKA FPSE_10843 MTSSPNVSYRIPTIIILAVLAIFGLDALLLQLERNGYMDMSRNI IQDPLPRFLPDTDRLILKKYTGIGLLDDFFAFSNIVWASVTDGSRPELSLFTICFGGQ LIATFMVFVIEAQRTIGWSPLVLNGAVWLWIIQSVGFGFVAPVYYIVHLLFTSKASYS QSVHIRDYLTLHTVFPSFFLGYIVPCIFLVYPFSNYNVRQWSNAVWSMAPFYIFILQT IFTGILKRISVGQDANRSRVMLEKAALRHAYGFAWNIAVVSQMTTYAVLIAASAFPGL FPKGIAASFTMEKVFGPDAAPHTNNPMSSAGAAMHNFLIYDFATGSVAGLIWGLQQLL EVKPELKSGEERTNLARGVVTSVLLSGPGGALVALMQHRDESVLSAEAKAEKTK FPSE_10842 MNALFGFLSSPLKKQPERPSSDTMPVPASQSRTLSPRDHRISKR ASDRFSESETRSPRSRLSNVPSPSSVHDTTADISITSTRRETISTMPPPSKTPQRRSM GNTQSSPASTHNTVSRRVASSPRERPSPRRQQSVELGEDSITSGYNDTNHSPAMSNRA SAKNSPAQSVRSRRSSAKNSPATSSARKHATMKPNFTAVNDGRSERSSPRNQPRPRRS QVVVEEEEEEEVFPGEENGVEEEEVFSGEDQEVEDQQSEEEALVQEEPEQEESEEAEE EDDQEHQFKRIMDYRWVDDKIELRVEWSDGERTWSDEEIFHQDSPEALFDFWRNQPGG RPENPNDPGVYLVFAIRKHRTFRGKKQVLVEWLGYDSSEQTWENQSYIEQVAKEHVDD YMSKLQGTDKAKPKTNTKATSTSNGPLSKKKGTARPVARGRGRPPKAATKPTTQKTQG RTRVTKR FPSE_10370 MAEKDITKYLQQSHDRLFINNREWAENKAKVNPDFFKNLAAGQA PEYLWIGCADSRIPAEQICGLEPGEAFIHRNIANLVCNTDLNAMGVINYAVKHLGVKH IIVCGHYGCGGVKAAMTPQDLGLLNPWLRNIRDVYRLHEKELDAIADESERYDRLVEL NVIEQCRNVIKSADVQQSWHENKYPIVHGWVFGFKDGLLKDLKIDFEAVLGDIQKIYN LVDKK FPSE_10369 MAATLSMSSAIGLPAPPFDTIHTNTMPSKQSRPTSGDTINVGST VFDPQTSTAKALRELCINALNNNHTFTPEVLDHLLDLLKDKVPLLGIEVMPSSYLTTH SINSKPDRTPKKFLAPVLHPAGHGGWGLAFVSEQHEGINRTYIRALYYDSLPGKDRGH DVEKKLQHWLGLHHGKAMELRFGRISGPDQAPKQRYLSGQFVVMAAHEFIKFGKINSK PEKWNDDPKTFIMGLLKDEHVATRQLSQESSLSVRYGTPIMQTKTPTPVTPAPQSNTS SSKKKNLEIPPYKNDCFTPKAPTPAPQSSPESRKRITASPATRRMATDIKAKIGSPTP YKRVNDECHSSEPDSKRCRVEVDMGDRTREIISYLCGQELPTEATSAEESDKRIAELR SCEKRYEDENEALNQSNAQYALHKNTFDSVSKEFDSLQAEIDEQERAIGAAIGAYNAQ VPEVRNAWQDKMLAAMRGVLEPEKGNLETKSVEKRQIEETLKSAGEKLLARQDQVAKV MQEKSSADYEARQAAEWEVMNDIARDAARKMKEYKKSTQIEDWLPVFEERRRQKQKGG GPVS FPSE_10368 MADPRSPGSRSPVSFNRSPYDPRSPPPPRSPRSPPPPPRRPSNL VHHGSSSDQRLGHLIAYGTLPPDPSAELPDIQHVEPSPEPPEPSKPTSPSSRALPPDL LRGLLMLLMAMDHMGLALNSWSHGTGRETEMDGLPIKEWNTDFAYFIRTLTHLCAPGF TLLLGVGVVYLGRSRTKLGWSKLRIARYFAVRTGVLILANFVMGFVMTLGKVWLMNAV LFSLAIDYFLAGMLWLAIDSTEPLLASALARFFPEEEDDEENEALLGGSPIKKTSRAE SISWHAHNVLLAALGLVTIWWNIWLSPTHGHCEIQDHATIHSSDNNGGPSDGPAVSHN PWFGLWFWTTITPRVMSVFPPMAWVSFAILGLLYGRIDVMKTWNARVSSLCHFVAGLF FFLLFVLTRVAHFGNLSEGCLQTPAHVDHPDRNQYLVSVQSFFYIMKYPPDVAFWAFT MAGNLFLLAIFRGIPTRVASRFTMLIDFGRAALFFYIVHMFFVFGFGAFWVYMVGYDT GRPKPMNPDVTDGIENPFAFLGIWALAMLMMWPVVRWYGRFKATKTADSLWRLF FPSE_10367 MAEIHRPALGQDVPIGTLYDAKRDQFLPSSVLPISIPEGIVSRS PCQIQQQHEITSSVGATHRDRFTFMGIDSNLAASVACDLIVPQGSGIFLKDGTSQKNI LHGNVRHIYNTSREWLDLKEPDFLDIIAQGSIHSTDRYSTYVVVGVNYGLQSIITMKY LIPDPEHRPSVEPVFYQDVRTVHDIAMSLPSLDFSDKTVNRRLALKYEFKLYTDIQKE YGIQMPSLALLCTFVQTGPRQIRADDGQNGYPIIYTLLPLHVLNAIALGTPDILNFIR PQIAAADIEPVLNIFDRFKESKERLDDYKVFIQARKHYIPLEHIDGVNDAILRISGVE NNVKVEFKRRVLQVRSGVKNDNHFHTLCRTVETESPERMSLLVGQQSDKINFITQAVE HGASYIGFNGLSLKNFSLPHDSPTPYTFEFNNAVIMGSSSWIDQRNAFMEFLLNPQRR CQVYIIDCDAPSQRKHLDCARFSEMQRNSVMSTQHEEQIYSSSEQEDLASEPERQRHS RKCIARCPRDAIDTSTTQGLSELRLIRMPCPGKSCDSQRDCKWTCTECDAFIEFRSGD DYIYCDCGRALCHTWRFKCDKESHGRDFDRPSSKDLDKCLKRSPRPLYRNILVLGETG VGKSTFINAFYNFLKFHSFSEAKTHSKRKLEYVIPCQFSVTIPSRSGSLDYETEVIRV GSRDDERDGTGGDSATQKTSAYTMKYRNTTYRLFDTPGIGDTRGPEQDKENLRGIMDR LRDYEELHGVLILLKTNETRMTATFQFCFEELLSNIQRDAVPNIAFGFTHTMDSNYRP GDCFPILKRKLQDHTNVDFVLDRQTAYSFDAESFRYLAALYRGIEGDDERKCRQSWDK SRAEALRFLEHVDKLKPHDVGQTLSMDGVRRAVEQLMIPMVQVAQAIKDNIELLDRDL KELQDTKLTGDKLKRKLHLQRLELRAEKLEKPRTVCKNSDCCDLKTNPNGEVVIDYKS VCHQDCKLPNVTENAPGDPGLVACRAFKKYKTICSKEGCGHHWQEHVHILYELKEHKV QVKDTDVERRLKANTNDIEVRQQGILKITDLQEEYEKERDQLRTAMVRFVAYLKKHAI TAVNDRTQNYYMELIKNEQNKIQRARDRKMNVDINVKKLEGLKQDLEAHQELTETIKQ NMRAPRDSSDKLLTNEGVRRLIQELYDLPHFGKDLKKMKLDIVSSHETTQHRERSHRR ERSRGRRDVGSGQDGNERDRPGPSNRRERESSARRESTREDKSWLPFWRTRKDQLEGQ K FPSE_10366 MEDPVTLRCSDSEDKSWQLERRQACSVSPYLARIFNPESTHEAV ISNTKHEVLELFCDWAKNPTTLVDSNDNSHMQEPWLSNTAAAWLLGERLKAEDFKKYC MSVFIKNCAFSPFGPWKEIETYARDESPLARFSNHWIAWNISLLEHVPLEYAGLKAVD LAKSVTQYTGDPRDLDKGHWYSSCGDQIGLLCKHHPIAKQKTVDETQTSQGLSAINGE LDTK FPSE_10365 MKATFALAVAILGAEVSAFPQFIPPKSNYALRPGTTNNNQGSNT NNKFVNAPNKHAAGTGIKFSPSEKTGNKANNIVPGFVAVKGGTVSRRSDDDDDGSYDV PKGDQDDDSGDGVMFTTFTAPHTKVGAIKKEESAGDDDSAAKDRKFKAPSTKKQNGKK QKHTGDDDSPTKTDDKPKTSPSPAKTKTEDKKKADKQAEKDAKQAEKDKKEAEKKKKK HSGTDDSPSKTDDHPKVTPSPSKKKSSDDSSAKKDDKKSSSKKKHSGDDDAATKTAAD DKKSTSKSKNHAKTEAHKKHVSKHS FPSE_10364 MNPIASILTCLTGKGPSPQSSPPVREMSNEKTLITSQPVPYSDN AADQFVDILSTHEGSKEELHHRLKQVISTNGWTESLAEAVERKIEKLLHDGAELAKPM AEAVKKATEIAWQFAKEHPVYAALIAAGTIIAIAVLVEFDLIWMLRALGFDSVGPRVG SFAARWMSKIGQVPKGSIYSYLQRLGMKIAK FPSE_10812 MPLPTASIYEPPSAGFNGFPSRRSVVHSTEGIVAAPQPHAAKCG LEILRAGGNAADAAVAVAAGLNVTEPVSTGIGGDMFLLYFDAATKQVKSLNGSGRSGA KQSLETIRKALNIPDGKIGEIPTHGVHAATVPGAAAGWVDTVERFGSGKLSLSQILAP AIKLAENGHPVSEIASHSWQAQEKLLRDASPNFAEMLKQDPSAQDGVRAPRPGEVFKN PTLAKTFRALATEGKKGFYTGRIAEEIVRVVQDLGGYLELDDLKHHLETGTQNTDPIS VKFRGQGLASKDPNGGVELWEHPPNGQGIVALMALGIIEQLEKQGKIPTFGPEDHNST VYLHAIIEALRLGFTDASWYVTDPDTTKVPTEGLISPEYLAERAKIFDATKAHDGVQP GNPDFVSPALRSSDTVYFTVCDAAGNAASFINSNYAGFGTAIIPKGCGFTLQNRGANF SLDEKHPNKLEPRKRPYHTIIPSMATNLSDGSLHSAFGVMGGYNQPQGTLQVLLNQVL FGLNPQQALDAPRICIGAGMPDEGNVLDWTVHVEEGISDKTVEELKGLGHNVVVLKDW KRAMFGRGQIIRYTVDPDGTPVWSAGSDPRADGAAYPQ FPSE_10811 MHETIPSTKAATRELPGHDIFCTPENSDFVSQVPYQSLSTTERE IRLLKILPDSGSGSIECELLPTVKLADVQEQYLALSYCAGSARNTRPIKVNGSGCNIF ANLHHALMEARHYWQTHADQQDLLLWVDQICINQFDLAERSHQVGFMRDIYEKARQTL ICLSTPEAHGEDMRWLVMRRLDLELERVSWRHFYGDHHKTELGFEERWAASLGVAKSP WWTRAWVFQEFIVSTQATFLYGRHSMSYLDFAILTAGIPGPETPPPGFIVVILNGHFQ KEKTESTMSKMRGFLIAKLKQSRTTDLKVLLSFTKNCQATDTRDKIYSILGLAEPGYG IVPDYSGNVAINDLVVETTKKIILFEDSLMVLSYLDRDKIFYRYPRGTLPSWAIDWTD SASFGRRSSISVVSDYAFPRFVSYQPDGITPASLDAVFMQLPHPQYPPETQITAIQVW AVFLDDGFYRNGDSSYTFQGSRGFVVRGWDWDGLEVNSDYELWAVRGAVEPLLLCKYS YGYRIVRPVYCGNLAKKLLPPHDQNRASYYDGTFDMSKMEQTRIIIF FPSE_10810 MNQLGCDTCTLNDVKNKLKRKTRGKGVNGFLGTLEHIRTHYKTT DGIAGTQLLQLNNEKDQRGLVEMAHAYLCVFGHGHAHWPQGSKDGTLEYPRDEKQIYF NVLCHFIRKNMTIIHKRIRSKRPRDEDGVLMPRPPKRRRRQTPESESPPLLFDPSPPL SPSPPIPSLYDSSSDESDTLLPSSQDSHSTTISEQEARQDVYQIIPRANESPDELAQD DEQRPAVQPDLQQRYVNRLGDKHGNISRISLGHQPTPRGPRTNLHERNISIGTKTTPS VNRQRDANAPPPSSLDTDSNSQQTQNPVSDKANEAEEPSSQNSIEDVPRVFGALLVHP RMAVPEPEVKIDLVVTYDVIPGLNRLFRYKDYIFKYSLKGFLDAVNWQNEPEVLLVCL QAPGTSPAMPVRTWIEWVFKRDEDKFQLVLRRFKQIYLDLKYHFARVKMDAVIEIAFE NMVEGHTHSHLVDAWCGRAPAGNL FPSE_10809 MFARTVASSLRQAARPMAVCSTASAFRAPIAPLSPFQIRLLSDQ TRSAIDKAVSSAPVVLFMKGTPETPQCGFSRAAIQILGLQGVNPDKFAAFNVLEDPEL REGIKEYSDWPTIPQLYVEKDFIGGTDILVSMHQNGDLAKLFDEKKVILEGEPEQKE FPSE_10808 MRNSTETLELARSDGKRHILLAASGSVATIKLVQIINGLKQQEN ISIRLILTQSASEFLAGQSLEQPTVDQVSRLPHVDAIYTDAHEWAQPWKRNAPILHIE LRRWADVLVIAPLSANTMAKIVNGLCDNLLTSVVRAWDTTGNVDGVKKKILVAPAMNT AMFAHPITATHIKVLEKDWGGENGWFEVLQPISKSLACGDVGNGAMVTWERIVEEIEN KINT FPSE_10807 MASTIRNRTSTFVKREDEYPSTLRARLRDDPPPQRSPSKPAPYD NLYQQVHASLGNYRSYARMGLAIHESATEHLEKAHADVQAEIKSFAEESPKTLAQSRD LYANIEYPLSKTLCDSDDHPRASLEVHLNRLKQDIAAAREQVVRLSAEWEECCRTEEE AWDEFKNGLEHRGRGANGMDQETKAAAEEFKKEARAIVQANCQLLDEVDQDFKSKIQE ETQKIMASLLAGI FPSE_10806 MAPSAVETQDVNLTAAAIHKKEHAQPAATTTQAPLDASKLTYTY TQNPRSVPDEANAHSGDETIATDHMVLAAWKQSTGWAAPELKPYGPLSLMPTASVLHY ATECFEGLKVYRGYDGKLRIFRPDRNAARLRMSSTRISLPSFEPSEIEKLMISLLSVD GTKWLPADRAGSFLYIRPTVIGTAPQLGVSAPKEALLYIIVTFMPRMDAPPGGMRLHT SPDDMVRAWVGGFGYAKVGANYGPSLLATADARTRGFHQILWLYGSQGECTEAGASNF FVVWKRKDGKKEIITAPLDDKLILDGVTRRSCLELIRERLGDEYEITERKYTISEVME ADAEGRLLESFAAGTAYFICPISQIHHRGKDINIPMGAEGEAGEVTNKVKGWLGDIMY GRTEHPWGVVIPEKKQ FPSE_10805 MASIDPTNPSVPVDQDALNLEGEPAPELLEGRIWVDGCFDFFHH GHAGAIVQARQLGNELYAGVHSDEAILANKGPTVMTLAERVAATDACRWVTRSVANAP YVTYLPYITHYGCKYVVHGDDITSDSDGNDCYRFVKEAGRFKVVKRSPGISTTDLVGR MLLCTKTHFIKSLEKKLAGVEGHGTPEERIVEGQEMMERMRLYATDASGKAPGAEVFF WTASQEAKSEDADEERGSFRQLIEGPGPKPGQRVVYVDGGYDLFSSGHIEFLRQVLLA EEELARKEGWFSEQAINERKGKGEDYPPAYVVVGVHEDEVINQWKGINYPIMNIFERG LCVLQCKYINAVIFGAPFSPTKTYLTTLPRGTPDAVYHGPTSFMPLTYDPYTAPKAMG IMRQVGTHAFSHVNAGEIVQRILRSRDMYEARQRAKGVKAGAEAAARDREIMEEEQKQ READRA FPSE_10804 MKFTTISAVLTLAPSVLADWHFAKGKEIKYTSVEGFFKQDDLAT DPTTFDYADWNFGLLNRTYPTDPKNPRKGYKTQWERFEQYVKHLNRNASRDRTRYKVL VMGRHGEGYHNAAEAYYGKAAWNCYWGPLPGNGTSVWEDALLTPAGVAESHKANAYFK SRFEDEGMPFFDSYYASPLARCVQTAHETFTGIKFPKDKPFVPMVKELLREGISIRTC DHRSNKKYISSLTPKIKFEKGFKEHDPFWTGVKGETDEHQLERSKEALDDIFTSDSAA WISISSHSGEITKLLQALNHRPFRLATGQIIPVLVRADVVVEEPTSTFASWTAEATCN APPVTSVWPGGCVCSSTATLASTPAKAT FPSE_10803 SSDSGSSGSGSGSNGSGQGSSSGNGGSNGNDDDETGSGGVQGNG SGSQDDDGEGDADGDSSGNGASSGSGSASTNGKGSQPGANSDGSSDSGDSSGSKGGNG GTLGGGDRPGDEDQSSRKSSGRKSSTSKRSTSTKSPVVVTKPTTYTTTSGSKVIKITS NVVKTLSDSKSSTRKSTTTKRTTSLKGNLEGMGSRSAVGGTSFTRPSNSRSASSKQAS TTNGNGDAGQDPADSTVLANTSPEASPGPSTKSTKTSSSKSTFSGSIDTAVRPGGSGI STTSRKSTASSSQTTEDSSKTSSNVNGGSDKADTSTSKTRSSTSTVGSKSTSSSTKSG RGSSGSVVASSRASSSTSSTASLKGNPEDLGTRTDDTEESTTQRNTRSSSVTTSLTSR SSTTKSSGTTSPNVNTRPGGETAGQGSSTSSRPSSSQTTTKTSTTTSKEVINIDTLIG SQSVAESDRVPQGDEETSTARRTTISSTSSSRDEEQEPATSTGESSPDSDTTSLSDES TATARDGEGDADSSSTKSGLTGEPAGGPGRTTLVDDEDPEPSTVVSNGAPIVAEPSSS ATSDDTDSEESSSVSGDVPSETGEDDDAETSATDTATAQDPDAEETGSETLSGGAQET EEPGSDDEGTSLITPQMPVPTSPLPSTVSEDRSLSDDEDPDAETGDIITDNPTGSATS DPDASNSASNGEASETGDDDAEETSATEANGSAVTSATDGAGDGDGDVVTTTDADDAG EPAETSDTDGDGDGDSATVTVTATATDDSESNTEDGNQATTDVSAGIPADTITEDGTQ TTEEPDGDLSTVTFFRAGRGQFRTTVYIPPQETGDPWTAIIETPVSETQSEGATAHGD DDTLTTAPAITGTANITLFRGGSIRRTFYMSPSASDQPGTVIIETPTGPIPSATAFTT IFSPGTGSATVTIYYPPDDPDEPGTFVIETPVPDTDATGSTDTRSGTTIFTQGTGTVA TTIYLPPDVSGDPGTFIVENPASETDDESSSDDVNEGTDSDATITPAPSEIPSPGAEG NLTLYRSGEESTRQTIFIPPTSSGDRWTVIIETPASETELEETGTAATVPGFQTTIAS VTGTANFTIFTAGTATTRKTSYIAPKTSGEPGTIVIETPVPGTSSSGLTANPEALTTG DVISAESTTTVFVQGTGTRATTYYVPPAVTGKPGTLYIEAPATGSSDESTETDLPPGV LPNVTISSGVPGSVTRTVYVSPTASGEAGTIIIEVPTGGSGDTDDDGDIISAATTVTS FRGGPASVTVTSYIPPTVSGEAGTLIIITPTEGSGANTTGGEDAAETDDPSDPDADSI SATTTTTMYQDGPGSIRSTIYIPPTASDEPGTLIIVTPTEGSASTTGDEDAEETDDPS DPDADSISATTTSTIFRGGPASVTITSYIPPTASDEPGTLIIVTPTEGSEASTTGDED AEETGDSNTNLISATRTTTVFQGGPGSVRSTTYIPPTASDEPGTLIIVTPTEGSASTT GDLNTDLISATTTTTVYQGGPGSVRSTTYIPPTASDEPGTLIIVTPTGGSEASATDDE DAEETGDASQTDSITDIDVIEATATFTSFRGGSDSTTRTTYIPPTASGEAGTLIVVTP TSNPDNVSAGITGTEAGSPTAVITSGGNVTIYSGGPALSTTTRYIPATLSGDQGTVVI ETPTSGPQATGIGNVTIVTGGPATVTQTIYIPAIVTDEPGTIVIETPTSGVDEDIITN STVYSGVSATGTRTVYVSPTASGEPWIIIIETPAVKAPENVTVYTGVSATAATTRFVP GTDSDDIGTIIIETPLDSPTYVTVYTGGPGTATTTIYLTPSASGDSTTMVIQTPTQGD GDAEPSDTGAISVPGNVTVTSGGSGSATSTIYVAPTVSGQGETIIVEYPTGDTGDDDA ITIAPTGSGGNSTVIRGGPASVTTTIYIPPTSSGEGGIIIVETPTEDDATTGVPETGT ITDEPGATGQPGNTTVFSEASGTATETLYYPPDDPSEPGTVVVVTPSRPTATTIYSGG PVTSLRTLYISATVSGELDTVLIETPATGAEAETSEAASGQRTTTIFGGGSGSATRTI VISATVSGEPDTVLIETPTGAEVEATTITRGGPVSVATTVTVPGVSGGPDTVYVETPT VSDDDAASETDDDQVEATTITRGGPASVATTITVPGVSGGPDIVYVETPTTSLDDAAS ETTDSEVEATTITVGGPVSVGTTYTITGASGEPDTVYVETPTMSADDSTGSNGIDATT ITRGGPVSVATTITVPGVSGQPDIVYVETPTTVADSAASGTDDDLEPTTITRGGPVSE ATTITIPGAPGEPDTVYVETPTAPAGGNDATTITTGGPVSKATTITISGVSGEPDTVL VETPTATDGENEATTITRGGPVSVATTITIPGVSGQPDTVLVETPTTEATTITRGGPV SAATTITIPGEPGEPDTVVVETPAESSRSAVEYTTITRGGSVTAATTVTVQGISGGPD TVFIQTPTESPSDTEPEYTTITRGNTVSAATTITIPGVSGQPGTVVVETPAETTRDGE VEYITITRGGSVTAATTVTIPGVSGGPDTVFIQTPTEPAAGSGAIEPSTIVTGGPVSV ATTITIPGVSGAPDTILIETPTGSSGEEPSVTDNGSDGETIATTITRGAAVTAATTLT LTGQSGEPGTVVVETPIDGSEDEETATDTATGVVVSPEGRPNTTVYIGGPVSVPQTRY VSATVSGELDTVIIETPTAGSNEEEVEYTTIFSGGSGTAIRTTTLQPDDPAELGTVIV VTPTGAEETGDGVTSTTTDDTEQVEYTTIFSGGSGTAIRTTTLQPDDPDDLGTVIIVT PTGSGETTTDDAEEVEYTTIFSSGSGTTIRTTTLQPDSPDELDTVIVITPTGSADTTT DDAEEIDYTTIFSGGSGTAIRTTTLQPDDPNELGTVIIVTPTGAGETATDDADEVEYT TIFSGGSGTATRTITLQPDTTGELGTVIVETPTGAEVTATDDADDIEYTTIFSGGPVT AATTRTLQPDTPGELGTVVVETPTASDEADDTEYTTIFAGGPGTAIRTITLEPDSPGD LVTVIVETPTGSDDDAEYTTIFSGGPATATRTITLEPDTPGDLGTVIIETPTATVDEI EYTTIFSGGSATARRTTTLSPDSPGDLGTVIIETPTGSGDEEETTTAASPADDVEYTT IFSGGSVTARRTITLQPGSPGDLGTVVIETPTSAVDEEAQYTTIFVGGPANVPRTTTI PPESSGQLGTVVVETPTGDNAIEYTTIFSGGPVTAPRTTTLAPGSPGDLGTVIVETPT GGNEEEEIEYTTIFSGGSGTASRTITLAPGSPGDLGTVIVETPTNGEGTAEIEYTTVF SGGSVTASRTTTLAPGSPGELGTVIIETPIIREGTAAADDISYTTVFSGGPVTASRTT TLAPGSPGDLGTVIIETPTTTAAGEITYTTIFSGGSVTASRTTTLAPGSPGDLGTVII ETPTATTTSQVSYTTIFSGGPVTASRTTTLAPGAPGDLGTVIIETPTTAAAGQITYTT IFSGGSVTASRTTTLAPGSPGDLGTVIIETPTTAAEIRYTTIFSGGSATASRTTTLAP GAPGDLGTVIIETPTSAVGRNTTIYSGGSGSVATTIYYPPATNDPDKVGTVVIETPTP TPTPAPIVQNVTRTIGGPAGITTTIYYSPAAPNEPGTVLIQTPTTTIEPVIQNVTRTI GGPAGITTTIYYSPAAPNDPGTVIIQTPTTTIEPVIQNVTRTIGGPAGITTTIYYSPA APNEPGTILIQTPTTTLVVQNVTRTTGGSVATTIYLTPGAAGDPGTVIIQTQTPPAVI VPTTTTTIFRAASSTATAGTTFYIAPAASGDPGTVIIETLVPSTTSSSSTSTSIAATG MPTFDCNGYGYIVGGLLTPGLTRVNLVTGDRALINPSVGNGLAIQGIGFNPTDNYIYG FMSRGLILSVLCLLTPCQQMQLIRIAANGNWEALPLYLKTSAISMGDFDNTGKLWVSE SGAKYWAIDLLKGSSTFGKVATEGTSITQGLVTAVGDWSYLPGMGNYLYSVQASVIGN GIVRTNVVRWSIDTKTWFTYRTYPSLLLTALNLLWGATIAAPNNVLFAQENLLGQTWR FDLSPGVTANPVPIPGGALLNLNGDGAKCASGVV FPSE_06003 MRPLFEVLRHLFFASLAGTCHGQFGVQNDRSVLGINNEYSGVCY TYVSVYPVLADDEYITITKPYRGSVTALYTVPPQGDEPGIVIVEQPGGSSAPENVVIP ATDDRSYVIVIRPYKGAKSISAPITQVVPPRDGRPGQIIIETPETAAAALTPGVLFPV TLEPQYATDYVTVLQPVAGTLASPQLITITPTGTNRGSVIVNIPASSFNGGNAQGITL QPDAEGDYVTVLQPAGVSFTGTTPIRITVPPSGDRPGTIIIQTPIPGLLRPASLETLG SNSLSALGLLAGQTITIQPTDATGYVTIIEPAEGSVTPTAPIRTTIPPTGTKPGTVFI QTPPGGNNGQPGGGAITDAAGATIPPTRTRPDGAIPYTTVTRGVSNDFGDSGSGSGNG SADGDGDDDGGDGSEEDDDSGFGGVQGRPGQGQGNNEGPRETLTIPPEGGRPGTVLVL TPDGQSDSQTDDDSDDSPGGTQPTGGSDTGGQAGSSDGDSQSGSGSSDSSGS FPSE_06004 MSQICDSTGGHFVNDISIKYRTDAAYQELVGVYRHNYIIGVYLV LKPETVEGGCLVVYQCLREPKESTSKPIVDGTPTETLRTKATWLEASIVTFHHRSINR NGEYMPIPSKVPNDTNKNCGQYAVVKEGEDCSNLLAASAITMDDFHNGTDPLDHFPST DDIVPIANGTQLDCIEYYWTENTTDNLAADCWALTAIYGIEPEEFILWNPSLTDADKT EEDFDADAPSATGTMTYRNDSSSVSYCVGLLSATTRRSTAGIPHMVSRLANKSEAAAA EKEIETPTPRAAGEIANCTMWFCVRRAARCNDVMATNGLEFGEFYKMNPSVKSDCTGL VLGTYYRRSTYPRGDSVGIPGWDSTTESESESATASASATKTSTSTSSTNSGIPSPLQ TGILKTCNDYHKVIKGDTCYDIAQGAKIELETFYKWNPAVKSDCSDLELDTHERFLNK IEDCWLVLTD FPSE_06005 MGSSTSLASLPNELVGSVCDLLCNRDIKSLRLTCRALGNKSTLR FDRVFISANPRNVDVLLGVANHNVFRHRVKEIIWDDSVLPETPRRNSDEPRAYRADEN ENETNAHAANEDQDDISRGFVHLCKHSMSLTSGRAQDKNKYQGENQVQKQLQSLMPSR DSLVHYQDLLRQQSEVLSSGSDEQAFRHAVQRFPQLTKVTVTPAAHGFLFMPLFQTPM IRALPFGFVYPVIRTWPSGEALGYGGPENRPEGWGNDDERKQWRGFCTVTNILADYAD SLQISELVVDNHTLPTGIDYTLFDKPNTEYDSLCKIVARPDFRRIVLSLTTGCRADFD AEDWNIYRNGRISCLLAKAIGLGEVVLKSDYGLKSWSCPIQDSISLFDLFPIADLSRR HLKHFGLSGMQVAQDDLISFLGKLPPTLKSVNLSFLSLAEGHGNHADMLANIRDKLSW RNRPASQRIKVSISMKLNQHHKGRYVCLDKEVQEYLYGDGPPPFIAQEGRTASLFSYG TGIVHDEFDPSFVVPYETNRGRLGRRVGHFINAA FPSE_06006 MPKRTSSTKTRPAPVRRPSATSHGPPPPSNPGPLRPPLNPTTSG QRTRSHETESRRPRYPPLSGSPRHSYYDRPAPPKRRDSGWRSVSPRSRAPPPPPPPER ILRRRVTRDSDWMSEDESRPTSRRRSPSPNRRPRQGSRPQGHETPPWPSSPKPTNARP AMRSYPTDSSRPDPRRLHSYDAQPSPKHPPGAGKRSPPSGYRPAPHLAAEMLRGRTRS RPNSPLINQETNRPPNPERRPSHNSGSQRRSPRTQPAKPAKQNEPAQAAKPDAQKKGF DFMKHLPQAFATYAGIEALSEHADTAKDWADWFMNLRKTPEEIHELSVKATTARDTIT QIQNTLEARPDIIDGDDARPMRRQIDEAIRNATVALDKMTKLLQEISSDGLEGTKFAS LEEFYNSYKYKDEWEEKIKSADADLEKELAALSKLMVNIYSRALMKPPPPGFENPVPP PAPGQTSNSTDARRPSAAGRGSRVASPILEPPPVGQHRRSIEVDDDEPKPTESTNGVK NGVVPDIKVKDESEVLKDGGEEAKTADGGKTEVEEPADVTSTEPKGVKPKADDGPLKP DNDPDDILPAPAKDPELSKGQDLPKKEEPTGVKPAQPTPVVVQPSKPPSPTKTPEDTL LDAAWNGDIQACSEALRHTSPSIHDSRGLTPLHLAAERDHLAITMLLLDSGASASSRT KDGRTPLHLASRYGSSAIVEYLLDDAHADPNAKTVDGRTPLHYAASAAADGDEEKRES VRLLRDYKADPTVKDAKGRTARDVAQKRDYWDVSATLRRAEKKWEEEHHQNWFQRHGL KR FPSE_06007 MASNPSNVTEFSYVTLKQGINVFDDSPEAKTYQDIIDTVLRQPG ARKVYTSLEIENPSRLWLFMDWDKLEDHKNYPKTPEHARVIESLKPLADLEKSMNRHV VVNPFPPEDVLDKASSPVTEVLVAFFPSDYSPSARAAATHRLDKFTAQALKTSPDWRG ISYGWSVENDVPIRGDESNSGVMLTAFIGWPSVEAHMKFRETEPFKENVGLVTGIEGM IKLDLFHVSCVTHEAEGLEERDAKNGHGHEHACGSGGCC FPSE_06008 MSPQSQSQSQSHYQTILPAPDASGGPGGQNPDKRVGKRRRANRP NACENCRVKKARCDGKRPSCSKCERWGTTCVYSVDHVSNVERELKEHRDVLELLLSLP EDQALAAHRQLRGASNLADVLSSLQGSMHGRHRPSIIQTAQAISPPTSSSLEFELTVR HRMAYPTLFPLDLASLSADPRLRPVQRSSGQGLLLEGSSPSDTTTSPSTSSSNSHATP SNSHATPSTQSFEQEEESVGPHRDTYCDDRLHRLRIGYWTGVSIQDQVAASAISYYLQ SNHTIFGFFDPNLFIHDLVEHRHDYCSSFLVNALLAHACQGYSVVDETVGALSQDFVR DAGVLWRAERSTDSLVNVAAILMLSVSCHLQRSDFDSQDLLDDGRVMAERMKLFGVRH TPENAASFEAMEPDAKRATAHTAWGAYSYLTLNSFWVPTRPITFPPMFPVPGRNDQDM LDERLAVHWPRHFIPDYTGKTFQALCELWTIMQEVVAVYFAQHGGTMRIPLAFVEAKY QKILSFTDSLPPEMSSIDPRHMPDHVLAFNILVHVAIINIFHPFIMDPNNEKLDPASL ESPKAVLRASINQLQRLILISRLHHPHAHSLSVLSSAVVHVSNTIIRDAALQNQTIQP DFIITDQDEQNNTDRNANWYFYFLVCLAACQDLGACFPVFEPVGKGLLAMALRDGSMS AAEANRLMRALEGQRPKPEKKEETFGSFVLDFDLEVNKQGGGQVKDLAAQFEEFSMHS EFTEGGDFVID FPSE_06009 MAPKTPNSNGTPIFSTSIFSSNNSSSRPTTREGSLDPLNTNILP KDRKASFSRKASLGSRRGSSLGTNAYVTDAAAPPALPDYALSAAAKVAPRPSDSEATA TPIDLQNQMLSRSATGSTTLYGGVPSTPLGFMPNGAVGTSGMWQQNEASIMYHHITEL ANKRIATLEYLRKAHEGRIYWFKTYLFDRTDLGRMPSLDARKLGRKATNHLLLGLSLP TIVDLYSSTSIEFLRSLNSLLSEFDSFQQLHGESSTAASLTRARLPSMFRRPGGKSRR STSAADMHPLVDEMAPMPSAGGPAPSVMNFAASETDLLPGEEYTYLLTPSLPFDPDYF ETFATLCDVLIDCYTRFLALVPSPKDCSAPVAELFTKADSRVRKIIVQGLVRDFEEQS RSHVKTEVATIGKVVLGGLM FPSE_06010 MADTSDSVSATLRDKEAQDEKTRDDAASTQSQTNDEQDQCCDTI EEPPDGGLQAWLQVVTGHLVMFNSWGYFISFGIFQPHYESEFSLPPSTVSWIGSLQVC LIFFIGTFSGRAFDAGYYRTALVVGLFLQILGIFMTSIASAYWQVLLAQGICQGLGNG VLFAPTIANMSTYFTRKKTIAISAAACGAATGGMVFPLIAQQLLPKIGFRWTVRVMGL VVIVVSSIVLLLARTRLKARKAGPLVEWAAFKEPSYVLFAVAMFFTLWPTWISYNYAR QYATDKLGGSASDSFLMLIAINAVGIPGRMISAFLADRLFGAINVFIPTIFSAALCLY MWSQVTTLTGGFVWVNASPLLAPALLQRAQSLTTEHDALQKNLNSSFDSTTAKRVGEL SRVAEALKALEKSQSSVKELTQMLDDASLDQDLAAIARDELSSETGQFEALARKLSAS LTPRHPFADFPCMLEFRPGPGGLEGRYFMDTLFKMYKGLCMRRGYRHTVVKYEFADGA GDSSSSAGENPLQEAILEVHDQGAFDIFRGEAGMHRVQRIPSTETKGRVHTSAVAVWV LPSFPENSATSIDFEDPESDFYVNPQELKIETMRARGAGGQHVNKTESAIRMTHLPTG TTVSMQDHRSQQRNREEAWKLMRSRIADQRREQREQEASQLRNSVLSKTQITRGDKIR TYNYNQDRCTDHRAGIDVHGLPNVLEGGEKLDKIMDGAKDWLVSKDIEVLVAEEDAKE KDKTKGKK FPSE_06011 MAAPEANMSSTRSEQSSRSHDTIVGNEQPHSEKPAGSSPGDQMS STDDEDEGPQTEEMIRRHSIVRDLARNYTNTSHHFSGSSADLFNAADANSPLNPSSEN FNARAWARAMAKTMGENGSGYRQSGLCFQDMNVFGYGAETDYQKDVGNVWLGLPNMVQ QMITPNAGKRRIDILRGFDGVVNAGEMLVVLGPPGSGCSTFLKSISGETNGIYVDDST YFNYNGITADEMHKHHAGETIYTAEVDIHFPMLSVGDTLTFAARARCPQNLPAGINHN LYSQHMRDVVMAMYGISHTVNTQVGDNYIRGVSGGERKRVTIAEATLSNAPFQCWDNS TRGLDSANAIEFCKTLRLQSELFGQTCAVSIYQAPQTAYDLFDKALVIYEGRQIFFGP ADEAKAYFINLGFECPDRQTTPDFLTSMTAPSERVVRPGFENKVPRTPDEFHTCWKQS QQYQIVRAEIESYKSLYPINGSSADAFRENKHSAQAKGQRLKSPFTLSYMQQVQLCLW RGFRRLIGSPGVTIFQLLANTVVAFIASSLFYNMKPETGDFFKRGATLFLAVLSNAFA SALEILTQYSQRPIVEKHARYAFYHASAESFASILVDMPYKILNSILFNVTLYFMTNL NRDAGAFFFFLFVSFIMVLAMSGIFRSIASLSRTLSQAMVPASLLILALVIFAGFVVP VDYMLGWCRWINYLNPVAYAFESLMVNEFSGRNFTCTAFVPSPQIPGYENVGALNRAC STVGAIPGQSYVNGDAYINLEYKYFHAHKWRNVGILIAMMIFNHVVYVVATEYISAQK SKGEVLVFRRGNMPSRAKSDPEAASSRPIPTTEKNNNEVANIQGSTSVFHWNDVCYDI KIKGEPRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDCLADRISMGVITGEMLVDGK IRDDSFQRRTGYVQQQDLHLETSTVREALTFSALLRQPASTPREEKIAYVDEVIKLLD MQEYADAVVGVLGEGLNVEQRKRLTIGVELAAKPPLLLFVDEPTSGLDSQTSWAILDL LEKLSKAGQSILCTIHQPSAMLFQRFDRLLFLAKGGRTIYFGDIGKNSETLTNYFVKH GSEECPNGENPAEWMLEVIGAAPGSHTDIDWHQTWRDSSEYQAVQTELQRLKAEGSAN GDANKGDPESYREFAAPFGQQLLIATQRVFQQYWRTPSYIYSKAALCIQVGLFIGLVF LNAPLSIRGLQNQMFAIFQMFTVFGQLVQMQMPHFVTQRSLYEVRERPSKTYSWKVFM LSQIMAEIPWNSLMSVFLFVCIYYPVGFQKNAEFAGQTAERGGLMWLLIWQFLIFTCT FAHAAIAITDTAEAGGNLANVVFMMSLFFCGVLAAPDKMPGFWIWMYRVSPFTYLVSA ILSTGIANAEVTCAARELTTFDPPNGTTCGAYLDEYIKAAGGYLTNPDSTSACKFCTI KSTNVFLKGLSASYDNRWRDFGIGMVYIVVNIVGALFLYWLVRMPKNKNKNKKKTA FPSE_06012 MASDQQRPPRILACVLCQQRKKKCDRKSPCSFCVKAGIQCIPST PAPKRSRRKPTKELLARLERCEELLKRCTCVQKSLMYSSYEHRDMASPTYTSTDGSNG SASPEREKIGA FPSE_06013 MTFDAEKIGAADFKSLGPLVAELDKHLTLRTYLNGYTLSEEDGK IWTALRTNKVAIGLVRKGAYTNITRWFKLIEEAHPEFNEKLNAGKEKRSGGANYNIGL SNTENGVVTRFPPEPSGYLHIGHAKAALLNDYFAKTSPDGNGKLIVRFDDTNPAKEKQ EFEDAILHDLELMDIKYHKVTHSSDYFQEIYDLTEKMIQDGNAYADDTEPETQSADRK NRLASKRRDRPAEESLAMFREMKAGTDLGRKHCIRARIAFDSSNGSMRDPVIFRFPNW KGEEPAPHHRTGWTWNIYPTYDLVVPILDSIEGVTHALRTTEYADRNEQYHWFLKALN LRQVHLWDFARINFIQTFLSKRKLTKVVDTGRVSGWDDPRMPTVRGIIRRGLTVPALR EFMLKQGPSRNVVTMDWTTIWAINKRMLDPVVPRHMAIEEKDAVTVTITGGPEKSYKE DRPKHVKNPDVGTKQVTFGPKLLLDQVDVASFDDNEEITLMSWGNAIVRGINKTASPI NELNLELHLAGDFKTTSKKVHWLAADPENLVKAELWEFGSLITKDTLEKDDNLDDFLN DNSATLTNALVDASIAELKENDFLQLERKGYYRVDKALGQGPDGRAVLFKVPTGGQKG FPSE_06014 MKFSAAVFTFIAAAGVSAAPAEEKAVNMMAAAPQWTIRDAKRYC RSDDSICNWKFGIDTGNGKPYECRHDVKGPGASKKRSAGPTTCGDFTVTSGWSDVFGA DNGFTTLSVVSNSKRQIIWPAYTDKQLAGAKIVKPDQSYAPASLPK FPSE_06015 MLFSSKGFIAQATILGSLLAQSVEAQYYKIGTKDEIKQSARGLA YDLMLQYNGNTTGMIPGILPGPPTENKGDYYWWEGGAMMGTYIDYWKLTGDSSYNKVV MEGMLHQTGEGRDYMPSNHSASLGNDDQGFWGMSAMLAAENKFPNPPEDQAQWLALAQ AVWTTQANPERHDDKCNGGMRWQIPFTNSGYDYKNTIANGCFFNLGARLARYTGNATY AKHAEETWEWLWGVNYIDHERWLVYDGGHVGKNCTDINKATFSYNAAILIQGAAFMYN FTNGSTVWEDRVTKLLDATLKNFFPKNIMWEVPCEGRKGACSTDMLSFKGYVHRWLAV TTQVAPFTAKKILPILKTSTEAAVKQCTGGDSGRACGFYWSGGTFVDVAVDGTSGAGE QMNVLAAVSSLLIEDAEPPATNETGGISKGDPNAGKDSHDNPEPTPITTADRAGAGIL TFLVLAGGLGTFVWMCAFD FPSE_06016 MAARISDMIDFVWKSPKPPAGTKKRFLDRTLPENFKYFRNWGFT IYRTYYGPESDEHWNTLLQVLTQQTRLALGYHARDEVREDDKRWGFRPHNDVDAYLDK IEIMKKLFRLFPREDPDLLAGLDIAGIRKLCLEEGEQAESEKNMVGTCLNFVLVADEG VLKGIANNNFVVKAVGYDWSPIQHAGSWGWIRLATGDLLQLWEMLYIAYELNISKYFE LKFRGPEEDLEKHVWFGAGSLPPLGDCSRVQIACEDGKSGRFKFDP FPSE_06017 MATHSPSLLICGSVISDPDHAYLSRIRSSIIHNPHLAELRDAVI ELPELWSLLVEREKSLQRVDAAPALRNLVEWIECGNSSLPLEGGTSRNTQLAVLTVLA HFSEYMIYLSSHDMSEENLDAHTSVLEGVRDGGIQGLCVGLLSAIALSCSPTITDVAK YGAVAVRLALCVGALVDLDETELSEPTACIFARWPQSGGDDREELLKTVLKNYPQSYV GVRLDVCSVNITAPKGVAMSLMRSLEETGAVAKQINLQGRYHHPGHEAMFQKLTDLCA SLPMLQFPQHSHPLVPLRWNDSGEVVTDQTPLHEVALQCILVKRADWYTTITKSVTDM AQRTVASSADSKARVLALGPVDCIPRSILFITPLQVVRPMANAAFYHGYPDDSIAIIG VSCRFPGSETLPQFWEEIRAKGVNSGLEAAGSFDCSFFRKPPREAEHMDPQHRLGLHL AYEALQSGGYFSPSSSATDNVGCYIGMSSCDYEENVNSHPPTAYSFTGTARAFSSGRI SHFFGFTGPSMVIDTACSSSGVAIHTACKAIQSGECSMALAGGINLMVPEARSHDNLA AASFLSPTGQCRPFDARADGYRRSEGGGFVLLKRLSAAVADNDCILGVLAASAVNNSK GSRSITLPSIESQSHLYRRVLQAAGLHPHQVSYVEAHGTGTQKGDPIEWQSIQNVFGG RDRSGLPPLRLGSVKGNIGHCEAASGVAALVKVMLMLQNRQIPPQANFSVLNPALPSL EEANMDIPVCLEPWEAPFRAAMVNNYGASGTNAAMLVCQPPLASPERIMSAAGQPHQC PILIASHSESSIRQYCRTLLSFVETQRCVLGDSLLPSIAFHLGQRQNESCRHRVAFSA TSADELKVQLHSRAHNNDDDSNASKPQGHPKPVVLVFAGQTGRQALLSREAYLSSSLL QHHLDRCDRILQTMGLRSLFPRIFETEPVDDLVDLHCMHFSLQYSVASSWIDSGLEIK AMVGHSLGQLTALCVSGVLSLRDTLKMISGRASLIQNRWGSERGCMLSVEADAPTVEA IAQSMPGAGTIEIACYNAALHQVIVGTEAAIDAFEEVARSRNVSVKRLLVSRGFHSEM MDCIIPEYQQLIQQLTLRPSVIPFEPCCKLGDNWDNITPELIARQSREPVYFSDAIRR VEKRLGPCIWLEAGSGSAGVTMARRALTNPGTPSFPSHSFHSILLQGQNPIKSLADTT INLWNEGVRVQFWLYHASERRRFVPLELPPSPFEKSEHWLPVLQKHKDSELANQSQDP KQEAPELVSLAGPTDGETVEFFINQHSDDYSTFVRGRTVFGQLLAPSSVYIESVTRAF TLLPMYLSAPSSSPPSVEVKQVRMHAPFGLDLQKRLRLTLRKETMSSWHFVVESHSID DGDNKARKIQASGTINWQGQGCAYLEPSRPLLRRLYDRCDELREDRSASTVQGLFVKN ILARVATYDNRYLGIQSITSKGLEAVADVAMPAIMSQACAGTVFSPPIFDNFLLIAEL HASSLEDLAEDVYICNGFDAVIPHVHPGDMARKFEGPWMVLSYLNRENDKTVSCDIFV TSADREILLLEIIGASLKRIPIRSLQKALESINGIQQIQGPTARGTAPTVVIDSDSDL SDSEANSPRAGSDLHADFPDLHPTYVPRVSRVTSSDYPMDSSSFSSARPPSSASSVLS DHDQESAALLSLLSEHLNCSQGIPPDTRLGEIGLDSLVAIQLKSDVEKAFGKRLSLDT IDENLTFSDLYRMVLNHDLPNDRGSTVLSDKAPKSKSDSSLHGQSYPVTPIRETTASF QGSTPFTTQARLEFARIKQETSSFAQMTGFAGFYTDVYPKQTSLVLAYILEAFSTLGC DLSALQAGDPLPPLRYTSKYQQLVSRFHKILEGAGLISVCEGQSVRFRTAEPLPQFGS SADTYRELLNECPKYRPDHQLLNVTGSRLSDCLSGRADPLQLLFRDAASVKLLEDVYV SSPMFATGNKMLGEFLHRVLSRLGGTKRLRVLEVGAGTGATTRNAMDQLLASNVDFTY TFTDVSMALVTSAKKKFGALYNSQRRQSNMEFTVLDIEKPPPANMLESYDLVISSNCI HATRNLGQACANIERLLRRDGGMLCLLELTRPLGWLDCVFGLLDGWWRFDDDRTYALA DEHKWKSTLLDAGFIHVDWTDDGYRESEQFRLITAWR FPSE_06018 MSGSTLDKGKVTLTGAEETLLITLFARAKDAESPNPVLNDQYSA QVVSRIRDQGYNFSRTTLDRSDSSFFTSLVATRARVLDICCEQFLERNPGPATIIHLA CGMDSRSLRLKWQGEGRLWIDADMQDVIKLRRQIMDDPAPGRGEYRLTDPDIHDDAWL RDYNIPTDRPVLVLFEGLTPYLTRDEVAGLLRRIINHFRDSGVNGEIRFDAPGSISYF LINYVFNKPLRSMGTQFTWYMDDPRELETHVPGLKYKERMFVLHDYARLGNYGWLASF LLRVADWFNIGGRIGSGYGYEF FPSE_06019 SRQVIKKWWLRKRHLEKSITLCKTNTQADIQSPSRSGHTSRQWW LLHGAKALYPSTTDYMELFAPPTCKRDMASSRPAISAFASFLLGITDAQFTPIHQLMV VVELHRARSWLSDPLVFTQAAVIGSIVFVFLLGLYNYFLHPIAHIKGPFLAAVTPISL IRSVAGHRLNNDIKALHHKYGHVVRIAPNELSFSSERALRDIHNPGPDSHHFTKRGTS EDLILRFVFGAKNILLVDEGEDHKRLRGALQPAFTAKAMRDQQDITHYHVQKTVERLL EAAMDPSQTISLTKELNKLVWGNVGNLAFGEPATLEQLEHHEKAKDLHAQIAPILEFF QYLNGNPILGLAARGLVGISRKVFGLSGNILGKDQLRRHIASQQGQKNFLTAILGAKE SSGLSFDEIHSNMLLLLMGGYDSSAASLSAIFYYLLREPQQYKRLQSELHHAYSSVND ITCNSLLSQPMLNACINESLRLVPPFNGHGSHRITTSGTMIDGVWVPAGTLISADFYS LHRDPSCWAFPDEYRPERWLKEHQGPGTPFEKDVRTAWRPFSLGPRVCVGREMALQSI RLAVAKIVYTFDMTLANRDFVWDRDAGSHYMWHDFDIAVTVAKA FPSE_10841 MAQSVPPASSPEQLTVGQVGTSVNSVPYARHEEIIRAPLTYLLD LPGKDVRSKMIAAFNKWLQIPEDKLNVIKRIIMLLHNASLLLDDIQDSSKLRRGLPVS HSIFGIAQTINAANYAFFLAQQELPKLGDARAFEIFTEELLHLHRGQGMDIYWRDASI CPTEEEYFTMVSNKTGGLFRLAVKLMQLASESDKDYVPLVNVLGVIFQIRDDYLNLQS GNYAKNKGFGEDLTEGKFSFPIIHSIRSNPANIQLSSILKQRTTDIDVKLFAVGYIES TGSFEHCRRKLVELTAEARAIMENMADGRSEDLEVMNQLLGMIGLEGFD FPSE_10840 MSPLWVRRLCIRVVDSLYGSFLYLPLAILFLKRSVTGFGTGEWD ESQIPKLDGKVAVVTGGNAGIGYYTVKHLASRGAKVYLGARSESRAKAAIKRLLEENP LIPQENVVWLRLDLANQSQVVDAALELQSKEQRLDILVNNAGIDPYDYTRTADGFEMT MAVNHIGHWTLTYGLLPLLKAAAAQERSDVRVITVSSSGEAYSSPTNQFTSPKDLDDP CASPGWENSCLGQAMRYGTTKLANVLFASELQRRMDEEDANIISLSLNPGTVRTDGAA NVMPFMVRPLVRFLFTAPERGADTSLFAATAKEIKENSEQWKGRYLDGPGRIKVPSLR ARDAVAGRNLWNITEAAVRGTGALDRL FPSE_10839 MAARSKTKFLGELLILSRFHKYNPVFTTFAGDRTISTAFVFQQT ALCVLAAYLFCGAGMVWNDWIDRDIDAKVARTKHRPLAMGSVTTTEAMVWMTLQVIMS WGVLRVMLDNKDVLKHLIPVMVASVLYPFGKRYLARKLMIYPQYILAFTIAWPAIPGR AAICGRHESFTETTRQCLPLCIMVFFWTIYLNTAYSYQDVVDDRKLKVNSFYNIAGNH IHVLLVLLVSPIILAQFDPKQPASGGTLHKSNFILGVWTILACAAELVYIWR FPSE_10838 MSVLQTELPSLSGKTCLITGGAGGLGKAIAIAFLKAGSNVVICD INEERVKQTSAELEGMGSLLTKTVDITKLSQVQQLFDDISSKFVKLDILINNAAIMDR FEPVGDVDPELWDRVLAVNLTAPLLLSKLAVQGMLLQENTNGSIINIASGSAKAGWLA GKHLITMQTLKVSNRQLEQGRHTRQASTA FPSE_10837 MEVADPSRAPPEYKDVAWIADTCKLLMGIGWTTNYVGMIYKSLK DETYAMALMALCCNFAWELTYALIYPFGSDLEIEWGHSPLVLRNLPLIFIICVSGFMS GHVALAAQVGPSLAQAWSAYGCQLLLSVGGLCQLLCRGHSRGASYFLWFSRFFGSLVL VPQDILRYKYWRADHEYMGSPLYIWFVCIFLLLDGSYGICLCCTGSVV FPSE_10836 MSQKPFRVIIVGGSVTGLTLAHSLHRIGVDYIILEKRAKVVLQE GASIGILPNGARVLDQLGLYDTIEQSAAPPESSHIHFPDGFHFISPYPKRMLESFGYP IAFLERRRLLEILYNTLPDKTRLKVNKTVSDIEQYPEGGKCNARVRTLDGDVYEGDLV VGADGVHSRTRREMWRLSGSSSTGDVPVSERNTDSLFQGLSGLSVGQQVMRIYNGRTL LVVPSKDELVFWFLSQKLDRKYEYSNAPRFTLEDAAAQCLQVADAPIADGIQFQDIWK MRQAFNMVSLEENLFKTWSFGPIVCIGDSMHKMTINFGQGANCAIEDVAVLSNLIHAL LLENKGMKPTSRDIEVLLRRFNRIHLSRVSHIFNMSWLVARVHAQDGWLRKIIGR FPSE_10835 MTQQAPTMQIDNLLAQVKALGSSLNAADRAKVQKSLLDALSHVE TPYEHMLRLSGSHLQLACIRFGADIGLFKALVESQEPLTCSHLGEKLDANANLLGRVL RLLASAGLVKQTGLDTFTGEKITQDLAAQAMESGAHLLFDIHNRTYQALPDYLCENKS KDVDNMHDGIFQKAFGTDLSCYEYLVHNPKLQGFMQDAMKLNQAEGDWLSVLPMEDEI KRWQASDPDRVLFVDIGGGMGHQCIRLRGKYPDIPGRVVLQDMPITVERIPKPMPHGI EAMPYNFEEPQPIKNAKFYYTRNVLHGLTDSASIAALKNVAAAMGTESLLVIDDLIIP DEGACTQACQLDFIMMASIAGKKRTRDQWYKLLEAAGFEISQILTYSWPLQDSIIIAT PFKRDVTK FPSE_10834 MASEPENGKGNDPCETTPLMDSHQHSIPLMLPDTRESIPYNDYH TIDWLQDLIKDNQSHDLSTLHTRRGIRHRVSEIWDASQGWVAAFAIGLLTACVAAVVD ISVETAADWKDGYCTRNVFLNRRSCCSFEESCQAWKPWTESYISAYAVYVTMSLAFGI IAGSVTMTTKSKLKSVAPEDEQSKRNAKHAPESGGKTMYMAAGSGIPEIKTVLSGFSI PHLFDLKVLVVKAVGATFAVATGMCLGKEGPFVHISACVGYLVTICFPKYANNQRKLR EMLSVACSAGLSVAFGAPIGGVLFSYEEISTHFPRRVLWRSCLCSVVAAAALKELNPT GTGKLVLFETNYGINYDALHYLVFVVLGICGGVFGGVFCRANFLWAKSFRKISIIKNW PVFELALVTLVTAVLQFPNVLIRETGDIVMQRLLVDCNDIQEDWICQQEAKLSGKGTY YAWLISGTFVKLLLTTITFGCKVPSGIIIPAMDAGALFGRTIGQLVPDISPGVFAMVG SAAFLAGVSRMTVSLAVIMFELTGEVNFIPPFMIAILTAKWVADAISADGVYDLAQHL QGHPFLDAEAAIKKVREFRDDNGSATVDVLLPAKDTVDDAIVSVGPNHQVATSVLRNK LSKIQNQGCTDSGLLFVHESGICRGYVSQYKLDNVLQSMEKRDGIPEPSEVHVLQGSL AQAIDRSPITISSKAPLEYAVELFGKLGVSYLVVTQEDTATVLGIVSTKHLLAFLDRF G FPSE_10833 MGYHTVNFVPTLRHATYPAIDETNPELSADGKVVFITGGGSGIG RQIAKSFLTAGAKGIFLAGRTESTLHETVSELKSLSASTDNKTTFHYATADVTDADTV EAAFKQATQVFGHVDILIQNSGYLDDHRSVSDSDLDDYWKTFEVNVKGGLIVVKEFLK QSRAGDTIINMSSGAGHLPYLPGYSAYSGSKLAFAKIMEYVQHEHPDLRVFSIQPGAV ETAMQAKSGIPAVDDISLPASYCVWLAGSRDADNLKGRFLWTNWDVDELKDRIDEIKE KNLLIHGLNGW FPSE_10832 MAPSEFSMRLQRGITGGFAPPTPDLIIMIDASNNNLRIAIAKRE DGTPNLAPPASNTVAIADHEAVIDELHGILKEVPTEQPPGSEDIYGLDTSIAWQSDDL EWWNGGPQGCSGGQSFVQAQDQDKKKFKRAVEIIKEIAGEK FPSE_10831 MTGSTSRIIGWILISTLAMGSRAADDGDDFSNNLFSDLAPLLAL FGERVTMQFMSQSMGWADNIILAMAPIGIITAIVASIRVGGPSWLKAVIGRARENLAV SEVELMSSTSKEVCEVWNGQEVVRCMGLAPVVEFICLLQFADAGQSDNQPVPTIEVLE LEEAITEGYVKDLNGGEIPKTTSTSEGDEEQGTPTPNRCPTTDPHSTSTKKTRLQKIC IIRDTSGDSPNIILNCHPSANKWELWLFAAFGTILQLGVLAYSGFATYHPALKFRKDD KPIESYAYPCTTIGTLLLVVGMLLCGHVVESSTDERRYGAVAGWKARMVWLQQTKTVS DQVFNSYMVYAKNDQQTITTSRRSNRHGKDRSTTTHTSSTAPSDNDPSTFLNVVTITG TGITLCGFVLQFVGLRGMHWSASIAQLGAVLTMVCVKAWVRRGLATSPECEPLPSGFE LDLFTRTLGGIMTEAWSGGQGNDNGSVNTQLPGGAKTPRNEWRIVTGGSSSLNFSESS QVSTPGPSDGSVFDAQALLDARKRLAQLAGWRGPASAEAVSLTRAIECTLDAMSICIP ENPQNLTWDLETRYSGLKEQTISIPLSRHVAGWKVDAYLIEAILSLWLSSVKEEESPQ DEGTLSNTPNGIKQQKPKGQDDWLRSKGTIAKKSLRLLGRHTPSLVRDLLWWVPRDLL NVFQIQKNQVESLRMALEMGNHRVVGYNWQEDDSEKHPHQLHYLDPESSGFDDGGDED DISETSSDDDEEIPVNDNNGKHEMNIADDESGERSEDYDISEGEAESQSDSSEIGTKI VDILGNESYQSLKALYAMDLFSSFTRAMAKTMSAPIPGSAEEIQSKSDAEDASWKIFT LRNNHLSKMIQNIHNTGLGSLDQIYLSIVTPLSREKRLPKVDAVVNLALQRSRRNERS KKWKEAGNNLIWLFRLANGTFSSRGNIVLKATANLVEYQRLIHLVHRITMERNFENYY NIDIRHLETLLRRVRRELESADPGLIRFLRRKQGHVDIYSSRFFDDYGNTPALRLLKK KKAKDARRDPRDILERAPIHYSVMSKSRAAISMLNGSHHDINSRDLFGLTALHYICLT TKPWAVIQYLIDRGAELNISARDGATPLHYAAMRGNVDKARLLIEAGATVDIWNLAGR SPLHMTAVHGHVTMVEYLWDKARPDLRDRWGWTVLHLAAMYGSDSVVKLLIKLRVDKE AKDRRGRTALHLASMTGKETVVTILINEGVDMNAVDNIKDNTFLLAVKTGNIEVVKLL INRMMDQQSTEMHDAKPLALAVQHGQKDVARLLMENGVNYDKKDLRNQNLLHYASMGP NNADMIEELLHLGFDIHSKSDGDVTPLHLAVERSRIYNVKCLLERGANVNQPDGRGRT PLWIATHDKKVHLVQLLLDYGAFTDISYTDPRPHTLLSMAEEKGNEEIINLIRRYQNT SREIRKTLLMWRNPPLHLG FPSE_10830 MYSWIPLLATFAPQALASCAYGTHLHRRADAIEAPKFGYSAANG PANWYNLDPEANKLCATGTHQSPINLSNGTHKVLSGSDLQIEIPDFRDGAEFENLGST VEVVTEGMGGKITLDNTVYHLKQFHFHLPSEHLDNGTSMAMEMHMVHQSEDKKLAVIG VYIDLDDGLPNAVHKAKAAAREATGKNSKTTQAQRSTVATTVLNSVDKIATLGQTTHT KPLKMSEIVSLLEAGEFQSYSGSLTTPPCSEGVTWLVSTQKVSIPTKTYLKARSVIGY NARFPQNSPGEENVLSMLADEVDDDE FPSE_10829 MTKITAAIAHRKGKLEGIVTKALQAFVINGLASIHGSPEAKMTP TTYMELYTAISELINREEYTDTNTRGNLLYKAVSNFLAEYLQSVAQKLESQDDSALLS AYFAEWDRYTASATKVDHLLRHLNEHHIQGKAEKGKKGIYPIRLLHFVHWRSQVWQKI ASRINGCAEQALRTNTEYANIIFDMYNKWAEAHPTCKDGHLLQLSKSLEAPFVDEVRE HEIKVAKIAFEIARARAERAEMIAQDAGKELRMLEEGHDSMEILLHGEPIGVMYKSEE DVDDIMQSPSYATLGGETVSYVDLDVGRYFGQLS FPSE_10828 MTESSQTWVVVGASRGIGLEFAKQLLEVGQRVIATVRDPNNAEQ LSSVIQAQKNTVNCTIEQCDVTSSESIDNFAAKMSALVKSGTKLDNVIINAGVLKYPN RATEITFQDLSYHLTTNTIGPIICAQKLINLNPESPPSKVIFISSDSGSASNFLGHED GYAAYAASKSALNQALRHMAQELKRRGGKWSETCILALHPGEVNTAMNAIDIPWDCGP LLEADESVRGMLKVVEEKKGEDSGTFWCWDGRNYPW FPSE_10827 MEAINHALHLSDQFVISCGTVTLDVNRSKVLLIRWRKTNEIFLP KGRKDVNESLTDAAIRETFEETGVQPRILPARINTLATSQESTSGLFTEPIAVSHRMR EGILKIIFWYIAESDSTQTPAKWTGQEDEDFDTIWEDYGNINSTITFAEDQRIVWAAI DTVHRRGTQAV FPSE_10826 MAPLYKKTLVLGASSGIGEALAAKLIATGTKVIVVGRRRDNLES FVSKHGHENARAVVFDVTNLSSIKEFAESIIESDPDLDSVVLNSGIQRGFDFCNPESM DLSVLGDELTTNYTSAVYLTAAFIPHLKKQASGHLIYVSATLGLIPSMVRTPNYNASK SALHTFILNVRQQLVDGGAGHVRMVEVFPPAVQTELHDEKHQPDLVNGGQIGMPLGEF VDRMYEGLEKGDDQFAIGPGEPLLAEDGWETQRTKLYEKGRGGIQKSLASYLKK FPSE_10825 MLMLLPPEVLESICEKLNGCDLKHLSLTSRWVHKATFRQLWRSV TILPRSPRERHCITPFGPPQQCLQSTRELRFEADAMHCVHAHDCLGYMGKWLDKDPLM HDTWEERSDSFGYERGRLVRVKYECLAQRTMAMLRRFDDGELEAFDWSYTTCIPSEVL EYLSIKHPSIQSLSFTTDPFCSRFNRWSRTTDLNLSAFHNIRRFSWKAPMGCHFATIS NLVQTNAEHLEELEVNLQSWSRQWEDRETRVVWRTDSDEWDKVPASTMLAREMFGLDF DAATRTCFPKMRSLKLTRVPLRDEAANKTVTAASINLAGLTSLKLIMCLYWTLFLQDM AQSPTPIQVKSLEILDFYLQTPNVTSTKKVTAITDFLDSFNGLEELFISHCGPTPVLE FWKHLERHAPTLKAFVHHQRITDLDEELLLSRNGKDLEDPAISLTDRGIIGQDPTRNP LWRLNLEFIGLTCAPEYLRDILLPFVSKGSLKVLHIRSTGANVGTYPPSVFDNALDSR ITDAEQNGEIIDKNVGDNDLDSQSKDEIKISLQYISVAIREREEWKTPPLRQSSRDFA DWVFGPDGITSLEYIVAGDLSHGSRYSERNALICRSAEWGRRYRVISQKTGGEEWVDV KTRFGRALEACPVENIVPEYN FPSE_07757 MAEQEVYGDKFGGHSPSLPRNTFTGSGAEQDVYGSKFAGHSPSL SLPGGSFNGSGAEQDVFGSHFNRTAYQGLTTSTLGLMHSAVLPSFTLHAGLSVVAYGI SRYTDRVEGKDFLWASGMTLNAWWSAVGSRVIYDGLSVSEAWSDLAYPQKLLLVGATA WGVRLTSRVVSRSLKRGGDDPRYDAEKKDPGFWNKALFTTFLPEAIAQTIISLPFTLP LRNVNECALASPVTSYGSYLRDLAVFVFSTGFTLETLADSQLESFKKSNRSGVNREGV WSIVRHPNYLGDALIHASFPILLLSAGILHPITVLGPIANYVFLRFIGGDKQNEESQA ERYSKHDAVKADEFEKYREEKNSFWPKPEELSNGWTLGMLAAGVAGVVLERGLRNVL FPSE_07756 MSNPMDNKAGISEAKADEAHIDHAYDVEAKKEMNVDMQGAIDAE NQEFEMTVLQAVRAYPAASLWAFNMSCTIIMEAYCVFLIGNFIALPAFANRYGIWSAE KDKNVIETSWQSALQVGGPIGAIIGVTIAGPITSKIGYRWATISGLMLLNCFIFIFYF ADSLALMLVAQLLEGIPWGIFIANSPAYCSEIVPLRLRAPATQMLQMFWAIGAIIVGA VAYHYNELDEQAAFRVPIALQWMFPTPLAILIFLSPESPWWLVRKGRLEEAAKSIRRL GRTSQVDNAHEAIAMMKRTIDLEKTIKEPSLLELFRGTDLYRTLIVCGVYAAQNLTGN LIANQAVYFFEQAGMPTKTAFAMGLITSALQTVFVMLSWVLTSYFGRRSIYLWGSAGN TVLLVALGIAATVGDVKSVLNSNTQAALGLIVSVLFTLGPAPASWVIIGETSSIRLRP LTTGVGRGCYYLINIPCIFLSSWMLNPTGANLGGKCGYVWAGTGAFCLLCAYIWLPEM KDRSYREIDILFNRKVPARQWKKTVVDIHDNE FPSE_07755 MLDDTLIYVLDDMTENGPSYLSQDYNLQHYKEHMDHLETASKLA LLRECLCARPPVPLLPENILHNIELILMRVRDHKLLTPLSSLSPSQTIKHGASRRTNI YLWKGDITTLTGITAITNAANSQGLGCFQPTHRCIDNIIHTEAGPRLREECFWLMKER SKDLEPGDVLVTGGHALHASSVVHTVGPQLKRSASPTTLERAQLAKCYEGILDAVELW PLGQDGKKSVAFCCISTGLFAFPADEAAKIAVSTVTSWLESHSSTTITDVVFNTFTES DTKIYTTLLGSSSTSISPLIKSSAEGSLQVAQDWLGSADAILVTAGAGLSAAEGLDYH SRDLFKKHFPGFLKLGLTSLYSVFGYNKWPSEEYRWGYYFTHLNMISKWSNTPTYQAL IPWLEAFGSDAFVRTSNADGLFLASGWSEEQLSTPQGSYAYLQCLNNCRPDAVVPSAP LVAEAMPFIHPVSQKLTDSSKIPMCKFCGLKMSICVRAGSWFNQSPYKNGEAQWKAWK SRITKEKKKLVILELGVGMNTPGVLRWPNEDLVERSQGLVKLIRVGIGAEAMVPWEQE DSGLSTFIQGDIQRVVPLLLDDVRK FPSE_07754 MSSGLELTITAYTTTSTIQAPAGFTPAKGPDWRPKIKARDSLNT LKERAAVELFPAGLQSNPEYVQRVDCTKKVPSTSIKVTTITVKGTRVTASPKTKTKVI TSSTTTELHVKLGPTVVTVCSVALRYLKHKAMSKRLSLVFDSSLSAWALNLQVQTLKT KAKIMAHSASGSEPISTQQHALKTPPSNKPSAYVFDSNGDTRIILSTYMAQSFKWEAD KIWIEQEKPTKAYYRKKSEEVNNECSKAPLSDLIHAPYTPPESSDGDETDGDEADGNE ADDNEADGNEADGNKADLGFDDPPASPDLTSLQVQDWDYGETCQLPLEKIDIRMLVSG KHLELASPIFKTMLTGPFIEGNADSSGVRRITASDWDPQAFYIVLSIMHGYHRDVPRS ISFDLLVKVAMIANYYDCLESVEVYTDMWLKTFESELPEVYGRDCILYLFLSWVFSRP IMFQRMTQLALRHSQKVIEAEDFPLPADIIEAIDISRQSALAEIFSAVYALFDLLQGD EECYFECSSMLLGVLTKELGIRGILYPRNAPPFNGFSIEGSQEMIWELRKPTWHRGPN WLSHSCCIQDRLSMSLAKVESNLHVFNLQDFQSVKNHDG FPSE_08072 MYHLDLEPFAASGHCAVAICLGETPLDDPSNIYDLIMSLQQRFS DIVFLICDEIHKYEMMIPRNMTITRAQRLAIKKGDNMDAILNNVFEHLRQADQLLANL TILRWSQIEDQDYQKVHDIMYQYRNQFEQELRTSSGFYIKRRLAVATLTEERLENFTK YTLAELPVQLLGFNHNNRQYTTIFHPVYPRKNADGSAGDANSAYVSPIETVVNAYRNN TGVVGDISRSVPHMEAGKVTRVFFDRPVRKEVEEKSYIRSVDTPLTKSTAVS FPSE_08073 MQSHSNGCCLPRAASIPASKAGSHRESQSQSTGGVTSSPLFSCV TLANARSNIKARSVEIDPTSESVNIKWENDTPGFSKAHTTKLSLAALREINKSGSLPG FGRDTNDPQILWAKEPLPNLKDFDYEDYLKDDREVYKLIHQLRTGGLAFVTNVPGKVE SLATIAERIGPVQDTFYGRTWDVRTVPQANNAAYTSADLGFHTDLLYFQNPPHVQLLH CVQSASKGGASVFADAYKSAVDLFRSDSEAFETLATIPVNYHYNHPNDNVYRTTKPVI DLRPLRIGDKLYTRVQDYAKDYHDLSLQNGGSGWSDAVLVDHTLKINWGPPFLAPFSN HQDPIVKKQSDQSPLLALNDKVEKWHQAASKFNALLQRSEYLFERKMKSGDCVLFDNT RILHSRRAFDMADVGKPRWLRGTYVDKDSYFILISKRLRFPTTLHDRHVMDADNAPKI KHTRKGAAKSRNGCSKCKARRIKCDERRPSCENCVKLKFPCPGYQRQLRWAHKHQRTE TLIPQETISRPDDWTQDAPHIGQVTQVWECNTQAESTGLQNVLDALQDESISMGHDCD FAWLTEAPPTFQVTDLDLREEHIDEPLWNSFNILERPRVSQHGLTSMSNPSIVHRPVH LSTTLIEYWFQHICPVRSTFDSEVNNNRSLARNSWGTSEAVFYTMQAMSAACLVHTLP HLSEILPTLREQATLAIAQGISRVRSLRVAQVTADLVFAVLAMGTSSHWVTPNSDYPW LESARELLSIWSVGISAADALLHAYFRQALAYWEMLLTVVGPGSKPSNLEKRRQKYHG RLRRAMLLEADDVDDISYGNPSMNSNLKPLGTLPNSWCGISNEVIETFGQVLALCRSA CERNQDKAALTLDMTSKALCDIAVAHELEKELLSMDFDTMVLLEEVQGFYVDTLDNNT PVTHLLQTAEVYRKAGLLQLYLTFDDLVVNTSGGCDKATSIGGAADGEPRSKSLADLA LQLVGALEGIPVESGSKFIHPMLYVSAAAGLRFDKYFDFHCPGMSGEINSDQSYLFAS PMDWNSLEPTQAQYLPKISDTVAMLIPQSILKIANARRLVWSRLSMIRHALPYKASDS FLRLVKTIWLEYDKARSDTSTNQWFKILTHTGLEMPL FPSE_08074 MTQSAPVQEQAQKVEKTPLQLISQGTCLPGIPKHPTFAAQRQWQ LEKMALAFRVFARLGYTDGMAGHISVRDPENPHTFWTNPLAVHFAMLKASDMILVNYD GVPIGGNMSRPANAAGFLIHSAVHKARPDVVAACHTHSVHGMAWSVFGRPIEMITQDA AYLYGDAQAVYHDFGGVVVSQEEGDKIGAALGPKGKAMILQNHGLLTVGTTVDEACFL MTLMERASQCQLLTEAAAANGIPKVLISEASAKYTFENSSDPETLYWEGQPDLEYEEY ICKGEHKL FPSE_08075 MAGKLDEFDEDVHSATLATQRMGQPSACDRNHDLGAPKGHSMEI TRVVLSPDKRWLASGSQNSTVKIWSDWEEKWKLEGHSSGINDLMFSPDGYLLASLSVD GTLILWRHATGVKSCTFGGVGLHILSAVFSPSSQLLSTCSTDGNAQPINKGEGLVNTF VCNCVDCRKITASMFASNFVVEDSVLEHVRGKDLLKTYKQIETIGSGQAMTNYFCSNC GTLMYRVGDRFPGTSILRIGTVDDFSLHETKLKPVEEHFTKDRVSWFSGVTGVEKTFP GQG FPSE_08076 MRLIQYEPNGDYRLERELGTESLPKYAILSHTWMLDNEGEVSLR DLTQGLAKTKPEGCEKIRFCGERAAHDGLNHFWVDTCCIDQNSSAVLEEAITSMYAWY RDADRCYVYLWDITLDTSSLEDITHQTPPIHLWESAFRRSRWFTRGWTLQELLAPQSV EFFTKEGICLGDKSSLESIIHQVTGIPVAALRGTSLTSFSIEERLRWTENRQTKRKED KAYCLLGIFGVLMNHRYGEGDKAFERLRRKIENNNDLLSTLPMAKDAAFNSLHNQHEP ICLEDTRSGILNQIRAWAEGSDSRCIFWLNGIAGTGKSTIARTIARVYYDVGALGGSY FFSKGGGDLSKANRLVTTLAGQLAAGMSEPRRHISEAIATREDIMERSLRDQWKHLII DPLSKIPTDSTEHPILLVIDALDECDDENDIRAIIKFLVTAQTLKNFHLRILITSRPE TPIRHGFNQMSSDQREVFVLEDISPSVINQDIGVYFQNRLKGFCEERGFDDDSWPDSR SIMKLVENASGLFIWAATACRFICKGSRFSNMEKRLKMLIHGFSSGDGPEKKLDQIYI TVIRESAMRVADDEKSELYLMLRQVIGAIAILYSPLAMEPLSRLVDLNLRDVKETLND LHTIFYIPKHSSAKDPRSVRLHHPTFRDFLLNKDRCIDLNFFVDEKKAHRAMGDKCIA LMSKMLRKNICHLDSPGTTITEPLEASQINKNIPPDLQYACLYWVEHYRQSGIRLSDG DAINQFFKRCFLNWLEAMNLMGKSLEMGAIIRLYHSLLMPDCNQSQIPFVKDARRLMF TFQNIMRNAPLQIYCAALAFIPLTNELRCHFRHQQDSWIQDVWFAEADIPKPRDDFNY VSDLAFTPDSRRIASGSNFEAIRFWDVATKSRLRKFEGGATDKMSSVAISPDGKTLAG GSDDFTVMVWSIETGALHYSIKAHTGWVNSVVFSPDGTLLASGSMDQTVALWDVSTGQ EVKRIDNQSSCVNSATFSPNGAMVATGSVDGVLRVWHPFKSSDEMPRMLDGHSGPINS VRYSPSGSHIVSGSDDMMVRLWNCVTEASIIFKGHTKKVMAVAFAHVGHRIASGSEDK TVRVWDATSGACLSILLDHTSGINSVVFSPDCTILASSSFDDEVRLWDLLIGFPSSIT LF FPSE_08077 MARIYSLQQVADFLALIDVPSQYRDPKALVPSPESLRILHTYMI SAVPYETLVLHYSRDRQVRLDPQDLFDKIVGCGRGRGGYCLENNLLFLFMLRDLGFQV YPVGTKSRNRVDGIPQGHFQGWGHIVLIVTFSDSSRWVSDVCFGGDGPTQPMQLVEGS MIRNMGTQDARLIRDFIPGQSSRAPELKMWQYQCRNSEDRPWTAFYSFSDRVEWLPAD FSVVNCFTSASPDSAAVTSVCVVKFLRRDVSGSSKGEDMNHQAQEIFGKRICFNAFIK ENLGWKTTVVQECRSEEERVDALRHWFGITLTDEERSSMKGHVTELGQLRSPL FPSE_08078 MSKEPRLKIAIIGGGPGGLGAAIEFAKLPFVDWDLYEKSSLVSE IGGGFTIQPQTWRLLEHNGAADNIRSEDYFRPEQGQIEQRRNGRTGELLIEKCHEHDC IRQFCFPNHTLHYNGQSVYRTIVSKAKIAKIKGIPWAPVFWKHVSGLYVFTCPLGGDD FEVTARMRKQNEDSEPVSWGRTFDFHALLPEYHDFCRPVRQILGLAAGGDTQEFALFS GNHLSHVISGNNIATIGDASHALLGNFGSGAGFALEDACTLARAIKWAWLGGKTLKAG LELFDSVRSPHYRRLHNLVSRFAEIKAELRAKSLTLDDEIAERVKRISKASESWMYDY EIDKVVDEALEAADMGMGQ FPSE_08079 MEEKTTFLAVVDRCDNFPRNDFQGQAAYAAAVSQLWRFLLPGDD RAFGILVSSTVAQMPWTSEFELDYAAQTVRMIPDSSVDIAPSSARAFERLLKAAQ FPSE_08080 MLSWSPTTRRHGKLFPPTPHLPEPNFNGTAYINGIDLWYATFGP SLETSKRRGLNPVVFLHGGYANSDYYGHQIRNLQDGLYTLITIDSRAQGRSGDDPSRP LTYDLMTEDVVALMDYLKIDKFSTVGWSDGGIISFDLAMNYTSRIDRIFSFGGTYSPQ NINATIDDSPVWTAYMKRVEKEHEHNAPSSVTFEKASKQLNSMWATQPNWDATSFAKI PSRYDDDEAPMIWIVDGDYEEAVTRDTPEKLRSWIWGSDLSILPGVSHFA FPSE_08081 MKASFVVSLLLSLGVSATPAVDTTTIEDGGFTYTGIDKPLLALR GLEARCDCFPCYSPHADCSPGKCQCAGDYGCWSCGGGRMQCQPGPGSGQCWT FPSE_08082 MPRTQEGYLWWQSHTERGLETQAVVSSSNHIESTYDVIVIGAGF AGLVAARELAAKGLKVLIIEARDRIGGRTWTARAFGEDFEMGGTWVHWNQPHLYAELT RYNLHRNLKTSNGTLKPEVTYWQKQAGTKSVLEPKAMEDVMEAAERLARKVFSIDGYT SKELMPYPHDPTRLAPWRKYDHLSVRHRLDAIGDETDETKAYFQGLTNTFGTSPSNEI GFTEALRWYALGGHSLTQLFEEAGLYKLGNGGMTSFAKAIFQDYCGAALFGTEVTQIS QTPGKAIITTKDGRSLSAGRVVCTIPLNVLKTIAFEPPLSSLKQQAINKGHITGGAKI HYKLRHITSPWFYQALPPTPFCFAYTDHNGTKKESDGTYCIGFGLNGGISNIEDSDEV IKAFDEHIGADNEVDGYLSHDWVKDPFSRGTWACWGPSSSTVYLQELQLPHGRVMFAS ADTADGWRGFIDGALEQGKKAAYDVLREHSKVPRASL FPSE_08083 MASDHESSPLSLGAKFTREVEHALARDSSQSAKRVFFDVWRRLK PEYEAMHQALNQYLNRQLEESSIRATLYSRLKKDDSISKSIDRREQHWGTTYDSPKLI LDGIHDLVGFRIIVDYPSGLDQSYQLIKNHFSVEGINTFSTDRDVGVLWKPRFGAYEG KNFQVRMSPDKHNTGLSVYYEVLFEIQVTSIAESLYNRLAHPLHYKKSSGTLSRQDEM IIDMSHGLSLCYWITIACMEETLEGKSGIGDQTSPLPHTVRKIAGHDADDTLDDLDDL VKITPEIPVISGDRSLGSSRAGSSRLKRTAPSDDIVSIEILLKSLSDIRQEHRSDAEI WNGIRDKLGLDNGTYNGFLKSFTYDRMNDRKTSIHKRHRNTFEWVFGDEELEDHRSID DVNSSDDLWSDTTSSIENGYSEEMDNLQQKSIHRRVEVPSLANWLQDDIRGLYWVSGK PGSGKSYFMKFLERDERTQVMLQKWQPRCRIISHYLWKPGSDDQSSFKGMICSLVHQI LHDEKAIAMRLLRETRTFSYKNYATDWDTEDVENLLFNILNRSTHPYLILIDGLDEMS KPHDGMNQVFQVLDALAKLDRVKVCVSSRPENIFVARFKSQPSLRMQDLTLNDIRKYT IDKLKELDLELDDEKFEMVTYQISERAEGVFIWVYMVLKQIKHGVDEFCETWDDIIDR VDELPSDLMSLYRNMLSRFGDNDERYIKKAARYFQYILKKPARVRCDIAMLSLAANEN VLDAFTTPEHTPCADEWVKLCRTTENTFFSISAGLLETKTEEDWFGHALTSEGDSKII PWVAKSVDFIHKTAIDFLESEEGKQLFGKYAMGTKDILMMYVKVHLVLRSVTRHSIHP LLFLFGDIVDSHNNPLGEMFYRDSHDVLSLVHACSINKSRGLVRPPRSVSYENFFLFQ ISHFYCYEYVESFLKSCTYSLEASAYALIGACESDVTLSQYYSGSYKRFEVIRDILRY QSTVHGRKNEGPSVEKVPILQIMWAWRCFLLHELSWYNQQSMDNDSKQLFGEILGLFV KLGVMAEVPGPKYLLARPQRLRFHVVKDLHDHQSDLGYTSLEPQGRSHQQAETIYIEV NDAWLLRQLLERRHILQNVEHMMKDDPFMRVLLANNGREYGEEETDFFFITTNEDIKD DPVDSITQLDYMATFPHEEHSNFKATALGRFTHVTPVLETIGYNLPDVNKSHVCLHEW TWL FPSE_08084 MRSATAIVLAIANLSLVHAAPSPKVYDESVFENAGTPTWKVAVV EGEAPVEIKGTIQDVMEQLKVDYPEYAREAQEKIDTAIETERLEEEAPPSREAQALAA LQKRDHNICWNFPAARERPINDGIQYLRGISGGLTVRAGPGACDRISCSSNAGIFICN DNRTPFFIPSWDNVANAAKACNNECRRFCFDCGLQTGWWTAGQRFHDANFNVIIRESS C FPSE_08085 MSVPVIIWYLIIRSIRWREVGLGRDRSKYFCWWLLVEVYNQCEI FDIERPDETNRRYLPLIREKKYCDAMRPSPLKTSGDDSEGQFQVIDDVVETESQPQAI VELCTMTDPTNEETLRASVNEVLRPAAYGSYGTSSKRYATARNTYEATSDRTTSVPDN SSISTDMPTEQDEYQKRQDYQNDYERGYDEGYKSGYQEGIDAVQGSTVYDEAYNRDYS RSSYGNHNHEVANADTQDYPYRGSFMLVSWPQMNQVTTKVLRHIMSIIRTIPKRDQAL CRMTIGTGAQGREARKKQEEVV FPSE_08086 MAVSKETAEEALLVTDSNNNQEAQEAADTSATSKRAKWQFSLIG GATACIVVLIINLVITIWSSVTFVGSETGDRYGLVFIASCSLLGWSVDIIPGPKDLKT LWNLGFGSTNELTLISGKGWDDEDDSSLIANILLANLPQLVFSFFYFQYNSLFTCMAA AAEWSAYANKRRSLRVSSNPRGKQRSRYFLQLPYRYSIPLLLASILMHWMLSQSIFVV AIEQSGRWKLFTSGYSPIAMIFVIIIAAFMAAAVIITALRRLPTIMPVAASCSLAIAA ACHHPDNKPQPDASVSRLQWGVMQRQRDELGRELTGHCGFSQYPVEKPEWGIVYR FPSE_08087 MRFYTASLFLPLLPGVTAWAFPSHSLNRREAFELTEREDSGGDK GWIVPANVTVEDWDTAEPGDGWIPVDEYMRRMGIAPLEDAPYLEEPDIETLPLPQAKR GPLEERAARTRVNIGRKLTDYGCAASIRKPIEESLNMLCRDGFCDQSISYVRTIDWLD NGFGSGMSRRKLIVDIKGNYRGSRTKGNVIEAAKRIVNPDSVSHAKRSLKIDSTTCLR YGICKIVTCDMSKFANFISITKFEDPATYISIDMHIRMNESNNHCIARGALSAIGGAI SGIAGGFFGIADALTPGC FPSE_08088 MRKCIIVCFDGTNNDLRSDGTMWSNVDCIAECISEYSSKDKTTK QVVGYLSGIGSEGGSWLRMKNQATGFGLDEKILAAYGFICNYFEKGDSVVLIGFSRGA FIARCVVAFGAGEKAALRTPGNGTNQFLHWIVVSQPSQLL FPSE_08089 MASVSDAEDLERQGNPDESTAPLAPKDIPDLRQRAGQGCFICLE SHSQGQYAVLVPCLRPTESRQVREIRKNPDKTTTRYKPNSLWDSAGESDCEIYERLLD TCYQHLGHWKRWLPYYGIIKVTEVNFQFDGVVESDGRYPIYMETANLENISEKCKEAI ARHPTDSFFDLDQICLDDREHSDKCLIGMREWSEPCIKVEAEKAEQRLKQLLFLSRLT DCARNPMEANGLDSLKGMAQDTCIYEIKI FPSE_08090 MALPLPLPAWQQRELVRERETFRYDPSLIAKSILNSPDHLIPSP NLTTSPDATLTSFCQLAALRLNASRSLVSLFDRSSQYVVAEATQSGRLVPNSLSASPD QGLWLCGTHFTRSFGVCERVIQESAKVLPSNPQITVINDLAEDEILCLRPYCHAWPNN RFYAGIPLTTPRGIVIGVLCVFDSQPRSGLDSTSKTILQDLSQCIMSHLEDRRVSERY RQADRVTRGIRRFFHGRTTKTDLQRDRLLAAEPRLRTPGADFSPQFNHSTDTRHGDDR LSSTCASAAHIMRDALEVDGLVLLDASNSHRSPGAEVKGPIGAPKDARLAADDLCPVL GSSVTAEFKQEATSYFGHSTLHTSILRRLLHYCPRGTIWTFDGSDGDTSDEPSDEISS DVSENDDIAEESDETSPVNPDDTIKHHTKRQQLRKDIKTLLPGAKSVAFFPIWNAQKR RWFAGGFAYTNNTSRVFSHKRELSYLRALGTVLMAEVSFIKEREVEHSKLDVLDSISH ELRSPLHGIFFGTGVLRSGKLNSSQNDALLSVEACSQTLLDTIDQILDWSKINHFSTS LEKGSGYTHTDVDSRVLRSSRTNSMEAGMMSIASDVNLPQLIEDVIESVHVGHEFQKH TLGTPGGSVKLVAHFEPNPRWGFHVQPGAIRRIVMNILGNSLRFTSSGAIHIRVGQSE TDDVSVRLVTLTISDTGCGMSSEFLANDLFSPFTQQNMMDAGTGLGLSIVRRITQNLG GNVEVQSLVSVGTTVRVTLPLQLTDKMAEGTDAALQQDLNKGLEGLRVSVVGLQESKA ENTTILGWDSLMSEKDCILAICRDWLGSHIVEMPDIQHQHPHVVLCDEHSVDRTKLLI QGNNSTSLIVICDDPVVARKLEMEKANDGSPGGDRVVLFTHRPVGPRKLQRLVFRLEQ GQKTPRPKLIDSITPIGINFPADGALKVRLDPPTPPDAECERRDSPRLHLPTTSEWCP SQQSPRSPLTTPPEKSNPIYSPESAGKTFLLVDDNPINLKMLVMFMKKLKLQYSTASN GQEAVAKYKENPASYKCVFMDISMPVMNGFEATRHIRTFEAECAIPKCSIFALTGLAS RDAQQEAVLSGIDLFLTKPIALAEMKHLLESKELI FPSE_08091 MAYNAKPQPNLDGLGIFFIIWTFIWTFIVIAGMVFLWRRRDMPM LRIRDLPLTFVAIMMLHLYWGAIQTGYVYFPLFTPEGEYWIMSLYFPIGIALFHASNS RFLHVAKQQKELFASDEKAYSRPRARRDSWLGKFQALDYTKKILVTIGFGMSIQFILT IIMWCISRKFHHSWGVVGTEVHPGTKEYEKSQIGKGWEWWPSVFWQFIWAWMVAPYIL WQSRGINDTQGWRTQTIACCIANLHATPMWLVALYVPAMEPINAYWIPPQWLAISIMA IEIFTIFWPCWEVLQHQTLRQETLDSIAQWQLNKKAVTHGAQSVATGSTTVAASALTS WSKDGSVKSSASGESILTMEALEYVLERNPEPLQQFSALRDFSGENIAFLRAVAEWKS SLPPSVRDPEKIKEPDVQELVRERFNSALRIYTNFISSRDAEFQINLSSQQQRKLETV FENSARILFGDKGTVDPALPFETFQMTTTANKVKSSGASTNGSETGIMSPESVNDSGN QSDKALYWGDIPEGFDATIFDDSEGHIKYLVLTNTWPKFVKDRRCSIDSNDTLEAGNG IPAGR FPSE_08092 MLNTWVNSEVRDFASSPRSHFDADSGTSPRLTADHHEPSSHTHR EQPSFNDSIRNNHPIYPTTNTPQSTGNSPSYPSSPWQQGAGRSPTDQPSVSSVYSASH DQPSPYGAPHDQTRDRVPSPRGYQMYSSEETTKFPLQDPQEACLLRYFVEELSHWLLP KLTPHDAVEYTLKCIPALRDFHTIQDDEKLESIIATAVILRQLEEIDDEEDSSSDHSD QERNNHGKEAPTSKPQVNFLAIIDAVLRSPPSRTLFGRRSLIQAAYWMAVRQELYHSF TKRHPPKMVLDAEYGLGASKANKIVLHTAQVAKWRWADGSEQEWLRLQKQGELLEEEA LREYQPFYVRPADRSNGEIFPIIWYSSALEVTSMQLCIIAKMVLMAENPFLGGNSSTR AQWRDIENQVRQMILDLCGISLCHPGCPPALVHAAFGMELYGDFFTDEYERKALRGVV DKFRDARAWPVQNLSRIFE FPSE_08093 MSRQTRSAAKKLTRIQLSTTPINPPPHSTASSPLQTRLFANPQE WELYLTDNHPTNPSGLWLKIAKKSSPVPSITYDQALDTALCHGWIDGQRKALDETHFI QRFTPRRKGSLWSQRNVKKVATLISEGRMKPAGQAEIDAAREDGRWERAYSSSSNASV PDDFQKALDENKAAGDFFAGLGKTKKYAFIQRLETAKKPEMRTKRIEQFVTLLASEKS L FPSE_08094 MSSMAEPQSDHSENERPVDNSQSEDNSSDDNESSDESSDDSDGG GLLDTMAADGSDSESSDDDDENEYQSHFRSASTDDYFPQFCRLPIELRHRIWELFCPE LCARYRVLDFNISWGTARHPESDSAFVWTVREGLALEDQTKNLRTVFAVHQESRAFAT NAFPNTLGIEFGAGDAIVPFNQKSDVVLVNGLSCPSGRLIFHLPDFASQVKNLALGGP AIMDNLNDSNMLTILNEFTQLESFYVNVSSMDCQKSSLQWCTSDLVNHYRTETYERQP GLGEDLQFLWCWPDLERHPDFAKYQINRDTWDNLPDRLAASLEKRGIKAWPMVAFEFE SGLRRFELLQTLGPDFGDDSDSSEEEEDDDDDDNGPDLDQYESDGIDDDEIVERYEDS DDEGISLASGSPAPARKFHQISDDEDDEDGEGANFSEPEPEPESAPVQRGRKRRVVSD SDDEEEEDVQPLTKRARVIVESDDEDDEPQVPQPERSQKRARPVVSDDEDDDDQGGVS RQDSDNGEDSSSSEEESEESDEEDAPPAKLSLAERLRLHREENPVDNEDSDDDASSRT ADEGSEDEEDDDEERNPFMMGMADESDGEGEDYDEDDY FPSE_08095 MSGFEALGMACAVFQTISFAQETTRLCVDIYRGLKMPDSIFQAN ASSMIEAAVRVKASCKAATTPEEKCLIDVAKECTSAAAELSDEVRKITELHKKGKGNI ISAARGGVTSFWKKNKMNSLDNSLRRHAETMQTLLITRVCDQNEAGRLKQRQDFETLD EDLQVFIKSIAKGHKRIELLLQDEGETTREHVTNEATRTVNSVSSHIMTQAEKRETTE ALSANRQSFLKSFRFQEMNERVNAVTDPEDVCFDRVLQSFEKTAHDRIVNSSRNAEST TRLERSFAEADPLWNSFADWLQSDGQLFWIQGKPGSGKSTLVKYVASKKVTQTLLKEW NPNTRILSHFFWKIGTSLQNDIKGLYCSLLHQLLEGKDGLTSSIMDFFPSSKSKKSYH DWSVPELNSLLLRVLELATDHENLCIFIDGLDEFVSDSGQDAIITFMSDLKRFTKVKV CVTSRPELWLKEELDTAPNLKLHDLTAPDMRKWVHERLQQFETVFTFSRHFFSDLVKQ LLKKAGGVFLWIRLATQSVIRGIKNKDSEEMLISRLEQLPEKLEDLYYDMWKRLGEDE PLYRKSAATYLRLMVGFKTMMEDADIQYCPAFGALHIIKMVFATRTDIQRTLTMFTDD TDYKMVNSLCETTTNQIHTNCAGLLEVHPPSYPSDDHDPVPKMNGTVEFVHRTAYDFI VGTEAGQKIISYSGMSDCEVEVLLYYSGLYWQRILYPVHKLRMSTLHLVKGLPRLLGL KGPEDNIQKIEGLCCAIRTFYDNEFLASGLGRWPRPPFASILATVRPIFDWEVEHMDP DSATSVLQNIPWHKLKWDESNDIPVSGLRRLLSQGADPDAAVSYAALYPITEVQHGKR TAALWEFLYHTQWVMWQNNSQNRTTQPHVVEIAAEMLQRSSSVDQHGLLVHEKMFSGK PRTQRFFYSLKIEEEENIFDAWIRCILSANVSYLLDSMLRFLPQQEKAKMHDQVQFIE SKIVDPTICLRVLVTDDDGVLQCFQIIDQHPFQGLIDTINEQERNHQRPRRFGQCKDE MASLIEAKRAMVEIESKYVRRTFVEQGLLGTYEIEDDGLEYSPELLREIEDRTGLFSY NAS FPSE_08096 MSLCQVCRQALPSFPRPDDNQGSVTASLPDISNWSESLFDGQWI LTDHFILHGSWESLKTSISNDCPLCWTIWRCIRSSPIASPNDESIADFNAQVFNLTCE PNDAAYTLDIRLTGLGLKTKDLRLAVWKTTEKWYLDTEKALPIVEQHTPVSVAKLAKR WINACEEKHPNCLKQASPPDTAKMPTRLLYLGDINSDTWRIHERPKHVPYIALSHRWS HETRTLLTSNYYNYSDCQPDSILPQSYQDIVSICRHIGIQYLWIDSLCIIQDDGGFEF RQEAPLMMDIYQYAFLTLTICWGFPGLGVFRKCRPLSIPRHKPAVSYHRQDSVSQRDE CVFVEHRDASDLQVDVDHAPLNNRAWVLQERCLSRRLLYLGNEQLYWECNGCTGSEVS PDLHCPAHRRADSGRQSMVDLTGPDRDTHWSWVLSKYTGYDLTFEQDRLIAISGLAKL IASKTGDNYLGGIWLESWMQDLLWEPDTGPDSTRRPEVESDSTVRRMPRPSWSWLSFP GSVMSGRMIRRGPKISLTAPNSFQSKELKPLALLSQTIITPPGSDPFASFDRAVLRIR GLLLPVRFTGTPPNTGQPCYFRHQHEVTLPAAGLDYMQLQACNQNDGVYTAIRFCFSK TVILSSRYFLLPLYQYHWARGFDDSGIKATLVYGLVVQEVLNNGSREFIRIGTWNEDF YSSQISPIISNTIVKRGVGKASAVSDESLIVNERTFDSKIGQYGVATSSSKVAFPRNF VDKLLIYGPNTEQLGGNIGSAGSKTYATVQCSLLPYFTTAEWATISLV FPSE_08097 MSKILTVFGATGNQGGSVVRAILNDPALSKEFKIRGITRDVSKP AAKELASKGVEVVAADMNTAEQVAPAVKDAHTVFLVTNYWETNSGNGEIAQGKAVADA CKTAGVKQLIFSSLLDTKKISNGRLTHIKHFEGKAEIEEYIRQINVPATFVLPGFFAS NLFTSIRKNEDGSYAWALPGDGDKTQVPIFDVQGDMGKFVKAAIKNFPGTLNKRVFAA TDYYSPNRITTEFSEVMGKPATFNQVPADVFKTFLPAAVADELTENMMLLEDPGYYGG ADLKESLDMLDEKPITWKEFVEKNKSKWE FPSE_08098 MAPIKNLVWALFVAADLAAAHSVITNAVGDAGGSGMALGVDTST PRDGTRRRPFQTDATRFRGDSADTVGETLAGGDNQVEQGTADIMEETGDQLPQVNPGG SLEMTVHQVNSDGAGPYTCMINADGTGTSWDNIPVTTNVEGNDRGRNRDGEMGDFPLV ASIPAGQTCTGTVAGEENVCLVRCQNPARAGPFGGVIPVQMAQTNNAGNGTETDAGNA TETDAGNATETDAGNATETDAGNNAGNAGNNAGNTAGNTAGNTAGNNAGNNAGNNADN EDEDEEEDETTTGTGNNNNRNNRRATRFFA FPSE_08099 MAVTQDSQLVNQGYGACKSCGCNVFGNSNGGTDTNVACVCTHKY GNHL FPSE_08100 MLGHFKSVVTAYLALTVTVQPSVAAPGAHPDTAQVLSQDALERS QASDSIKYGQCYQIKNKDGQDLGHLPAVPDWNYLGFGSNVKAAHFKVCQNLGHCKDPN RSGQELYTGARFWLFDVAGNAYSPRGEFVAANSPPFHSNKNMYPGGLGYRYYVNFWVD NDCTDSDNRASRRCNVKLHIDNLRDDKGLVIEGDALKTTTNDNSIIVSFQEVACPDTK ILEEQNYDL FPSE_08101 MKEKASPCPSSTKQAQCLTHNPSTLDSHKLDPNEPKSSPETSNS SSETTAYREKKRLTGIIFHALLRVLQFTFAVVVAILYGVDLKQATKSNARAHPSWVYA EVVAGLSMISCMMQWLFMTALWYWCLLDAIISVLWLAQFGVFASIYLDAEAEQAFAPA SVDRMQAAVWINLVCVVLWFVATLYGAIGCCARFKRARQMRKDARIGLKV FPSE_08102 MPLRRMEINYKNAIEILNSRRRLKRPTLAIASDAQVPAPLPESG HIPDGKGKTNLRGTASIVGMRQWLHQIGHSTTDIDNLNIIHVAGTKGKGSTCAFIESF LRAHGESTNFPRKTGLYTSPHLIFPEERIRINFQPIARDLFAQYFFQVWNALTTDDNG SSSECSQTLPRYLQLIALVSFHAFIKEGVDAAIFETHHGGEYDATNVIEHPVATVITP LGMDHINQLGPNIGNIAWHKAGIFKHGSIALSSPQEPFVAGEVLRNRAFEKGVKVHFV ENDPCLPEDVPQLRPDVQRMNSSVALSAVRQFLQENAPKGTAQVSCSDILRGIGQFSW PGRFQLLVQGSFKWFLDSAHNEMSVDKAAEWFIQGSNGQSAPTTRILIFSQVSKQRDT AVVLERLATALSTVDIHHVILTLYDPRQDLEAESDVVVTEADVTAQREFVKRIRLIHL QPGGIDSPSTTSSIQENLGVHRLPVACTISHVSLHQPPPYSALSYTWGDTSQKSRILI DGTSFNITKSLENALIHLRRKDLPLTLWIDALCIDQDDEVEKTEQVQQMHQIYSQASS VITWLGPASATSDAAMLWIERYGSLSSSFGIGTKPELQLRQLLETFESNPGRLPHRDL KAFLQDISLHLSLGPCGTNSDNDNDSIAVALYELFTRAYWSRVWVVQELAYGKRVQFV CGKMAVSEEFLHHALRLLRNFAHYERIKLGQNPQATNSKLASSALDLRNPVNILKIRR AAGPFPLIYLLRTLRNFQATDPRDKVFALLSFATDAPALGIKPDYQKSYEEVYLETTM SLIGGCFLDILSLCRVHNNIPELPSWVPDFTSVGQRIPLQQRAMNRKSFPIATVLQPK FSASGDIPKTAVSCELTQTSPTSLLLLAKLVDRVACVGTTWDQKAFGKWFQELKQFWD SDSVTFEAGHLKAVWRTAVADQEIRQGDQKPRLSENELDRVHNILKGLDLSAADEHTF VEMGLGNYVYQLQDIAYGRRPFRTAKGHIGIGPSEMATGDLLYILIGADVPYILRPDI IGKVRLIGESYVHGIMDGEAMEEDPPIDAIDMC FPSE_08103 MAEPRSSFNMKPDVDAVENVVKDPYHESHDASGTVNLYQDGTVV LIPTPSPDPKDPLNLPVWRKYMIILIVGFYSGISVLGTSGLGAVTPELHQIYHNDDPK RITDLLTYPTLFMGIGNLFSMPLANAVGRRPIFLFSVLLLTVSGIWCACSNSLSSHIA GRNIFSLAAGQSEALAPLIVQEVHFLHQHGVKLSYFVGVQNTLTAALFTATTYIVPTI GLPWWYGIMTIINGCTMIMSFFFLAETRFDRPEDASEGAVHLRLDKNGDVAREGTNEV LFQVTTRQGVVLQPEKFGERTFRDDIRLFHGKFDWPSALHFYQDVGKSLILPPILWIF ILNGAFLGLYIYQASTFAQILVMPPYGFSPELLGWVQLVQIVDCLIAVPILGYGSDLI CKFMSRRNGGVFEPEYRLLTLIIPAAAAIISAIFYGRAAANPNEYHWIAIVACYHLGF FAFLGANLVGITFVMDSYPNKSGPLLLVVCAGRGFVSFGLSYATVPSIESLGYDGAMN ILAIICGVLSGLGIVVYFIGKRVREWARRTIWPKTHEE FPSE_08104 MDTEDSPGHRTKESSKRRRLNFACNYCRNRKTRCDEQQPSCQAC ISAGIPCVTEDRRRPGKLIKRREAGKSIDGSSVGSGSPSEYGIHSATEIATTRRPSVA KEQQPTRTISVATGPIRARSQSPRQSLSRDSSHTDTISNPLPILKQSTGTTSFQVLTE WLDLSCFRLNVPYHFGTYPPSTLNPASGSSGFLSRQSVPQAPATWDFEALFDAYQREI HTFYPVVRLDLARNEALQAQSIRLDNSSLPLGDTSSLRTCLLLAAGGCILQSAERQSY MTEALSLARNALGYLIGNVSFDTIEVLFLFSICLRLNDEITASWTTFGICLSVAHSLG LNRPGRDRRTNPHSSAIDAWSPAWWALYSYEKLFSFQLGYVSSISDETYDVLDLEALK TTNPQPQHFVLSMAKVFSKMSHRCARARQLEDTANRKTLEAAIKDKVNATGESFLMLS NWVNSLPAGLRPTSDFMRLPEDPALSSFISLHYHNAILMLNRNSLLISKDAIHKAVEV IAKGTSWEYTIRNGQSMVANSARKIIHLLADNDDTMSHLFAPSYFPLLHALYVLAVHI LKQPQSRISKIDQSLLITAADLIRCYCVGLAEADRLSTVLNGLIRVVQEAMKPTTTST ETDQNNPNATSGPCFPQQDGTNLTQQPNNMNFSQSLDPSDSSILNPVDLNIDGGILDP FSGQPFNIPMLPDEIGCDWADFENLLQRLENESALYPTEEGMSIEL FPSE_08105 MVANTEPASYQAASASLKLDNPTANKPRQAKPAGPRKLFSDLNA TYGDWRDDLQRDGYAVVKGAIPKDRADQYGEEMMSYLENFNGGMGFKRDDPSTVKNET LPVITEKGMIIGYGVAHESFTWGIRQEPGVVEAFEKVYDTKDLIVSFDAINTSFPNRK DVKLNKPWPHQDQDPLKPGFRCLQGLVNILPNGDKDGGLIVCKGAHLLSEEFHEDFKN EENRIWAWTKEWYGFTEEGMEWLKNKGCEWIKINAEAGDLLVWDSRTPHYNVSPEGNT PRFCTYTCYMPAADATQEDLIRKKGAFENLQSTTHWPNAMHVGGIPVMRDGKPCPYNT NKPREAPKLTERGFELTGIPYIAA FPSE_08106 MADKLNLVTSTAEQLQRLLGAGALNSRDLVEACLDQIERHNCKG LNLRAVVQAAPRDLAVEQAKALDVERANSGPRGPLHGIPVLVKDHFATHPSLGMGTTC GTAALRDARPIRNAVVVDKLIEAGLIVIGKASLSELCLLKATPPNTGGWCSASSQGQS PYVRGGVTPDAKYLGHSTPCGSSSGSAIGIAAGFAPISIGTETDGSIVYPATRAGLYA IKPNIGAVPLEGAMPVNSNYDTHGGMAKSPSDLAHLLEVMTGRPGLGADLPNTWKGIK VGYLDFKEWRLTPNETEESEAFDNDVVNTSLYFIPKKLAYEIKFPAFEQALTTIKANG AEVKGPLKLLTVEEIAEMPNGADFDSIANDGWRDSFDEWLKDWFEYTPIQDMKDLVKW HKDHADECLPADHPSQAYLEFHAFEAKPDPEFPKKHEFVRSRAKQAIDQLFQSHDLDV LIGNGDGRMTAIASAAGYAVGSAPLGYAETFNGRAFGINLITGVGGERKMLEVMNVWE KTFPEGRKAPPALDEKSF FPSE_08107 MPLPPLPFEVPIEHINPPKIGQGGYVGLNPHSETLPKGWKFDHP DAKPLNCDILAEHDVAFKMRDGVTLYADIYRPPQSDEPVPAIICWSPFGKKFNGLMSL SLMVPWNLGIPPKTLSGLEKFEAPDPNDWVPRGYAIVNIDSRGSGDSEGVMAIMGTQE AEDGYDSIESIAKLPWCNGSVGLAGNSHLAIIQWFIAALRPPSLKAIAPWEGCGDLYR EQFARGGIYAGDLFDKLIVKYMLQGHKGIESFREMFKKHPLANDWWNDKRPDMKKINI PTYITGTWTNTMHGMGAIRGYLEVDTPHKWLRWHPWQEWYDIWGNEQANPELLDFFDY FLKGKKNGWDSTPQVRMAVLRFGKKEPQSYENIVEEDFPIPRTDYKKLFFTKEGSLSL DKPTDSSSLGYKSETDDRVSFTHRFDKTTRLVGMPKAVVYMSCPDYNDMDVYVLLEKL DANGEVMLNLNIPWKGIPKQSFEEMDDKEKIEVVIYRGPMGVLRASHREIDDSKSMHP QWPFHPHEKEEKITPGDVVRLDIGIWAMGIEYEAGESIRAIVCGRNLGVSNFGSLEHN DNKGTHNVHLGGENASYVILPFV FPSE_08108 MTSKEEKVDIGTHTPPSHDVAPGHQKDVFTGDVEGVRDDFREDD FMTRNGLNLRSFQRRDYGTGAVELDRSMKKRHLHMIAIGGSIGAGFFVGSGGAFTKGG PGAVLICFLIAGVMIFNVVHALGELAVMYPVSGGFYTYSTRFIDPSWGFAMGWNYVFQ WVIVLPLELTVASFTVQYWNPNINEGVWITVFWLFIIFINIFGTLGYAEEEFWSSCFK LAAIIIFMIVAIILICGGGPARGDFSTYQGAKLWYDPGAFQNGSRGFCAVFVTAAFSF AGTELVGLAAAESRNPAKALPGAIKQVFWRITLFYIVGLTLVGMLVSSTDDRLLNSAN PYKDGVSPFVLAALDAGLNGYDDFMNLVICVSVISIGVSCVYGGSRTLTALAEQDYAP KCFTYIDRSGRPLMSVVFNLAWGALAYIVLASSGGLVFDWLLAVSALAALFTWGSICA CHIRFRSAWKRQGRTLDQIPFHAAGGVYGSWLGLILCFVILGCQLYVAVCPPFKEGYG NAEDFFMACLAIPVVLVFWIIGYVWKRPQWLTIDKIDLDTGLREHDWDEINAYRAKVA TWPAWRRALNKFM FPSE_08109 MGWNTHASGTNDPPEVRNWRIHLIATVASMSALAIGYDTSVIGG TMALTSFMRDFGLDLVEKTQRDTIQGNIVSTFQAGCFFGALCTFPIAEKWGRRKTIMA AACIFLLGGALMTAANGNLNMIYAGRAVAGLGLGASSLTVPVYISETAPPSIRGRPIG IFEIASQGGGMLGFWINYATDRTIDVNTKTQWIVPLGLQLLPGAGLALGMLWCPESPR WLARGDRFEEAEKILAQIRGMTPEHEYIRREMGDIRAQVEERSTNKMSKKQMFMKLFQ KGVRNRMGIGMALMFLQSFTGVNIITYYAPRIFESLGIPGTSLKLFSTGFYGISKTLG MVMFTFWVVEKVGRRKGLIWGAALGCIPMLYIGGYVMKADPAAAAAAGVVNRDGWGYL AIVCVYINAIIICATWQGITWTYASEIFPLDIRMLCVAITTADTWLGSFIIARSTPYM ISDLGYGAYFFFGSILVLMGIWSLFFVPETKGITLEDMDALFAKPVYKTVWAQMRGKP VLEGNAANRSDSPFDEDDEKARELRIP FPSE_08110 MARHKLTPVLMTGSLLLGLTLAIGHHLFYDYLNDRIVQSQNQQE WFLRIGTGMAFLVRTLLSAAIGIAYVQIIWKTLKFKSVTVNGINSLFDALHNAWNLTT LELWTAAPTLTAVALVAWALPLIAVITPATLSIEISSEQNITVIDSLIPNIDYDNNIG FFGSWYQNNTVFPSSLISRLLLPVATLGYILNIPAPFPNSSYSIDFYGPSISCTIPEN AAFIDRISQTIANFSRPWGNVTYVGFVPTAAIYPDSPPEVITREDYAIEGLRRALNYT LVYTAISLDPTDYVSNQGFFELKPASFYVTTPNRPGGSAEKTFKCELYNTSYTVNFTF DNGLQNIRYKTERLNGVTALLSNDCHSQRQSDGCNAVTAYLSLMSAIGDLLLGARWNS LDGVGASHRTPIASTVLLESSDMHMIDPNDRPKFAIKSMPMGETLEELFTNLTISLFS NYIFLQNDTTASYIPVTRFSAQNAFSYEPRNLFIAYVYGLLCIKSSSSSYTNSFSTIL RTTRNPDLDTVISAAETSGAEPLSKTLGNVRLTLRRQGDCLEGGGDKATFFAVDSRGD DGKKAREAAPTESLLKQNGQSQHSDTDEISSTQEGSDVNLYHRKDNNANVASDN FPSE_08111 MRNNWLKRIRDKSKIKVSNPKEVVDEAIPSTDELITVAVGTFAN MRIGTSDADPADIVTSFSMPIFMMQDASESIKEMKKIGEDMKETHTQNLIINILSIVF AVIPFAGWAVTALGGASRIATAALIVGEGSNLAISIVEIVDNPESAPFAILGMLIGAA GLRGSMSPAKAFKQAADARRALSGNDMMAYSQQFRDKDRLVQNIIKSCNFS FPSE_08112 MDAPFKKILSFGGWAESTDAATFERYRDVSFDGVDIDWEYPGAT DQGIPAGDRTDGLYYHRFLTVLRNLLPSSKSPSIALPGSFWYLKQFPVEDMAKVLDYF IFMTYDLHGQWNHGNKFASPSCETGNCLRSHVNRTETRNSLSMITKSGVPAGKVIVGI ASYGRSFRMADKSCTGPHCLFTGSFSVSEAEPGRCTDTGSYISNAELDEIWDMAGEGV EELSAKRWHDTKTHSDIMTYGTKGNGMTDWVAYMSDKTKEERIAWVKTLNFGGTTDWA IDLAGYFEGPSKKDGTSNGGWSDFKADDLTCDSKNWPSTLEKLANNVDSINANCRSLA LMNILIKDLIVAVEEVSKNFNDAFGWYADRVKDFIDDRIEEFLAPGRGKGLKYMDCEW KSRKGSDKGRCDETWPPVAPGPSPGPRVVWYTMRDEKGFYAALLTEAGIEKDWVE FPSE_08113 MLLYCLHSGGAGPSSPSKAEAHLRAHQRHTKRIEKDSKDAKAYN GLCNPAAPFPVPVIVTFGLPGVLNASNDVTVAVQGLKQHIKTSCGTTILFSKAGSAIV GLYVSADMGSAASIAILERFEKATSQGTQVMQVCDINPMSPYTFGVFAVDKLSDFELV RKNVKTWAGGYCAAMPLTRPKDAEMKVNIPGGDLFMGLSNGTSVAQTGLSSDLEARAE CKTTKVIHDDDCTKLSVRCGTRGSDFIKFNPGKKNFCDNLKAGQVVCCSSGTLPTNKL EPGSDGTCATHIISSGDTCYDVGIPFGLDEDDIASFNKASWGWAGCGRLQLGQVICLS KANTPMPATHEGIACGPQKPGTKKPSGSFGGWDLAKLKQCPLKSCCSGWGYCGTTTEF CTESPADTKAPGAFKGNTNGCISNCGTDIVNNKSPPKEFKRTGYFQAYNPGRPCMHMD ASEIAKNFKELTHVHFAFAGITADYDVNIP FPSE_08114 MSGAEILAALAIIEAAADTVTKVVDALQTGKDFIDSFSDKKDYS RELENYIDQAKKSIQAEIQAVELQGYMNVINGAALWYYGCQKTIKEFGISKHTVQDPD GELLHFSQDIMSTIGRLNEMKLWIESDTRPRPTTPKMLGVYLTYYAIRHSLIVTYEAF EFARTGHHSSTIGDLVQNLKDAQDVLSKRFNAYQSVRASQLVLFRRSIPGDPPGTGGL TVRADNGGDTLIPNIPVGTTYDYTYNGFTIIREAWNSTEFGKTTIPDLFKTYNGAYKA GLSLLTPRQLEQIEVFEHFIIAGQI FPSE_08115 MQPTSFIFLALSAVSTVMAGCSAGAPFQGTCLTCTSECHSNYGG PDRTSALQRTGCMTACLLRCDDCN FPSE_08116 MDVLDGSGDKKVVDWIKELEKNAAEAKKKKGQLVKDHQPKSTIT TQFVAKLLGKCIITPSSTPGAGFIEKILGTAYPFPNSKVVDEFAKSIPPTTHVIEPYP PCTIPEKDLKPISISEMQLDTHHRGRKVLLHILTPPDRNEAVLAIAEDEESTPILLRL FQQPDEKQFLCIATMIDHTVCIVKDPFFQQSFDSDRNGPVMLKSAYYSLRVDHPSDII PLLHGDERIPEKWRGEVHGDDKSSSSSSREDGNKCFRKKMWAEAHFSQVTLSRTLIYT SLANIMHGRYSQAIAKAETPEDKQLAHLNRSLTNMKIGRPAKALSDATQAYDPNTPSE KALFRHANALYALQKFDQCEATIQTLLDAFPGSKVAEATMQRVKSRLLEQRIGKYKFN LMYEQAKAKDGPPLIDCATFSSPVEIRDSPGRGRGLFTTKSVSAGDLLLVEKAFSYSY MDENRLWDQITYMINLTTKRATAGASANLWPQVVQKLYNDSESLSAFQDLFDGGYQKV TVSECDGAPVVDSFLVEKVISLNSFGSPTTTRDFCKNIIWSGKVGPSPSCRERPLFTT AGVWLLAARINHSCVGNCRRSFIGDIQIVRAARDLPAGTELTFPYCPTGDSETYQDVQ NKLAKWGFTCDCELCKDRRKTTEAVRVLRKELHDDFMDQSPSDEPFDLDKAMKLMKGI EKTYRGKPAKQIRWVLSNIYAYIGIRCRQDGEFVEAAEMLIKAIEAMGFVIQATPPGG ARGPSRFEVKHWGMMEHHVPWLFFQLIECYDEIDPQLVPAAEHYARVAYSIIVGEHES MWDVLPSTGSEGRVD FPSE_08117 MVAFDALVEAGLDVNDTPRNSALAQLLQRGGISDLEVDTPLKGA LKWSNNNNKKVFVRKLLERGANVSLQSPHGNALGFAIKHSSAEDVRMLLDADKEPHDP THLLHLAISNERENLVPLLVEMGADVNKRVEGCTALTEVLKQNNIPNVRSLLDLGARI DFSLWQDREAVTNTEWSDDVIERLELLLQAGMDINASDGKENLLLSILAWSHVAHRTS RDVYEYDYKDIVQWAIENGADINQILYQSVFPTILITAASKYEPDTVQYLLEMGADPA LQVGFGFGSALVAAAFSGRYHACRFLLRQKGVYANRRHRGFFRNAFYAAVSGHLDYLS MDEDDRRRLVPLDTREVALSESFGKWITGHDKGEEAFPTST FPSE_08118 MPPRLTSSLRFPVLRRLYSTAADSAPLVRVTNLSAPGSGHIRVL ELNRPSARNALSRGLVAALRAEVDEVHKQYTPEGNETKHATATSNGPTRALVLASAVD LSFCAGADLKERKTFSQKETAEFLSNVRSTFNAISALPIPSICAISSIALGGGLELAL TTDFRVLSSNAVVGLPETRLGIIPGGGGCHRLPPLIGPSRARDVILTGRRVAAPEAYF LGIANRLVEVQQPEEVPEGGEKEDVLSLARKAALGEAAALQAKQLCQSSV FPSE_08119 MTDAEVIPLTKGLAALQTALPFIVGCGSGMVATTCVQPIDTIKV RLQLADRSVLRVTTWSIARDLMVEGGILNMYQGLSAAIMRSLVYGTMRLGLFSTFEKE LARRARERGTTLSFGERSLAGVGAGALAAVVGNPTEVILIRMQTDGLKPLSQQARYSS AVDALRRIASHEGVLALWKGAGPTLIRAMSINFGQLTSFSEAKNQLQEHTSLSPPVRT AVAAGIAGCLGALISQPFDFVKTRLQNQVKTSPTVGLGSGELLYKGTFDCLFKVIHKE GLFRLYRDILPYFMRIGPHS FPSE_08120 MARLLFSGQRLRPSFLRSYIRANPSSTPSATRAAINYRYNSNNA ALQSASSSQGALTGIKIIDLSRVLAGPFCTQILADYGAEVTKVEAVGKGDDTRHWIMA GEKASWNESSGPISNYFAAVNRNKRSITVNFKKAEGRQLILDLIKDADVVVENFKPGT MERLGLGYDVLKELNPRIIYAGLSGYGRTGPYRTRGGYDPIAAAEAGLLHVTGEKNGP PVRAGIGLVDMSTGLFLHGAILSALIARARDGTGQRVDASLFETQLSLLTNVGLAWLN LGIEAERWGCQHPSIAPYDAFKTRDRYLVCGATNDNQYAALCCLLGVEHLVTDPRFIT NPLRVQHREELAALLGPIFASKTIDEWIALFEPSGLPFGPINNMEATFAHPQTAARDM VIDVPMDAACAGSIKVIGPAVKFGDSKTGLRTGPPRLGQHTVEILEEIGMDAEAIAKY KEDGII FPSE_08121 MVSPDNRPGTQGPSASAHAHDSRVPRKRPGSWDNNPSTAGNRAV KKRAVRACVSCRDRKVRCDVVNGGPPCTNCRLDDVDCVLKASNRGKHNPARYQARSRL SSNATAPRAPSPSDNINTDADTAAPGPAPGSASATVFRSDHSHGSVATGQPQERRGSQ SVAHGDEETICDDDENENNSWHNQQEAEQTRIIHDSQVQPNDIVHETHCEQQPQPQPS QGAATRSTPSAQPANPQTSDYLVALAFQGFYALGWTEQDATEAPPSSSRMDNVSASAN TPHADQNHLPLYISPHPSHLDKHDLEFLARKDCLTIPDNQLRDELIRIYVFVVYPFMP AIDLVDFLEPITGSSEVGTVSLLLFQAIMFASVTFIDMQLLQRYGFKNKRAARQVFFN RVKLLYSLGYEADRLTLVQSLLLMTYWYDSDSDEKHTWYWMGLALTTAHIEGLQRDLE EPQQMTKNGRLRRRIWWSCVIRDRLLGLGLRRPSRIQEDEFSVERLRLDDFDISLPPP PAVARLLAAPSYTGKDPVNRQRMANLCIDLSQLCFTIGRILHTQYTIASTPGAGSNYL RRAIVRPRSLKEQAHSFAKCDADLQEWFRSLAPESRYVPGARDGGAAAAQVENSTIRL HKILLYMKYLTAIGALHRPQVFYSGSDSIDPARKADSRRKLTEAAVAITKLAFDLQSN GQMCYAPTSSVPAFLSAALIHLLNIRSPDEETRNISIGRFCQCLDALHQLQSMYTAAD EAVHIINNMTENAGFILPLLGIGNPMSKANGIAARNSRLFSAIGPSRVTTAYPSPAPA TGNLDTSQEEMISAPIPGAGPTGVALTGQRSRQPSAGPNMTPSATPNLMSIWSAAGNI HSERDLPLPADLMAGIQLDLDAWYNIGDIIDPALMNFETGLDFLNS FPSE_08122 MSSKRLSQNEQEKSPGTGPPTHKRRRIGLACNACRMRKSRCDGH RPSCSSCLSLGVNCLYEPGDSTTNVIVRKDYVSDLEQRVASVEHNLQRLNDVLKGHLS PCTGGTDSNNHHNNHDSPCHQPTIAQSITSSRPNTRDKSAANETCATGLEEPDDEDAN TNGMAMTFVEEKTSVYYGEASNINFTQLLLRALATVHGATPVAPSASNQASGPGDGVT TDVPQNQHAVSASDLATSESSPTALPSVQEMDSLLDIYFDTAGVVFPFICEDTMRRTY NECRHNGFTRARRTWLGTLNMIFALASCLSSSAKVRFERCNVFYKRAMALCGELSKRV VSLEIVHYLILVVLHCQGTQRSIQAWNNHGLAIRSAMALGLHCQSSGVSLDSAQQEYR RRTWVIIYCLDKVLSTAFGRPASIADEQMHSFNGRESVMGLSPMSLSNPQVPVDLPGD FLSVSFRLYQVMSKSLAEQYGTNLDHVDSGHDDMAPLKASGELRKQLRLWAGSLPGHL HVCQPEDEFLAHNTRGNRLRVILTLRYHNLGILIHKPLLSTTIRHLFQAGNRMEAPPS YMIQLAMAEAHECLRSAQLTIDIVYAVISADPTPENNLGAWYFTLYYVFTASLVVSGR LLWAQHGQSIVDEVAVDHCKSLLSKAEEIFKKLDRKNSLVLSCLEYIARLARICSMKD VPPNHQNGSLDITSDTTNTASGVTDTMPLDEDGMDAFQLFAAEMFDPNIFKGFNLSPV EGVSFTDGIWEGFPCGG FPSE_08123 MSCLPEPTVLTQLWVDNPPRYSQAQLQDYLKVIKLPQRFLNSAV LKDSSLAHTKEHGLPLLKAITRYHACNVPFENLELHYSAHKTITLDPVELFEKIVTRR RGGRCMENNTFLGTVFRSFGYEVRDCGGRVARAMSPYPDVRKNQAYTYDGWNHMLNLV YLEDEWYVVDVGMGSMGPNLPFPLRHGFETMSIAPRKIRLQRRPIAESHASNPTKGTE LWCYDVCLKPTKDGTEVWIPTYAFTETEFLPQDYEVMSWFTSTNPRSFFTRYVTCTKM IQDEEKEEIIGNLTLFKDTIRETIGNQRKVVRECKTEEERIQALVEIFDVNLTDEEKN GLPEERRLA FPSE_08124 MSSIRLPPPVVIPESQSTVDVYIIDTTSYMSMVPASSFVEPLVS GFETLNAGSYAFLIEHTSSKPSKHDTMVFDLGVRKDWEHLPDTFVAAVKEEGWSIDVQ TDVASILRDNGQDLKSVGAIIWSHWHFDHVGDPQTFPSSTDLIVGPGFKQGVMPGWPT AKDSHVNETAWQGRKLIEIDFSGEAALDIGRFQAYDFYGDGSFYLLNSPGHAVGHMSA LARTTADPPSFMLLGGDIAHHCGEFRPSPYTPLPNMITPNPLSNTLLACPGRLFLSIH PWKDPERPFFDPTVGPGWHDEGVLAKDSIDKLIEADAYDNIFPVVAHDMTLVGTVDLY PNKANNWMSRGWKEDTRWGFCGDFTPLDEEMVARNGQVEVLEGHHEVRDSAQDPKVTS IVHMESTDVDKKAKLHDPSFV FPSE_08125 MVKSLRFGDLDVPVPGFGAMGISFALGNDLSYEQAEPVLLEALK QGCTFWDTAVSYGPGKNEKLIGEFVKKHNCRDKLFIASKCGVAAFEDGSITNSAEHIQ AYIEGTIERLGFTPDLYYIHRMDPNTPLEESIPAMDLLRKQGKTKYIGLSECSAETLR KANSIAKIDAVQAEYSAFETLHETDGLVDTARELDISFIAYAPLGHGWLVENFPYETP DDFAPDDYRRQIPKWQGDNFYANKRIADKFKELARKKNCTLPQIAIAWIAAQGMIAIP GTTKPERLIENFAAREIEIAEEENKEMRKLVDALKPQGDRYSESAMKNIGK FPSE_08126 MFDLDPINAAFYDQIKDLPCPHQMGGYQQAYDSLEQIQKHDAAP DIDTTTIQVGKRYGPTTVTLFRLKTLVDKPLPMVFYTHGGGWIMGSAKSFAVLMEDLA RRTQAVIVFPDYTRVPHQTFPYPLEQSYEVLDYMVRHSNQHQILHGTIALAGDSVGGH MAIAMMQMSLERKLPTQIGQLVLWAPVTITYKKLGSYTTFSHAPFLTEDSMDWMIESF LPNKEDRQTALASPLTHLSDEVLAQFPPTIIFLSTVDPLLDEGVAFGHRLQKNGVDAS IMKAEGQMHAFCAVKALRDGPTARAVIDLAALRLRRIFPQRDTPGHPS FPSE_08127 MASPTASSILQYSGTGQKEKGTLDHGDVPSVDIGKGETKEVFQE NVDGVEFRTVSWQRATVVFLKINFAMSILAIPAALGALGSIGGSLCIIGYTSLNVYTG LILGDIKHNHTECHTLADMMGLIWGRWGRELVGVQIIIAQTLVTAGGVVAITIGFNAL SDHGTCTVAFGLISAIAVTAFSAIRTFSKLGWLTWIGFITFVIGVFIFVVAVTQVDRP AAAPQTGDFDLGWAPIAYPSFVVGMVSVTNIFISTCGSSNFIPVISEMKRPQDYRKAC LVAGFIVGAMYLSFSLVIYRYCGVWLSTPAFASAGPIVKKVAYGVSLPGLILGVGIYQ HVAAKYAFVRVLRDSKHLQANTFTHWGTWLGINIALGTAAFIVAEAVPILNYLLGLAG AICLAPFSLIFPALLWMHEFKKYKTGTTTQKVKYSFHVLIMMFGFFMMIAGFYSVVVL IKEAFDTGTIAKVFDCADNSGFVQGK FPSE_08128 MSASEAQVKKENTVADTLASVLPSDGKPWYKQRHLLKLNFVILS LVLFSSANGYDGSLMNGLQALPNWNDFMDHPKDAWLGFINAIYWFMNGISFFVAAWSA NKYGRKPGLYIGYLFLIAGTILQTAAHNPAAFIAARGLLGCSAGWYTSSAPLLLNEIA YPTHRAIASACFQCGFYLGSIISAWVTFGTRNYTSSWDWRLPSLMQILLPALAFPGFF MAPESPRWLASVDRNEEASNVIAKHHADGDANSPLVQYETEEIINTIKAEREAHTSAS YADMLKTKGNRWRLLISITLGLFSQWSGNGVVSYYLALVLQTVGITSVTHQTLISACL QVWNLIWAVAAAAMVEKLGRRPLFLTSGITMLVSYIAITGLSGSFASTGNSAVGIAVI PLLFVFFAGYDIALTPLIIAYPIEIWQFQLRSRGFSVLWTSGIVAGIFNMFVNPIALG SIGWKYYFTYIVFLAAFVIIAYFCYPETKGRTLEQMAYIFDGEDADTNVLEGKTVEGK TKVLSIELEHKSG FPSE_08129 MSPLLSDARIDELPVDELLSKLTVDEKVALLAGKDFWHTAPLPH HGIPSIRVSDGPNGVRGTRIFASVPSSCLPCGSALGATFNPDLMAELGHLQGQEAKAK GAVCVLGPTLNIQRGPLGGRGFESFSEDPLLSGILSGYYTKGLQEENIAATLKHFVCN DMEHERLAVNVIVTERAMREIYLLPFMLAISIGNPRAIMTAYNKVNGTHVSENKAILQ KILREEWKFAGLVMSDWFGTYSTTDSIHAGLDLEMPGPTRWRGSALSHAVMANKVTEE QLDARVRNVLNLINYSLASGVPENAPEERLNRPEDQSLLRRAASESIVLLKNKDSVLP FAKSKTTAVIGPNAKVARYCGGGSASLIPYYSVSPYDGIAKKCESVAFAQGATDHQML PQMGNVLKTEDGQPGFTWRAYNKPASAKDRVALDERVLVDANCFFLDYEHPDLSPVWY SQAEGIFTPDESGLYDFGLGVEGTGKLYIDDVLLISNVENQTPGETLFGSGTVEEKGS IELVAGQDYKVRVEWGCFKTSKLPPCGPVGGRHGGLRFGACRRIAPAQAIEDAVQVAK SVDQIVLVVGLNGELESEGTDRTTMEMTAHTNALISNVLTANPNTAVVVQSGTPVGMP WIDNAKAVCHAWYGGNETGNAIADVLFGDVNPAGKLPLTIPRRIQDNPTYFNFRSEAG RVLYGEDVYVGYRYYEKIDSKPLYAFGHGLSYTSFSLQNLSVHVDDNDCKVALLVTNT GSRAGAEVIQVYVAPPSTTSIARPVKELKAFRKVHLEAGEDRQITIEMDAVLSTSYWH EARGKWCSEKGAYSILVGTSSADTPLQASFEVSKTRYWTGLVP FPSE_08130 MIGCCYKINQSFRSTTYFDTVSHQKWASSFLLGNSFHQTGNTNL WRMLEVESMQLLRLLEVHHVSTYIGLDAIEIQLRKKAFWLMFYGYVHQMHNIRNERLT FLDPVLSCEINFDHLMPAPVDDEYITRTGILQCPESEAAQSLTSGFNIHSEIFLAALR PKGSDIKRHCICSHVKDPALRLASLREKLHHLKDILGSILPIYRSWNKSDITAVPFDP DDIANIQRDTIRANIHATRLWLQVMLLDQIDVVGSQSGESTGLRDLASCDEREYVSRQ LLDLLNSLCQPSLELNGLGLVYKVRDVAVSLLSSLHDYSEERTCRVTADLHEFSRLLS VLDVSEQCNLLNLQSWIDTSRDKEITDFNLVET FPSE_08131 MDKVLAKPADLCCLKGEIHTGEPTGSVKQIDGIDTYVAKPQPGK ENGHILLFFPDAFGLHINCFLMMDAFAQCGYMTLGVDYFIGDPISKYSYNPLNDPNFD FESWKDKHLHASEEAAARWVKAVKAEYATSDTVKFAAVGYCWGARFVTHQLSAEGICQ VGAIAHPSFLNENDVFGVKEPIFLSVPAKDKLFEDEQRTRTVEILTQESGRFNMQIFS NVGHGFASRGRLTDPYERWAKEQHFKSFVDWFDFWLGNK FPSE_08132 MTQEVRTVAIIGGGVIGMGWAALFMSCGLKVIISDPADSAHESL KRYLKQARPFFEKHGDFDKLASNYEFVLDILPRLREVDFIQERVEFKQGLMGRLDENT RPGVVIASSSSGLPSSRFIQNCKNDPSRILIGHPFHPPHLIPLVEVVPHPGTSPDSIS SALAFYRSLGKKPILLHQEVPGFVSNRLQAAINNEAYSLISRGVVSAKDLDMAVTQGP GLRWALTGPIATNALGGGGGLCGFAQRFERLEPAIRGWEDDILRNRFDWSEDRVKILQ NSVDESLADVDWKNLVDERDLVLLEILAAKSKTKTMGREV FPSE_08133 MAVKTTIDLDLEDPAAHAKLMQLFKQADVILQGYRLRSFERRGF GLKAALDLANKRGKGIIYVDENCYGPDGYYAERPGWQQVAHATAGSEWVMGQSFNCPP GQVLIITIGSYLT FPSE_08134 MAVTSEEEFHVGIVGAGVGGLALAMALHKQGITFTLYEDAKEFS VVGAGIGCPPNGMRCMDLIEPDFRPLYEKICVGNKSEDAQTVFFEGMLLEEGLGRDKP WAGNSAWGDPKYIRKSAHRKELLEIMTKFIPKDSVQFNKRLTNVEQRPDGVTISFQDG TTATCSILAGADGIQSIVRGHVLEKYPNQIAPVYAGCYCYRAVIPMSEAYEILGDLTD VAKVYFGNKRCAVTYRVTGGKEFNYLLCVADGLDAWKLENTVTERVCNEKMMADFNIP GIDPRFHQLLAKVKPIKWGLFHHRHTSSYVRDRVALVGDSAHASLPFQASGAAMGLED ALVLSAVLVELSKQPMRGVNQLPAIQAALAAYDSVRRPRAQKQLEQAAELGEMIFFQH EVGDDLGNILPHVQQGRFNWIWFHDTKDDAEEAVDKMHKLISANPSSRIKNYNNRV FPSE_08135 MPRSWTDIVAEKRAIRDEKLTKCYGENVPSDPRIIAAKDIQALT ELLEARKVTAEAVVLAHIAKAKEAHQRTNCLTEICFDEALEHARELDAFQQEHGRLKG PLHGIPVSLKDQFNLKGLDSTLGYVGRAFNPAASDCVLVKVLKQLGAVILAKTNLPQC ILWGETDNPLWGLTTHPMNPEYTPGGSSGGEGTLLALNGSMLGWGTDIGGSIRVPSHM NGLWGFKPSSGRFSYEAVAVSQDGQQQIPSVVGPMARTLSTITLASKAMIEAECWRLD PQLPPMPWREDVFQEYLQKPLVIGIMVDDGTVKVHPPIERVFKEFCKKLEAAGHELVP WDTSLNADCIKIMDEHYIVDGGEDIRRDMAAGGEPYMPHVQNLVDRGSAISVYEYWQL NKRKKATQAAYNTMWNATKSSSGKPVDVLLVPTMPHTAIPHRTLRYPGYTKLFNMLDY SALSFPAGTALKALDSVCTGEYEPRNAADAWNWGLYDIEKMDGYSVGLQIVGRRMEEE KVLGAAHQVQQLL FPSE_08136 MADINDPVLAKPADLCCLKGDFHRGEPTGSIIQIEGVDTYVAKP NPKFTNGNILLFFPDAFGLHINSKLMMDAYAACGYLTLGVDYFLGDAVTKYSASPLND PNFDLAAWSAKHLIPSEEIAREWVKNIKAKYGNDGKVEFGCIGYCWGARIVLQQLSDG GICSAGAIAHPSFVNESHVQKSKAPVAFSVPATDKLFSNEARTRVIEICTEKQQRFNM QVFSHVGHGFASRTRLTDPYELWAKEQHFKGFIEWLDFWLAQE FPSE_08137 MRDASSLWVKTREGLPENVKTWLEGIEDDAQSGSTATQQIDWLI SRTEQKKTELENARRPYLLEIKGNRWDLRKYFERMVHWLNKFKDIGDVASSFDPVHAA LPWAAFRFVLQAIVAEKEYTESLFEILSLMPQFVLSGHVLEVVYMNETTLLGNAYGGT DLGQQCVNNYYRYLNRPTLLLFLIYLVFLVLKQ FPSE_08138 MEFSLLCLLCVFTPVIASFPRGFEFSNFLILPRADNPTSKDGNC GSNSDNNATCLTSTFGNCCSEKGFCGKTTAYCGEGCQSGFGTCGSSGGQLVSTSGSCG ATSSSNVTCQGSEFGNCCSQKGYCGKTSTYCGDGCQSGFGTCDSEDESSESSTATTAT TATRKTSTTAAASATSLGAISVDGNCGSNSDTNAICEGSEFGDCCSAKGFCGKTSDYC ETGCQSEFGSCDSTSSSTTSSESSDSTSSPESSPTSSDSTSSSTSTASTSTETGSLTT GAKAGIAVGSVIGGIGAIGLIAWLVMRRKNRKTAPSALEVGAAKSPEEPRYELKGEHH AELDGGMSRAELPGDYGSYNR FPSE_08139 MAMHPTSLLQPPDLPTPNLRHPNATTPQILPSISNLFCHVKAPK SPIPRWLFLHRLLAFRASACLPVRSGYLARCCLHHQTHIHLHAGCNLLREIQDHDANP SKLGQLQENTFASVPISITPIQSILMNLELQSNSLDHGSVVESPLLLSQNKVLNAWRS YTAPRSSRPGPEHVDQANRAAQSLLTGLALFSQHHAKQLGDLQTGKSGLEALSMLAA FPSE_08140 MKYFSVFLSLILAQKVYAQQSICPLLGPIFPPVNDPLKSDTVLD AIVHLNATFENFNHNGTFDKLNTTLYLQAFSASDTLFQYGYVPPSMKDFLTSEAINED TVFRVGSVSKLFTVYALLAEVGMKYMADPVTKWIPELALAAKKSKGDATRRIQWDEIT IGELAGQLAGIPRDFGLYDVETTIQLKKEKPEKYGLPVLANKDKPKCSIADPHLEPCT RQEFFEGVTANNSFPITSSGNTPVYSNLAYQILAYALDGMTGKSFDESLKSSLLTPLS LNRTTMQAPKSKENAMIPENEELSSWSSNTGDASPCGGMFSTAADLTRLGQSILKSTI LDPATTRSWLKPITHTADLKMSVGMPWEIRRTSVPLGSGTRVVDLYTKNGALGLYTSI IVLSPDHDIGFVGLFAGPSRAALLAQMSDLLAENLLPAAEEAARETAESRFAGTFKGP TNELTVVMDDTLVIRNWTRDGVDVLATQAAMMFPGLDVAMVARLYPMGLKGQGRMSFR AVLEAKKGGDAVEEGTEGSVGPFSGGCLTWGGVDSLDYGNVGLDDFEFEVDQTGKATG IRPRVMRESLKKVN FPSE_08141 MSNQPPYPLHESVVGRIDPEYAAFYNKHIIDKQQVHLQPIEASR ASGVLIPGSGPLQPVASTVDYKVKRRESQGPDVSVRCFTPKGEKPEAGWPVCIYYHGG GWVLGTIETENVIASHLCARGKCVVVSVDYRLAPENPFPAAVHDSWEAVLWVIGEGKD ILGLDTSKLATGGSSAGANLAAVMCQRAADLGSPQFSLQLLSVPVMDNTVDISNNESW KENQNSPALPAPKMLWYRNHYLPNKEDWSHPEASPLFWKGDFSKLPPACFVVGELDVL RTEGEQFAKKLLDAGVKAEVNTMKGQPHPFIAMDAVLEAGSRAITLFCDALYKTMYET W FPSE_08142 MHPKDHKDDDFIDDTRHDVAEGETARIVDHIAERQLCRKFDVRL MPVLAIMYLFNALDKGNLGNAQTAGLSDNLNFKPGQYNLLVSIFFVPYVIFAPPVAII GKKYGPARVLPILMFTFGSMTLLAACVQNFGGLFALRFFLGVAESGFFPLVIYYLTTF YRRGELARRLAVFYAASNIANAFSGLLSFGVFQIESKMFVWRYLFIIEGAASVLFSIF AFWYLPLSAGEAKFLGEEEKSLAFHRMQVDSSSVVQEKFNFKDSIKIFKQPTTYCFLM IEICLGVPIQSVALFMPQIIQRLGYGTIKTNLYTVAPNVGGAVMLLILAFSSDFLRIR FPFIMLGFAFTFIGFIIYAAISDVEAQLHLAYYACFMMVWGTSAPSVLLSTWYNNNIS HEGRRVVLTSVGVPLANLMGLVSSNIFRESEKPKYPTALITTACFGACGCLIAGLLGG FMLFDNMRRNRRAGTKTTAADVSTQRLRDGPSSPEFRWFL FPSE_08143 MYSPKVGDSLDDLDTPSMIVDLDVMEANIEKLMDRLLPTGRNIR PHLKTTKSAIIAKKLVAAGAKGGCVAKLSEAEAITAAGFTDLLITCEIVGPAKVRRLV EIFKKHQGLRIVVDDADAATAINDALANSGIPEPINVLIDLDVGLHRTGVKPEGALPL ARHIDTLKQMRLIGVQGYEGHLQHLHDFQDRKEQCLESMRILTETAQELKNEGFNIEV VTTGGTGTADFCATVPGVTELQPGSFIFMDTDYRNAIGAYYSHSLSFLATVVSKQGER QVTIDTGLKTLTTDSGLSECKDQRYVYNQLGDEHGSLNWEEGTPSLKVGDRVEMIPSH IDPTVNLHDYYYAHRNGTIEEIWPVDSRGKVQ FPSE_08144 MKPQDLVCAKCWDEFFNTKSFEKTCTAEDDPYIHHETEAVSTID EITNASSSGCNWCSFVETFLDDSKDGRTRIKMVLLPGRERHQATPRGRNVFFVRVYYL CGEEEEFADTRRIHAFTSSNDEASAHVTARPLRHDVGSPEGSLQMQSWLAECKEHEGC LDPHAEAMLPTRVVEVSPADEDEPRVIESGGRRGRYATVSYCWGKAPFDTLNTSNYTQ FIKGFDNRTLPLTFQQAIAVIRKLSIPYLWIDAFCIIQDSEEDKNREISSMKDIYASS TLTIVAASAQEVSEGFLQDRPHAKLSFTIPFCVKPNVFGTFSFGSLDDASYDERSEPL AKRAWTLQEQLLAQRTVTFASHTMMWACKAGTKTFGDSFHYPFYDSMDTYTSLNLNEL LMDDQDALAVKYEALTCWSRLVSAYSLRRASLDNDKLNAVAGIALHGSFAPALGPGYY AGLWTYKLALQLTWYVSYHHRSLPDGEKLDSYRPKTYRAPSWSWASIEGGMIQFDEVF APIFNTVPICKILECSTTPRLDQNPFGEVVSGQLKVLGFVRTAWFYPQTWNLVLLPIS DSEGRDNSTMSHEEGYDKYIEDFISANPEVDFKEDPEVLHGTWNDNTSGTRDESRLTE PMIVFCLAISSEGSTAKGISGLLLTRTANAGPYTRVGQFMRGRKRDFRNKELVAEELI IV FPSE_08145 MLVKAVLLSTVACSAIAAPYEVTNPEDLKNNWYSNDYSATRGVN EQIHWKFTLEGHNAVPDPGDFSVACHGVQNGAPCGETCAQKTPFTSCKDTTYEARQYF NGPKTTIEVRRTTMPDFGKKVITSANVNITAQTEVGTVTYGLLFGEFQKQFISTLNEP PSP FPSE_08146 MSFKDKNGSDESLLHNEEGSEGTDWTPLKQRGSWKGRAWFAFNV VFFLVSLCLFVATYMRSNVVSDMEHVRKTSFYSPVLDSINFKLRPIETEGGLFEAQNP SKWRNSIKPDPAVDEAWEDLETIRVFPITENEVRRLGKDPELLVKFPPEYGLGENAYM AQIDMFHQIHCLNLLRHLAWAEYHRNGTAKKPFSELHWIHVSHCTDILMQNLMCNGNL DIITFNWVETQTNPFPDFGVNHQCRDFDAIYEWQDKNSVPKDWGRNVTRPTGAKQIPI SEEYYRIYGIEKNETQSESSHSI FPSE_08147 MKLSQFLSVAAVCGFAAASPVPGNAIAERENLYARPYGLKMKDD AFKKRENLYARPYGLKMKDDAFKKRDPQNTYGKAYTLKMKDDGFEKRDE FPSE_08148 MTATNPPTTSTALYANAEGKPVVTSLPMPALRDDEILIKVMYAG VNPSDFRVLKFFNCRRRVMGHEFCGKVLESPGLASSPFKAGDIVTGYVNGSNDRPERF GTQQEYISIPPAWAFKVPDNLPHPNAAGLPVVVQTANDAIFNRFKLPLPQDAKGPIEG TMVIWAGATCVAMSAIQLARASGVTSIIVTASPKRHEFLKKLGATHCFDYSDESTPAR IKALVESAGDVPVWGFDALGTMTTPSPQTLLASSLPRNDRVKLVTVLLMPEEGFEPCI GARHYHTSFDLPNGDRVDIPPNMEAADRMWKALGWVMDHYGKEFQEIPVEVFKGTAED ALKEVEKVESTGRFGKLVLTLPWVTKED FPSE_08149 MKSKSLFIFITYLAGAAVAKPKPDHQEVDVAIIGGGATGSYAAV RLREDYGKSVLVIEKENRLGGHVHAYQPEGGDRPINYGVQAYLNREKTRAFFERFDVG LIDPDAKSGFDLLFATKDIDFTTGKTVDADYGLINSTVALIEYIALAVKYQPWFENGY FKKGKIPADLLLPFGEFLDKHNIGSSLEILRTFIWLSDAVNTPTWFVLAVVGQPQLAA LGFGLAGPSFKWPETYSSETLYDRVLDLMKDDVLLESTVVSSSRKANGVDLVIQTPNG KKHVKAKKLLIAATPSPGNTDAWDLDKNEKSIFSKFTWERLYVSVVGKTSLPSDVPGI RNTWDNPSNFYLPQGDFVDAYTRAGDKDVWTTRVLGRASLTAEKAKSMIKQVFTNIDT AGTYDVTDPTILAFASHGLTVPKVSPKELSDGFYQKLYALQGQRNTFWTGLSWAPDYT PILWDFTEKLFPQILSGI FPSE_08150 MAHLPKNVDVLIVGSGNAGFAAALSAAENGAKNILLIDKCPEEW VGGNSYFTAGAYRIAHGGLNDLLPFVNNVSHEQAGKIDLAPYTEKDFQDDMDRVCMGR SDPELAKTLIQNSNSTIKWLASNGIRFQLSFNRQAYEVDGRIKFWGGLHIKTQDGGKG LIEDYLAAIKRRGVQVSWSTALTGLQKHSTDDSYDVKLLVNGYKRSLNASAVVLAAGG FESNPQMRSQFLGAGWDMAMVRGTPYNTGDVLNLAIEQLGGKAVGNWSGCHSVAWDAN ADPNTGDREASNEYTKSGYPLGLMLNVDGQRFVDEGVDLRNYTYAKFGRAILQQPEQL AFQVWDSRTMDWLRMEEYREERVERIFAKTVDELAQKCAERGLRDKSAFVDTIREYNE AVYAHRQENPDAKWDPAIRDGVSTQSSKKKLALAKSNWALPLDKGPYMAVKVTCGITF TFGGVKVDPQSAQLIHGVTGSPVPNVFVAGEMVGGLFYSNYPGGSGLTSGAVFGAKAG KAAANVVAKRQAQSFSSRL FPSE_08151 MLHGQDVIIKLERDASALKAFIVTLRQSDAEKREQLLSSAVDNN GNVILSELVKDAPPRQTQHSSIGFPSQPAIVSDDELDIANFVSVDDSGETNSFGPSSA LYNPAKNSPDKITQCDPAWIENVKNSLIANAALQRHLEYGLMKHPTIAGVQTELAMHL LNLHWDRQHHTFLLTYRPAVMRDLQCSGQYCSTFMLNAIFACCSKFSPRPGVREDPGD PSSAGLRFFNRCDELLAQNSLLMRPHITTIVGLLLLGSTYNARGETSKGWLYTGYALR MVYDLGLHLDPKQTTDHSEDIEIKRRVFWGAFVCDKLQSLYLGRPVAINLCDSQVSRE FLDLYEETEPFHLPNSTVESTPSLPRNMDDMVPRYSVSTFQQLCLLSKIMTNIINRFY VVGATFSNAQNSLLKIEQALQKWREDLAPELDFQPWTSTRTQAQTPNIMVLHNLYYSL IILVHRPFISDGHLRSTGTPSRSWEQCTVAARCITSIASVYKSTYELTGAPYVLAYAV YVACTIHVRNAASQSHKEEHLDLLRRSLECLDELCIANPGVAKPANSIRRLIEASRLN LTVGETNSAIVTDISLDLDAIHNSFNATEWPYQNYDMSTGDADYSLPLDPLFGWINVP TPSLDQDTQLPLI FPSE_08152 MVPAPIDSSIKDVAPPIKDTLALPDAAKQRLEKSGVDLSKGYPY RPFRPMYLDDVYKIRDKPRDHVDAGARADKSKKSLLSAATKVTDLTAYIGTEIEGLQL KDLTDQQRDELALLIAERSVVFFRDQDLSPQQQKELGEWYGEVEVHPQVPQVPGLPGV SVLWPDLQATERPATFRQPGGASRWHTDLVHERQPAGITHLHNDTVPSVGGDTLWASG YAAYEKLSLGFRKIIDGRSAVYKSAHPYLDRDHPEQGPKYVERVHPLVRVHPATGWKA LWVNRAMTDRIVGLDKAESDIILNYLYDVYETNVDIQVRFKWTPRTSALWDNRITIHN ASWDYSGREPRHGTRVTSLAEKPYFDASAPSRREALGLLGESEKKELEALE FPSE_08153 METGLKNDSPSDLSDEAVTVSTEVPVNEKALLRKLDLRLLPAVG ILYLLSFLDRSNVGNARIEGMIDDLHMSGNEYLTGLTLYFIGYVLFEIPCNIILKQTT PRLWLPTLTIAWGIVATLLGIVQNKTGFFIARFFLGVAESGLFPGVVYYFSMWYKRRE RQFRISLFFSAASLAGAFGGILAYGIGKMSGIVWENGWRWIFILEGIATVVVAVAAYW FIENYPDTSKSLTKSERSFIHERLHADSDAIRQEKFSWAAVKEAFRDPSCWLYGLGFH TMSLPLYTLSLFLPTIIKDLGYTAAVAQLLTIPPYAVAFVTTLGVAIASERLAKRAVF IAGSSAVAAIGYIILLANTNPTVRPGVSYVGTFFAAAGIYPGTALVLSWPAINVSGQT KRAIANAMQISIGNLGAVMGTQLYRSGDGPRTLGWRLKKQNQSRAAVSEEIKHVGDVE DWKGDSDPRWRFEY FPSE_08154 MAISGRYINLATRCTGVRRTLSKSRTISTANKQLEVDPVEAQLD FRKFLDILRQDGDLAEIDKEVDPHLEIGAICRRVSEIRDKAPLFNNVKGSTDGLWRIF GNAASLRKGNKEKFGRVARNLGLPATATWKDISDHFISWKTAKPIPPKILPTGPCKQN KIFGSNVDLESLPVPYLHEGDGGKYLATYGIHVLQTPDKSWTNWSIFRGMVHNKNHLA CLVGTGQHNSIIRDKWLAEGKTEMPWALALGVPPIASIVAAMPVPEGVSESEYIGAVT GKPLDMVKCEMNDLLVPASSEIVLEGTMSLVEKGTEGPFGDYLALVFDGEGGKGPLFR VDAITYRDNAILPISCPGNIIDESHTTAQLAAPEVLLLCREHGLPIKEAFAPVETLAT WCVLQVDTEKLRTLKTTSEEFCRRLGDTLFKSKSTMLINRVLLIGDDVDIYDWDKVMW AFTTRCRPGHDTYHFEDVPSHPLTPYMTQIPVTPRRGGKVISDCLLRSEYDRPRDFKA VDFETSYPSHIKTKVLNNWAAMGFS FPSE_08155 MPYSLSLCSHMVRRVVNVTSPRLHQTWNQSCRGFRTTSLDQTNQ PDLYQDTTACSTKSVKASKVRFLPPRPKRIVVGITGATGTPYAIRLLEILKELDVETH LIISKWALATLKYECSLTESQIRSLAYSNYTSKDMSAPIASGSFQHNGMVVVPCSMKT LAAIRIGFGDELIARAADVSLKENRKLVLAIRETPLNDIHLDNMLFLRRAGAVIFPPV PAFYTRPKSLEEVVDQSVGRMLDMLGFNIEGFERWDGFRKD FPSE_08156 MNSITRVRQHGRKQCYRLQAFYFPRHRNLHTVPLIINGKDVITD ETFPVIGCLAGKEISRCSTVSEQHVLDAVHAAKTAFPGWSATKPSERRDIFLRAADIF AKRKEELSGYIQEEIGASKEYQEFIIGLAIEGLRDTAGRIAGAVTGQIPVSIHPDTSA LVLKRPYGVVLGIAPWNAPYHLGLRSITFPLATGNTAILKGPELSPRCYWAFSDVFRE AGLPDGVLNTIFHKPSDAAVVTDQLIAHPDIKKINFTGSSKVGSIISATAGKHLKPVL MELGGKASALVLEDADLDNAVVQCTLGGFFNAGQICMSTERILVHSSIADVFKTKLQN TIKAMFGSSETTPVLVTSGSAKRNRDLVNNALAQGAEAVYSDPQPVEGAATKMTPVVL TNIDKNMDIYKTESFGPSVSLFTFNDEDEALKLVNDTDYGLSASIYTKDLNKAFSLAE KIDSGAVHINSMSIHDEFALPHGGVKSSGFGRFNGYQGLDEFLYYKTVTWIQ FPSE_08157 MMTDGAASLQRKQGACLRCKRLKRKCDQKQPQCTQCKKKHRECS LQVFRVNTVQDSSLLIKRPRQQRNRATDSSSEKSPDAALSYLEDIYESSELPPDPDCS LQGDGPSIASIHTKSPLLSESEASESSLTKSSHNSHRDSHGSPGSCIEVPDFINQSMS ILSPQYSIQAPLSSGLSRDIVESPVRRLLWDYFVNRASQVFLCWDPNDAKLDKAYRDP YTDNLPVLAVHSRPMMLACLALSAFHSAGGGRSREDLSLITSLMLEAANELAASRWQG PQTFERLLGTVGAASLLYLLKPSMYADMLALSRSAALCLASAPSYKATPPLYQVIMQI FRWSDICSQCSLKRYVSIPNEPTQLLLELKDNERASNLSPSYADWVVHPLYAFPEELI APLRRVAWLVRLRQQGCVMTASDTTVDLPAPTTTRVLQLNSTTTNQPYHQRRQISAQI SSVSPLQWTLQSSYFF FPSE_08158 MSAKSGTIEKPNCPESLSDDAINININGAFEPVLRRDIKPLEII ALGFNVCNSWIAFSTSLAIAISAGGTVTVLYGILIVALAYFAISITLSELASVYPTAG GQYHFTSILCPDKSNRLVSYICGLAAVCSWTFLAASITILSSQLLLAVPAFYIETFVP QGWHYFLVFQAINLVLLLYNIFCLQRASWTHSIGFALSLVMFLIIFVTCLALSSKASS ESVWTTFDNHTGWPAGVTFLTGLVTPASMYGGIDAVLHLAEECTSPERTVPRAMMAIV TIGCLTGFGFAVSMCYSITNLEALMATTMPIYELWRQATGNNTAATVFDIMLHIITLF AVTAVQQTASRMTWAFARDGGLPGSSYLASVNVKHQVPVWALVTNSVVIFICGCIYLG SGAAFSAVIGSSLVLQIISFTIPALLLLWHKRSDSVLPRDRAFRVPDAVGWTANILVV IFGTVFSVFFILPAAIPVTGNSMNYASAVIAICAIFGSLNWVFYSKKRFVGPRIEQYR RT FPSE_08159 MPAAKLQMEGEVLSERTQALLQKEKTYSAGGFGPLPGFIVSAKG STLTDVDGKEIIDFAISMGTVNLGHCNPTITKAVVDAIQTSFQTNIAVQDSRWPILAE TLCQKLGYDKVASMCSGAEAADAAVKIARKWGIERKGIEASELLVLGCSENYHGLSSG IWPIMTPGCGQQEYGITSTNVINFNPETGNSLRYGHVEDFEQVIAKYHKRIAAIMMEP IHGGLRTFQEEIEFATSVRKLCKEHNILFIADEVRMGSGKTGKFLCSQWLDCEKPDIV VLGKSISGGAFPASYILGYDDTMTLIRPNQSASTYAMGPAANAATLAALSLYNDDKII NRARVIEEKWLKLTSTWNYPFIQYCTARGGDIVILLNEGLGGVTARRLARLAYQRGVL VYPQKPRVRCSISLTVTDEELDRGFGILTTVMDDIGSFGEIPGSTHAVDEVDAGF FPSE_08160 MYFSSIIVPALLSSSALGLAVPQSEDSKLIKRFDVPGASMEDGF TIPEDTPDGIYRVYIGEDGVAHHTKVDMNLESPHGDATWSVLDKRQSWQINCEGSSLN RNNADLTVRLLRDGCGSGHTVQPRDHYYVISGRVASYFCNNTSGRLTCSATIIRQQIQ ENVSRRCGTFKAGWSRVNYSNGHLSVGYQNVNNQNSFCGRNH FPSE_08161 MRYLQNIVLLIGFLGAAPAVLAAAPSTESSGVEIAQPNAADAQP PQAIQPQDVEEEENDDEEDDLTGNDGAAVLAQLESRARVKCLTRGRPGKNCYHSQCPG NKQCKVNARGNCVFKLSQKKRPFGCSQCLCYRSSL FPSE_08162 MSMSDRDQLLRRLCPGDRSESWHQHYQRVRIPGSCQWFLDDPKA KEWLQNDIPSLLWLHGPSATGKTFLCSRLFEHIKEGKDNAAAFVELTHNHKQYNKADF NHALTSVLRQLVSQLPSTSGVWKELVALEDTPYPDDDEFGQLLHLVASEFDKVFIVFD NANSVTEVALRDLMLSLNPSDSGSNFRVVFASRNACPSGVSASHQVLEMHFRANDEDV KVYTIQALTTVSRRDFSTAHDKLLQNMVQVSDGLFLPIPPWSAGTPMPELLASLDQLM TSSREAPTSDRIDVFCKEAVRQITASQWKDMAFCILYHIIKASEAGYTFTMPMALEAL DVWQISHDEKDFSTSEVLLGCHGVIYYGEDDESMRITSPLLGEYLKRVVFDIDYDKRS ISGFLRYLSSDSFAQGAAKSSARLRERLQKHRYLWFAARMLGPSLQTTIPDTLVDDFI RLSSRNGSIESYLQAAEAWPFESQASYDELEEDQERWGVFTPGYGPLHLAAHLVAPKF LIDALVARGEDLESRDSDGRTALHLAAEIDMENSTLQALIEAGSNVLAEDSLGNTPLS NAVVDGSVESVKALLAHGADANALDEETLEQCGQEKQDIAAYLRELGIDVPVEADSDM EE FPSE_08163 MASNPSEEPNRQPDDIPSPPVAANTPPLDESNLEVDDDLDANDS LHNSIGASSYLSSLQSNIYNYRFENGRRYHAFREGTYLVPNDDEEQDRMDLVHHIYSL ILDEKLHLAPINKNPQRVLDLGTGTGIWAIDFADEHPSAEVIGNDLSPIQPEWNPPNC TFEVDDYEDEWVYRKEFDFIHARELEACVGDEDLLLQRAFRHTRSDGYIELQGVAARF ESDDETIKQAPNAQLWMKSLIEACAKFGKPVDCADKWRARLQRAGFVDVHEEIRKLPI GAWPKDSKLKELGRYQAIQELKVIDSYTPKLFEHVLGWNEQEIQVLMAQVKKELRNPS VHLYLPVYIVWGRKP FPSE_08164 MAVGSSKQINFTEFYNLIDGKLETTKDTLQNTNPSTLEKNHPAP VATQQDVDRAVEAAKKASESWSEVPWEERQALVTKYAEGIDALKDEFAHLLVKEQGKS LAMAHFEIMLSVQFLKGYASLPDPQRVIEDKPDCKVVTKYVPLGVAVGIVPWNFPIFL TTAKIGPALIAGNSFILKPSPFTPYCGLKLAELASQYFPSGVVQALSGDDNLGPWFTA HPGVDKISFTGSTATGKKVVASAAPTLKRVTLELGGNDPSIVCDDVDVKEVAPKIAFA ALMNSGQLCMAIKRVYVHESIYDDFVKELAAAVNSFTVGDGMDEKTALGPVQNQMQFD RVKNLLADIESNGYKLAAGSTSASTAGKGYFITPTIVENPPDESRIVVEEPFGPVFPV LKWTDEADVLRRANDTDMGLSASVWTKDMEKAERLSSKIKAGTVWVNNHVQLNPAVPF GGAKHSGHGAEHGIEGIKAYCTTKSLYFNTA FPSE_08165 MRACNLFRHTGLVASPHRVGSSVYHKAGRYSSFSTSSALASTGD RGNTVGFIGLGAMGNHMLNNLISRYKQPSQGNSVSFALCDTNQEAIEQVIKRHNVEHP HVPLISCSSPYDVAQKASTIISMIPTGKHVQDVYVGQGKSVLNALKSMDRDQRAATLY LFQLTRGHWLSLQLSLNAGVRTVDIDLALDQDLKHLMELIEDADVFVQGYRPGVIARK GLSLSNLLEIAAKRGKGIIYVEENCYGPDGPMAERPGWQQIADAATGCSYVTGRSLGH EDGTCVLPALPVPDMLTGLIGAIGAMMAIRDRARQGGSYHVFASLMAAAGLHLKPEIG LYSPEVVEKCNQKFK FPSE_08166 MSRPLQAAMHRVLHLGKAAGKPGEVYYPLRLKQTKTPTPKQNEV LVQLKAAALNHRDLFVRRHQYPAISLENPMLSDGYGVIVELGKGVSDQSLLGTNVLLT PMRGWTSDPAGPEVPSEWSITGSTRLYPLGTAQEYVCVEQEEVVSAPKHLSAAEGAAL PLVGLTAWRALTTKANVRQGQNSLITGIGGGIALSALQFGKAMGANVFVTSGSQEKLD HA FPSE_08167 MCAWVVSVLPVFIETKTSAGSLETSGVQLGVWVAAWHESLRSIM RCGGAVERIITVPLIQVSGGSWTVMFALDGGNEVQILFDHQTIIGSSNSLTG FPSE_08168 MSVDKPQYQHFIPQFILRNFDYPFVCPIAPHNGRKCKKHQHDKG KYPGDRVVNCLQLLPEGFKFEEQSIKRVCGLDDMYTDKSPMAESPRELEVKFSKLEAA ASPIIRKIIASHQRGDEHVKTTRTQQTILRKFVYLLKQRSSGFFKTYNYQSINDYKGK DKDLLKLMIVLRSQHLPEPHEDADPELKAGRQQQRQIFIDSVFGTGTKSILEDLPVHK ALNNYSTCVNGRRMGRPGWDEQLRQTDSFLFPFFKISTRHIRAINGLLLDHAFHGLSI IFFQKGPFLDLLEWYLKEPCEVGKRLSGEYAQEQKRYIEQLATFMVAEGRNVTTSFRF ERTWHDLDIEAFKKQTNATARWLEERESSHTENENTGKKGKQTSEPETDGSLEDTESR TVLDSGTTDNHQPKDEPLKNVTTRWLKELGDSHNNNKGKNVEKEETPPHEGDAKVQDT VIQKAAESITKADDEPNLAEKGEDNPLENEWIAEVYNLFAEKWKSPHVLSDDETKALN LKKSLVMIRVWLRNAKLDSADDRLETLLEGYQSRQPPVVFWLFLKRFRYALWSQEIEN TPKDDIFSEIWPWPGPEDGFPKYPLTFDPLVLNQAMWKTMIRDIAMSRDLGENLSLVA LTSLCLKNPISERQQFLDWITQILFYMVFNIIKVVIAAIQHCTGFNETV FPSE_08169 MTNNYTVGSLLCSAENLVSPDIFGTQVLNISASMVTNFTTSVPG DFNFHHGPAEVEDVDFCNITVSYTHPGQDDMVTVEAWLPINWNGRLQAVGGGGLVAGR YALSDAQMSGAVGEGYATTTTDAGQQGKLATEWGSLSPGNVNLYLFQNMMTTSLNEQA IIGKSLVNSFYGRKPEFSYFSGCSQGGRQGLMLAQRYPDAYDGIASSAPAINWNQFIN GIFWPQLIMNMMGEYPAPCELQEIAMAATAACDGQDGVLDGIVSDVGSCKFDPFHLVG TTFDCAGTQMKISEAAAVVSNATWAGPKSVEGNFLWYGIAPGANITAIDGVGQGPAIT TCLGNGTCTGVPFSVYTDWASVFVERNLSMSFTTLTHDDYDRIFKSSLLQYSHINGDD TNLAPFFQRGGKILGYHGTLDALIPLQGTLQYYDSVSAVVPDIHDHYRMFEAPGVGHC YGGHGGQPQTTFDALRAWVENGTVPDTLPVSYTDKNGTLNSRFLCPYPKKVRYNGRGN TALETSYTCEV FPSE_08170 MRELRCSFLPPNSYSSASPCGNSGSSSLTPPCDATLLTQIPSPS LPDVPWTLSLEPASEGLDIEDFFLLHHYTIFTSHTLAIVPGLDIFMRINLPQIAFSND FLLHATLAIAALHLSRFKKNASEANAYMMKALHHYGIALRTATSLMTSINAQNGPALY LFSMLSFSFTLGLGPKPGDFLLFGQQGIAQWLGQLQGMRSLLETKPELFQDDTLAPMF QLSVRSLTEPVSRADHFPQLREQIQQAASGDPELVHYSKALDQLSQSFGFAFLSTSRA AQLSPQQVFVWVYQLDDDFVRLLQEEKPIALIILSYFCILLNRLSSFWWIRGWPEHLL FEIHTSLDEEYKVWMRRPMEETGWIPG FPSE_08171 MHVPIAWMSLTGLIFVSHTSATLLSQRSASSWEEASDQARQFVA QLNTTEKIGIATGGYRLDGPACVGTIGKVERLGFQGICFSDGPSGYARSDGSSVFSSG LTAAASWDRRLIYERAFAIGEEFRAKGSHVILGPSCGPMGRSALGGRNWEGFGPDPYL SGVAMNASVSGIQSAGVQACSKHFIGNEQETQRTRTTEEDGTFVNALSSNIDERTLHE LYLWPFADAVKAGTASVMCSYNRVNQTYSCANPHLLSLLKDELAFPGYVVSDWYATHG TTAFANAGLDLEMPGPVSKDYGASYFGDQLLDAIGDDNVTESRLNDMAERVLRPYFFL GQNKDFPDLDPASSAALGVNQFGYNNPQLPVTPIPARDVRGDHAKVIRKLGAAATVLL KNANGTLPLKKQADIGVFGNGAPYPTIGSIYFDYANTSISYEMGTLDQGGGSGIVRHT ELIAPLDAIRERVRKEGGRVQILLEHKEIIEGKFRSIYPIPDVCLVFLKAFAAEGRDR DSLDLDWNATKVVESVSSFCSNTVVIINGPSVVLMPWADNENVTAILSAHYPGEEIGN SIVDVLWGDTEPAGRLPYTIPKKLRDYGAPIYNLTEPAANPDAWSVDYTEGQLIDYRH FDAMNIEPQYEFGFGLSYTIFEMSTELAVDIATGIQAQPDESKGKAPGGWVDLWEQAA TVSVKVHNTGDRDGHAVPQLYVSFPQDTTPPGTPVRVLRGFEKVYLKAGETKKVTFPL QRRDLSFWDEATRQWVIPKGTFTFAGGFSSRDLRAKTETSALG FPSE_08172 MPPEPSSQSESRRTHKKSRTGCDTCKKRHIKCDEVRPRCAKCTF GNRTCSYAAAPGPGQGQAGLDTNVAGSSSNSPAGTPLSPPALLAAAAEARSTVAAPGR YDAIHMSLFHYAIINMTSFMGVGGDSSRVLESALNSMHVAPYLLDQLLALSALYQSTT TQTNQNLYLRYATELQTRALAQFLETRGNISGTNFIPAFLFTSFLGIHVLYNTFRDHQ DNLGEFVSAFVDYARVHQGIRAVTANYWPQILASNLAPLLGIVEIGNRIDHLEPGTET IELRKLLEALSDSSRMPITASIDALQRMQWVLDLANHNAQSSSHKIQAALAWPVIISE DYIDALYLRHPEALAVLAFFLAFVYENRSYWVFQPCAGSLIEAVANHLGPFWKEGLSW PLSKLVSS FPSE_08173 MSAQENTEEGKKSLILNAFVEMCSGHQSPGLWRHPDDQSWRFTD IEHWTDLAKLLEEAKFHGIFIADVLGGYDVYKKSLDPAIISGAQWPVTEPLSIIPAMA AVTKNISFGVTVSTTYEQPFHLARRLSTLDHLTKGRVGWNIVTSYLDSAARNLGREEQ LSHDERYAIAEEYLEVMYKLFQSSWRDDAVRLDREAGIYTDPSRVREIGHKGKYFNVT GPHIVNPSPQRTPLLLQAGASKAGKLFAAQHAEAIFTSAHAPVVAKKNIAEIRQTAKD TFNRNPNNIKVLALVTPILGRTEEEAKAKYDDYKQYASLEGALSLFGGWTGMDLNQYG DDEELRQVESNAVKSTVEGYARFSPVNSKWTKHTVAEHVSLGGNGPLLVGTPAQVADS LEEWVAEAGVDGFNFGYVLFPQSFKDISNLLIPELRSRGLFWDDYAVPGGTYRENFYG IPGQKYPLEEHVASSHLWSAPEDE FPSE_08174 MITWWLNRGQKAVNLLSRSKSQITPAAPTKDEDDYIVPTTQKAV LLYGAHQPYQLVENYPVPADLQSDEVLIENRAVGLNPIDWRGPDFGFGLPDLPQVAGR ELSGLLVHTADARCGWAKGDRVIAISTDYRDSRKGAYQNHVVAKSYNIVRLPGHVSFE QGSTIGVAFVTAAVALGICLGVDFTVVDNGPEMRQVVDSLNVDSLPKDVHKEIAQQIP SQDRPEAGDWFVIWGGSSTSAILSAQLAKLAGLRVAVVLDAAKHGSSLFKEHSIKPDL VIDSHDPQRAIEIIRSCTRGRKRFGLDTRGKETTTFLIDSLCGTPSILEPQRLDDSTS DSNEISLPRHVHIVALCSAPKIQRTDLTLHTIPIKLFHVSPKVGKALTTWLGRLLQNN TIAYPTIAGVGDGLSSINASLNKMRRGEISGGKMVVKL FPSE_08175 MSNGNVSCHEPGQYPVKWYRSTFYNMTILGLCNLSAPGIWGAMN SLGAGGAQKPYLVNTANALTFCLMVISCWGGSVLVHYIGIKGALIFGTLGYAPYAAGL YTNNRFGNEWLVILGAALCGISAGVFWMAEAAIAIAYPEPWNKGKALGYWLTYRLSGQ IIGGAINLGLNVDRDEAGQVSYTVFLIFIAIQASGPLFALFLSPPNKVERTDGKKVDL SIVNNPWLETKKITRLFFTTKFLLIVLYIGAVVFSEAVFFTYLSLWFTVRSRALGSFV SGIIAVIGGNILGAWLDRTSVSLKRRTRGAFWVLVILQGGWWTWATILVTRFNISQPM YDWSSPGFGAAFAIFVFLTFGFQLNYLFLYFIVQNLASDEAEIVRYAALLRGTESAWQ AISYGTSSIPIMARVGGVYFNLALWAVSILPAWTVIKHFGNAPYGSDIDATSTHSSED VKLKGSTKVTSSNK FPSE_08176 MGDASDLVESRHDAARDSSLGAVNGLPVGLQKPSEPQSYVSPQT KLPLLDRYIDEPRQLRVAVIGGGLSGILAGVLLPEKVPGIQLAIYDKNYEFGGTWLEN TYPGVRCDIPSHVYQSTFAPNEKWSDEFAPGAEIRDYWQGVAKKYNVYQYAKFGHHVK SINWNSEESAWTLKLHKRDQSEQATTTVVETADVVFTATGRFNAWKLPDYPGISEYQG LLRHAQNWDPSTDLKGKRIAVIGNGASGIQLVANVQKVAGHLDHYVRGKTWIANSWAG DDRTLEPQPIPEDLKATFEDPEAYLKFRKITEDKYWRGFDGFIRNSDTSKQLKAQFID IMKKRLAKKPELLDIIVPDFSPNCRRLTPGPGYLEAIAEDNVDYITDPIARFTATGIE TTDGVQRDVDAIFCATGANRDMIPAFEVRANGASIGDIWGKEKGHGFPYTYFGVATPG FPNLFFLAGPHGTGPSGTVPHAAETQVAYFAKILRKISREGIKSIVASKTAADDFLEY ADSFFASTTLSENCSSWYNGGQPGGRIHGVWPGSATHLTIVRREPRWEDFEYEYLAAS GNRFQWYFGNGWTRKEQDRDEDMTPYLHKPGKVSLKDIHESWWSVP FPSE_08177 MKLNFLLTAALVAAPVMAASSTTTSTETTKATKTSAASKTSKAA AAPTMGVDMMGVAGVAGVIAAMAL FPSE_08178 MHRRSSTADRIRLLESQVSSLSGLLTSGAREDTGSHDNNCTVTS RPRQDTDFAVTPIASDNHVFSGDSPNPPQSVLEHFVEVYSIKLHLQPLPLFELECLRD TLPVAAGYLRYSFLGLMLEFSSHSYYDNKHEEASTFYRHSAEAATQQLAGQGKPTAEI MQALCMLALQNISAGDLPRAWMSIGAAARLSTIDRLWNPKPTNTREQDTDNRCYWSIR MIESIFFPHISRALQPDHTYKHPPSVEPPPPIPRDSNQINAPDFDDTGGTSRDVGINT HATGIISIWSQVASYLHDIRMGEVQVPWLPESTYSKLNLSLLEYEAQLHRKHLMRNLF PFKRSPEDVKACRQYWNPWLTTHLILHASLALLNHPFIHLVALRRNRGMSQSRLFLQQ VVDQAIFHSGWVFWLVGIFEDLSVDISNPLVGLAVAATSTIPWLYQFVRNAKLARKAS QNLSKGQRLLERMSKTWPCLVRKLESLKELQALAMGTQLEIGTTSTMIEFPPSMIWEL LDPIILLAELPSNVDNACAGSASRVSIHVTTDFLHPLEDDQEESTMNSLDWEDSLMCG NDLLQDIYPATLVPFDLNHL FPSE_08179 MGHEFTGTVVELGSAVKSVEIGDKIVSPFTASCGQCYYCENGGS ARCVNSQPLGSPNLDGAQAEYVRVPFADGTVAKASADIHDQALILMADIFPTGYFGVK SGVEMMPNLDVHDSTIVVIGCGPVGLCAVVAAAAALKPKHLFAVDSVSSRLDQAAKLG AEPLNFMSDKTGMHDRVKAATNGRGADIVVEVVGLSPALRTAFDLVRPFGAISSIGVH NAEIPWSGNDAYNKNIRLQMGRCPVRSIFQEAMNVLKKEQDSLDFLFDNIMPLSDAVR GYDLFNQSKVQKVIFKV FPSE_08180 MGNEANLICEANAPSIESPSKGKTVTKKYADVTLRLVEEHGDHV EPLSPEEEKKVRRKLYLRLVGLLSTINIMLFIDKSTLGYAAILGLFEETGISQAQYNN LNTMFYVGYLAFLWPGHYLMQRLPFSKFIAGIIFTWSIVIFLHCVATRYAGLIVLRLA LGAAEGVVVPALEMTIGMFFNRAEQSFLQPILWITCQGAPIVTGFLAYGLLWTSGPVL PWKLLHIVTGGITFILSIWVWFDYPSNPAEARFLTLQEKIHIIKRVQQSQQSSIEQKQ FKRSQFIETLKDPVSWLFALQAFTLMYCNNLTYGQKNLLVTSLGVTPLESTLVAVAGG GFGIVNCVIAASALRKYPSNLALHCTLWCIPAIAGGIGMVTVPWDRTIGLLACMFLAA HTYGVAYIIALGWTNSSAAGYTKKLTRNVMFMIGYSAGNLVSPQIWVASAKPRFYGAW SSMIVISWFGTPVILWIIHFILARRNKIRKERINAAGENDGYHYVEQLDDGGRLVKVK VEVAMLDLTDLENESFIYPL FPSE_08181 MNGLFFTRRFAISIHRNSRVLHLGQRYRGYGTLIGGNGCFNPRW QPQFQPEQQSTNLTRSYGTLPDASEINKVIDAELARRGDFRRVDEISDPEFNELYLQK FSHFHQDIDEPDTIPLKPQMYNIQSSSWVPSSNKPKAPERGRVLKIASWKLLSSTPTF AALRASAAIAHLRSMFGKTPLNLVVMLQQINQEVLEVILKDKWAQQNFVLSDTEPPYV DNKVDKLSGDKGDLKSSQYFTIMMISRNLPISNCFRLPLESEIKTDALVVDVPLPVSE NDDRSNQSLRLCTTCIESNDLDFEQLSEVSYLLLGMCSGEQSLVGGLVGGYLGEKDFH RDVMKGYNDVWDDESSAVPPVSKSSQKDITSGKEKRKGKSKGKGSKGSPHSDKTRKEE QSDTFLYRGLIETVALKEAHDTTGRLGRFGIETKTKPGSDNVGVSDHFGITVGIKVIE RFKP FPSE_08182 MSPKNMETGNFAGIMDQFLDDLATPSPSFDMDFSIDQEPVQASG PGLFNDTSPQHDRHNHYPDIASRDAAIAPQSIESATNSRPPIQKCSCIELVNQHFSEI ESSLETFQTLKILKTSLVSARKILECTVCFQSVKSPRSSRNVYLLGSLLSSIGSSYGD FFYMQKQRASDPSVSGTPISLFIGQQADAQDMVEVSLEGSSYIAFLQASLKRELACLV QLGDELAARQSQSHTEGHENCETGTSCSNTETPPTTKHPTEMCPKEVDMTTACACFRT VDQVRAAVAEAQRIITA FPSE_08183 MGASCCGPKSEHVSASLDEHGHVAELSDHQHHGSHGHHDAHEEN TTDHHEHGGCCDDHSDASSITSQASTCCGSEEHCSERCIYAIAALQCKKACDEDPKHQ GSHDLPHGHELGQHEDDAACGTHLAAAFDQYSSYLEQIRCICRSAIEQGMTSLETCCM TTRSNTNKHKHKHGSKREKKSTLPIIPTFKPSGSESKEVDVERGVNLETIGFLVSGMD CTSCADKLLRIFRSMPGVSNAQVNFVMGKGEFDVDCSLTNGEEVINFVSGATGFMLSK IVGGNFFVDVLATMEQSRQLVANPPLGVVDVQWLDKKTVRLSYEPTTIGARDLMEQVQ DRCNGLAEPRGDPQLESSRRRLWDQLTKTLLAAALTIPVAVVSWSEGLVNKKTEGIIS LILGTLVQAIAIPEFYRPALSALWYSRTIEMDMLVVISITAAYVYSVVAFSFEMAGSP LDEGQFFETSTMLITLILLGRLLAAFARVQAVSAVSLRSKQNNIAVLVERESDREIDA RLLQYGDVFKVLPHCRVPTDGIVMSGKTEVDESMLTGETLPIVKQKGSSLIAGTVNGD GTVVVQLTRLPGKNTVTDIAQLVEEAANSKPEIQDLANKVAGWFVPAMGTVAILVLVI WIVCGIEILNYSGGTSVGNAITYTVATLAVACPCALGLAVPMVLVVAGGIAARGGVII KSADVTEGARKTTDVVFDKTGTITEAELVVNERLNLNGDLSDNLALAKALVSGGKHPV SAAVDNYLGLQSVKGMSEVLNIHVVPGAGVEAEYLDSTVRAGNSRWTQAGQLEDVSRL EHSGLTTLAITRDGVPLIVFGLSAQIRPEAARVISELKTRNINVHLVSGDQIKAVQAV AASVGILADKVVGERTPPEKRDYVASLMGQGKRVLFCGDGTNDAIAIAQADFGVQMGG GLTSSDVTQDAAAVVLLNGLEGIPFLLDISKVSFQRMYFNFVWSAVYNLLAITMASGA WVEFRIPPRYAGLGEMKRSTIIIFESGRFFLEQGKEMISVIWMLMKRSENEQQLAPVD GGIAAWRLLGAAFVFETLLWGFPLSFGVFQDYYSRVPAFASNPYIGVVGTIASGLGYI GAPFIMPFIQRHQRWRRQMIWVGLAGSFANTLETLILTQGVAYGVGFLILYYPILIMV NEYWIARRGMAYGLLCGASGFSGAIMPFIIQTLLAKYGYQTTLRAVAVGLAVMTGPLI PFLDGRLPPSEHVNTPKTNWSFFRSPLFWLYSVSNLFQGFGYFFPALYLPSFATSLDL GEKSGPVLLAIMSISQVVGQFVFGYLSDRKLPLDILACTSTLVAALATLTAWRLADSF PILVGFTILYGFFGAGFTATWARMSTTITDDVTAGPIVFGLLNFGKGIGNVLAGPIGG LLVYNSSAPQHSTAATLTRSSYHWVIVFTGVCMFASTCTIFLRYPTRVLKILRTVTLR EPRHGRSYPFHE FPSE_08184 MLVCRSDHSLLTIEKQAAWFQEKYILGHEGCGQIIEIGSNVQDK GLKVGDIVALHAVPGCGQDDCPECSRDLAQLCERGHHSGIGQDGFYAPFTAVDIRAAV KVPEGVTPAEAAVATDAVTTAYHAITRRGEVKKHETVFLFGLGGLGFNALQIVRHIGS RVVVSDIRQELLDEAKALGIPEKDIVPVGKQPQQFIKDNGLEGKIDTVLDFVGTHQTF EDAQHIVRRGGKLLCIGSLDTENTIHMKIGTRKRLSFIFSYGGQVRDLKKVLDLIASG HISPRVETRKLPDFEEVLGALGEGKIKARVALLHD FPSE_08185 MAEIPTQPPSRSLKDKVAIVTGAGCFGDGIGNGRAISIMLANEG CNVICLDVDLEWATKTADMANQSSATSKAIPAQGNVTNENDCEKSVNLAMKEFGRVDI LVNNVGIAGALGTAVDVDMAKWTMGLEVNVSSMVLMAKYAIPAMAKNDGEIKGSIVNM GSVAGLKGGTPHLLYPTAKGAVVNMTRAMAAHHAKDGIRVNCVCPGMLYTPMMYAKGM SEESREARKARSLLGTEGTAWDAACAVVFLASNHARWITGAILPVDAGTTAAVGTNLP AGASVNG FPSE_08186 MCDFSEYGPKSAEWLAVEASLLPPPAFTDIYERQAVINKGREEI SANGMKELGHLVCMKDHKIPTRDNSLIEARCYRPVDAADDVCLPIYIHLHGGGYLFGT LSSEDAISSRIAIGAKVTVINVNYRHTPDHTFPTAWNDVEDAFYWVHDHIDDLQGKPE QVIIGGISAGAQLAAALALRQNISASDIRQYPKIAGQILMIPALVHKDHYQSQIDQLK SPLVSSYVQNEDAPILPKKVIDLFTGMLKFPFPFPDAEDFRANTGNASASQVEGLPPT IFGIAGADPLRDEGLLYGKKLAEAGVPTDIHVFKGLPHGFRRYGDQLTESKRWDKVME EGITWALSNPEARNFEVKST FPSE_08187 MPSAQPIRGDAFGSPDSNLDYDVLIIGAGLSGILSLYRMRELGL KTRVIEAGSGEGGTWFWNRYPGARFDSESYSYIFSFSQEVLDEWNWTEHFAPQPETLR YIQYLTDKFDLKRDMQFNTRVKSAKFQEDTSSWLLEDDDGSQYTCRYLITAMGILNQP TLPNIPGVQNFKGQAWHTARWPSDASALNGKRVGIIGTGATAIQTIQAISDKVGHLTV FQRTPNWTAPLRNKKISPEEMDEIRTRYPEIFRRCSESYACFIHVGNSQSVFSMTEEE RQEKWEKLYAEPGFAKVLSVSSDIFTDHRANELYSKFHADKIRGRINDPEVADKLIPK CHGFGTRRVPLESGYFEVFNQSNVRLVDIREDPISQITETGVQTGDAFYELDVLIYAT GFDAVTGSFNAVDIQGRNGTSLKDVWAEGIRTFLGLTVQDFPNMFMIMGPHQMFGNIP RSIEYAANWVAEFIRYARDNKIISAEATNKGVEDWTQHVHECGKGLLANEVDSWMTGV NKNLAHKQQRSMTRYNGPAPGYRKRCDEVKGREYSDFVLRYA FPSE_08188 MAPDKPPHLELPPGEAIKAVKLINPVNFGPAVLERFMAPAVPGL ETFKSSPSLSFLIEHQSGRKLVFDLGIRKDYDNYSPSIAKYLPTTNYTIEVAGNVADI LQDNGISLTEIEAVIWSHWHWDHIGDPSSFPHLTDLVVGPGFKEAMLPGAPANPESPI QESDYANRNLREISFEGPDSLKIGQFPAFDYFGDGSFYLLDSPGHAVGHLCGLARTTS SPDTFVLMGGDICHYAGIFRPSKFLPVPDTISPHPCHPHEDGVLCPGEAFDRLQKSRG RAPTDTLFDLTFGLDLELATKTTKQLQELDCNENIFVVVAHDSTVRDGVAHFPKSLND WKKRGLGKGLKWAFLRDLEKFWESEGLLESGKA FPSE_08189 MSDSEKGAAVNYRVERDMAPKQGTEVDTVHQDEAMKVLEAYGGD EAWTDAEEKKLRRKIDWSLMPVLCVTYGLQYYDKAMLSQAALFGLREDLGLLTGDRYA MSAAIFYLGFIIGAYPAMMLAQRYPIERVASAIVTIWGVCLMLTVVCKDYKTLYTQRF FLGFLESGISPMFMMIVGSFYKKNEQAMRMGIWYSCTGYVSIISPIINYGFGQINGGV SSWRYMYYFSGALTIVWGIILVFVLPPDPVRAKGFNERERYILVARLKTNNSGVRNTH LKVAQIWELLLDPKFWIVFSIAFLSMIANAPISTFVPIIIHGFGFSTLNSLLLMMPCG AYAGTLQLLFPYLAYRYRNNRSYLVFIAQMGTVLAALLLWLLPLSATGALLFAVYILP SVGGGYAVLMGHQIANTAGYTKRSVASSGLYIGYCLGNFVGPLCFKKGDAPRFGPGFI AVVVTSIIAGLLALVYRCLCMWSNSKRDKSGTTEGFDHAYEDDLTDKKNSSATGSTSV IDRRNESALKLFVSFVIQRRSSAICSRRYVTPRHPEDCSNSKVQGDDVAEDNPELPDA TEIDFDKNVIGPGMGNTPAPVHATPTHVAFNIPSAERAPDTAVNHLTKSSTSPTEGAG CSHAGDVDTGFLQIYGPENQLEAEQQELVANLELRNPASDPRQQELQQSFSETYFEYC YPWCPVLDHETLDSELARSPMLSNALALAASHIQPPLIPHQGPAAYYEKARMMFYMDE EPDILTSLKAVSLFYWWAPRPPSTAHRHASWWWTSVIIRHAQQMNIHREPKQSGVASS ALHLSLRRRLWWTVFARERLTSLCQSKPCIICPEDMTIQEVQPSDFPSDPASQKKGRI FMYWVRLCAIMGKVSKALSKSVDSSSEIPTFPTELRQELVTWVQSLPSDLQLPIGSSR TEGFDRDVHQLHLPYLTTIIVMHLQRTTPDLPQALPPAILAASCIARILRDILSRGNA RFLMAITCWYSGTAFIALLQASRIEQFSQEAEEGLDILDQAVGQLQQMWASANVIRQG FERLRALPRSMMHTGKGRATASNGSSDGPSNDFDWKLLFPFVTRSTSRIADCLLADDE FGTTATALPSPENAVLHEDLLNRYHDLLEPFVDYNFDFSNINLDILS FPSE_08190 MPSLESPETKAQSAEDLSSMPATELPELVANLDDIKKGLRNGHA IGHATDPFTLSKEYAYTPRKIKVFTIGAGFSGLLMAHKFQHRFPEMDDIVDHTIFEAR NDIGGTWLANNYPGVQCDVPSHIYAFPFDPNPNWERFYASGGDILSYMKATVKKWNLD RDLQLNTRVVGAEWLDDLGQWKVTVEHEGVQREEFCHVLISAQGVLVHESWPKIPGLK DFEGHTTHSASWDHSYDYSNKRIAVIGNGSSGIQIVPQMAKLPGTEVTNFVRGPAWVY YRAPPSKHLGREDDDPNPRYSEQDKALFQDPELHLQHRKGIISRTNKSFYIFRKGENN ERGMKMAAEQMAEKLGHDPVLCSKLIPKWELGCRRITPGPGYLESFLRPNCHLTDSAI TQVTKKGLMTADGKEHQVDVIVYATGFDVSFRPRYPIVGLNNIDLREQWKEDPEAYIS VAVPSMPNYFMMMGPNCLGGHGSLVESLNWTGDYFVKWIKKMSTEDIKYVVPKKEKVD AFIKYCDQVHKTLVWSGGCTSWYKRGKVDGRVTALFGGSAHLFNRMLGDIRAEDFDIE YNTANPFIFMGNGFTEFEMDPESDLSWYVEQAETLRC FPSE_08191 MAVNRYAYNGPIDHTIAPKLENIQAKSVVITGGANGMGEAFVRD FVAAGAWVTFADVNEERGKAVEAELNADGQKCVFVKCDIRDWDQQKAMFERAKSQSPS NSVDVVIANAGISRSSGDSLWNLDDPNGEPTKPDLNIVRVNIDGTFYTWKLAVHYFRQ QPVSEERDRCFIITGSMVAWIDSPGNWEYTATKYALRGFMRTVRRSSHEQSIRINYVA PCWIKSAIRTAEYEKWLVDRGVEFGEQKDVSSCMLRIATDRSINGRSLMITPRSIAKE GFVDIDREDYKDTPEDEYLKKFREIYELEGLY FPSE_08192 MVNALSMITALAAIAGVQAACPKTCSVGTASGSTCTYTCTRACA NLAAGDARDSFLGALQARGYSCSGKGLTGVSCKKTANFGSCYDHYWLCGKNC FPSE_08193 MMGFLTLVSQTLSIRLFSFLLFILYASAVPLATTNTRPSYHITP EKKWMNDPQRPFFLGDEWHFYYLYNSNFDSSNPGSGGTEWYHITSTDMVHWTRRGVVL EKYKPNPPSSIILGDIETGSAVVDVNNTAGFGRSAVVAIVTQMADGVQQQSLFYSTDN GYSFTPYQGNPIMPNPSPSAKPAFRDPKIFWDDKEGHWVMSLAEGDKIGFYTSIDLKT WAYVSEFKPENAGVDLGILECPDLYQIDLDGDSTKRTWILALGANGYRYNRTTGTAYW TGKWDGNGFTATESFPQWMDDGPDFYATVSWENPGNRYGSRYAIAWMNSWDYAASLPY YSDFAGQDSLVREVKLKTINGSPTLVSIPIGGYGEIFASPKSVSDKTITTDPASASLP SGMEQGAYVIRATISKNDGDKGNEVRFIIKSDGAFSTTVGYDFVHSQAFLVRDSDGSA TDSMAAGPKQAYDTVRTAPDPTGGSTVKLVIYVDWNSVEIFVNDGEAVLSGLIYPNQE ANGVQVVSDIGSLTLVSFSYAACDSIDYS FPSE_08194 MQLQLSLLLPCLLATASATSYTEDYRPQYHFTPAKNWMNDPNGL IYHKGKYHMYFQYNPTGDVWGNISWGHAVSDDLMRWKELPVALNAFKSPAGSLNELYY SGSTVSDDAMASGLGHGKRPPLVAVYTSHYTSDMTLPSKKTVRAGQESQSIAFSTDNG LSWTEYPDNPVILEPPSQYADQYLNFRDPFVFWYGPSKHWVMTVSLPQLHKLLIYTSK NLTAWEHVSEFGPVNAVGGQWECPSLFQLPVDGKKSRTKWVMVIGLNPGGPAHAGGSG TQYVVGTFDGTTFTADANSLHDASAPIDSVVFEDWNETSFAESSWKPTGDFVQQQPSN GQVLTLWEKGDESVGTLSSKRFTVSKKYIAFKIGCCNNPYNPETYGTTADQETAINLI VDGQVVRSTTGVNGGDIVWTSWNVANLIGKTAYIQLVDRATGGWGHLDVGEIVFTDKS LPPQANWVDYGPDYYAAATYNGLSDYERVAVAWMNDWAYAVDIPTSPWRSAMSIPRVF TLETVDGRARLIQKPHPNLQTLESRRMLYKNEWRSTRTGNLTLPVSGKALDITLTFAA SKESKMLGLNVRTNGGKYGTAIGYDFDANEMFVDRESSGDSSFSASFPGVYYAPLPVR DGKVKLRILLDWSSVEVFGGRGEETITAQIFPLDSSTGVSLFSVGVVKDVKIEVHSVS SAWRGSY FPSE_08195 MATISNSDSLPSVFQAFIAEQANIKFTLPGDAEWAEVTNCFIKT SKFPSVVARPQDVSHIQELVRFCVSHSSDFVLRSGGHDCTARSQVNGALTIDMRDINY VNISEDRKTAHIGGGIITRELAKALGVQGLITPTAPNASVGYVGWATLGGYSPLSTKY GLGVDQIIGAKYVNAEGALVDAGEEELTAMRGGGGCLGVITQMTIKVYSLKEASFIDG YNKPTASEDIPNCLSIQLTDVAIPHAGNIFTISCLWADEDHDEGRRWMAKTVALGTCV REVIKTNSWSAYCEEKEKLAGYGVYGRARTLNFKDLTPKTFEILAKYNESVPGPGSLF SMQFHRDSGHHLDSMFSPRCDHYWLEIIATSLEEAGAEVADQWALNLQRAF FPSE_10342 MQVIAQAAIISLYAIFTRIRRGRILALRIGLYLLLS FPSE_10341 MSAHSGGTGHGPRTRLLASFATALEGEKKSTAQLKSEGALKSNQ PTIASVLKLDVNKPTEQNIANNIISRFNNQYFQRILVELIVSSNQSLLFAEKPILQEI FDYLNPSISIQRANLTARAVRYKIIQEYNRHRQNVIEVLRNSPGALHISFDGWTFRNK LALYGIACFFRDEKNRPCKIIIGVPEAHRHFGSTIGGDALDILHTLGVSSEKIGYFTL GNAENNNTAMEVIGAELGFDSRLHRGRCIGTIINLSAKALLFGINTDAFEQQLSGAEA LSDTEYARWRKKGPVGKLHNIVVDVRISHRLIYLFRHGSENLVQQRKNHSQNTKERNR IAASKFRTKKREHTLRVQSEEQELERTNHALSIYVANLTHEVQELKMKLLQHTGCDCS LIHEYLATEAQHYVCELSEQS FPSE_10340 MDQNAQLPHDSLGPRALGIVTPFLIVGILVFLVRIYTRVTPVYK LDASDYTILVAVIIETIIYSLFIASVTSGLGRYSYDVSPEEGIKTLRYVYVVILLSTF VPALARISIGFLLLKFASTKAWRVIIWLTIGIQAACIISTEIFQLIQCRPINAQWEQV PDARCLSARQIWICGYTTIGVALFGDLTLAIMPMFLIWKLNRSTVERCLITVLMALGL IAAVTVIMRLVKMRTLDFASPERFRTLIPVYFWLRMEEAILIIASSAPMLKFTIEHAL HKFGLPMFQPRVRKLASFHASNPVSDTTTRLRN FPSE_10339 LGFLNRVIRGIINILNILKVLYRYIRGFKS FPSE_11238 MEAIGLGAGILPMFKLCLDYLQLYKTAQASTIDSQILLYKLDCE HESFNIWGEKHGVFEAEGSEGRNPELDHADRAPKVTKALELIHGLLSDAEVLRERYGV GISTGTSPSDLAQEPYPSNSGLKRLKWLRKRSGDEQSLDIMQKARWAINDKIKFQDLI THLHDLVDSLYKILPVPPRDLNAAAIRDIRSLAGNMAKLELFEKASQDCYPAWSGAAS VMREAASTRAPDAISQWVAELEDESGIDGELNRSVMDKPKTGKDFESAMAQAFKSNYY YGWGSPFFVFTQDCPSSSSLSCGLERLEIHRDGPSFVFENDKERVWGLGNRITEAINP SIDGDKIKGFADANYDVQEAISGVMTDLINKMYPAARVYICCPPCRCAIRTALIISQG QNKDIIHYRIRVDERIPCSCCPSKEKLHRLRSIHKTTCEWDMGLIVDEPFGAYIRYID RVWLEKRIYTLETELYDKDNPREDRGQISELLESFFSITSIEKHLLLAEASQCSWILQ TKPFPWSPRVCKANEIFRLSVLKSSPLEFKTVYLGTFTSAPMTTPTRHLAPPGSDNAF GSSLKMPGRVGSILSRDSGFSPKELPTETTGLLRKGYSSAPYQSGDETGKSSDEESRS SKRIKTRH FPSE_11239 MDQHATTIRRMLGGLTIPQFALLSPRDRRTLFRQNLVQRQPVLI DEADDDGSLNPKIADGVLLRQRYFMGEDETGKQLVKEASDVYYGENHFNVRLHWLREF MTDTLGDYKTKVPVAPLIKGSITVLVDSYDALDDFYLHEEGCDSDSGIDDPKSVHYRH GTGEAARWTQKRLQDIFLFTNAQHITLVLYGRGVLDGSDLATHQMIKDISYVVKLLIE EFGDRFAIKKMISRGDDYEGNHPSRSIRSYWDSPTEMAREEVRQGEATFEQVMQIEVE EWTRVFPRTIGLWMGREILV FPSE_11240 MNPTSSHDRDNEDHFQLPKRQKICHSNKENDLPYDRYTIAWICA LYIETAAAIAMLDEKHGELPRCPNDDNAYTLGSINNHNIVIASLPQGQYGNNNAANVV TNLTRTFPSIRHGLMVGIGGAAPANKDIRLGDIVVGTRVMQYDLGKILSGGEIQRTAT PKTPHQSLCTAVTNLRANHEEHPTRVMAIIQERMGRRIDYHRPVAPDNLFQASYEHNP SMGSCQTCDHSQLERREARRSCEPKIHYGAIASSNQVMKDAVTRDRVAQELDIICFEM EAAGLIDVLPCLPIRGICDYSDSHKAKDWQKYAAAVAAAYAREFLEALPATSETQRDF WLNACPPGFSNILTTWHSLIQRSGHTIMAFFRFKANLMLKKTDIPMKAITMSFFFNTR GDQPEKSVTGIYQSLLLQLLKGFPDLQKILDDPNLIPQNQVAYPPLNILKDLFHSAIS SLGEQALTYFVKDMVKFFKEVAKQCIKDNMRFQRFPLGCPSSGNFKQGESPWTPSFLN KIARSANSSEGLTKITKGKKPTVQFIYESVRDFLMQDKGLYKLWPNLGADWESQGHEE LKLCCNAYVFHETIGKALEEQKSTDSRLLKDKLLKQFPFLEYAHQFVLQHADAATHEI PQQQFISEFPVISWVQIFNIFEKHAVRKYDQEAEILYILADRGLPNLIRIRLETSPGG DGGGGRYRHPLLAAMAKGNKESVIALLGLPSGIHNGIDIIDGLKSIAKFLLQDGARVI EADLYSHLEIVLFYKILLYKPKDYKVL FPSE_11241 MQRPIQRGRPRKYAMAKDKAAADYERQRNKRQKAAAGDGGLPYS NFHNFHYPVQQLDNLQRSTFAQLQEQDISNFLPPPSPPLQPITEGVFADHDEPLISTS IMSSPSLLAIDPQVPGDINERSIPSTGSAPYTEDAVAVPNHRDPDPVLDIVSDTTPGT SPQVGDGEPSPIEHLAQELAEQLIKFHGCCNECHQAARSHHMEDPNYHIALAEYLEFT PELGPDILGSDTIARQKDDLAGKLSPESRRQVFCGIDSREKVPYVYLGEDERVTDNAG ITFDIDSVVAFPSNLAVAKQGIHWSPTQMAVSDLQSDLHLRSIPVTYTDMNGKLHQVH RPVHHIPHYTFGRLIGFEDISLYLLFPNLYREEQKCSKIRDEDFRLWMDGILLPAIYK CCSTAQVQHYPSSYDHSRYNSTARGVEGLSQRVHPVGREQRLVYFLQPEALEEIWAHI TTIIQDPGFQQFKDVIILLQGKNLKVLTKDVTWDKMMTRFRNYWTSAVEESHTTTERY FDIGKETCPQQTSQIVPWSQLAAGIMDSPTEKRAETLLYRRCCLESYASQAENRSTDN RGIQKQVFYPFSMLRDTGSLTIETGKRSSRRAAGLLYSQFYSSVKEVFAAGNVYPFTN TAIETLALDKKLRKTWELVGGGLSNQPAALIKAYLYTKLRCHYALLGSMQKSFGIREE HRVSKELFDAIDNRMRFRELHNQRLIVPTDDNSPYYSFTTDTLLR FPSE_11242 MLGTDDKFESSLDAKTIQRLHSIQLVTESHDQGWYSDRALGSWT WFEVAIFENEAAIEPRKKDDILLSWTSHRSEMAPESDSDMDPEIELFSPQLGSLFSQD HDIFRLLEHLVERESVNFGSIAAKVITTQEAFNEINKAIFPELDNLPSVPGSVFKAEM MSTDIASDRPLRVLCLDGGGVRGLASLKILKRVMELSYPDKKPCEVFDMIAGTSTGGF IAIMLGRLEMNVDDCIASYIRFMSEVFPQRGGVLKKLPFGLGKLGDWWESTIDVKNNI TKDEKWDSGVLERVIKQLVKEKLQRDPETVLLQEEQEPEPPCKIIDWQQ FPSE_11091 KSLLLKRYSGVAWASKADFEHQHINLITSWPGSGCEEGKTPSEV YYEDGKITCGFEIDGDTDPIKWFKLLLLKEEDLPTELKSSEFILRARKMMRENDKTAI QVISDYLRMIWKHTLQTIMRDRGSAVEALQFHVVITVPAIWKDYARQYMTEAAKKAGI LNFRTAGKTRLTFAPEPEATALSTLSEPGHRDAGGGTVDLISYKIASVGPISLEEAVE GSGGLCGGIFIDQYFEVIIKNRLGRQWDRLSRVGSKELLRGEWELSIKPQFKPTSSDK EYLVSVPAEAFDKGKGLTDMSKEPHIKNGRIHFNESHIKKAFLKVFAQIDIPIDAQVR KAKEKGQSLTAQARISLPSLKAFSSSTFCNSPQVFVGIVIDEKHDHVEVMLDHG FPSE_11090 MKPASFPSPEALACLATMLLVRVLYYLLSSRPESGDTRFDWTSF MYKNNSELLANLGNFVNRLTKFTIKHFDGRVPDYRSGNNDESFASLSKDVNELLAKYL DNMEGVRLRAGLDVAMAISACDRVASVVGYGLNLVYLLSALFYPFMPAISADIACQLN APLRAIPERWTGEDLLPGHSIREPKHLFRKIDEKKMEEWRGRFGGADTQGGEKKTFVV VANLKPVTMRGVKSAAMLLAEIDDPTIELFDPPRDAEVGEKLNFEG FPSE_11089 MATSDSTSDTRDLFWSLTHSQGKVPLDRIRNASDQASSFVLSSP GLPGTIALDTSSNSNLPSLPQWLDSIRDGYDISYNGPLCENSQCGSSGSVDHLNDTKD TSSLNFQSTLVREASIEPPGGGTGATYNLHDLGKESPEVKLRSASRKPKRTRQRISVA VNVQRARNCHNNIEKKYRARLKQGFERLLAVLYTSMPLTSLIGNESKSLDAGYCYSRG EVLDAAMQRILALEEDNRRLTCRLLELSEALN FPSE_11088 MARESGVAHKVISVLLRLAELASAIIVLGILSRFCYLAGIAEAH IDGRIIYTIVVSCLGILYSVFFCPPFKNMFLGFPFDFVLFVMWLVAYCLLQTRTGTHT CSANWYYNYWGYYWGRFYRVGRVNAADIGDAGCAPWRTALAFSFIAWFLHFLSGILGA YVFVTYIRLDETKNDLRQHAEKLTNLFNFIHN FPSE_11087 MFFTQLIHFVVLLALATLQHSAFANAEVLPFLGLRGAPNAPDGY APGQVSCPEIRPTIRPAANLSSEESKWLEQRDKNTGAALRNILKRFDIGNLDTDSYLD SILSDDDALPRIGIAISGGGYRAMMNGAGAIAAFDNRTTGSSSKGHLGGILQATTYLS GLSGGSWTVGSLYTQNFTSVESIISAKAGFLSTLWQFDDSIIEGPAGLRRSQYYRQLY QDVNDKVDAGFNKSITDYWGRALSYQLVNASDGGPAYTFSSIANDTDFATAKTPMPII VAIERTTGQIQIATNSTIVEFNPWEMGSYDPGLSAFAPLKYVGSSFNNGILKRGSHCI AGVDNVGFVMGTSASLFNQAFLQIGKADDSPDFLVKAINNTLADIGEENRDIANWPNP FYKYNPKNNSNAETTILTLVDGGEDLQNIPLHPLLLSERKVDAIFAVDGSADTKTRWP NGTALVATYQRSKEGTSPQNNDFPEIPDQNTFVNLGLNKQPTFFGCGNSTGPLIIYLP NAPYTTQSNFTTFDLEYSDTDRNNVIQNGYNVATMGNGTVVENWPACIGCAILARSFV RTKTAVPSQCEDCFKTFCWNGTSNDTVPGIYEPDQIIESGVGVVAPLKGVAGFALLLS FMLFYM FPSE_11086 MATRELFVVILNHTNEELNTELEWPTLTHGHFIKGPDLPPPQTI LAGESGMLRCKSNHLGGKIEGSITYRIVGFGPRNEVAFTWSLPYVGVNKFSAASAIPN FKVHVLGGHGNQAVVVFVLEPSQKTDGGMEDGGVLAAAAKYSLKCAEEPLTGISHH FPSE_11085 MDIGQANTNQHLHSSIGSSTAHREKLPKDNDTNGRKSWWFLSTA FPMIAGTLGPVASAFSICSLAEPWRQSLVTGADIQSAVDIPDPSWLVVIEAIQLLIGI IANLFLLLHMARRVRFGLALPITIVGWYTSSICRIALSATAARPFKDKGLSNEDVIWS QAFYYGMFAAILYFADATLLALTFWGAYTNRYEKSLPLTVSQRTLMLQSILLLIYLLL GAYVFSEIESWDYLDAVYWTVVTLFTVGFGDYHPTTDLGRGLLIPFALAGIISLGLVI SSVRILILENARRCIRTRIDNRKQDKFIKKMILKGEGYTLDPIYEDSQIPRGRSIEVQ GREFERRKTEFQLMRRIQARSSTRRRWVAMAISTFLWLSLWFIGACVFQKAEEAQQSW TYFDAIYFCFVAWTTIGYGDLSPKSNLGRSFYVFWSLLALPTMTILISNASDTVVRTI RDGTILVGKVTVLPDDRGFLMNLKTIINKITFGKVFTWNTNKPDNRGASSKATGQQLP THQPLFAILDPQNTQPRVDFTSRSQNNVGDLPTQVHLQLLLISEIREILGHLKETQPH HYTFEQWAWYLKLIGEDESDPRTHGSVHQQECDHTVLRKWSWIGSQSPLISTNGESEW ILGRLMERLQLSLTGENKGQEIR FPSE_11084 MEFGEPLPGVWITEFTDPPGSNSTTGAHQLVGNTVLNPPLLPQE GPWNVSAHPLLPPTCDSSHLAPSSNRDLGWYGSSNSTEAWMHTTNFGNFPSTPSDYGL LPYGPTPHDRQQQPYSPEEETTPLSATSCDTGAGSSESDHKQAKSLSTGRKRLSWRKG EGSVDKMKAGRYKRVLERNRVAAARCRGRKRDEQEILETELEEAETRHRELSAYYSVL KDEAFMVKSEILQHSSCGCVVIQRYIKDAAHKVVEESVSSDTSPDAYAGEVGYTDWGF YFLGVGEIV FPSE_11083 MDLAEFDFEVIRDTSPCLSLPFTPREELPREDWINVIVKNSRLT GWLSSNGIRFSVTDLSSPFSRILPTIHVYRVDISLYDAQFDPETGHKIPIPSDVIGEV TAALNRFKIVCADLKVPESQIHVVATEATRAALNSAEFLNRIHADTGLSVDLLPKEEE GRIGSLGVASGFSDIGGLMMDLGGGSTQITWIISQGGNVRISDRGSISFPYGAAALTK TLEDLKRGKSKHEADKARDRLYQEMKTNFENAYQRLQVPESLVAEAKENGGFPLYLSG GGFRGWGYLLLYVSQSGQTPYPISIINGYTVGRERFENTKAMEEVARTAHSIFRVSDR RRKQVPAVAFLINVLSNAIPHGIRLAHFCQGGVREGLLFKELPPSIRAQDPLEVTTQR FAPESAEMLCNLLMFSFPAPSRGGSRRFPDSISKHVIRAFANTMYVHTIMDKELASTT AMYSTSTGLLAFTRGVSHQDRARLALMLESRYMGELPPRESKFKEALQSIISPEEVWW TAYLGRVGYLLGRLYPSGNVDESKPRVVLSSQWAWDLGHKKKGQGLQLTISVQRMKED PAKLKKALRDHVNIIEKIGKKKNWAGGPDGWGMKVKVKVVEEDIM FPSE_11082 MPHSVLLHPLRVPYQDQLQLRQLLELLNIWEFLQSVIKIV FPSE_11121 MFGRRRRPILGAAVVVGASRAAAKHEVRNQELAANERDREIQRE IELRRYEDERQELRTQRAVDEAMRKAALQEQAAQQSNTVMQTPQQPQYNETYPVVQVQ TRDMGLYNSVDDARTVFEPAPAYRLATEFVEERPQKGPISSPAPGPRAQYCTQCGVFR QDTDRFCRQCGAKQLSAGDMVG FPSE_11120 MFTINNASTVGSKAAISLVRYASQYMLNGKEAQQHHEALVSSKG Y FPSE_11119 MQKPLTIQLYILKTLLHYTKIIRLVNRLLLTILKKSINFKL FPSE_11118 MSDAGDHESHSKSYTMSEIRERIPGPHHHTSPPSPSINATHQPI PDNGQDDLNYFSGPRDLQKHSKWPLMLQLHGSIMPSLILPLLLVACWATAITVISKKV HDLSVNSVLLTILGFVVGLSLSFRSSTAYERYGEGRRYWGTLATASQTLGRVIWIHAD DNGAGNQDPREILVKKLGMLKLIAGYAVALKHTLRFEHCASQPDMQPYIAHLDTFAGR TTAPTKKATTFGKVAGHYLGLSFAQSDPRKHLKRAEEHQGNLPLEILNHLAIGLDSII ANKQLPVSIHQTIVYNHLTTLNDVAVGCDRVLNTPLPVAYTIAISQITFVYVMLLPFQ LTGPLGWIAIPATITAAYIIFGLLFIGQEIENPFGHDVNDLPLDVFCEQIANDLDITA SFDRRASDHFLLGGTPLHPVSSAVGRTWMEYSEEKLRESIRNKPHVLFNWRRQGGVRP SPTGRQTKERDRDMV FPSE_10684 MIRGLPFSQLPKTIQDAIVFTRKLGFRYLWVDALCILQSEGPHD TSHQEDWSHETTRFGYYYQNSAITIAATGARSSDDGLFLPRPALAFDPNPVILRRKGP TGETRDISILPNVPSWISEIKRAPLYERGWAIQERILSARVVHFAANMILWECHERRA TEIDHVGSSLNDRSNGMVYEEVSDFMPIVRKLQLQGGSASQVVREWYSFIEGYTSAKF TFMGDRLPALSGISALIQRHIPDCYGAGLWQSAVPEGLAWLIEDKLAEDIPDPVSPPA TTTELKQMLPSWSWAASRGTVRFPSSLESWEPVLRMEDWDVKSAGVDTSGQILSARLR VRSAFKNFSASELGFKSSAELFSQLTNFIRSPTWITAEYGQAFASEGRETKFTEEKIK LFQSDKSALLNYRRKLLDGATKGFDIYYKDTDMQKQASIANKKLTRERLQNNEEICSK LIPDFEFGCRRVSLGNGYLESLIKPNTKAIFDEIKCVDESGILDNNNIQHDFDIIICA TGFDVSHRPAFPVLGRGGQNLGDVRS FPSE_10683 MSTYIDIVLGNPQYAVFTTISLIAILITNYSFFAKDDKYPVLNP KKPFEWSNTRVVKQFTENSKSLLAHARSVYGEKPYRVYTDVGKVLVIPPSWVHALRGK KELNFRIPDSLSFIPGFDGFSFHSNIGTVVTKYVTKSLSKLTGPLSEEASLSIRDRLT DSTEWHSINPPKEIIRIVSRVSSRIFMGKELCRDEGWTKASSDYTMVAFGSLALVREY PRWLRPYIHWFLPCCKEARRKLNEARECLQPHLDRRAVIKQQALAQGQPYPFDDAIEW FNKEYDAHDPATQQIAISIVAYHTTSDLLCETLFNLCRHPEFFKPLRDELVTVLSREG GMTKAALYNLKLMDSVIKESQRLRPILLGVFRRVALANVTLPNGDILRKGDRVIGETT HMWGPELYDNALEFDPYRFVRMRESGEEHKAHLVSTSPDHLGFGHGVHACPGRFLAAN EIKILLCHLLLKYDWKLPEGAEPKSSFVGFRLDGDKSTNLLVRRRTEELDLDSLSF FPSE_10682 MFQGLQNGNPSFEPYNTVLELLKQAPTDKDEKYEFEDIGEPLKR GGAMPTGLPFHPLPKEIPTTQLRTKKGAPLTDNPTEEANDRLVDMTYGAALAVLSLIE SFFELAVDKQ FPSE_09761 MTLSRTFVEDLVTKQQLSTADYPWLVWHDLDTNSKDNMPQLHAN LSKNASIPSVHGGALWADDINYRLFLFGGEFYDDSLGTSSLMSYDIWYDRWDDFGPPS AGISRVSYGASTTVKERGEGYYYGGYRSNYSEPGWTGPPRAVPDLIRYKMDTNSWTKL AGPDDIKRAEGVMTFIPASDRGMLVYFGGLQDPGDNGTMVPQPMDQIFLYDIISNKWH VQEANGTIPEYRRRFCAGAVWAKDRSSYNIYLNAGIGLDGYGFDDVYILSLPSFQWIK TYPLDHNGTGEYPSHSLSCDVVNEGSQMLVIGGTFPADDDQCDSEEVWGVHNIDLGDQ IKSSFKHVWAGYEPTLFGYTVPSFVTNAIGGTKNGGATKMKPESGFVDHDLGTLLGMK AAFATRTRWKDGRSDPATATASKSSSSQSGLSTGAIVGIAVGGSVILLTALVGLWLLR KKREAKSNVQLVATAEPVFPTAYTPPSFSPSFYNQSPDSGQRRSPWGQVDDWGRSEQN RVWRPPFVNSQPSELDSQGYNFRGTDR FPSE_09760 MSGYVGCEVLTPRCRQSATLVDIAGSTYRLKSLFKLGFNAMREG GSLKTSAACVDGGEAVRDKLGLGTSQGLTHNKTVVMNTVSLLQGMKKYFDAKDNCNKN LLFGYHGDVVVGLYIGAGLGKPTVSSAFYSLSLHLETAGSIANRTIVQFRDLARKANR VFGILLDTTGDIAAIQETTRQWSEGSSTVGKDVVFSGSLSNAKVYDIAGITHLPELDE PTSTPTPTPSLSNEPKKLRERLVDFVEFNILRERATCKHIVVGDGDSCATLARRCVIQ GSDFAKYNSESGFCSFLEKGGPKPEADGTCATHVIDDRDTCAKRAKKHSVSVKELEKW NKNTWAWTDCTGLLAKNANTDGSYTHIHWAFASIDSQTWKPIIKEGKDQWADSTAPDK YSIIRNAIITNRETFATNLVKFAKDEGLDGVDIDWEYPGAEDILVGGSPIGAKTDGVN YLRFLTTLREKLGSDMSLSIAAPASYWYLKAFPIDRISKVVDYIVYMTYDLHGQWDYG NPNAFDEFNMTETRRALSMITKAGVANNKIFVGESSYGRSFRMAKSDCWKPECEFTGS KAVSDAAPGRCDYISYMTDNDKLGRRVIWKDFNFAGTIDWAVDLQQFRNEDFDAPPDI PMSGLKQWIKDSL FPSE_09759 MLKKVSGPKCRRGEDNKDDGGNLCYFAFRFGFCQESICTCLEEG EPEKLPSVKNGDEVVANDLVDVDLNRLYEVPEGIVTVPPDYDEDSVKTRDENDKMCYI YRGLTDRQDGLESCKKQCQATLDKAEEEGTPSYIPDIGTSFFPPGRALTAGADMLLTG AEMVNWVYKKNEDLAVAFEWWLSPCGGTDLVPDDIKQAYDILSQVPAGRSRFKGPKNI KKGSGKKGDEGNPTDRSAPRPAGGHNPNPKPTPTPTPKPKCSVPKTSETQQLGALHNT LQLLECDSNDKTVTTHMVVTSLTYAANAKPTGVTGTCKETHTQACYHYSSANVNNPHW STLKCPQEAASTARARGPGVPAPKATDTWKSEHIGRWRHKSHRDEPDCDMDEWPPFYL LSQTSDTFKQSGVDRTGQRMRWLKGDHNTGAARQWRVVCFGPAHDGMTIDDFYKMVKE GKEGPKAVTADITRSNVRDGEITVEERLMHDLPQVDLKVFFDGLKQDYITLKLIPCRG RITIEADKIEERLGEDVITEEEVIAQEGAWGTALDIHYIRQLYRGFGWPLAFRKDEAF NAVDQLMDKLAEHRNEWEPTEEDWDDDDHWC FPSE_09758 MLTVGGWDGDFGVTWFPRCEFGGNVGGVVHGWPAKGGFYSRICS VAELEFLGLDRFEPSDRPDDPENEEPHCTKMRQLGARWFRDPDHKIRAGRKLRNGGPD TPLLFVGWPAGGGVWTININISQSAQKGLGRIDNAFTMEELCKMIEQLGGTFYADPKD CPHLDLNGSREGNDGTGY FPSE_09757 MRFAAFLSLGLGANIAIASVCRPRSRTLTDSSSASTEPASATST EPVAISTLSTDIATTTTASVELSISATSADDETTSTEATESGSASAELSASTATETTA TEISTTTAETTATAESTTSAEATTTQDTFVPIPTFNIKALGSDVNGQLLRGDPVQYNN IGWYTPSPPILTFSIEASTSYVREINTGKYLCVSFGGDGFPNSNMLCDPANHYAGMEP LTCEQTRDRKLKCSAPAGECHLDEMSDTTNCFTVPGTWDQFDVIHGRIINTPALVMVS SINPPTANDLEAIELQVIPYAD FPSE_09756 MDDNLATKDIQYCWCVDGGAQEIAKRMTAKANKRIEYNTCVRSI DAQVPLRTAGKYTAMKLHTIRTDPKTDKVESKDREYFAVLNSTTLVALQRMELRDAGL L FPSE_09755 MGQQASLVVEVEIHAPVDIVREVFQDFPSYKEWSTWSIECADPT KEVNHLIDNEKLKVKIQNFKLTSTLLKNEPDVFE FPSE_09754 MTDFFKWNPAVGTACQKPKPGPTSKPTGVPTPDLIQPGIVKGCK KFHEVKATTTCASIQKYYSITMAQLYKWNPAIGSGCTNLWQKYSYFPAM FPSE_12409 MCGHDILSPPPLSDDGSYDSESSSSEPRLTPQELGALFVDFYSF MATLNYDKSELKIPPPTGWPEITSESCGGTKSDYAVEVLRHLPYFNSKGKSRIHYKSK LCDLTAWSPDDFKKNRETYDFMEFWSSESEQDPDDVVLIAEGYESFGRGLWLLVNDCE IIEDMLKANILSSVPVEEFFAKLKDQYERLQLIPGEDKVTIEAETVPERHERISEEEV NSQTVEWRTDLDVQYIRQVYRDYGWPHSFDSKAASKAINDWLEPGGVGTGEGPRGFAW EGQYSD FPSE_12408 MSFPVVNGVEVAVAPPAGYQVDFGNPETDGSMVRNAYWIFGMEF AFATAFLGQRMYTNGVILRKFMIDDYLILFAWVLSIAAQSFLLDAYRRKLLGVHAWEM PVDSNTESALLVMCTTLTYIPTTILSKLTLCFFYYRLSPARWYQYSVYVTGIICTTSL VGIWFSVLFACKPIAAGWDVRLSANATCINRPPIYITQAAFGCITDVMLLILPIPTVL GLQMSTRQKFGVLGLFAIGSITLVTSVVRLVLLLPSVSNPDQSWSLAEGCLWVIIEAN LLIMCGSLPTLRVFLKNVAPRVLGDKSQSKPSEQNSASASFGLRTFGGSNGPRRKFDT LVELEHDNIIGVAQYQRPTSNHVSKTSRLHYLIPASEPTLNLCANIISVLANRYPVPS IIGYKGKDEFDAKVAHIAKLHSISRYLNGPAGEYSDDLVIVADGHDVLAQLPAEVLIH RYFEIMERHDRVLADQFGLSIAQAHEQGLRKTLLWGADKYCFPRERDEPQCWAVPESF LSHNVYGKHTQDRSMRYADPRHLNSGTVIGPLGDLRDCIDAALILIENTWNATFNHRN SDQFYLGKLYARQEVNHTMAITGGRIPNLKGTRKLPQFSGFGTEQTDYHMAVDHESAF TCTQCANVDWMRNIAFDRPGHRSVVKGNSSKKKHPFKPFTIQMPGLVVNALTKLYDAI DHEQPTEEWIKSVQLGTNIATGHIYPLYHGTCRKSNFMSRYMDLWLYPMSRRLLGAAS KALEAKEPLTGGMVDGRYWVSSQHYPHDEDGLQGLGGIYTDAEDNMESFIPLTEFCDG YLEELLL FPSE_12407 MCKKSQKVLPASFFNEFTGASSKNTLQTQPQELHYRTATEIAAM QNDNCCALLEAAGLKHVYSPSSEGYKTRTDSYFSVSSQLHPYCIVQPESTEDVSAIIK TLVPDTTCNLAVRSGGHTVWGANNINDGVTIDLGLMNKTTYTKETKVAHVQAGSIWRD VYEALEPFGVTAAGGRTSTVGVAGFLTGGGNTFYTARYGFGCDQVVNFEVVLGDGRIV NANKTENADLWKALKGGSTNFGIVTRFDLQAFDAPLLWGGLVTYTTQTTDAHVEAYKN WTDTIEDYQDGSVIPFWSYTPQAGKIGITVSYEDTTGVASPKALDPFWKIPYETSNLR KDSHRNMTVELELVAGYRNVWFAITFKNKLSMYNKALELHKQFVSDWKAQSPDGDFIC HAIFQAMPTVFSKHSLERGGNVVGLDREKDNAVMFQVQHMINGVEQEKLARERMVKFR QAMKQYSVDEDAAVEWEYLNYADFTQDPLSTYGSENVEFIRKVAKKYDPEGVFQTRFP GGFKITKVA FPSE_12406 MSDISRSIENQLLSAWNAFPNFITQWSSVFCFPFFILPQLMLRK EQKLGLIGVFSLGAITITVNLTRFIINNTASFQLDDASGNTLCTAEMTTAVIVVCLPG LKKLVKRSKLPTTTDRSCQSGTGLSTGSHAPYESQQITQSYAEWGVKDSEIELIPEGE EYLRMPDLVFLKGQDP FPSE_12405 MDDESEKANTDKSLLLHYAAPASSWSEALPIGNGRLGAMVYGRA STELLQLNEDSVWYGGPQDRTPRDAYSNLATLRQLIRDEKHKDAEALAREAFFATPAS MRHYEPLGQCTIEFGHDERIVSDYKRHLDLATSQSTTKYDYEGVTYRRDVIASFPNNV LAIRFQASAPTRFVVRLNRQSEVEGETNEYLDSIRAQDNHIILQATPGGKNSNRLALA LGVSCKSNNGNVKVVGNCLIVNTEECIIAIGAHTTYRSYNPDASALRDVNSALREPWE NLVSRHRQDYGRLFSKTALRMWPDASHVPTDERIQSNRDPGLIALYHNYSRYLLISSS RKSAKALPATLQGIWNPSFAPPWGSKFTININLQMNYWPAASCNLIECAVPLIDHIER MAQKGKRTAKVMYNCRGWCAHHNTDIWADTDPQDRWMPATLWPLGGVWLCIDVVKMLI YQYDHMLHIRIAPLLEGCIQFLLDFLIPSACGKYLVTNPSLSPENSFISESGETGTFC EGSVMDMTIVRIALESFIWSTSILNKEHPLQKDVMATLGKLPPFRINKSGLIQEWGLK DHKEAEPGHRHVSHLFGLYPDDFISLDSSPALVEAARKTLARRAEHGGGHTGWSRAWL LNLYARLREPPKCDEHMDMLLKTSALPNMLDNHPPFQIDGNFGGCAGVTECLIQSNLR PDELSSQVVMIHLLPSLPSSWSNGKLTNIRVMGGWLVSLEWREGQLTEPLLLESTVNH APNALAVFPNGKRVSVIKSKGQQKVWYE FPSE_12404 MKFSALTAAAAIFGSAAAANKAVVINDCATAIYVQSVPFNGGTP GPLTTLKPGQRFSEDLRTSGSTIKIADTRTLSKPLFFGYSSDANNVYYELNTQYGNPF ATQHNILNPGDGCQKFDCKAGDAKCYSTPASKKNYSCPNPVTLYAKICAK FPSE_12403 MRSVVTADAEIGAGNWIMNQLVAIDVPLWELNQKLALPYPNHGN PHDSLDNGLTALWTQSAENVMQIHAKVEPCFQYTIIYNKKIDQSQVEKRFTALCKEDV R FPSE_12402 MLTLGALIFFAIAAAIALVAKKTLSKPQKESLISRAIPAAAPLT QPLPSTWYKSDDIYELERRAIFSKKWILLTHKMRFEQTGSWVRYAEAGFDFFLIRNAE GTIKGFHNICRHRAFPVVVKDNGQNNVLSCKYHGWSYGMNGQLAKAPLYQDLPAFDRS KNGLFPLHTHVDEKGFVWINMDAKTQPETAWNESNRTARYSSFNLDDYTFDHVKQDTS SFNWKTLADKSNANSNAPAEVQEKGTSLVSDFYFPNASMTISPHFFFLLRCVPTSATK SQLQYEIYRHKNASSDEFAKVEEILQQTASEHEAPAEKNLRAGVLVDQTPLQFQNQVY QLVEHHRKLEGIAGREIRPAQQILDKSATKTEQDTSLFSSCSGLSCDKIQKDLSW FPSE_12401 MADPEEETERKRDKVGDFMKKSLNKGEIALKHAVGLGGQPNVVP VTQPVVHGPPRPVEVGWHPVGGFAGKWFAEETGLGKMITEKINRYPDPTQHWAVLVGD YAHQLWMDENFDVIYTNAKVNRDEWRTFPVGETRFNDDALRRAGESVIQSIRERQPTY NLITNNCQTYVLQLLDAIKVGVNKEFGTTLAVYERVFGPGKIKDLFEGEEPPEGHQQQ QIEPGPDARPDAGSDAGPGRSDTVNLAQDVMNQNTTQLDTGREMERHEDEKKEKEKKK KKGFFSQLKARSWSSKGQ FPSE_12400 MHTVTSSKFVHVLLVYLTLGAFLVQLATCLAFNHLPKDTHSLLS KRADGDVHYLGVGKADITGPVVELNLMGYAELSQTGTGLRQRIYSRAFIIGNPDKPKE RIIYVVLDAQSGDTAVRRGILEGIEALGSDYAVYTADNVAITGTHSHSGPAGWNNYLM PQISALGLNQQSYQAIVDGAVLSIKRAHESLSKGRLSVGKIRIDDVNINRSLYAYQQN PASERQKYKDEVDKELTMLMFTREEDKKVTGVLTWHSVHGTSLHMNNTLVAGDNKGVS AYLLEKAVRGTDGAADDFVAGFSQAAVADTTPNIEGAWCEDGSGKQCDFKDSTCGGKT ETCHGRGPFWGLDDGGTKSCWEIGRRVYEQAFKLYDQMKDGSGDAVSGNSVVGYHSFH DMSDYSFVLPNGKTAKTCSAAFGYSFAAGTTDGPGYFDFKQGDSGSPDASPLWAIVSK FLRNPTKEQVECQSPKPILIDAGEITLPYAWAPNIVDIQMLRVGNFFIIVSAPELTTM SSRRWRESISNEIQGRGGLGDGVKPIVVAGGPGNTYAHYVTTPEEYDAQRYEGGSTVH GRHSLDAYINLTSTYVGYLLGEDGAKKPPTGPAAPDNRKNSLSLVTGVVYDNPKTGTK FGNIVKDVSKSKFKIGETITATFVGANPRNNLHLESTYAAVEKKDGSKWTQVRSDEDW DLVFEWKRLDGLLGSSEVQISWETGWQDAKDVKAGTYRLSYNGDSKAPLTGKITGFTG KSGEFEIA FPSE_12399 MQSIITIAGLAFANLAVAGPCKPLPQTTVSSVAIIPTTTAEPTL VTATVVPVPTSAESSTIISESETGHESSTFVESSTLATVVIQETTTAADTSAAETSAA ETSATEAGTTTAEVETSGTATTTETALPTADQSCDNGGLDYAIYTHQFYNADAPRFSD FDPTFFHDATPTYKGVTERIGIQPDTDWTKPFTIYEGSPEQMFQYKAVNHRGFLYAPE TGIYEVTIPNSDEITLVWFGNKALSSWTRDNADLEQDYPGGTSKTFELELEAGTYTPF RLLWANAQGELNFIAEVKAPGGKVIVNGDGGDNKYFVRYDCGRTTPTFPDF FPSE_12398 MSMGLYVYGSEQPFKDLKSSFVAYLKLLAGGTANYHYLYKVGSA FPSE_00001 MPMPIIGLVVAALSPVAVTVILQAPKLSREQVLYQYYIVLVQQM AILDRSTCFNPNSAIGGTWIDFSA FPSE_00002 LAACLVNNVNAGACKPRSSLVAENQSTLSTISSATLSIATETSA IAGTYTASEKSTPAVTTSSQFPELSSTTSDSTTTASAAPVAPICGQYGTCSPLSDGCR VRIAGSSPLYLGECQDLCRADANCKSIMYNTRQGDCFLNSNVSQDSEFYHDSSPQSVW YDNSCDIENREPDPICGAYGDCNESKCNPVPQQGSFTAMSCQQACTTDDNCGSFAFFP TFNGCYFLSESLFKSWFYTKDTSLGWWFDRVCIIEEAD FPSE_12211 MKFSAAILAAAAATGATADAVFNVRDFTASCTPHSAIYSFNVIQ PGTMDTKGYECTATLPGAGPGELPEVKAGTCLPSSRTFDVVRSDKGLTLTVSSQVSPK SFTRGSHLIPTKDVKTVKGDTPTGDAQAYVGPKDFPLERVD FPSE_12212 MSNSMHPFSVAADSTGEYRYTLPGAIQQWADTLPQPGEEQDDNN PVEPDFGRQASRRSQPGAPMESNFAREAMRRSQLGAPRESFAREAMRRSQLGAPRESS FAHEAMRRSQHGVLPQQHLTPQQYEQYFEPPRHRRSPSPSPSPTRGRMRHREGEHHGQ DHHQFDAPPQYAMGAPEGHMPQGLQMAPPGYQMAPLGLQMPPPGYGMDSPGYGMDSPG QFPAPQGFGQAPQGFGQAPQGSFMPERRSRRHR FPSE_12213 MRPYFAIGLLLQTTLAVSETANVIYASDSSVDLSSDGSKPNIVV LDYGYSVEGHPTFEVVSASGDTSGFEITFAESKAALNLYMSDGPLPLAAAMDTYRVNK YNITEPGLITNRLIQGAFRYETFNLSSAGSLRLERVGVKPTVETTPLTELPGSFECSD EDLTRIWHTGARTAQLTEIPKGSIPDFWQVTDQGSLVESAAPQALSDPGVAQATSYEI EFDVKPVTGEFVFSVLSDTLNEAVLITCNIETGVISTSTSSSGKINNKTNVGEWLSVH ARVNMTDIEVSINNQTALKFTQTSRFFGSFGLGAPLGHSAYYRNLRATTLEGVEIYSS TLKDKSFLKDFFMGNNPLDTIVDGSRRDRIAYSGDLDIAISSTFASTYGKSFVEGSLD LVGSYQTTAGFWIPNAKIQQEPLPQPLEINITGLIGYSFNFLNGLATNYEVLGDKTFA KTWAPRAVAMLDWAHSQLVDGLFTIDDASLTGDWNYYDPSQTGASTKFNALYAYSLQR TETFLKVGGVSTAKYQTRLKNLRKAIHKQLWNETMGAYVLSNEVRTGFAQDANALAIL SGIPQSNGISSKSLLKTMEAELQLPAGPLAFSNGTASSGFAQKISPFSSAYHLRAAFE ASDGDTARQLLKTLWAPMANPSNVNYTNTFWETLDPDGTPGLGIMTSLCHGWAAAPTA ELIKWVLGVQVVMPGFAEWKVQPMLLGLEWAKGRVPTEKGVIEVEWKLVSDLLHMNVR VVDDKGTRGTIYVPEVLPVSVKDSIFTVNGKAVKKNQFSVKGGELVTLIQKRK FPSE_12214 MRTSFSSILFSLWLLSAGVVASQETHTSIDESCGCTVKYIVVEM PPQTVSSFADTTTTTGLVSAAQETASIKAKTTPVRSKTSQTTIHASSTSHHNTTATFI PKTPVKVDCSNPKNAIPKKKIAMSYGDLSDDDVVQQNDNTPKPSHKPSNGTFTAFNAN KNTTSTKAPTGSIDMDLVMNHPAVVLDYIDAITSVECTADTIEVKFGKADVFNNAIKT WLDTFILITSNMGNCKAANGQAFYLVDRVTSDKNQKSITCYASEQKLEDIAETCEMSF NSIPATKLRKRLDLNPSLSLDFGTGLARDTVLFSEEPFVNIKAEKAEFSSTVSFSGRA KYNFWKFKMEHLYFDLNTRFSADVALSADVAAAWSRSILYDPDTLTFTVVEVPGILSL GPGIAFAVGVDVDTSAAVAVHAGAGISIPAGNVHLDALDGSKNSATGWEPQYTSYANI SESVEVGLNASASLTVQLTFKLLGGLVDLSSGLTATPEFVNKFSLDAAQSGHASNGGA GGSISTPPGGCGVSLKSDFVFDLDGFATKWVKGNLYHVEVPITDICYAF FPSE_12215 MQFSTILPLFVAAMGIVATPVNSPAQELDARGNLLPRLEYWGKC TKAENRCKYKNDKGRDVLQNCPKFDNKKCTKDGNSCKWDSASKALTCY FPSE_12216 MNSLREALLAPFPKGISQQELLKSMSRDEEDVRQAVARGEFEEL RGMARYNRTWNISNSYCSVGDGVDSLEGSLHSIWHVYYQLSRHTSHETAEHDRLVLDI VRFQGLGPLTRPVPGSYGIDIARTTDGTLWNDLPFLVSDMTKFWMDNCATLSGAHRLN FASFLAKLASTRVPNDKLCQIALILFRSTFEDARDLGTAGEADDEDKKRDMRDLTVAQ LLPSASVWIKEAGYNIIQLSDVSWNDCSSPVGHGGPMFTQSDLGKRCPNGFTPWRWMF WLKRLHEIRDEAKEANENGLEESAVEAIDSMVSNVEERNSEILRAYQNGGEDLHKDQH LSCLQGLLKSHTENTDS FPSE_12217 MSDNVKEVNGTIVTNTDVTPPDDWTNNYEDMGGDMMWGEFGDVS EITKGYGLDGTVKPLFAMQPYTGEAISLFELSGKHYLYNAIEGSLYQVNQPNDLQSIV STIDDPEKGMAFLEIEAF FPSE_12218 MKTSICWTCRLRHKKCDETLPICGACAALEIDCHYSPRKPQWLQ TEKRRLEALTRLKAQVKRNVKRRRGITQMRTIANEIDSSPDLGTFEPCLGTSEDPLTQ ETMVELSSEPVTPPTITPTEDRTAYISVYMDYIFPILFPFYRPYITQGGRLWLLSLAM NNAGFMSSITSISSLVLWLVPAHVGPGQASCASKTLEEFHAQASVALGNMQHDLQHLH QRGIEDCLSDSVHLLANMMQQLSFELIIAPSGNWQTHLDAATNLFEQILEHHGRDGPT RQLSTVISNLTRHSWPGAVNALNADQGTFTFFSSILLFADIISSTVLDQPAKLLEYHC ELRHCNSRQNSHIALEEITGCHTWVLLAISDISNLSRWKKDQMTSGKLSNDELIYRAA SLETILNNGLGTLDQHGNTENNSQHIPPLELLLNQSGSPFSYSKAAPDNRLPLTRTWI YAARTYLLSVKVDSITETSELEISVKQIIRSFDAITSSSWIRWLAWPLCVAGIYASKA QRSAICEIMDLASGFNVFGTMKAAVDIIKKTWQKRDARECCLDLSTCLNSLGYPALLV FPSE_12219 MKVAILGATGQNGSSIVNGLLASTETRFDITALVRPSSLKKPNV IELQGKGVSIMSFSINDPEDHLVDQLKGIEVLIVCCLLDEIVLASVAKKAGVKRYIPC FYAAVMPRGVQSLRDNKEIILDHIQRLHLPYTVIDVGWWYQISLPRLPSGRIDRNLFL YNGAIGGTGDIPSARTDSRDVGIYVARIITDPRTLNQKVFAYTELLTQHELYDAVEKI SGEKLERKYRTAKEIDDGIARTKDNLKTMFDYCQLTYQKSFDVMGENTPEYARYLGYQ IGKDLYPDVKGTSFEDFFKETLETGLSPMYEEYTDSLRGSSDFIFKGEATME FPSE_12220 MEPAAETRGPEVMAVIVTFFASSLISCILRFYVRIFMIKAFRGD DWLMAIAMMSYTMYTAFSIYGITQGTGRHMEDLSLEQIHKAMMCWWLGYIGYSLTMMM CKLSIGFFLLNVATSKLHKWVIYITMFCSTVSGLLFFFISIFQCQPISYAWMKDQPGK CINLNVTIYLTIMYSITAVISDFIFALLPGLIIWKLQLKKRTKYSLIPLLAMGWKSIG SDIALVSRVNPQHYVHMATSQHQVPDLQNKVTLLDAFQNVKLYRLRLFSAQKLQAEKC LV FPSE_12221 MNDTGDFVVFGPKANCTLDLCTIEMSVYGYRPSLAANISFAALF TIATIAHAYLGLRWKTPWFMWCMILSCTHEVVGYVARILLWVNPWSFGAFITQIIAIT QAPVFYCAAIYVTLGQSIEHYGPSLARFPTKYFAWVFVPMDIVSLILQGTGGGLSASS SGASQVGVDVAMAGLILQVIMLVAFSVLFADYMIRYLGHKKSRTLGSRDKLFFAFLAI AVLTTLARCIFRADELKEGYQGELIKHEDLFIALEGVLIVVAVFCLFVAHPGLVFNLA PKVYHSVATVADVSETAYYSKTGQSASTVQH FPSE_12222 MAGSSTDPETWKRPLSPPARDRATTFFMRQYVFGISGGSDSFSL GYNHEYLPVLMKEESAFGLLSTVVAAAGFAALSNAGNVAEWRSESFRLYQNAIVHLQH ALQDPVQRVSDETLGAVLLMGTFETIALPDTSSMDTFSQHIIAAARCIEMRGPEQFRS PAGVKLFMQMRRNMITTCHQLQEPFPFEVSKWSQWAEPHQPEAYIPVNRLSQINEGLA STRAKLKYLGITDPKLISQSLMPLDRMMEQWAEELPPIWEYKSYRSIGPDGVPSSRCD LQYDIYNDPWIAYIWNSYRNARLLIHESIIIATLKHGSQEQKEMLHSSFTILRRMADE ICYSAAYHLGRRRQDGVAMRHPESRCFDNTPAPGGFLLLWPLFFAAIQRTSPRDQRLW VAGIIRQVGRQMGLQMALSMAGLLEKDEKEFAFSHDDTFLLGEWHPN FPSE_12223 MKAFGLVWLLPALAAAQSATVTLSPWQTGEVTPDGTCGGSTGFV CSPVWGACCSKDGKCGRSSKFCGDGCQSIAGNCNAAAPAPEKPLGPGSVSPDGSCGGD NKFVCGGSTFGGCCSLQGWCGNSTAHCGNDCLPDFGTCGPPSNITANGQCGANGKICP GSGFGDCCSSTGWCGGDEDFCGAGCQNGFGNCTLTDAGNVSTDGSCGKNGKICKGSSW GDCCSADGYCGKGDGFCSAGCQSKFGDCDAETNISTDGHCGKNGKTCKGSTYGDCCSA QGYCGKTNHCEAGCQSEFGTCNADAGKVSTDGRCGGFNGKTCKGSSFGDCCSIGDWCG KTSHCDAGCQSKFGTCNSEASNISTDGYCGKNGKTCKGSTYGDCCSAQGYCGKTNHCE AGCQSKFGTCNAGSDNISTDGSCGKNGKTCKGSEYGDCCSQHGYCGKGDDFCRTGCQL AYGLCPGISADSECGSRNGRTCAGSGLGNCCSANGYCGSTGSHCGQGCQKGASSGCLT KNIPTTDGSCGSKAGLTCAGGPFDGQCCSAAGWCGTSSHCGSGCYCGSFVENFEDIEK TRAPAYS FPSE_12224 MMLRLSSIAFVAFALCLLCLVDFSNGDATNHPHSSHSRMHRRSN VITKAPAWAKKIIPGAAHQNPAKAPPNPTEDLELSIDKSTNLDQYYENSKNASESSAG VTPNKSHHSRRSLEKRGSGAFCCGPEGICGYGPDFCGDGCTSNCDAVAMCGEYSEDGD MPCGMNLCCSSSGWCGTTEVFCDNADPLHKTLPCQAGYGSCNIASSPSCAKGGGSTNG RTIGYYQSWNVRTRKCDTKTPKQLDTTGFTHLFYSFAFIDPTSFKITPAHDDDVKQMR EFTGLSKGGKLQTWIAIGGFDMSDEDKPTHTTWSDMVSTKANRAAFIESVRDYMDEYG FQGVDLDWEYPGEPKRGGRKLADTRNFSMLLREMRAAYGDKYGISLTLAPDYWYLRWF DAKAMEPYVDFFGFMAYDLHGFWDEDVRTLGKIIRGQADIREIGNNTIPLWFDGLDPK KINFGLAMYGRGYTVADKSCNGLGCSFVGPSEKGECTDSDGVMSLGEIKNLIKNKGVK SIYLEEAMMKQISWDDQWIGYDDEETFAAKKAWADGYCFGGTMVWSIDFQASSGGGLG GGTGNANTGNDGSDFPDIGSGSGSHLTHKQVIDKLMEEEMPDCFTDKAYKDIKDKGKF WYDSGAEQWTDKYISAQKDHSQWAQNLYRDIFKNEVTAADFACFQPGEECNFGKSCAD FNKKGKGGLYYMFLSLQNFFNWYSQFIQEFDQSMSYSAGNVSVIRKGLSIGESNPSAS IDILSILAAAFTIASGPAAPIAPLGGAFAGLGGLMSMYSATTGDDVTVGNDAIDAEGS ASAAISNVRKAGIDSAKKLIAAVFGKQGHPQSDIPEAMLVGDAKYKNPVVRVFGWGGW VRDSALGGLEELVTGMRSNMDKALLWQMARAWRGLYVVVRDDLPKHKCINPNNAWDDE KGRCLDILAWYPKTDKKTFGGNKDIEFAWNSWGMDKLGTLRNAVACWENNGGKIGSPK TSIGSLTSSSPYDSPCFFAMPVLKGNYSDISLGSLWLAGDFAGQEGQAGKLWPKSRCK AYNRDMHYLKRYSKDCSDLDVEGDLA FPSE_12225 MSSNTSLLNLTDIATRECDTHQLPSRRPSLGDDVPTVWIPMTND SYGGMSEICSPNSVNLWLDCVLWCELPDEYMDRYKASGSDSFDAYFNRRLKDTGMNMS QVVIYSTEEKSAAPVTGRPLSIMGLSTVALATFIALSI FPSE_07765 MTTFDYFSHVELPTPTLSPEEACALLHQQFGIAANLRPLGSQQD QNFLVLTAAHTEPLGVLKISNPAFSGSEIEMQDAAAIAVARAEPSLRIPHVIEGPRGA MSGWWQTSQGRLHCRIISFVSGTTLTGSHYLSPAVVQRLGELSAAVSLALATETHPAA GRTLQWDLQHAERVVVTITATEPDVDVRALTAQASQHALSLLRPISDRLPRQLGHFDV TDDNVLRPDGNPLSLPDAVIDFGDVVESWAVAEIAVTVSSMLHHADACYRTVLPAIVA FNRRRRLSDDEITALWPLVVLRGVVLVLSGRQQVRLDVNNEYAKRALDRESTILRRAT EVPLEVVTAQIRHTLGLPVAIAPRWSGKSILAAPRSVVVLDGSTLSTLADEGAWLQND AVERAAQHLLAQGADMVVLPALRPVLLGAPARTQQLPSTVPTSAAAWFASAATLEIPA NLYVRQAGTALPMGVATVEAGSRIDVLLTALDQANVPTAIPAVDLPGWSVVIGDATAA LGVTPPTNDVDDKGLLERREAVVAEVQEHYYARPPQIERGWREYLMDVDGRVYLDMVN NVASVGHAHPRISAAMARQTRLLNTNSRFHYAAITRYAERLAAQLPDPLDTVFFVNSG SEAVDLAIRLALAATQRQHVVAMAEAYHGWTYASDAVSTSIADNPHALQTRPDWVHTV EAANAYRGRYRGAEASKNYAADAVARLQSLAAEGHAPAAFISETYYGNAGGIALPDGY LREVYAAVRNMGGVTIADEVQVGFGRLGSWFWGFQQQAVVPDIVAVAKSIGGGFPLGA VITSRTIADQYRSQGYFFSSTGGSPLSSVVGLTVLDIIQEEHLQENARVVGACLKTRL RALGKRHPLIGTVHGDGLYLGLEFVRDRTSLEPATEETRAICNRLLELGVIMQPTGDH QNVLKIKPPLCITQQSVDYFVDMLDYILLKGF FPSE_07766 MTKKIITIVGVTGTQGSSVADVFLKEGGWHVQGITRDPSKLSSQ AWADKGVELVKADINDFDTLKPAFDGSNMGLPMGGDALVPLVDPRQDTGTYSGPCSEE FEPLTSSFQGKFTKALVQTEPGKTLLGFGSLISWIKFAALWGKTYGATCRYQRIDRKV LETAVPGCIGEGLADMFEYIGEFGYDGCDPSIVYPDNLGVHIPVYTTEEYIKDED FPSE_07767 MTSHIEQPITLKNGLTIPNRLAKSAMAENLADADLLPTDQVYTA YKTWASGGWGLIITGNVQVDPRHLGQAGDIANNDSIDRSRILESWKRWASVSRSGGTP TVVQISHPGRQSPLGAGTRGLFDKTLAPSAIPLRLGKGVFAGVVSRLLFGTPRAMTAA EILDIQERFVKTAVLASDAGFDGIELHGAHGYLLSQFLNPKANQRNDEYGGSAAARAK IVVDIIKAIRKAVPRSFTVGIKFNSVDHQSSQALEECIEQLRLITDAGIDFLEISGGS YEEPIGLVDPDKTPTAKKESTKAREAFFLDFATAIRDQFPGLPLLVTGGFRSRKVIQD ALASGSLDLVGLARPAMLDPSVPNNTLLDKSKKDDEARAIAISIPTPWLLKKIGNYVI GAGYETAWYGRKIKTLGKEYVMNHDDEVNGSDGPHYLKASEGINGATTCVLDDKGFWF CISVNNMQQSTQAMYLRV FPSE_07768 MYPIRSLSLAMIISMASSVLSLDFPKYTGLKTAQNDSILTVTFH NPSSPVNLWNEDTQNDLTDLVSRLQHDSETKVVVFNSDVPRFFVAHLDLTLPALAKPD FVVSFATLMYNVSRLPQVTIGAVEGRARGAGNEFLVALDMRFATKNDTLFGQPEVGSG LFPGGGGSQFLTNLIGRGRAMEYILSSNDITATEAAEIGWINKAFDTSNDLDDYVDKL AQRLALFPLSALAGGKKTVNRASPSLEHVVADAKDFFKQQGDPLVQEIGKRSAALSQK VSAVDLELNLPDVLPLLYT FPSE_07769 MSFNPDNDIPDLSGKVIIVTGASSGLGKESALQLAKHNPATIFV TARTEKRGNAAIKEIQDAVPNFKGQIKFLELDLSSFASIRKGATAFLNQSDRLDILMN NAGLMAIPAGLTTDGYEVQFGSNYMGPALFTKLLLPTIVQTAQQQGDARVVNLSSELF KQAPKEGVLLSRVKTPLEDISSLARYGQSKLADYYHTRSLSKYYPSVKFVAIHPGVVN TGLFDDLRKRRPWLGGLIGVMGSIFLTDVHAGAKAQLWASTAKSESVRSGGFYNQKLK EYTDAVLDDEKAVEELWDWTVKEFASKGFK FPSE_07770 MAGSTLRASLIAAVAVQSVSAATKTPAGVIALPLTRDDGLTAYF AKLQVGTPLQTQHLKIDTGSPRYSFLDPRNGVCQREGDPCVEYGTFNNETSSTCHYAG TNFADALGYVGRGDYLSDTVVFGGVATKNMYFGYTSDYSFPDKLSGDIYTILGLSLEC GYDFAGPECTYRSSSYALPELKNASTINYMASSIYLGPDDKEAANAQMLLGGAYDKAK IDGDLITVPMVDPFNVQLSGGQTNSVIVTSLEVFLSKDNNKTTETYGEKGVGLPVLMD TGVAAWYLTDKTMAAIYRAFDVTEEPPFGQRYFVVDCKYADPKRADGYVSVGFGNHGK IKVPLHGLVSKFPDKTCGVFASSRGDTVTIFGDPFLRNVYSIFDQENWTLSMGHVKHT NEEDIVPLPKGGFKPSYS FPSE_07771 MGTPNYIHCTICGMSLGDDDCIVYYGPHWPDADCPSLTISDHAT TRYAAVAESYDGKAILPSGLCICPPELCDLFWPTQDRAPPATMYIAIHPACEDMANRF MRTSSHAKIHSTGDLWLVLERRCASHIYVVIPRWFENGDLRFVPEIPLAETGVHSLDG YYVPRACLLRTGDDWEGWWDENPVDIPNLTADLMSNLERIDSTPDSKLFELLPNEMKN HVCSYLQDEELSLDCNYLMPQYMWAGMFFRITFLWDLDTRVVYDKTGSSKLDLENLNW EKLTRQVMSRAESSLGVQSGADEENAWGYSKVGLNVPGGFTNRRRIWQVLEEMRPRPL DEEKRTSAMNMAAQRGHSKILELLSQVEPRANLAANDPNDNGRTPLHCACGGGFPITV RWLLQFDTVKAAVDKKDNEGRTPLSYAAQTGFERTIRALLKFDAVDPSSCDHRDKTPY DYAVDGGHRFVARMIANRTKDT FPSE_07772 MPPRKRSAPEASEEATPKRRSLRQAASKTQPEAEAAPVTKTSPP KKPAKATVKKQEKEPKPSPKQQKPAAKEAAKPKKPATKQEAKTGTRAESEDPDIDSIP TTNPDAPRHDGQWYWLMKAEPETRIENGVDVKFSIDDLRAKTEPEGWDGIRAYAARNN MRKMNAGDLAFFYASNCKEPGIVGVMEIVKEFSEDRSARKPSAPYYDPKSTKEKPIWD LVHVEFRKKFAVQIGLKELKELGKAGGPLETMQLLKQSRLSVSQVSGDEFKFLCELAD KKAKDAGLKHDEVLAERD FPSE_07773 MTTNSFGSALPRFDFHQPPAPRFTARLARSLPTAALVTTAAATY CLTYYVLKQQYTMAKAQHNRAVETLNEMKERGNKTDEWVKNDALWWTAF FPSE_07774 MVAVKTLLVFCALGAQAWAAGLGATGVVCSTKLDTVSLAPNQIP TSTATVVNKVTVIKKVVRKVNVVVVPKAKTTTIRTTSVVTTTATADPRVETATSIITS EVTVYSTQTSTSVATSDSTTVTTKYVTETVPTVPGWIAIKSESRYVPRHKVRAEVDDG ESQDSVEPTPVLYAQKVNCVKKKPTTSIKTVTTKVQGNRVTLKAATKSVMTTIVKVST VTEYPPDLSTTVTLTVYPTTTSWTETTSVSTVVQTVVVESQVPIATEYAACQEGNILI TANGGQIITATAPADIDGMFINNLGANTPTSCCEACHKNPSCRFTRFIEAESKCLGQI FPSRLCPTGEQYRQQSVGRFFTNSNTLIKAKGIYSNGPCGYFINGGIDS FPSE_07775 MAPEETQGFLKSLVAPLRNQIPTPLLHRPSEAGLEYEDVFFPSE DGTPLEAWYIPKPGSNKLIIANHPLRCNRSGYPAHLEPWKAFLGGSATGNDFELNFIP DLKILHDAGYNVLTYDMRNSGTSGQANGGISGTGRFESRDVVGSLNYVRSRDDTKNMT IGLFSRCLGGIATFFAMDRRPEVFKDVRCLLVPEPLSYRPFVEKALGMFGLDDKFDEV NDLIKMETSFTADELSPIPIMKNIRVPTFIYSVREDILTKESDVQTMYDSLTVEDKKL HWVDGTVRMKGYTYFQENPEVFVEWLARHME FPSE_07776 MGQDYLSLFWLNVSLSEICGLCYRKNQLARIMPTYSWRLHKQLK FVYSQEQNTSNRLSRTILTNQNLHALGLNVPSKIYWAVYGVWLGSRDTKKIDLSFPQT DWNFSSTNNSWLVNALIAE FPSE_07777 MLFKSSFLLFSLFSLGTVAWDAPNYSGFTKVWQDTFAGSGGTLP DTSRWNIITGYLNVNAELEVYTSSTRNVQRSGGDTLQLVPWRDASAQNGWTSGRIESK YVFTPQAGKVTRTEANLRFGTCSTNNKQGIWPAYWMLGNILRNGGSWPSCGEIDAMET VNGQLTGHGTVHCHVYPGGICNEGTGIGNTIGIPDQSWHTWRVEFNRAKSNWRDETIT WFMDGQQFHQISGARINDQNVWNSLCHSPLYFILNVAVGGTWPGYPNGNTCDGYGSMM EVGYVAHYTN FPSE_07778 MDTFGGVGTATSLLVQAFHLFRHVSSARSFADSAGTLAALIAIE YFRFETWLQQSGLLVADSVTGEFVVSESSLRRAILLASDARSLTMDYGRVERHVLLVI SQAHQCLLMLQELRDKYSLHNDASCVVSTVSPNSAAMKTSSEIPTAAPLFQNNQVAAG LKRNTKLHQHRAKTVSFFRKVNFTWSFKDDISDRTKVMEHVQTLKSCNDALLECLPIT QQNTAERLVNMKALALSELPSDLKGLGNAASTFNDPMHRQIYQAMLIKARRVEESTQT VSRQELEQIELDRAGLSFQEEQLIDSRIVSQYTVANSLTTEKLNIILESVSFPSSLTE DDLGLLKDRIALLCILLRNAGHPYFPVLPVGIGFFQQSRTSFALAYQLPSFADQQELT CSLYSLLPRNKYSIQTEKSGRPNRFIPSLEQRYKLASALADGVLSLLSVNLMHKTITS RNIVVYRTSDTLKLDSPQLLGFGFARRERPDERSVDVRDEIPCPWRFWQHPELRSAGE EHRRFERRFDIFSLGVVLFEIGMWQDAHYYSSSASDSAVANADEFRRRLVKVCAQEMA HRMGEGYKSAVMTCLDGDEVWCEEAWNDARMDENTEENDTLNRGPDVTELFYLHVSSV LSSCCK FPSE_07779 MAASIWSNQEEIDAFWRASVCDNPSGDSVLHLAVRKEDTELAGH LLNAGYPVDSFNDDGKTPLYLAAELGLVSISSLMLLHGADIFAHNSPSNDEDQGVNRD STSNDGDNSSSSPFEVAMDKNTEELPISFIKHALSTRFDPSQEYTLLQAMARAFISEK QDVLEAFRHSGWDIDRNHSSYRRPFLHYVCEEAEQVDSVKRLIDSGADVTKLDMAGCS ALHIASQIGRCLDGSVVKYLIDAGAKINTKDRYWRATPLIAAVQGKRLVNARALLEAG SDPNAMVKRGETRRTVLHLAAQDGITEMVQLLLDWGADPNVLDELNGSPARWAIRNNH IEAVRILLEGKLDPNFDKGHSMQMAIEMQRLEIVELFIKHGAAVERSMIHVARSSNKD SSMRLLEMCIQNLALSDEDTSNRDGADQDVKVGLRLGKFDIPGRMRSDMSDDYRVCAL LIEHGHGENLASLRDVPRGLLICICAGHGFNKAVTRLLELGNINKTIRSYRVRPFGWT ALHVAAYKGNMALINDLSSNGWDLADEDDLGRTTIHLAAYHGSSELVQRLLALYSNAE HRDRDGQTPLHYAVSSDRQVDICLLECLVAAGCNVSKACVSGETVLHKAARFDLVDVV AWLLVKGSNVSAKDAFSNTPLHIAASFNAVPVIEKLLSHGAQLNTPAIDGRTPLHCAS QAGADNAVIALLDAGADPNKIDSRGHTVLATAIYGGECKPSTIDTLLDHTEVDWNAPR ARHLVFIAALAAKSPNRAPVLEGVIQTLCTNLGEKKASRIIKRLMPEMVPEILVSADD SDRGSPADVIPSLLDFLPENKETRHLLLFHMLISIIKHGGDDGGDLTRRLLRLDDSNV SQMIPEDWGFQSLCCRYGRLVQLRVFLGRGLNPRVLRVTNTRNLLALRQIITRNTINS QTPQISQRSTFATQGYGDDAGDPVGSKDRVPNSQATHEAEHPGPTPSAGKSKQNATGS KSPEDASAESGGSRSKDAVEKGKSPTAGSIGGKE FPSE_07780 MSSRSGSISEDWEELSNVGSVTSLDDESKSTSTATVETQTPAIV PPTVAGPSSAQLQLPLRPRQDETAYSQLSSTGTRPHWGEWENVPPSGYLPYPFQHGTS TRTNISMSMGAGVPPTVRHGRPSAQEDQGFWFADGFENLELGPIQIPRVGKLGPTEDP REYHEACATARRSLLEVQRLADNIGGGKSLVMDDLCATCGLLLVQVAELGKMAKLYAQ HWMVQKSKGDPTDFPLELDVWKLLKQLLSKLRSIAAELKGDMPDYINFMRLDGRTRLM GLGMGGREENLVDISSHFANLMPILRADFNKYRTKHMDVQPATTSASEKPTRRVPHSP DVNLICIELSALKASLVSASAFLKQLCSNGECKYIMGPGMTDTVDSIIDVIPKTLTIS PLGWADSAAKGGLKYSQVVEFSVECIQELVRDLKCYQRVIDITAAVPDYEPYQLRLDV AMQGIRDVVEVLEVLLLDPIGSD FPSE_07781 MSFKTAAALVSAFASTVFAHGTVTGIKVDGIYQPGYSLDSYYAA QSGQKIPDIAAWSAENLDNGFVAPAAYGTKDIGCHIKAAPGHTSMPVKAGGSIEFQWS QWPDSHIGPVLTYVAKCDGKCTKADPATLKWVKIDAAGLKDGTWAATDLIKNNNTWTT TVPQTLAAGSYVFRHEIIALHGAGSKNGAQNYPQCFNIDITGNGTDSPEGTLTTKLYT ETEPGILFDPYKGATKYEIPGPAMYKAGSSKPIIPIIPSNSTMPALSPMPTPIVTPTG FVTSMTPGPALQARENTSSRLVTLKVRQAATTTTSVVVATLDAANNKRGCEAARRHVR QF FPSE_07782 MSISPFKWPLWWRVSVLINVSFYNLLGNAWCSGLSPIFGLIIQD LHCSQTQASALATYALLALGLSNLFALPMASLIGKRFTVLGSLVLFIACNIWSSEATS YESLRNSRIVGGLAGGLVEALGPIIVAETFPTHHLARAMVVYVGFLAAGSAIGPLVAG AVGVGLGSWRWYLRILSIMTGANLVASILMLPETTHDLDELHLPADAVSGDDITGQKP STTSIEDVRTPSLVETTPSIDLGKEYMSRSFSADYVPLRWREMPRRLIQPLQLLSAPQ VLVTVYVFGLTIGWTVIISIIVAVVYASPPLLWDSRSIGLLNISSLIGLLAGLPVGGY FADLLFIRSTRGHTREPDPRSRLPIMALGALVSPSGCLILGYGLRHPESWVLVCVGWC LLGFGLTGAANVLLTYSANSIPSRTGDIGVLVNVMKNCLAFSVSYASLPWMQAMGSVK QFAIMAALLWFGYLLTIPVWLWSKFLIRKSAMYIE FPSE_07783 MVPGTTRAIDSVTAAKSLQTSEELVEALHGTQTAPLWAQMQRLN PAAPNPKTIPHVWSYHDIRPFLLKAGELITEKQAERRVLMLENPGREAPYTTDTLYAG LQLVQPKETAPAHRHTAFACRFIIEGCGGFTAVHGKRVPMRARDLIVTPSWNWHDHGK KGADEEGGDDKPVIWLDGLDLPSFVHFPVHFVEHHSAARYPAEDFDESDIVYPWVKMQ TKLDANSEGWVSQPYLKPSGAEIGRIIGASAERLLPGSQSSVIRETSSAVYHVIQGSG WTKVGDTVLYWKQGDTFCIPSWHQYQHFADTDDTVYLYRFDDKPMLKALGFYRVEGVD VETYVSE FPSE_07784 MHTTAYPSRSTTLLERLHRSFQHANGDTSRPKTQIELRIVVVGA GLGGLATAVALARRGHKVVVLEQAAALGEVGAGIQIPSNSSRLLLRCGIGPYLEQYAV KPESMTFRRWENGDPIGYTRLSPDFEITYGAPYFVIHRADFHRALCRLAEDLGVAIVT DSKVVEYDEAAPSASTSDGREYNADLIVAADGVKSIARSVVLGGADLPAQRTGFAAYR ATVDTQDLKCDKDTSWLLEKPSINIWIGEDRHVMTYCIAGGNSFNLVLSHVDHSSPST WNSETAIQDMQDSFRDWDPKLQKVIMMIKHTIKWPLMTGSRLQTWISRSQKLVILGDA AHAMVPYMSQGAAMAVEDGAALAAAISEATCKEEVPMALRVFEKERMQRSYGMQSASL VNGRLWHFPDGPLQQARDLGMRAEIEGRPFVESTNQWSDPVTQLWAYGYDAEQAIESL WRTERASVDSRL FPSE_07785 MKVAWQRLIRFVSTDGRTLRGEPILPTSEFDIGDAVEKTRLQAR VIDGDDIYDTTGATRVTDEVVTVKTLLGPLTPADVPILRCVGLNYATHIREAGRKTPP FPSIFFKPSTTVHDHDVNVVIPRVAQDEQADYEGELVVVIGKDAKDVQESEALEYVAA YTAGNDISSRKWQRDPNLAGGVPQWGFSKGFDTFAPLGPVLVSTELIPSPESLHLQTI VDRQVRQDAKLDDLVFSIPRLIAHLSSGTTLQKGSVIMTGTPGGVGAGLNPPKYLVPG THMEVRITEIGTLRNGVQFE FPSE_07786 MTPEEESQSESVKQNRKANNVEPAPKRRRVALACSACRIRKSRC NGARPRCDTCEKLGFECLYEQQETSANLLVPKDLFAALEAKVNLLEANVQKQDGRLIL VEDSISKIGNDLIPHHPKSGDVVVNIDKMDESCADQNNTDGMAVSFVDEQDCGFFGPS SNIALMRHIRKVIDLNRPQTSQPSSLTPASEFSVYEGGLVSSSTTLPQTSQDSSKTLG VNLLPPDEVMKRLISAYFANTGLLFPFIHQQEFLDTYQRFQASGFRTDVRRTWLGLLN MILAMATCTSCWEDSGSETHFEESDIFYRRAQELCQTQMFRGTTLEIVQFLLLTSQYL QGTHKSVHTWTIHGLAVKGAMSIGLHSRDIASKFTPLEQEIRKRTWFGSLSMTFGRPC SIPEEYIRLDLPKPLASDETQQLSTAFYNASIQLYRIMGKIIVSLYGSNLGCEDQASD TSTMTYIIQFEQELTDWQNNLPHRLSLHSANEVTQADMHDSTIERFRIILTLRHLNIQ LLLHRPMFIRSLGALLKDPKMPHRNAGSVNSMQASFDRVFVQAAESTIDIIYSVLTRP DHGRHLIGAWWFTLYYAFSAALAVFGGLLISLDLEDEFASTNRLDKVKKYLNKVSETL LRLSGHNVIVLRCSKFLQQLIRIVNAWDSRPHQEVNSESQYTESHSFAAGHFDIESDL LSTMPQWEGPALNLGDELELGHFFASDSQRWFERAQW FPSE_07787 MSQEITPGGYHWISNAIESLNPEKDYELMWRLMSCYRSSDFMNN FIYVLTFPNFIITTHGAQTVWRSDGGKVVKRGTQRVEDTENYNMTWWHYGPSDKRCRD AVEHINNLHASLARRYPGNFSYNEDFVYVTTFSAILMHRLRLRLGLSGFTEKEKIAAH HFWRDMTPLFIKEDGSSVHGYPDDFEGCLNFCEAYENTPREFDPRMQHIGLAIMNQFA FRYFPPGLRWLAISTVQALTLETTLAAMRIKPVHPILKYIIVFVVGTLMSLAETFLPD PRESFWKKIETLDVEKAKARKADIKESDEAYCKYIRDKWSAPGCPFQMKDE FPSE_07788 MWLWHSLFAWFVVLVTRAAANGIEGYGLSSYDPLCAESCLRSLS SLSLSCSEHGGGHGHMMMAMATSPACRANNTAFLASTAWCLSVKCADQHVSKLEAYWE KWVTGSKEVVPKWTYSESLAEADPWPQRLQIGEIDMSLNETSVVNPTAYLKQWNVLGG VKREITLESTHGIVLIAVAVGLPVFLSWLRYMPFMSALYDRLKPYILYPSTVGTYYVR PLPFQIGNAPTVGQGIYIAIFIVLNAVLTAVGYRTAQPNGWFTSSWQDLIAYVLYRTG AFAFTLLPVLLAFSSRNNLLLWMTNWSHPTYLLLHRWVGRLFTLYAVLHSIFGLLAYR HYEKTVWWAWGAAATVFSVVPSVGSGFFYRPWENHPFSIIPTGLLQNRDASLPSSPFH DGAGGKDLESTPQNTVRRVSASPDEKRNSGGVTLFVKKAKGITSYLQSADNLLTLVEG PYGNNNKDHILRCDRVLLIGGGIGITSLLPWILGYWNVKLAWSVKASAQYLVDELEPC INTLDRTQRDIRVGSRFDISALIDDEVALGWKRIGVVVSGPGPLCDDIRAKVAAAGKA SKTIFEHKVDAYSW FPSE_07789 MANFKSLIFLAALSTVHATATPGALSTISTAVDVNSQAGWWNPL DEYGGYDWLAYLRNPPGGSTANNNVMVARRSISDGTMTRDCVKTSDGQCAVFADDLGH NTPSISVDSDGYVHVFTSMHNEPWKYFRSTTPYSSTLVDASSEMPDQTIKVTYPVIKR DAGGNLWLIIRGQAANDNNAKGGYFYKYSTSDGSWSRVAIWAYNKGYSVYPDDIAFSS DGDVHLQWEWSKYPASAVRHQGSYVRYNPSTNSFTSASGATVSLPITQNSADIVYQPL TSGETYSGDINASPGPAFQSAKMALYERPDGSIHIQHAYRFKTSNTGAWQVRRATATY GTQDPWKREILYQDSETSAALGITHDGTSARIYYCRSSASAFVLENVSGAGWTNTALE PVVGKKVQRLQALMRSDGTDVLYLGSPTNINSTTGSLYLMTVGGRD FPSE_07790 MFCTLKEYSHTRKRKARCDTDGRSGNVHPRSFIHDLERELMNLE TKINDAENAPTETSPATSNLRSGDEVAQTSITGHTEEHPTFAESSSGINLVRSALECA QQDYSNLETPSDSAETRPTLPETQKNNTRAALPPRELANNLKDTFFSSHQVQYPILNQ EQFEDTMSQFYDNHDGRSNNSDLSPADVRVRFMINMVLAIPLMSMAGDHEESHALSKG FAANAMADVSHIMQTKNVQSLQCLLLLLLLSIVDSAPAPVWYISGLCMRMCIDLGYHS ERTISMSSSVGATETGKEQEADVKRRLFWITYSFDRTFNILLGRPFTFDNLSVSIDLP SCSLTSTTRQQTLHWLELQRLQSKIVHRLHSTQEVRERNNTEQPELELCQWTNDMAQT LKEWNGFAQTLADPCGYDVNWWSYWHRTVLLILYRPSLLRPTLSPSETLSCYMAAKEL IQLSFLRISEGLADFTWIDLHFQFMSGITMILIIWKSLEARNKAQEDWISLKSSLFQW KLILDRLGARWERIGRAREVLSKLADATVDLVEKVPAGLAEGTLHPRPSQNMRETRRS QRRSIVQRLRNMSRQDQSQTGAQDSSPPCSNAGFDRGTTQLSNNNSNPESRGQDVTNM QNLAEGTAGWTDSDINLQQETALGSQDAGNTSQWEAEQTLWPLLNLSDTTTGTDELDL WAYFSAPILGMENMAIPINSAIRPDESLNNSILNFQGDLSQFPSQNGANSTEESDYIQ GYYATHHEGSNAAFGLNFYNVKGPDHVSRWATEPPLWILDHGPSENYFKTN FPSE_07791 MTTVGGTAEPKMSAWLIFSIVVISMGSIFWGYDIGILSTIYVSP GFNKALDNPSSSDKGLITSIFYAGQFVGFAFFAGPLNNRYGRRWAGFGGVCLLCVGAA IQTGSVHLAMMVVGRIVAGLGTGVVSTSVPLYLSEISPAKNRGLYVAANQVGIVSGIS IAFWVGYGYSFWKTGNGVDLEWRLSNAMQFVPAVLFLVGVPFIPESPRWLVESDQIEA ASRSLSKLRGLSPSEVQPELDEIHANILWHQENSITSARVFIQQKPLWSRLWRAWSLA FLQQMSGAAGIRYYLPTNFIAAGTSKELSLLASGIDGTVQVACTVAAMFFIDKLGRRH SLGVGAVIMAFCLMINGALQLAYPGQTNSHANYVNIFFIFFFTVGYSMGFGPCAWIYA SEIFPANCRSKGLGISSSGASLGSIIVGQVWPVAVSRIGPRVYFIFMSFNVFSAILVY SCYPETKNKTLEELDSHFGSLNVHAEEVATPKQMLAVEERVEARTKDA FPSE_07792 MTFDSVKSNTNGAHSPLDQLKHVSSTRLTPNLSVHTFSVQSNHH FDRSFRPGQHLTLQFPPDLDPVSGPQNLSEEDRRLSFTPYHVGYAHDGFIETISLMAR NGRVTGLLGLPRPHSPLVANIVQAGGGFPSAILDSAHTLICIAGGTGIAPFIAMVTEN NHRSGPEARERKPVLMCSIRGSDFAAVEYLLTHQLLNPRDWSMVRIFVTSGEEGDGMV DDKLSQWWHERLKSLSQNLDGDMGFCLRRMELRDVEPVINGTDDPVLFCGSKQLEWQV KMWMLKRGNVHCTER FPSE_07793 MAPARISHDPVLRREPAASSRDFQVRNLDADLCVCGGGFAGTIA AIAAARNNVKVILIQDRPVLGGNASSEVRLWILGATSHMFNNNRYAREGGLVDEILLE NLYRNPEGNPLILDTILLEKVRLEPNIQLFLNTALVGCEKGGDRISSVSAFNSQSSLK FNIRAEQFIDCTGDGTLSFLAGAPFRIGAEKRDEFGELFAPSSEYGHLLGHSIYFYTK DVGKPVKFVAPSYALKDVEAEIPRFKSFSTKEMGCNLWWIEYGGRLDTIHDTEEIKWE LWKVVYGVWDYFKNSGRFPEAETLTLEWVGTIPGKRESRRFVGPKMMVQQDIVEQKLH SDAVSFGGWSLDLHPADGVFSEVDGCTQWHSKGVYQIPFSSMVCSEIPNLMYGGRIIS ASHVAFASTRVMATCGANANALGIAASLCKKNAIDPVQLLQKAEMKNFQLELMRFGQF IPGYKLEDEKDLVRSASKIDGSSTFKLSQLPADGPPKVLVRSLAQMLPMLQGPIPKFS ITAMSVEATELTVQLRGSQKPYNYTPEVILAEKRFSLEPGENDLVIDFEIENPQTQYV FLAFMQNESVALCTSKTRVSALMTVEHECTQSPPSDVGVDDFERWTPVRRPMGHNLAL TVEPPLQAWGVQNVRNGVARPTKRTNCWVPGLGSDRKLLKVSWSNSVEVSKVVVCFDT DYDHALESVLRGHPERTIPFCVKKWRLLDLSDGEKELYIEDENHMSRREVVFDSRRKL TDLGVEILELNGDENVIGGVFEVRVYE FPSE_07794 MAQTRPHDPQGPLRNVSLRVSQVLSACSFCKTRKIKCDGATPAC GRCVQSGRQQTCSLQNDTSSRARDYHTFLLQRIANAKRRLAEAQAGKNVQTPSSHVQH QQPKAQESSMIDSLVNDIEALPIIPCSYASDTGGPTLSTLVLATAGTDQISIHETHQN EMTPCLPKESTALSLAKHYLDNVYPRLPFFSIQGFWVQFQHVFSGASASVQDRHPQRP PDPISILSPSAEDFDRSSLSHGYSYFTVLLVLAISASSLSRSADSVISAQAERLFRNA LAFRESAIIPSNIIGVQSILFLIQFATLNPSLLDAWYLIGVGMRMCVDLGLHQDPQPP DSVEVSLLETRRRLWWSVYAFDRSMSLGCGRPTEISDSAISVSLPTFRIEIPATPVQI HGYLQRYRALQIQSEIYNRLNDSKDANTGVARETITQLSQKLSAWKEASSQLPTRTLL ESEWLMGRMLLLRPCRLLPERTIDELNELWHSAIGFIGLYRGLVEANSIFYVQVACEK VYWTGIIALYSFWSLRAGPGNLRTLSIWVLVRDTMFILRSLSERWEQGKLLCSRFDSL MTNVLEIVGTNHDVDVTLDIPTMLQSLDRYTSLTTIWASSEREQDPVNGACHEANKLR NLIVDMM FPSE_07795 MKPAVQKVINYGLGNLRLIFKYATEIGSVPVTGLESPFDDTFAK SIMKITSENENFPKKNSTVIIYTTPAAQGYVQMAALSSVMGYDKLIGANVAYFPGTKF FSEFPISIGKNIWNSFGFDRFTDMHKATGLPSGTILKNGGKTVIMDGKLKESVAEFCN SSNGKFGSVNQQSITGIGKLEKGKDLYIFAHSLGTALSMPFLYQSLASGNFGRIIVVT AGGYCSTNDVAAKQLQDMGLEIYNLQTLGDPITEWSHFESPGFAVLQVHLLFFPYVSL TQEATGNVWFGNRRGWVQKSSVDSAVKREGYADKINNVRQIYCTDVPQGDYKINVHYY KDISGKAPPIPWAVAWLVL FPSE_07796 MAAPPSELRSITATPVIPIANRDETVALQIKVNTEVWNAANPAD KLDGAHGSFRPIGLKLKKAAVLGIEGEANYPQTWPAFTQTKCLIIGSIKDAKSVQVDI LKGVWEETKLADPAPRQAQILKAGFKTNLEVINPLGSGDDAPSIPWGFSGDVEWRIET QTGPKQTLLVKDKSRLEIYHLAGIAVGGPTETFDPQATLPNLQGKWPVNLLRIFMPNP TDIIDKAAEINANKLSWWAKLAIGKLSAMKVLYDTAEGRSSFGISFFGGSLDVNHFHD SLELTLNSFDLTCMLEAAFQILLSTYPAGTAPTVNWVGLTSCGAIADKTSYSMVPMGW ESDTAVSQGLSTPFFKGTLGPDEQDGWLATNAWLEIKTGVPEEAYVVQAAFSVKEKNE AQARPDTADIKRIEFLKRHFKDSDRLSQNTGHFFDWNNTTVVPQADAVGNSLSQKVGL FNLRGVNEPWPWNFPSTGPKPPPVPLVEPLKLEINKILNAQGTKNNASTAKNLNIINS SSLHPSQIYPRLKFKTLYKGDTDYFRLVVARGVSVATYVVPLNGVPNTNARVKISTFE TFSNAFDGLINELAGFESNLGKLIVPNDPTKQYGNYMVHTKRSLIFVRNNLLVDFTIL GTASYGNQAATAALLPLAESLDSYLTASTVSLAQLRKAAFIITDPPLPPNGQPPTTQV IIDTPFQVTLANASMLAEELGVFVYSGQSNVAQPIIFTSAGPLVSDAALNTSTRVLEF LPVQKQAAMSGQPVDIAVSGAHYDTFYPVTQLTQVALQ FPSE_07797 MPNTISITIKNKSMAPQSFLLFQALPAPTGVPGDQVFTNVYQKS PVIAGNAHDQVTFRITNEYFGIYGTNVATPDQRVKISTSGYSAATLQSNPASGPVNGS TFYLSTIDRDGKSPTFASTAQTTTAKAAFTIQSDETFVNTNTSNIYLGVGATDPTNGA VIPIQTYRARPNVTTVLYPVVKYYICYGHFEPGSVVQKAEMGRYLSLDFTGASVNDVT FALDEHNDYQIDPSLAGSNLQWKSERVSA FPSE_07798 MQQLAYLDVHNHSLHTQGFVVYPSTPTITSPSADKVDMQIRVFQ ASKLLQGDGHGTIRFMLPCAPPLNWGKPEEQPAIIVMAGSRSANQDQNFGPTGGVRPI PSGSYWKLVTTGPNNGNMAKNVCVLTAPAGSEPFILTDEAMKTGGYAFAQDLDKGMFR ILTDELLGTNKSVIAFAGIGAVNPNFPNHVVPIVTWDVAPSSVYTVKADMSSWQVVAL SNSAPATALDFSTLPSSIPVAFAPAPESNATDVYFTANNSFSNEP FPSE_11098 MAAKYQDTALEPKYGGNVIEIDGKIVLATDDKMTEEIDDLVEQL EKNNPEESYPAGEGYISRFSYYTLGIN FPSE_11097 MCQISSNDQKSADSREADQGIAYKDLSPEQQEMSDILYKMESHD LDLLGIADLGRDGIFRYLDADRNIHYAIALRPALIKALLDRLPYDMEEERFWRGVDGT KVPKEQWYNPPPGILPPPLSEEHRKEGREINERLKGNMDKIVEDRENYKERLVFIESD NKLE FPSE_11096 MSIILLLKLGLPTTQVMHNEDGELGLWLESRSGEKWKSFGDGRL PGKDNSSNATTTNLDQCLKAVKQLIKEVHNAFNNKKVIQPSVFLAWHHAPIIAKVSEH PQNHTPPHGPGRDLGEWVEFWTENFGQVENQVKLMISKVWGRAFG FPSE_11095 MQLYNVLVTGSAGHLGRALMLALPSLGYTPLGIDILPSTTTSFV GSFTDRAFVEHILSHNTGIRYIIHAGGLHKPHIVSHSREEFINTNVTGTMILVDEAAK LNGRIEAFVLVSTTSAFGSALIAAAGEPAVWIDESVVPRPKNIYGVSKIAAEDICYLA HSRTKLPVVVLRCSRFFPQPDDDEIRRNALKNDNMKVLELCYRRVDITDVVSSCTTAM RKAKDVGFGLYVISAPTPFHRDHVTLAMLNTDAKAVVQRAVPQCVELFSQKGWGYLDK MDRVYDSSKAMTELGWRPEYTFEAALARLQSTNDWRSELAKEVGRRGYHAVSTGFYTA R FPSE_11094 MHTNKVAVVTGGTSGMGLSVVKYLLENNWHVFVISRRNQQAVSG SRFLQADISSQEELASAFRTAFEETGRLDFVFANAGHAGNDNYFDTCQENAVDLSSID INLGGTIRTASLAREYFTKSPHHGKGAVLVMNASIAGLYPVDLFPVYSVSKAGIVAFT QTIAPRLFRDHGIQAHAICPGLVQTNFLPEEVFNMYGADDFVPVQRVVDTIRRLIGPD DFQDSSGRTVASGERHGLILEVRTDGIFLYEKDPISEGSRGEIERLCGPR FPSE_11093 MSTEQTADEIITLLGLTPHPEGGYFFKSFHDPQSHIDRAHSTCI YYLVIGNGGPTRWHRINDACEVWHHYAGAPLKLSVAWDDETGVASKILGKELARGQRP QAVVERAQWQRAESLGEWTGSFVTAIIVLCLVRLPSPSPCRFGHVVKPSEIMGLILIL SGLFCAVLFLQWGGTTHAWNSWQIILLMVIFVITIAAFGGLQTWQGEEATIPPRIAKQ RTMLSVCVFTLFSSGAYYLLIYFLPLYFQEVKGATALRSGIQCIPLILCNVVGSLSSG LLTTKLGHYFPSLYLSMVLTCIGAGLFVTLRPGTETAPVVGYQVLYGFGSGLGFQLPQ IAAQTVLKETEVQIGIAMTLFFQSLGGTLFLSVGNSVFKEQLRNRLLEHAGLSAQQLK VILSAGAVAIESTLQPKELEAVRSGYSEALIFAYYTALAASILSAFGGLFVEWKSVTE KVPSSS FPSE_11092 MPTRVIDIGNEGDRPRLIVTGPDMKEELVALSYCWGPATDTFTL NNHTMNEMLKGIDESRLVAAHRDTLGLSRQLGFRYIWIDALCIIQGDKQDWERESKLM ARVYGNAALAIIAGRSADARNSFITNQYKQLAPCCEFPLADSGSGTVRVGLLRSRDYG ITQTRGWCCQEIRLSRSVVVFGVEQLVFICRNACYSEDYIYHKGSTVSLYGLLNATDG DPQLRKDRLLEYWDVVVVDFSKRQLSNPHDVFAALASIAAPISEALGSRYLAGMWECD LIRCLLWRPGYRVTKSLGSATRPKPTRFAPAPVIRAPSWSWAAIQGTVMPVLLRQFRR MKIKDQSGVLLARPKQQGSDIWTVDTCCGADKLHMPSCALQILGHVQEAVVLPETPSL DLLDSIRRQFQKPKATFR FPSE_06348 MADPLSIASGVAGLVSLGLTLCGGLYNYFSAVKDRHQDIESAVQ SLALLQSNICIIQSSTLKLGHRHALSANGVNQGLANCESQLKMLQQLMLDLTRAEGLS DIKGTWRKQKMIARYPFDQKKLTQLQDQLSKANATLSSFVQNFNLDINIGISDDLHVL KGCTNANDKITHDILGTIARQLEIISPAVQRTSMEVTTFRQIIQERSVATSSHISGPE VNPLYLQNSEAEKAFGKILATVGCTCQNSPWNACSNSSTQTHQSWGGFVISKQVQKRD RHGPGCIFFKQSFKRTKTTITYLGLRHFASQALSISLDRDYPAGGYSLSFGLRPCNIV ESSPAFDLLLCPCTHKPKHVTFPGDYETFPRGASFFKRFHSQEAIAAVIQKLGATYSS GAASPFDVDEKGNNIAYKYLDGYLGHMGRDGLFDEKTFEAIRMLLSYMANIGVPVTAS NFEQRTVLDICVDPGRLRILPWFYSLITTLDPSFYANEIAHGNRMCLGYIDEYWFESM NIWTEHTDITEAFGFNEIFRIIIQRDEKRLKAILADSESPRGFLEADIYGRNVLHASV TWPKGLSLLLQHKKAHLLLDDDLFKCTTPLNLALVVSGVICNAPDRWVLCQDCNCAIS VQLLLEADCGVLLHSPMPGALERCSLRSRKLFFQHFRNRRERLRDISLALLPTEILSR YGVTTESLPDATAGILWDELQPRIGSSSNQGIEISNGIQPYSFPWDFQSLFNNRLSPE ICHLASEFGFLPSDESGLEPFLSRVNIFGDQQAPHGAERLLEMNVTYITWLLKHDLTL CYTTSGFQSTAFHRIGASLGAELGISRSIIGSIPSPPSQELSNLVSKISCSDAQSNKP CPCLSGIFNRPLASLLSSFIDKATRLFQQSFRIIDSVEDIMCIIVLIEDTTPSIDTSY LARCAAHMLTMTILGIRHLPICIDVASSEQMSQNESANDDDEAEILDEDRLLVERLEA LDEEVEKEFQHRKESVEEFLKGYYYRRMKKARREMAVPLSDDDRLDLLDAGVILNISD EHGYDSTSDDMESEDYDNDDIIDEGRNTCHVHSHQRRASRAPSPEGAFLLVASSTLNL Y FPSE_04081 MQTKSFFTTLLALLPAVQAFEFTGPDPSVDLDFRKEITITWNGK VGKDVSPKLDLEWYAEPDKLHKIGSEITRNVADVYLSDRQYKVKFGKNTNGLLRPYAK ELAADKLFSFRAIFRDGEEDVTYYSQNYTVVGLE FPSE_04080 MMKLYFLITILASLANAAAAPKYEFPVCIVGAGVSGLTAAKALE DKGYKTVIFEKRDTVGGKCQSHYEDGQYFPLGAVLFTGSPSYAQTYAFVKSSGVAFDE FDPAFGYDYNPKTGAAALMQPPSPATAQALQEELKRYAEVWQNKFAPYAVPGYKNGVP KEFMVPGKDWLLANKFPIIASVINRSAGNYGYGDYTQVPALYYLQFYAPDIVGSFIGV IQPYKTDFYKVLKRLARNLKGPVHLNTRIERIERKSKPSIQYRTAGSKEIKTQPCSDV ILAFPPTSDALKESGLCLSGAEKTLFSQVDVNGYFSSAVRMSHLGHNLSVSQELPNPL VPFKPEGQPVYLTPLHANSDIVNVYSVDDPAHPNAASVKKHLVQDLSKINRDLQEVNA KSVALDAADIRAFSGSIPYFPHVSPDSLADGWYKKFNSIQGKERTYFTSGLNSFELVE YTIRAARDLVKTHF FPSE_04079 MSIPTKGDIISVPAYTPEAEVNALEISWSQSLRTRTARYYLVNA HNQSKGGVDVLMFIQDRYYKDANSGEFIGKLPGARQEGNSWVVEISDRFQYGQKNKTG EGRWVALHDKDNKPYQHRFMVVTIQGRLSETAKNLAKSFGAGEIAEQVMKLGNSFIGD YLHTF FPSE_04078 MCSILRASNRPASWTLDQPESFVDNEEAINSCEFRTSNITTSEF SSAGFQKVSETSTENIELALSGASISPDSNMTHSIVKIEARFENNVTGVSVQKFSTGL LVNPDLVVTGSEAVFDDEYHLGAAKQVTCYLGYRSRGSAESQRRYGQRVVFSADWAED SGRRSRDIAFIQLAQAPAEAAPVQEEPVEQVPVPVTEPTEYVSDETQVPEPIAQPESE PAIEQVEAPAPVCVEPAVEEPVFDFPAPAVEPVSEPASESGYVEVQVVDETPDTSVAE ETDPFYETIKTISQIDTKTLDIESSLIDDVGQFVSVAAGALVHHVTGAEAIMSGKSTK LAGVSERALLAEASLQAVLAIEQSDELDEIVANMKQNWTANAPQVDQLSVLLAPYLAE AARCIIEYYQEDDIAQAATAKSSKRRNLGLRQFPTQENTKAFVKGIFGPTLLLSGRQD VFSSLGPVLRSAVSAVEQIVCEAGKTAVSEITPKLLERYKGAAPAPGDVQATRVLVER AIMADVAYQALSTLSQEKLQALKVIPLDNEIPQCDNVFDLIKYIIQKIGPVCLHDAKQ AIHKFFPLLLDPVNTVKPTVAVPVKTGSSKLALRDFLSSKKGSVKTI FPSE_04077 MNFNPGQELGADKAHHAPSIPIPPKPNESSTVPRTLVNASSPDD EEPYSMFDKRQTALIVTLVSIAATFSGFASNIYFPALPTIAHDLGVSVELINLTVTSY LIFQGLAPSLWGPISDVKGRRVAYSLTFIVFLGACIGLAEAKNYATMVVLRCIQSTGS ASTIAIGSGVIGDITTRDNRGGLMGIFQAGLLVPVAVGPIIGGALAGSLGWRSIFWFL VIYSGIFLLCLILLLPETLRSMVSNGSRTPTQVIAKYPLRFYQKTTRTKWNQDKDNTL PIATKNIDITRPFRILISKQAAPIIVFLAVYYAVWQMSITAMSSLFEDKYDLSQTKIG LTFIANGVGSMIGTLVTGTILNIDYRRVKARHEAKPAQGNAEDDVETLDVQKARESDF PLETARLRLVPIFSLPQCASILLFGWTIQYPHKVHIAVSIVSTFITGWTAVSTQSVVM TYLVDVYSDRSAAASASLNLARCLFAAGGTSFIMPMINSIGVGLAFTVCVAVQALAML SLAVQWKFGARWRHEADNRQVNSDIQHGWGSEK FPSE_04076 MDHEIRQQSGRNGDLSPKNDKLAIPMDEIRLLSSRELAGDSETS SSENLKGLSQRPGVIKRCFHNLYKQWESYKSKPWNMCILLVLGTVFALGHHLFYLMLD EKPATDQSLMLRYGTILAFCAKASLGTAAAMAFQQRAWLVLRHKMARLDTVDSIFTAN TDIFSLLTWSSINKAKIGTLIAVYCWITPLVVVLTSETLSVVVGTRVENGTCPNVRTL NFSNQKIVYWRTPMKVNDRFLMSVSLWNTTTDGETINSTDSNEFDYFTGSSQQWDGMI ASRVVLSTEPLVRKETGQEICSARWNCSYVISFVAPGYKCEELASGVNSEVRNLGQAT PPFDTSALAPMGNYTYYAKNDLGEYQNPQILSGAGGRPKQKPPYPKNLGAFRAEPIMW IGYCTVKNHSKPQPPEPRKGDWYKAYTPVIIGCEHYEVNYTIKFDFVEGVQSHKILHR KYIRKVVNTTLTSERDQDKRLKDRTKAVPEKNYVYPLDIGKYRLTAAYHSLGYTLRRT LNGTTTMPNFNVNSQILITPLVDRINYLPVENFRHAIMNMYENMVLSLLSDPSFSVVS WASNGKPSGPFKGGAETAYPCRK FPSE_04075 MTKARQNFDDVAWDKNDEAWDESQKQLRLKSTCRQVEALAQKVL GKKSASLVSPIFFGGFNVLYRISFEEDSTHVLVRLPCPDLVQFPEEKILYEAAAMEYL AKNTTIPVPTLFYHTSSSDVGPALILQHINYVRDMSDALAIPNQDPEETPVLNPNIEE DTLRKLYQKIAAILSQLSRPTFTRIGSLIEDDNGCYAVAGRPITQNMNSMIQLANIPK AVLPEPHQTHDSADGWYAASAEMHMAQLLFQHNDLVRSENDCRNKYVARFLFHSLAKQ GRLSSFGFSEDDWSAQSQISKFICRAPEGSDGFRLWCDDLRPVNLLVNQEDDIIAAID WEFTYIAPTQFSLDPPWWLLLEAPEMWSSGIDNWADVYDERLKTWLLAMEETEIGAIE SPPFKLSAYMRESWSSGRFWLNYAARKSWAFDAIYWKFLDERFFGPRDEINQEDSYWR ARVHLLGEKGRQDMETVVARKMGEAKERVLVDWDPTAAKTLLCKTLDNSIRL FPSE_04074 MVGSRQQKEDSLERELAYEDATVEAGKSSKERRDETKAEKEKKA EEERQAQMKEAMFAAGKRSREKRNK FPSE_04073 MVALYRYTALDHNGMIRILTLSPAVCHEHDINIKLTSASLDTCT YEALSYTWATEDGDATLSHSIYCEGAVIKVSKNCQNAMRKLRLQMEEREMWIDAICID QSNHEERGRQVAMMDLIYIRAKRVVIWLGDASKLRDSETGHPISDIFMSYLRSMVPDI RKSEAHREEPRSLHLYNNLTGQAAEYVTSGYMTTLVRGFLDVVLRPWWERVWVVQEAA LNMSTTVICGEQEVDYKYFYDFFSHVYGDISHDGGLTFTLLEGFKHQMYSVYLVRERV HDLGPATVLYDVLARSRRLRATDKRDHIFALHNIIGDLKNQLPPLDYKDTEVKVFTDM TITFLKLLTPFEVLLQATNTIHTQDFPSWVTNWSQRPQLHIPPSDGLYNASKGSSACY SVPSDGKRLTARGIFVDILQDIPKVGESCYQYPYVPSKGILGYQKSCSTGLSLTRYPT GEDVRDVLWRTLCWNVDSHCHYPAEAGLAQSFDKFHEALFSGNSMEQIERDLLEKATA FNDICVHSMPIGITSRGYLASVPWTSEPGDVIAILAGGEVPFVLRKDHVGVDYRLIGS GYVHGMMNGEVFPSDPSVLDWITLH FPSE_04072 MQNQDSGAPRKRALQACDFCHSRGLKCRRLSADPQTQDISNVCL TCIDYNVQCTMNRPVKRRGRKPTVPSGESGETGPGVQADPQASSFKSPDCIHRLIEIY RDTMYQCYFPFLSENDLEVRWANSIPRENEVSYMLLMALCAVSAQSLTLKAVFDSELL GDVNVHDSETYFTEAVSHVPTRVPDSNDLDYFRTFGLLAVYSLKSGNKSDLHRYLGLC HALIAQNGLQNESYWDCSISLAEVDDRRRLFWCVYRLEVHSACVLGHIIRLPEAQISV QYPRITPIMTRETQVWTAGWDYITDLFRLMEYTILNLRQQNHAKAVFTALRDRPSPEA LLESLKHLKANKPFILRVLSQPHDELQSNRCKYMAVQITCTEALVNIMALLYCHAPVS DVIKVAEDFLNEISEASLIMFKVASSQIIQQLLGVGYMVYNAFLYDEHQCQFAVGRLV SYLEDIIKNLEQDIPTAAAARGELQKIARCII FPSE_04071 MDNSSIHHDDVPGTVRLIDVNGMNSSGPHDSQHKDIVLVPRPSS DPEDPLNWSYHRKLLAVSMAYLYVLGTGIATSLQYSVLADITKDTGISTANLVQGTGV MFLFFGWACLIWQPIALTYGRRGVYLLTMLLTIPIMTWTAYSSSAGVWFAHRVLIGIV VSPIESLCEVTVFDLFFAHNRGTYMGLYVAILFGSNFLAPLVAGWFNDAYGWRWTMHF GAIICAVCFIIMFFFMEETMYFRGTSIESQVVEDNTHTEPMKTKMNGDEKSRDVGTDS QNGSQPSTTPATTKHSINSGWGKYTWFKVLPGRPSNIDMLRMVYRPVLMIFHFPTVAW AGFLYGINLAWYNVLNGTASPVLSSKPYNWSAALVGCVYAGPIIGAAIACLWVGKAAD WIALWLARRNGGIREPEQRLWVLLVSGIISSAGLIVWGVGAYYQVHWVGLVFGLGMLT VGCVAGGAIAVSYNVDCFKEIAGETTVSIMLIRNTIGFGFSYAITPWWTSQGLKNCFI TAAMISLACTFTFLIMIAYGKRLRRWSIPAYRRYMSTMAVAHD FPSE_04070 MAIDSGNKALSIVDAPISALASALSQGHINSVELTVKHLLRIAK YDRRTIHLNAVPIMNQDVFDSAQASDQRRASGETLGPLDGIPGTIKDSYKMKGMTVAA GSPAFKDLIANEDAFTVQKLKEAGAVLLGRTNMPPMAAGGMQRGVYGRAESPYNEAYL TAAFASGSSNGSATATAASFGAFGMGEETISSGRSPASNNGLVAYTPSRGLISIRGNW PLFATCDTVVPHTRTVDDMFTLLDVIVAKDSKTSCDFWREQPFVKLPEVDSIRPKTFF DLRDTNALKGKKIGVPKMYIGGVDSDPTARKVHTRKSVIDLWTQARKILEGLGATVVE TDFPLVTKFEKPEASDIVSETPPHRNEIDICQLMAYTWDDFLASNKDEKVASTLAQVE SSTIFPHPPGCLQDKYDSNDPLVRHNAVVAHITNGRVPTFEMPNLGEALRNLEKTRKT DWEDWLDEMGLDTVVWPCNADVGKSDADVNKESQEHAWLNGVLYSNGNCAIRQFGIPT VSVPMGVMADIGMPVNLTFASKAYDDSNLFRYAYAFEKHSQLRQPPSRMPELETDTIS RQPIVAQIGLKAPQLEVETSASIVKGEKAIQVSGMIDEQEVSELHVYVDGEEMKDVKV VSGKWQVKSKLNEATRPRPEEISVPSFESGMVIAVATGKNGRSTAKMAFV FPSE_04069 MLFNAFTTAAITACTLLATPALTNPIDTLPAGLESHLQTRANDV TVKFWDSTTCRTSRSRKSFNSGHCIKALAGKDHAVSMQERKSTKCHMIRYREKNCVGY SEKVFNLNDCFNIGDEWDSLKFQC FPSE_04068 MAGDKILVFGATGPAGIVLLRELLHRKLPVLAYCRTPSKIPEDL SSNPLLEVVKGDMSQQDAISLAISRSRAVISLLGPSSGKLASNTEFADYYRTIVPLMK EHNVSRILAVGTVSIYQPNDHSSVTRLVMCNLIKFMANSAYHNIIAIQEYFETLNDTS IDWTVFRVGWLGGAADQAAWLADREKDKVFAGPVGAPGFTSGINRSALAYWLADEATA NPAIWVRQMPAVSKAG FPSE_04067 MENENGTATAEILTGIESYFPIICDKSGAPGLSLSVTARGKDAY TKHFGFRDVDAQKVPDGSTTYFIGSLTKAMTSATVGILVEEGKLEWSTRIASVLPELE DAFDGLGSLFTITDLLSHRTGVARSDGLWLQRAGNILLDKSTGIQTWTAQPRVRHFRT EYLYSNYAYDMVGRAIEKIEGKSLGACFKEKLFDPLGMTRTSAYDLPSNNNAAKAYFT LKDGSPVEVPIPTISDQTLMAAAGSVRSCTDDLAKFYTNFMHAANHQTVNDTTSTPAS PFKQLKHILHPHNHLGDAPISEQSYGLGWGRVVLPAVLGAFNYNKHLVHTMPEIGAGS PNRLTIYHGGSMQGFTCCVYLLPETETAIIALQNSTGLGDACDWISQMIINQLSGPGR KHMNFKRLAYMAARKGTKLADWINIHLKNRRGKGTKPLELKAYTGKYWNQLHNFHIDV SVDDDGDLLMTFQGMKDESYKLRHHHHDSFVWNLSHDETAKQGRFQTRPWVSYLIEFD CGDESGADGLRWKYDADHESPAFFSLEEGSR FPSE_04066 MAHHRCCVSHIPGTNIYKIAAGTANTGEVYLMTVLGVGGGFAFL VEMAIMKTAREHRHLWCLPWFHSIPFADILRSLLFFGYMILSQWFWNGGRFTLLLASC NWRVFFFSSTSITGWFAQLSLALSIMAMFVYLLVLALIASSIPARCATINCLLQRVKR LLPSSSESVLTSKRIVMVLGVQLGFYAILIAMIEMFIVWNAISDVSSVASAGQIIPLV TALAGLGMYTQRMVLVPWLSYIDDQGSDHRGRAVLWVGMRRRNGLGFARATIPSTELG MISLNGPQREHIIGRSSQPRLVLYHNGGQSGYLTSFYLFPETNSAIVALGNSYGLGDG PDWTAQALAQAMFDLQPRVDFAEASLAKAKTEYERYDRLATEFTQHRDEERVKSEGSD EAPLRDYVGRYHNTGLKMTLAINKDKDGALKLTFNDVPSQQHKLTHFAGDKLGFLPAS REELILREFIDWFQWDQFVLVFHREDKSQKIVAVSWAMQVGIEPLRFNRS FPSE_04065 MSNQDNHELWLQAVEKYKRNLSTEDRAVFAKQKPEDIKKIITAL SQPPPGKTGKLQDTKAALSPDATANIKNMTQLFSTFTSWLPEVSTTIWISVHLLNERK NVNSETLRIFDETAECLSSSVPDFGAFQEVFADMNDVESGIVEFYSRVITFLLAALDQ TKQSSRRTHKLGIEAAPIALSKAGAAIQDQKQRASFAISGLGGVGKTQIALKFAYDNL DRYPIVLWIQADNKQKLAEFYANAAKRLHTEPDDSQKDVDAVSTVLKTWLSESDVEWL LVFDKADDLNVLKPFWPPANRGAIIITSRNTAGTRVADSGILISPLSSVEGETLFITL LTSRGSIIHSSDTHNKDKISEIVKELGYLPLEIVQVSSFILDCDCTVEEFQELYHSSH QANKGISDMETTSPNLFHEYSLATVWRVSTVMLSKNALKLLRLMSYFDPDGVPESLFW DAGKKSKVKALSFLQPGFAYDTAVQELISRSLITKAEYGAVAGQAGTKARSLTVHRLV QETVFHQLTEEEQADLLDEALTMLLTVWPMNKENPFRMNAFWPLCSLYLPHVLALEAR CRDASYLDPPSGFVQLFFHASWYLFERRLSEFAFPLLETVRSICAQNGDTDPWFPKLM TAYGCVCLEADKLEESADWFSKVVTIYRANYEREQKEAAQAESEHTWLLAISLSDWGC ACTGMGQYGRAEELFKEGLSAMKGVSNKHTYKEWSVHISHNLSRLYTHMGIPEESIKL QFEYGDEFADGLIVENTQRGALFLYGIGNSYLGLALKDNTESAQNRDRGFEFHRRALR IRQQVCGEQFVTAISLHKIGMLLYQTGDFEGACETLEHAIGIFKQSFMATREKARSLF YMSLVKEKMGEDAEAKTLLDDAWDYMTEATGMKRDAKNEKDTGLFDNAVLYVYY FPSE_04064 MHFSAVTAVVLAALCTGTQAWQVTAYNNVGNCKANRQSTYRVIS GATNNDKCITFDGNMPDTSCRQYNNGGTSNGGCSGSLIPRSMITEAGRCVVYDQPNCK GRYEDSNGPTNRACTTLERYNWGPIRSFWCTGCLY FPSE_04063 MALMRCMNTTTFELHTSTQIDFKQQGYGILSHRWGSNEILFSQI SQFAHELRQTRNRHALPQLDKILGACITARQQGLQWMWIDNCCINKSDNSELSESINS MFKWYSEAVVCLTYLSDVRYAGGSPVFQSYETGETSKWFSRGWTLQELLAPSNLLFYD TDWKYLGSKIDLAKPIEDVTGIAAQYLTKENDFRTACIAAKMSWAARRETTKEEDMAY CLFGIFNLHLPVQYGEGKAAFIRLQDALIAKNDESLFAWKMPLGGPGSSLEIIPDNTV DLGPDEWGLLAPSPKWYEHCGKMTTQHKKSVMRQRGGFTRTPQGISGPIGKRNHFVTA FLTGFTIVGAIPFQIWLAVRQRTTLKYTLNCWEPNEAGELRAVRLHLRPVRRDPPMWI RTASQGYDLVKDAPATDYSAVGTVWQPQVY FPSE_04062 MPVSEHRPSFLIEAWTLYAIGTSIIFTRFAVRFKTVGLRGLQGD DFFSVLVQLFYTVDAFTVHMIYFLGTNIEGGAAAQTHTLTDDELDQYIRGSKLQLAAW YAYTALIWSLKGTMLCFFSRMTIGTWHNVFVKTVSVICVVSYTAVFLTITFGCFPYHK NWQVLPSPGLVCTLKMQNFLVTTVLNVLTDGLILCIPLPLLWKLQVPLRKKLVVGLLL SSGAFVIAAAITRVVLTLSANPSALTINSWGVRETIVGILTVNIPILRPLFSKAFWTG QSVSNISSSYRTQNRTAGTRGNGTTDLGPYELTPSINEGAKPSDRGSQDSIIPRNIKL ADIVVSKTYNVTHDNGVDNGSWYGDHNGASKASVHAESPV FPSE_04061 MRFVSFATVLGAALLPAVNARPACRPDTSATSGVSVTSVTTTTA ISVVVSSTEATATVDASTTYETTTASETTIVVSATDVTTTALETTIAISETASSDSTV LTPTTTAETTPAEIVTTTAETTPADIATTTAETTAAEIVTTTTEAATTTTAEAAGPTN FVENGGFEDNTSNAWDLQNSDIANNPASAHTGGQYLEFSINNDFASGQDQASQTISGL STDRQYGFSFYSTVFSSPTPVRNPSTICLIQVQQGTTQISQFRVDFNNLDSYQPFSVN FTPVSSVISLSLKLRCTNGQRVTLALGIDDVVIGDVID FPSE_04060 MHLLNSLAAIAVFLHQGQGLSLKQEARFEPPAKSQLHARQADST AGVVDGVFHQLIDHDNPSLGTFEQRYWYSLNYANGSNPPVVFISPVDAEAEQVKFWLH DDYVIGGMIARRIGAVMIMLENRYFGKSSPYDQLTTENMKYYTEDQMIRDKIHFAKTA ELPFAKNGVSKPEQVPWVHTGCSAQGNRVMFSQKESPDTFWASWASSAPPQAISNYWR YFDAAKAYLPKNCTADVEKVIEHLDDVMLHGSADEIQEIKADFGAPDLKHNDDFMNLL NYGPQTFQGASLRIGDTWQFCDYVENAVDTTEKSKLPGAEGVGLDKALKGYARWTKEV WIPGRCEQQGPWKGENNTGCFNFGDADSLVYAAKDLDAPNIVDTLQFQWLLCNEPEEN WQTGSPKGTPTLVSRLVNTDYFRKTCARYFPTGPNGETFGLAKGKTADMWNTRYGGWS DPTGYLNRTVLVNGKFDPWRAASFASDQRPGGVLGNSTYVKHFINPMGNHCTDTYRNA GNIWPEVKAVQEAGIKQIEKWVAMFPKHKG FPSE_04059 MTVLRFVTVTLAALTNVANAVPTDATKRQDSNLPFPLAHFTNTV ASVQNTYCGPSANTPGAKFGDQTLLHAIGDGDAVQRTNIYHSESLGIVVASQGTNLSS ITSQSHNIQAIPIIPDARLGLPLGSMVFAGWQNAWFKGWSDVSAALAETIKQYPNDQI IVTGHSQGAAISLLTALAIQNQFGNVSTIREIIAYGPPRVGTPKFADAFDAIFPGKYT GVVNGDDWVPSLPSQPIYRHPSGMVWINPANSTSWKYYPGQENPDGPDSRVTQMFYPG TLQFNWGDHQGIYMHSSMGTTQGPCPAQVGGF FPSE_04058 MSTKPSFDSLPLHKDGPPGNAWGLFGENDECGMLNLLTPDVVAE AASEIRDGVRVSTDWPLNRMSRPCFGRVPFKHEITTKTPRAVNDDTLTFNTQSSSQWD GFRHYAYQKEKLWFNGKTLDELLSSDVNGIHAWVDRGGIVGRGVLLDYASWADKNNVP LTPFETKSITVTTLKEVAQSQGTTIQQGDILFIRTGWVRAYEKLSDDECKTLADYKVP PAHGIESSEETLRWLWDQGFAAVVGDQPSMEAWPCQNTDFYLHEWLLAGWGMPIGELF DLEALSQECEKRKRWSFFFSSMPLKVPGGVASPPNGVAIF FPSE_04057 MEPLHLSASQTLICTDIPVTSDLGQALCRGGYSVDGESLNKDEA LIWAIMEDQTELVKAIVKDGVNIDLGSGPLQTPTLSIAAGFGSTEIISILMDAGASLE KTNTVCQSPLHTAAWLGKIEAFELLCDFGADTKYTSNYGVTPLHYAASRGFCDIIQLL FDRNIDVDCRDDEESTPLLFAIQEGQIQAVQLLIKLGADIGLQDKNGNTALHHAAYND HETILKYLIELGVDLAAINNDGYSVLSLAARSKAQNVVNYIVQLEDVDVNQQDHLSAI VPLISAAMSGSLDIARLLVENGALLEVSNSDGNTPLHHASAYGHPEVARFLLEKGANI ESRNNNQKTPFLLAALSGQVRVVRLLAEHGADRDARDSDGFCALHYAFVSQNNLLLRC LLVLGVDMEVEFLQGSTVLERANMMGRTEIVSYLVEFGANTMLKGKEKCTVPQRSPND QLITCSKHGNMAQIMRLLDQGVDVNVLNTSGRSAISVAAEYGHRCLIDLLLERGALLN LQDSNGETALWWASQCNHIGVVQRLLEMGADTDLSDSDGNSPLCVACQKGLVDIAKRL LEAGSNPNVMTAYSMTPLFLAANANHVEVVGLLIDKGDVTLEHIVQAVKGGSRPDVVA NMTRYLDDRYGHQILNRLGLEKHLEDANEGVSSTNDTDAPRQKNDTNPADDCDDLIYG DQLASAASKGLVPAMNRLLKAGANVNGSKRAAVPLIWAVRQNQLRAAQALIESGAQID SVDHLGDTALCLAAQQGNKTIIDLLCKHHANIEHKGWMTSTPLTFAVKGGHADAVEIL LDRGAKIEVGDSNLAHPLEVAASEGFEPIIDVLIRKGVNMRMVGRTGRTPLLHAVIGG QRHVAQTLLKNGAGYDLIPGSKFSPLAQAVFSGQAVMVELLAKHGADINHLENTDQTP LIIAAQSGKDMVVQSLIDMGADLDGKDDEGRTALSYAKENGHQSTVKLLLQAQTLRRE GQYLKKAEEQRKDPKTSFEYRPLPKGFIRVLELQPGQKGNVVCFSLIQVELSESPSFE ALSYEWKGKVGTVPTRCDGDRILVTPNCYTALETLRSKTETKNLWIDAICINQRDNSE RGIQVSMMYEIYSKANSVLMWIGEERDDSDLAFASIPVLSLAMEQVRKSPYFIPVSIG QGNNKDLLLGLPEIRHLTEQLNVDPKTWEAWYKLCRRSYFTRAWIFQEIILAGPRGTV MCGTRRVSWDTFKSALQAYSELQIDYCLSMDAIIVIDAHFRLLRWLTFEVALFIMSTF EVVDPRDKIFAALGLAFGSGRQDQPWNPVQVPKEDYNKSVEEVFIHANRYVISYDGGE DLWALLDPINQSITTGGKIILPSWVFDFSKPPISIDHPFPTDSADYHVMLRGRPMTTQ TSLHVNGYVIDQVNCKVPITKDESTVDVVKTVIRYVAASGRGVYDPSPTIGRHSAQSG SDEDLGKGYSRTNLAALLSTLMELHDCPTDDDMSSAAYVAWRLITDNETSEISKAPLD LLQDGIQAWEKSSKESDVFDLDICSKMECRHRYDYDLVYTDKGYFGLAPRRDGDEGLV LTIIGGCEDLVLLRRKASGGDTWYEYVSKVYMYGWTKDKIKTVEDLGDDLEEVRFEIR FPSE_04056 MSTPATTEQQEPLPQITIVDCAKSCLESFQKCLNSTGKADKVTA SLTSRLSPSLVRVEDQLARFSLWAANLNVFSATKASLDNRLREAPDVKDAIVALLETL DYRIKSCTRLLNSMLTEKQVTDSLQEFDDTLDNLRDEITLLHKISNTIRRASKETQNA KAVNFFKIRDDEGNDAEPFLRQLFMNYIRDRFPGTSEDIRIRLASTMLLRRKRILYRR ERYEKAPIRLQAAVAKPVISHPRPEPTEQIVQGPMKRRVVEASSRSQVQSITKTATTL SPEKFRRATVPSVISVSHTVALSSNDELCFPPPPTTSLMRRYNRHKKSIELQYKQTPV SPTPDDYVAKPTEETEKGPENTEAVKLIRDLALFQAWESCVEAVAEVTCPYCFHVLPI REVLDEKKWKLHVKNDLDPYVCLFENCDSPEHLYSHSNTWIKHMKEHTLRWRCKSKAH REFLAETREDYVDHMKTSHPQKFTDAQLDVLADRNAHTIGPLFIACPLCGLDTTDMPM ENHVVGHMRFLALKSLPPFYEDNVELDGFDSQNDYLNDSGPVKRSTIQSAFEVDPLEV NAYEDWDSDEYEDDVGLQSPAQRDSQAGGSSNNSEPIPEGPVSNSSEHDKTETQAKGK KPVKYEMNPDCAICGLPGIGIPDCECEAKALDIAEKQAGNKVIAPLAKEIRRWVRNKV RKNIDYDRTTTDSATKETEPPGGNKDLGGINKDEVLDGSALYTPFGSNNGGLSEALDY YFGLVELTLPAEDDPRVRNPPLQPSSKSVGENKNPFDKTSYIKGLDGSLKYDSS FPSE_04055 MDWSSIFPKSRNKITFIRLAYKAIQQITTALRLDEDDEDLKAFY SKLIVYCTIIESRLLSFPDSDFSDPGLVNLLLTPLKRIFSDIYNDVSINDINAWPHEL AKLHERIRGIKCNSTKIKILGTCLKIGSTPDVHDEIAKSLDFCENELRVRYPEDLSHW AFEDLAPQLSISEPTYAVWNAAQSIFKAMVACMDCPCTPAHDLSARLFMGTYRKPQLQ SDDNKDQVEFNMFLSSQQSWQEAIVHTTKEKKVQIVIDEPQNPQPKKECVRTMKVKSL CEPIAKINSKASYRLVFKVTKNQLFKLQSERSGKPIDNSQSAVSLDEFLRNRSGSLTE KTKRILAVILASAVFHLHNTPWLQSSWSSSDILFFRTPSSAIPLRPFIHRPLSSVHQT EEPNANNEATLDPDDLNSDIDPDDIDPDDILSHDCPTLVILAMMLLELYFVAPFDILA QRFDINLEPDSQTTAFTRYMDVNYVFKACQKEIPSNSQFYLAIENCLDPKVWQDEEGR KLDEPALRAKIYKSVVLPLETELSQAYGDVKIEDLDRIAQDIDFGCWGQPLTTRDQRS STANANGRVSVSAEPPRQYSDVLPLHQKTDEIIRDTRAQIDLPSNKRRCSRSPSGVES NGVLSELSPLPDLPSYSRSSYTVGILCALPLELLAVRALFDTKHDSPRYIRGDSNTYA LGTLSNHMVVAACLPAGEYGTNAAADSASNMKRTYPNIEFCLLVGIGGGAPTLDNDIR LGDVVVSLPTTKYPGVIQYDLGKEIEGSTFELTGSLHRPPRCLMTAVSSLRSNPDLPS NALQSSLEEVTKRVPESWKMRYTHPGQDQDFLSKAICTTCQAHGACSNREAHVQKRTL RPTNHPEIHYGLIASGNRVLKDACVRDRWAKDYGILCFEMEAAGVMNTFPCLVIRGIC DYADSHKNKRWQHYAAATAAAYAKLLLGHTAANITDCVAEDPAQSWHMTMATEPEISL SIFRLKEATRINIERILRCPKESPARVILLVGRSGAGKSSIAEHATDTVGYCNDTKAL CRPLDTSVVEWIQRCLVIPPFPSLKVIATHWDSDTPLKEIEHRFRQRMGILKDLIDDG ATILKYKTLYKDGIEIPEILEILDWNRQGRRHLSLQLRAMITRQYGNPSSIGLQRRNT SDSVSSAENKGRLRMFLFQTTLCFEDFGGSDSSTSDQDPFSDIPFNDNSDLSEITSLP GIPSMPFKFISHLGIVTIRDEVFTDKTLSLREKLATGKIYKHPGPYLKQANDAFGRPV DTTFLNSVIALEFVAYSNMDDAELDVLVQQLISVHGILHLRPVYHPIFWNSHDIACRF AYIAATPTSDLSRLRQLSTTFERAKFAFIKEPKPKLSPIAIYSFFAKFLHLHNSPRYL YNNQNSVMSRHASEGKMFAEMVKLRFPILRYLNEVTEEEWNDLIFDCKISVWRWLQGG ESLTRARARAKAKWSYVRNEAELNAPPRAI FPSE_04054 MRGLVIFSAAVAVANAAAVEKRHYPVPGSEEPVPSVPDNEYTPP DNSYPPPGNSYPPPGNEYPPPGNEYPPPGQSTEVPPPPPQHTEPTAVYPPPGQSTEVP PPPPQQTEPTGVYPPPGQSTEVPPPHETQPTGVYPPPGQSTEVPPPHGESTTDVYPPP GQSTEVPPPKGTTTEVTPPEETTSDFVPPVESTTEVPPPVESTTGVYPPPGQSTGVYP PPGESTTDVYPPPEETTSDSTPPVESTSSEYPPPEETTTGGHEAPYPPPSHTKPSYTK PTYTKPSYTNTKPIYTKPSYTKPGYPGTTEMTTSTLYTTTCYTVTKCPPEVTDCPERP HVTTETVVIGTTVCPVTEEHPTDVETMPVYTDVPKPSKPVYTAPVEHQTTTHLTTSTI YTTKVTTVTMCPPDVVDCPERPHTRTETVVVGTTICPVTETHVVPVPPKQTHPVVQPP KETHPVVQPPHPGNGTYNPPKPQPPKETYPVPVPVPSKPVEPEHPEKPQHPQEPEKPQ QPTPPKETKPVVQPPVESHPTYPVPPQPEQPEHPQEPEKPQQPEKPEQPEKPEQPQPP KETKPVVQPPTPSKPVESAPPAVTKPVETYPAPPASTPTEPAQVVNAGGRVSGSIQAT LFAAGIVAFFL FPSE_04053 MGLFQHLVFLAALFCNGVAAQSFASVLTEAPQCAADCLVTLFSE EPFAGKNQSAICHDQQFADAIGDCLTAKCTVRQTLDFIKMSSAVCGIKPTNNVLLYRL TTLIMAGLALLFFALRIIATVRLRLKWAMDDTMAVASVVLLIPVVIIMQFMMQNGLGV DLWYLSDHQITEGFRLFFFLEMLYLTARVVVKSTILCFFLRIFSNPRFRLIVKITLVF NVLIGVTFFILVFFQTTPISLFWIGWQTKEAKRVMLGIIRLTLPHAVLVLALDIWVLI LPITQLWELGLKLRKKIGVMAMFSFGIFLTVVAVIRVHQLVLFARSRDLTGKFTKHPK DDPTNRTVINAQKAMIWSCIEISVGIMVSCMPHIRNLVRHIKSRIREKRGKEKEPSNE AVFIQRSLVPISVGDATSEPALFDEGDAITTVSTTTGTGKMESINGRLSSYASDADTQ V FPSE_04052 MVQQIPKANHILDLLSLKGKVVVVTGASGPRGMGIEAARGAAEM GADVAITYASRKEGADKNVEELVKEYGVKAKAYKLNAADYNDVERFVGEVVKDFGKID GFVANAGATANAGVIDGSAADWDHVIQIDLNGTAYCAKAVGALFRKQGHGSFVITSSM SGHIANYPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSDFVDAET QELWKGMIPMGRNGDAKELKAAYVYFLSDASTYTTGSDLVIDGGYTCR FPSE_04051 MADQKPDDHMATAKTSVESQSRGPTAIALAMDPQHRAAVEKSMK RKLDTRCALFVLIYIMNYLDRNNMAAARLKGLQEDLNLSYNQYATCLSILYVGYILMQ VPSNMFINRIQRPSLYISAAMLLWGLISTLSGSVHNFAGMVCIRFFLGFVEAAFLPGA LLILSKWYTRSELTKRNALLFCGNLISNAFSALVGAGVLSNMQGVLGHAAWRWLFWIE GAITMGVAVSAAFILPDLPHNSRGFTEEERQVAQLRMVEDVGEADEDSREESAFYGLK LAVKDVKIYIMMLTFTAYVVGLSFNAFFPSLTQTLGFGYVPTLLMSAPPWVFSCIVSV INAWHSDKTQEKFWHIVGPIGVGTIGFIISMATEAVAARYLALFLQASSYAGFIVFYS WISSSFPRPPAKRAVAIAMINAFSQLGNVAGSYVWDLKENGYRKSYGIVLSMFGVTVL GCYIFRLVLIDLNKKLERGEATAWETRQDVAAHTAAVEVMDSPDEALRMKRDFRYLI FPSE_04050 MSPHAVTHQLPRIKLTAELKQFAVTSNAFLPEHSPLKQFPDPYY QPWELVIQHVAPLIQYNDIRRAVDTMPVLGTDRLKSEPEWRRAYSVLAFLTHAYVWGG EKPAEILPPQITIPFLAVSKHLELPPVLSYAAANLWNFSCAGPDFSNLDDLSTLHTFT GTESESWFLLISVAMEARAGKIIPRMMEALEAVKTRDYNTIISALQDLKTCIEGVGAL LERMYEKCDPMTFYYKIRPFLAGSKNMEAAGLPNGVFYDEGNGKGEWRHLRGGSNGQS SLIQFFDIVLGVEHITSGNNTERKGERSYHDIVKDYMPGPHRRFLTHIARMGSIRELA MQTPHTVEQERLQGAFSAATEALTVFRNKHIQIVTRYIILPSRQGSVVKGPQNLASSS LKKGREEELTGTGGTTLVPFLKQSRDETSVAGRLER FPSE_04049 MELSSFVERLRSGAPPKFPSDANSLDFARNLDSQDKLSHLRDEF ILPTKKSLKKKSLDGSIPNGHAQNGSVNGTNGHTNGQDDDQQCIYFVGNSLGAQPKAV REHLNAQLETWASVGVNGHFSAMQNSPLPAWQDLAEDCAIRSADIVGASPHEIVIMNT LTANLHLLMASFYKPNEKRHKVILEWKPFPSDHYAIESQVVWHGLDPEKSMVKIEPNE DHIITTDLILSTIDQHADDTALLLLPGIQYYSGQLFDIPRITAYAQAKGIVVGWDLAH AAGNVELKLHDWNVDFACWCTYKYINAGPGSIAGAYVHERHGKVEMNAESGKASYRPR LMGWYGGDKSVRFNMDNNFIPTAGAGGFQLSNPSAIDLASLSGALSVFNKTTMHDLRS KALVLTAYSEYLLDQILAESNDSELFRIITPRDPLQRGTQLSVLLKDGLLDNVSAALE ENAVICDKRKPGVIRVAPVPLYSRFEDVWRFMQILKGALKN FPSE_04048 MQEPTERYIRSRIANACDGCKSRKVKCDGKLPCSYCARRQKPHD CHYSPQVRRRRANHQGSVSSLRSPPASELDRALSTGRISTGRNSPATTPVNNETTTQA IDAEEETEVPREARLVCDAQGKLIFVGDCAPLSFFQSVRQLVTTRVGQNAFAPQSSRY SVLENATAHQSRRTPGDNRIPTINTDDIPLAVSNYLAIATGLVDLFDHRRLQDDLVFW ANMGQKTDDATTIVNLLVLAIGTKIDNEERAQEYFEYAREKAYSNLTGNLSVTTVQMF TLITLYMLCSCQINGAFLFFGTAVRAAYSIGIHRTEVNARFGPDIHRQRDRLWKSLRV VDLFLSSSMGRPPATSDVDCTVPYQSPDENLEEHLDLLNASVQIFLVLEGVVTEIYSR RKISLQLTEGISLQLRDWSSRWLKPLKDIVANPDIQDRAQASGACQILATYYYAVMLV SRPFLMYELCRRLSDGSVASNGRSALTSGKSKLADACIDAASLMVDPILDLIQRGVLV GHVPILVSWLFASSLVLGIGLLGGFGRVLEKYTRMAIHALDHCSNHDTHAGQYSLIAQ SLLATSLEHLEKRELAERQRRTENSSQLFGLIPSDATDSPSAFRRETSQPGVSPAASR GRESLDRSFLQHNGLQNVASPLFGDLDSAFLGLSESMMQTPDPSYWTMGNEADTGSAL NLFALLDGGGGIDLTHHL FPSE_04047 MRSSILHSVALSLAVLRAAEASVCKPRPLSSGVSTSLIASEITS SGFVSESFTISSTVVSDVTAGIDTTTDAGTGSTSTIGVTIGVSASGEVTSTAESTTGT TSTEIEVTDTTTAPTSVETATATITTAELPIITEFRIKGARAPVEGAVIYSDRVKGNY LHFTGKNALYTPVTFSVDTLTGHLLIDNSLPICAFFTPDLQDFATLGVCPDSLGSAQV AITCTQPVKDGDALSCSVPSMSCVKVVVNPFVSSITCTATGEVLRDMSTDYRYDIEEN LLVIGHIDNGAGLVVHAL FPSE_04046 MSKGQVVVHYNYLSVMRVDPAYLPWLKIPEWYNVYTSNQFSHAS HVGHNKPTIITVDMESQREMGVLINDSWPHKPMGVGTLAQYCTFPNAKAMTAYIDIIV PDIVHEAALLASNPPSKATNTSAADIEFHEFIETNNFYAIDPHSNEDTDFSKEFFRPS PIKVLQAYCWNKEVRDFVDRIIELCPQPTFLPVRNDHVSEAVDRWWAPKDLHPQAFVL AAAAQILLFAPQDWDPNRVYSRDKDEYVHRFPTPDNIGIVGGTDKVVSNKYSMEDLHR AILMFYRLVERRKEYDWADKKLSTRIPKIEFPGYHDPNKPEN FPSE_04045 MASSTAEFWSKVDNYVMTTGVPFSPVIISKALGTRLYDINGKQI LDFTSGQMSSLLGHSHPEVVEVVKHYVSELDHLLSNMITHPVVNLAERLAKFLPSPLQ KSFFLNTGSESTEAAIKIAKCYTGKFEIVAFSASYHGLTQGSGSATYSAGRRRGGPIM PGSLAFPAPYGYRSPFRKPDGSWDWETEMDFGWSLIDRQSVGSLAAFIMEPILSTGGI LDLPTGYLKRMQDECRKREMLIIMDEAQTGVGRTGKMFAFEHEEGVVPDILALSKTLG CGLPLASVSTTAEIERGCKEAGFLWLTTHLNDPLTAAVGDKVLEIVERDNICQKANER GQQLRAGLEKLQQKYWCIGDLRGRGLLQGIEIIADPKTKAPGADLGQAISDKAMELGL SCNVVNLPGMGGVFRLAPAVTVTAEEIEQGLEILDKSFGAVLELRGQMTAAA FPSE_04044 MLNRLSVGLPPEQVSRRIEPVDNTASVLDPRPRDEVVVWPIMSA ENSPIYSSRSNSYNTLQKQQKDLQQLWKEAIDKFEASLEGMKLSPLLQAQEQINTGDS TMELSELLANLEEQMKRVGLRGKIADTVGKVAPHINRIAIVGDVAVSANPMPAALPWA AVRFILLNVTAGEEIRVKIFEGIAEIITLIFECSVYRELYLTAEDTKSLSAAKRLREA IVEALCQCIKFLAFALRRQQAVAKALTDAFRLEDFSGYLKDLYAAKLQLHDAGSLCEM YHSSHGRELLEDLRGLIVDMRKTSDQRLEQEAKSQLKNLVFDYKATLEHVQHPLNSFC LEGTRRTVLQDIHNWADDGESPTICWLPGLAGTGKSTVSRTIARDLKGRCLGGCFFFK KGAGNRADGRTIFSIIAYQLALNFRPVRQHIINAVQESPSPEMLSMEEQWRNLIRDPL DKVQDKEFARSVVLVIDALDECDKDYRQGILSLLATCPSVLKVFITSRPEFDIEAHFA RQQQFHREISLHCVKTVDIESDITMFLRHRIHSFLLDHNSCHPQTSLQLNQDWPGRER FQILVERSIPLFIAAATFVRLIENTSWIGSPDSRLNSILGKSNQHSSTYGTIYKPVLD LILNGAPAEARAKAHGSFIRIIGSIILLASPLSMTSLAVLLGVGVHDVAGIVNPLRSV LQVPRDDGPVTLFHLSFRDFLLSEFAGHLQVDEASTHAELASRCLKHLEKELRTDICG LGSPGKNRSDIDTVTINRHITRETQYASLYWVHHLVSSGKEVQDNDEEHQFLKSYFLN WIEVLCLTRRLFESLTILHALLEIVNEESGSEIIRFVQDAIKFIRFFREGIEETPLQL YHSGIIFSPSASVVPQPLENRRCPESVTRLPAVDSDWPQSLHAFETGDKSINLQLRFL SNNHIVTWGFRTDVKIWNISSGSCLQTLKYDIRFSDKTKSLDYKSTAAIGMFGEENLL AVGRNERIEIWDFSSQSFIDCLTLNGRLVLSVAFSDDGTLLCSVLERLGELDSEPVEP VLHIHNLHSRACINKVQIRNDYSAICLSMKGQWLACVADEQLWLSGWDSWNGLDWVNL GPQGNKSPRIQFSSDGLLLAVTSNNGCFRTWRTGTKQCTWALQYPYQGSIAGLSLTQD WLAVSSRGHQTVIFSLKTGTVSHEMGKIADGNLAISDDAKLLAMVSEGHTVRVWDLFS KEMSRVETFHPNAVTFLTMTPDESTVVSACTEQVKVWDIPSGLCIHTFGESCPMIFRI ATEDGSLFATRRGYDIEIWSLHPWRQVQSMRREVRPFIPGMKSWAISENGERLAVLSV LDDWDIYSIEIWDVFSGSLLDNLAFLPRSYPNIALSPDGSRIAYTTGNCIEIRQISAP CKLLTRIEGVGMFVFTSLSFHGRELVAISSYGDKHGNINVWDTETGKLSTSCPTRKFG LTKSFINHEALLGQIGPDGLRQNVLKEFQVSDDKSWIMRGGKRLLWLPLDYRPHIRFP AGYTAYSSGSTIVIGTELGRVLILRMRE FPSE_04043 MADNSGTYGIKGLPRPKDAVTRHPDGGIPYVENLPVRYEISVLA SSTDPLLRKQWTLFVLALEKFKMKSVSEKLSYFQIAGIHGYPEGAWDNAPPPKQDPKN PKKGDQPYGGYCNHNGLNFPTWHRPYMALFEQCVWDNMNDVINHWVKEHKLDEDESEL ALWNEAKDTWRMPYWDWARQQSYNEDFAYPQVLVQGPVRIFPPEPLKKYYPPSGLYAN PFWSFENPEKDEDGNPRAFGDMPKGKRDYNIEDDPVKHNPAPPRDKEDVAWMPWSEAT GTSRYGIFINKGAKKFIGLEGVNNAWVANNHLSNMTWYPITDRQKAQAKTNKDFYLKW NPGTLADSVNRMFSPKYNTTWGQFASTKWTYEGYGNSMNGFLSLEYIHNNVHNIVGGS DFATGVGHMSDVPVAAFDPIFWLHHTQIDRLLSIWQCLYPKLWWDQKEPTRPGEENVP DDTEDDYLYPFHDKDNGDPVTDVWTARKCRDWTVFNYQYDDLMDLSQKALDAKGNLDE PKFQKLLQAYIHKTYPCTEHLLRDIKDNRHVHIPAGLTPDVPNIDDNSWKDYIINVKY DRYALGGQSYTIKFYLGGPTDEAVTHFEPQNFVGSVYTFGGGSRKTRDSCANCKTQAD AGVLSCAQVPLTIQLLHHTIDCVRDHPITKFDDVEKYLELHLRWKFFGFGGFEVDEED LDPFHKTQVTVLRGVGQPNHVVAPKVKTSELQTFSVAAADISVSESPAVSTNGTNGSA GGAPVALPPVYSAQPYEALPEITNNKYFGLQHDGSYMGSR FPSE_04042 MTSHWCDSFYFELAGTDCWPLRLEEKQISSFRLEDFNKQAVGCE FCSKLADLIAYRLRYNAAFLRDNGAATSDDTSDWKTATVSFSHQSKEVRNWAFGFEGV TSRKIVAIAVVIGTRLLEIILQRSGTGGRVPVEFSSDGYSSDSVTFQPPRTWRLDQRE NYSSEDSSDKKVAKLGPTIGRIRPLVVDFDKIASWVKICDQEHEICQHHEVPIEIPQF RLIDVERMCIVQVDSSRRPPFATLSYVWGLKPFLRLIKANVKDLQEPRCLDKLSLPCT IRDAITICAKLHIDYIWIDSLCIIQDDESDMIQVIDKMDSIYRESILTIVAATGSDAY SGIPGVRSGARALEQHPLEIRGVELIDSVDTGQFRFQGPFQEPLWISNTPWAKRAWTF QEALVSRRSLFFTAEQAYWSCREGLLSEDTTEHFPNNEYPHLARKRLDSTFSPQEYLQ IATTFSTRRLTYEADIGRAYLGTQNFLDRKWDGHKFSWGLPHGSFGSFMMWERPSEDD RRIRTGTHPIRQRDGSIVKVPFPSWSWMSWSDGGKLISFFGDEPEPHSPKFYVFDSAA ELLEVCDEFTWPSSLRDLLTGESDMPTEVTEADLPQELHLTLSIRHSALVFYTEVVTV RYNPFLGTTAESMFDVPSDLKMRSFEYPFSIQIGNVYHRILEQDQDNDCGDLEEIDLV AVFSGQMTKPRKFRGQYRLYCWPVLKRDGVRIRASYASTVIFLTLWQALPSRRWELVT ML FPSE_04041 MSFMAQQKTLSAKPGVFNYSGLSSVVFRRKLYVFYNNADQNGGW YNVLDRETNHWSGPFSFRKGGATFMSVAEYTTPVAVVWRGTLYAFFNGSGNNGTFWTS STDGQRWAQVKSMSEAMGTPAETFAKGTSPSVTVLKNALYVVWNNVADNGTLRYTAWS DPSGNIVSPMDVTASGLSIRRQTSTALATFKDKLFLFFNGAGSDGTWMTTFSSSGWAR VNPVTLPLAGSALDYTSPAACVSDDGRVLTLFWNGSANDGLWHTNTMNGFTWLPQMGL SQMIEGQSVLYKSSPSVAHYRGIPHILWVGDDANVWYSQGLTLSADDGDYNSIMKAIL AGSDFTVVTADTSAIRYLVSQMQSSYAFLQKPADNVNESLGANIGWGVFLSTFIASKP ILTPPAVVCIFSITTLAVYSGFEVCVDLSEGTFSFRRPPPLA FPSE_04040 MENVKKDFEGLLKNTPDTARSIWSINTSKAVYEHLKSINNQHGR IAFTTRIDGIIETLESFLHVVSETLSDVSDKTQEYEPMIWGSTALILAQAYERRTTHE KVIFMLEDLTISTALPSSPITGCQPNFIDCCVRRLFAELAIGLLQLALILQKYPQAPE KKQPKFVEFEEGHCKTIQRLKVWAERLKGLNSNAASTSQSVTRPIRLSSDQIPISVNE HFLGREDELSTLRHKLESSTESPSVVSIHGLPGIGKTELAAQYCKRYKDKYDSYLWVT SDNKAKIIDALSGYTTMMQLSGNSVENEPLKNVQILLTWLRSTDVKWLIIFDNLDDPS QLNEFWPSGGNGHVIITTRSHTTAECWSTETLQLAPLSPTCCKELFYNISGKHKIKQH SKQMDTVMDEWEGVPLALNHIGSYISSRCLTIERFLKIYHDYAYEIYRSKYGKRVYPH SIATAFSVSEVEGSNKFLLQSLCFLDPDRIPTDILLSDPNVGQASTKVTSAYELYEAI ASLSEGGLVTQTDEHITIHRFVQRVTLTGMSSEERISMFDHTLSLLYQKFPREIAGKP MWENWVQCNAYVPHVQFLCQRYNELFKDSRKNVQLADLLSSCTWYLVERGLFSEAEPL ILIAQAVCPDHEQASLTLATVLFNLAGVRFECNRIDEALELCKKVLTIREQMLQPNDP LLGNTLYSIGIVYMEAGDLEESLRLHLRAVEIHEYCQANSEHDGSPTAMAYLDLGLCY WRMKKLDLASSYIEKGLAIHDQTTGRSSLKYGQGLYYLAQVRESQGRFKDAKDAAEES LRISQMETPNEFKTGLGLHKVATFYHREGNLEKALDCLERALVILERSFDPNPRVARS MFKMSEILTDMGKHAEAAIKRDGAEKLRSTVKTFPYNPCVTADAFDTLVPSFLA FPSE_04039 MGGDTVAYCKHPLDTKFLAYHIIASLRESQTLWDDIMPQPRPVS ISCWYMHAAISLCRFTSDHEKLTLMSATDFLQQNGIIIAEEGRTLHLAQYFVFWMIGT MSSLYNVATPDKSQSCWWEKFTIVTKCRITSHLFWCFLTSDVNRPRAQGIEWSVSRFL AGFGEILPTIDRDGRTKVDEFFRLDPGRLNMQLLESSLGFKVVWTDILGSHLDVDINT STLFLFRQATLCIREAADNVDETTVLRSCLDDEIMDRDELRTLMREILLSLYILFGQT AGSRGHFREDEAFKDISRKWRDGMLKKVCCEPWLFEDFDQQPKRIYNLQADFPILGAK LRFLAQEVQSQEPKSLRQLWKDKRNTLQWWTFWAVIIFGGVATLLSLIQTILAGLQLR DNGSKDTGTSRQPSNTLNGRNLQQPLCTDIVTRMGKDEVMNTESEAPMSRAGSATKEQ PWRKLSSCLEETLAKHGSFQLEKPDGKIPSWWNDLERGRLPANHKELLSELVQEKFDG KPWYKREEGSRCDSCGRDPCICMVFEVSIVYTTVPRLLTYLATFSQPQPMMETKQSLA SFMNPTSTNHDASNVNKEAEASWQSRPKTTGTVRFLSPHPKYENEKPFILSLPLPKDQ PKSNAIYETHDIKIADARGDERSFSLDQQGFEFVEIPSRPTAVSSKEAIEGDYLPQME RFLKEHLKANRVIAFDHVANAPAQLRQVKPLGVPHLREDKRPPARNAHTDQTPEAAMS RLQLYFQNEASGLVQDRVRFLNIWRPLVEPLEDAPLGVLDARTLSTQDLIAQDMVYSH YLGENYQVRFNPSQRWYYWSRMMRSECILIKNFDSRMDGVARSTSLS FPSE_04038 MNFFSNPVAPAHVETDQVIPLHVWDESPLYRRIALYNLKVFDDV LDPEKLRSSLETLVSQRTWRKLGGRLRKKDDGYLEYHIPVQFTKERPAIGYTHANLMD VTKDEHPIASRLPKPSSRPAIVGDPDETVDLACGPGCPTSIDDYLYTDQPLLGLHVVS FKDATLVTLHWLHIACDALGMKGLIDGWVRAMKGLEIPEQQGFDYDPLAELGKHPKEA HKLADQRMTTASLLTYAAWNGYSLARAKKETRMVCIPGWFMNKLRSTALKELAAAGVK DPFVTENDVLVAWWSKIAISHLPPDSDRPVTIQVGMSLRKSLEKDLLLPDKPFISNCF GFTNLLLSSKDLNRQSTGETALQMRIAVNEQRTREQVEAYQAMVLDSVAPLPVFFGNG NTYQISYSNWTQAELFSADFSAATVKPRDTPLYASYIGHCQVPFKFPEGFIIVGKDMS ENTWFCSYRVAGLWDVVERELKAFQDIDSAHFAPLTCFNLFKTNSNSMESDLEAARLS YSQQDDVFCDGFLKNVLILTHDTSISDSVQGLLNSWGCSNAFLLSSSDQVSPGPYFFS SSGIYSAWRLYPDDYDAFVLSTTPSQTDVETYENLNASAFGSSSICIAVPSRMKVLPS SEKPLAGLRVGIKDLFHLKGVHTGCGNRAYRRLHAASTFSTTGVKKVVDLGGIIVGKT KTVEFGGSQEVIGDWCDYFYAFNARGDGYLASTGSSTGSAAGLAAYPWLDVTLGTDSG GSIRDPAVAHGIYGFRPSHDGKDTPDMLLPCGKFHTPGFLARSSRIMLKFGRHWLGAH PDIKRLNPTRILFPKEYHAENENVQAVADKWVTGLASWLGAERCDVSLEDIWDTTKPA SLSKSFVETFKSTFINLTYHGFWTDLADFRDGYKNKFNENPYICKVLQMLWYVYTATS MDRGKSLSPDEVQQALDEIILHNNWFFENLLNDQKTIIVAPRYKLDYRDEYYPSPEKR NYVGWDSNLHASLSGAPNIIVPVGQCSYESHITGNAEIFPVSMSVIGPKGLDVALISL IHSYNTENELPESVLTGRQAFATS FPSE_04037 MVKSLAGLTGENIAKIAYAQHSISPSGMGAVCEVLIYVFLAICT IVVGLRVYVRMFRVQNDVKWRLNDYLAVAGFLPYIPAAVLGALSVHYGVGASDAYLNH YEMRNFITVRGMEYLIFYELIYYASSTITKFAIAVTILYICVERRYKYIMYGIMCIMT VTAVICVVWFFVNCVPFQTYWNPGAGKCKSANGFLYLSYIGTSAQVASDWACAMTPFF IVYSLQMPTRSKLAVVGILGLGLLASIAALMRMISYKYIEIRKYPHDHMEAQGRLLFW SLLESSLAIIACSLPSLKVFGTCLAKTMTRSKGTSRPKLEYVDQTPMHSLSPHGHART TVSIKGNWDRLHDDDSSGRHIMVESQIHIDTSSRRGSSTAHSIV FPSE_04036 MHFSTFATMLAAVPAALACKGYTGGLPKHTGTKTLSAPQYIARG QTFDAGWVKYDRGVKCTGQSEGGEKDTVFVLEEGAKLRNVIIGANQKEGVYCKGSCTL EFVWFEDVCEDAISIKGGGTANIIGGGAYKAADKIIQHNGCGHVNIINFYANDYGKVY RSCGNCSGNCRRSVHMEGTTAVNGGELMGINVNYGDKATYSNNCFPKVQCQGYNGCDK KNGACEPKKVGTC FPSE_04035 MSAFTLYGARGSTNTDRVRLTLAEGGFTDFELVLLDLSKGQQKS KDHFARHPWGKVPAIEFSNGFTLYESRAICKYLSKKYSFPLLPSESDMEATALFEQAQ SVEMQYFAEPAGRIAFEKFAKKFIGLPPNEQVVTDAVKAVEAFFDVAEKFLSEREYMA GNEFTLVDIYYVPLVQRLLVCGFDNVITERPAVSAWWERISTRPAVQKTMAA FPSE_04034 MQTNKRNNKRNNVGGRKVKTGCRTCRIRKIKCDEARPFCEKCVK TGRSCDGYESVFRPFSSLPTSEDYRPEARNKSPQSTSDRIPLDPATLNRYLSTKTIFD VDINCNREAEQVLQQSLTDASIRHALRSLQSLRDNLESMADGPSPEQQKLSYNYGLQQ YSKALTGLAVNLSTPSPETLKSALLCCQVLISVEQVRGNFSAMGVHIVRGLNIMREYR ARPYLSETNILIPANHKDLPSIDIFIIKFFAAPCKFTEQPTANTTSMLTPPAEVSTDR KIAPNMRPGIRRIVDLVIDFLGQVSLIESEKRAVELLGEKGRILDFLNEWHGDFEVAQ TAEEPMTVHDCFSKLLHMVMRVVLLGTLDYETDFDGRLKSENESIQALTDEINERLKD YDMRRGIEGGLRQQFEV FPSE_04033 MHFLLLGATGRTGKLVVSELLAQNHTAIALVRNPASLTPQPGIT IVTGSPLSKDDIRKAIHATPGALPSAAIFTLNTVRASDSPFAAQVGPPRFLADSCANA CEVLEEAGIHRIIVMSTAGVGDTWKNLPLISQAFMGLTNIKYALADHNLLDQEIRKTK MEWTLVRPVKLDHDNNNVLEIETLGSSGVGMTMKDSVTPVSVAKFLVKIATEGLYVKE AVVLRN FPSE_04032 MHSSNRPQLIYPRLIEERSLAFQNVERGGSPVEAFLNAWPRLEP HYKSMVETLKMALQHELKVRCTISARVKSLSSITKSIQRREYHRGQRYREVEEIFDDL HDLAGFRIVVDYPSGIAIAKAFIMKNFQLKSTTYFKADREVDDVWKPTFGSFKSENHH VFLHPDAGDPLSSFCGIVFEIQVLSLAEGLYNRLAHPLLYKKSSGQLSVRDQKIIDVT HGLSLCYWICLSCMEDRLEGKMLEEIPRPIQEVARLDGNENADMLSLVKATPYSMPAL RGDIPLERCLDSIKDLSTQTMSSDQLHDRLSLALNNPTQTFTTNVNSGSGGIMQNYGS GSNNTYNAGGNITIGKEDIDDEIRDTFWVVDPQSHKADIEERQGGLIKRSYRWILQHG HFKQWYKEDYPLLWLNGDPGKGKTMCMCGIIDHINLQSKRENSGLGPILSYFFCDASY PTFSNATSVLRSIIGSIIFQDSMALSYIRRRFKEFSKPLLDPRIAWPVLKKILVGILG ANKAKKTYLIIDALDECRDDRDKLLDLIANQPYMPHVKWLVSSRKWSDIKEVLRRCPR LLGLSLEDNEVAVSAAVDLYITHKVEDLCTMKEYDKNERVAVESHLRSKANNTFLWVS LVCKMLIRTPADLTMMMLESFPSGLDALYRRMLEQIRPSSEHGSDPSFGRLYGKIVSF ALNAFRPLSLDELCCLIGDDKITVRRFQGIIDLCGSFLTVQHRTIHFIHESAKEYLLG EKSGFNFHPQHYHFLLFSQSIRNLSQSLHRDMLDQESNQPRQARDALGSFSYQCIHWI SHLSECEPAARTQQLMDGSPVDKFLRQKFLFWVETLGHLSSISLGISEMLKLDRILHD HSSHVKDLVQDELRYIRYHRFGIEQCPLQVYPLLNLSPYHCMTRKIYGSQAPDGFALQ HGVNDDWSPCILAMEGHNGTVDSVVFSHDGSLLASTSSDSKVMIWDVLTGTCLHTLPG HESHVVALAFSAKNYELASGYQDRTIKIWDANNGILLRTFNNHSDRVFSLAYSNSGRI LASGSFGTIKLFDTLGGVCTKTIKITNSRYGGRYGSIDSVDSIAFTHDDQILSCGISD TVIIWDLRIQDDPYQIQRKKDLFDSRSLVSSKANEIYFLSASGDPETSPHIHQIRDYQ VEIWNPDTKVHIRSIKYPVFDPSTRLSQMDSAIFPGDGTLFAVIQSNKVGFLNHSALE WKQQIRGGSLTGSISPTRDVLAATTHSTIKLWDLLSIPHWDHSSQNIHRSPNFSNDGS LIAVGLEEEDWKRKMIIWRSCTGDCISRFTPHEGDFILNFSPDGQMIVLRFPHEIHIR QTSEADTMNSFPSPFPNDEITLTFSHDSRWLAIMPVRGSSIRIWDIAAKPIKTSYAKL RYGSAERRKGLSFSHDCKTFAVYDGKYIKLYETKTWLCKLTIRNAARVAPGFHKVIFS FDDKWLATISEYIFQDKTELSIWNMKTMKIVTRVSYPRYWFTVLGLYASRSFRLETDL GTFEIVNNSIQQVASLKYAISDDLQWILQGTKRLLWLPPDFRPLV FPSE_04031 MKSNAVIAVLVSLGGSGVLAGPCKPVTSQTTQLTSATTEESTLI DLTTTIVASETTAESLIPSTTDVSSHATEDSTVEVPTTTAAAEPTSEEPAVTSDASTT IAEPTTTTAVPALCQTPIPCDNLGLDWAYYTNPTQNTDTTYSNFNPASFKQDDPLYTG TTRRVGGIFESQSSAAGPIYGTSQDFPLDFFALNHHGYIYTCEAGTYKFDIPYANDAV YLWVGQSAYAGWTKGNAPAKALYNQPDHIAGSASYEIAIPAGLYIPIRVVYGQAQYGG GFTFKVTAPSGQVLLGDNAVSSGLVVRHSCDGVNAPQFPPFGQEV FPSE_04030 MLSSNVIRALALFIAAANASPCRPTTTAPGITTEVTSTATVIES TTDISSTVVESATETETTDIPTTTVVIIGEPTTTTAIVEESTTTTAASEEPTTTTAVI EGTTTTEAAITTTTAARTGGTQCSSSDDCFFGGPSFCMDGLLNVCVCLNNRCIRAE FPSE_04029 MANNKRDTIRYELAGDETPYLSPATPTTPYHDFRHTASTGDLPN AAETPTEPVLASSSSSTFSNFVGSHVKTRDDARVDIDCDSKLVRTFSRLYQLPAEKHD PEDSPAPNYTEAQPGNKSWATKLNIVIHVVGSRGDVQPFIALGNELQRYGHRDFVRES NLEFYPIGGNPAELMSYMVKNPGLIPSMKSLAAGEIQKKRWMVQEMLEKFWLSCIKPD TLTGNPFVADAIIANPPSFAHVHCAQALGIPVHLMFTMPWSSTRAFPHPLANLKNVGS DPRVENYLSYGIVEWLTWQGLGDLINKWRRSIDLEEVAMFDAPMLTQTLKIPFTYCWS PALVPKPADWASHIDVCGFFFRDAPKFSPPQDLAEFLSAGPPPVYIGFGSIVLDNPEK TIGIILDAVKATGARAIISKGWSDLAGSANENVYWIGDCPHEWLFQKVAAVIHHGGAG TTACGLRNGKPTTIVPFFGDQPFWGEMVAKAGAGPFPIPHKELSVENLSHAIKFCLSD EATAAAAIIAKKMESEVGVRAAVQSFHRHLPLERMRCDLIPTEPAVWSYSKSKRPIRL SKMAAEIILSKRPEEAKHIKAYQSNPIRIETTRWEPISGGASAVMATATDMATGITGM VTRPVDEYRYEQHLRNLELKQAQVQNQSSDGKTSLSSRPSSDTLRPSSARSHSLARRM VGASAKSIGMIGPAALKGMMVDIPLALTEGLKSVPQHYDGGVRDHGPVTGVKSGMVVA GKTLAWGLVDGVCDVLVQPYKGARKEGVLGAVKGFGKGAISLTTKSGAGMFGVFAYPS AGISQSIRTAVHSSTRKVIAREIHREGEWMIRGERGLQLDQDDLLHRFQQYLRRK FPSE_04028 MGTGKTKTFASIKMRHNPLKQQLDELNSDPEFDKLSPEDKIESA TIIQTVRESIDNFPDFRNLVFYSTASVFPIKVGVEVNEKGRIHSTIGLLEIYHTLQTL DVEGGEAISLEGWRGDSKHLQEDEAIPGEGGQRTGYRNATGRYTFVTLLAPPAVQVGE TSLCYRNAYRQHSQGSAQPADSHRLYQRPCPQLPSCHLGRWIFAGLYHDSYDPDADKT DITAEFGEKLGQTVGIFSEAFVAKHQENSEVTAALQFFKMAHDRWSLKPWMLSPNLLV DSSKELSWGVNLGSKVTYPSDGMLPSSIRIEECPYRPGNPDAELLRRIGKGYADSLFS SAEAGLEQSTRAVPDPSQPADSNDLGLHDGTESHMNFGEHRAGVITAFDPGTIMLLQP TTPAFFGTNSKLIKEIAGLASSQGAQMTPSRKKHLKKLQSGSEVVGLGVEHIDKLLKE SKNVDTPWIQNYVIVSLVLYGYNVATSKSTVRWFLLKTPNTYHDNIERMVTAKWIVTT STQSALPEWLEFELLEICLCEIHKSIWHTPFNRYAWIIEKELSGSSMNYHGTHIRLLG HIFSMIARCLLTCPEDQQKFWKDLKISGPEKWLMEDADKLRTKFFKVTQTVLNTMKKE PDSADAELKHKLGKAHLSCTTRRTKATTVTLKEDESEDEFLKISLLRSLVGSRKVDLL V FPSE_04027 MKVTFLIGALIAAAGAVKVPCTGTTSLREEADMKNLLFGSGAIN PAYLDDTQFRAVLSQQFNSLSPENELEWNFFHTAKDTYDWHKLDRLVQFAEANDMVVK GHGLLSSCCNPDYVLNITSPDALRAEITKHFEAVMHRYRGKMDRWDVVSEALKTNGSG LASNHFYDTLGPDWVEEAFRIARAADPNAKLFLNENLVESMPNKRQELYDMVAKLVSR GVPIDGIALQTHVTLEPLVPGVIRDMVNSYKTLGLEVSIAELDVHTYNATLQAEIYGD VIKEALDAGITDISFWGFTDKHLYTWLPGSKPLMFNETYYPKEAFYSTHEALANFVKQ S FPSE_04026 MIGIHEAAKRGDESALSELLKDPGFDRINEMDDKRCTPLWIACR EGHTAFVRALLSHENFDASMANTICESNHTPLQVAVCFRNDDIVKMLLAQPNINVNTR DRNGHTPLTLAVKKGFESTVALLLDMDEIDFGLDGCGRTPLTTAFECGHLTLASKLLN AERCRSPTGIKQTLLSWASANDKRDVVRQINDLYTINPNLQDGDGDTALSKASERGNL SMVRLLLENSAIDVNSKNKDGSTPVSRAALHQHKNVVQLLAAKDNITLHCLVREGNLE LTNYLLDCDIDVNHKDPYGMTALHIAIISRKLQIAESLILRGADINVKDTTGKTPLIL AVQHRLHDLVKLLMSRSASMIGIQIIDWHRVYNLPSPECVLRISEHVGGVKQVQWVDP KCALQYDPDTVRSLLQVSSFTAWAGFCSRHRLNIALPGGSRRVESASVFTEQNRLSAV AIAVWVPHSRMFTEDSGWYECGIAWTIGGTGDGELNQKTIDHYSMIPDGWIPEDGIRF FERLIVYLTTSWSALCTGAEDHMSERRMKQLQEKGRSEMIDDLAGDAKNLATLRRCLR TQVQDAKTFVDYYGRSQGLDVDQQTLKTIEGFTNIDDLLKELDQTIRDLLQLEFAWVS IHEAHKSTSLGTSMKRLSWITFIFLPAMFASSLFGMNVNILENNPDWRWYLLFIETQI EKHFKQWNMKFSERKTNQKNKGTAGPPV FPSE_04025 MRDTHLRMTSRGNFCTSVFLIRWDVKGGNDRDHYPGFDTWQPLD GTPNIQGFTCRSPVNLWLYLDDERQQFSGPTEGKIKINPRRISKYKIVRR FPSE_04024 MARHGIVALLAATLLGVGVNAGPCKPYSSDALSSIVTLEPTTTG LSTITADITDTTDGLQTTVTEAATDVTDTTDVLETTVNESATETTGELETTATVVTTT AGTTDLLETTITETAAETPATLETTATETIASGTTEGIETSTTEIAIDTSTATLEPIT TTTAELACVPTQILVNSGFDDNNDGSPWTLGSGISVSQLNPRTVPNSLYIVLDSGLTS TSISQELPALGPFMYKLRYYSSQETGTQGSGYTCTVTLKVNEQLLAPGRTISDGTPTG MRPSEQFFIADDQSSPVTLSLDIVCQGSFSVVNIGMDDFTVTRFQGQKLGYGKGHV FPSE_04023 MKFTVSFAALAAGAFQVVAGQTVYFAGDSTMARSGANDGATDGW GNYIGKYLKVNAVNKAIGGRSARSYTKEGRFQEIVNLVKPGDVVVIEFGHNDGGSPNK NDNLRSACPGAGTEVCTSDKTGEKVYTYVFYISQAAKALVAKGAKVVLSTQTPNNQWE TGTFQPGAPRFVGYVPTAHRALASSSVTWVDHFAAVTKMYQKLGNQKVNSLYPRDHTH TSPEAADLIAKAFVQAINEDMNGRTSLKSLIKTPVSNVY FPSE_04022 MRPFCNIIRSRTITRITPASFHLQHRLFSQTTYSLGIKMNGHGD LNNSHAGRTAVEFAADPSLRNKSLAIDRDHDDATIRQQYRPFLFDGNMPADDWVAELE LSTAIKMVQSEIMDKKLDRLRILVLYGSLRSRSYSRLLALEASRILFRLGCDVRVYNP AGLPQKDDVQHDHPKVQELRELSKWSDGHVWISPEQHGNLTGIFKQQIDWIPLSTGSV RPTQGRTLAVGQVSGGSQSFNSVNSLRILGRWMRMFAIPNQSSVPMAYTQFTPEEEGS RMMVSSNRDRLVDCMEELVKYTIVMRPHFDLFGDRFSEREEKRVKEMKKEEGN FPSE_04021 MAFPTPEAYHSSKTDAMGDNFQDQDEPTGQTEEKPMSPSRDAGM TEESKLVIQHEFGHDYNSENQDIYDVILVSGIKVESPVKWKGNNGAAFIRKALDGVGE GHGRQLFYEYHTDVVNGIYYTPDGVYKEAEALLNLLSEHRTPKIKETRRPIYFFSHDI GGTIVKAALSLASKNRSQYADILDCTRAVCFFAYPHRCPNQSLLQDAMLRLMSEEPLR WSGNMLDYAKSLSETILHVNDNFLQTQILTQAKMMNVVYTFDQDPEKQVFPLSMCIMG IPSERVIKIESPYSGLMVPWFDDTHPFNHVADSDWLCGDLTDEQHVALRKIINQASPV FPYEDIDTTWQHPGLLELAEANDNCIIHIRCSHGADRLSENAAFFINNHSTGPLLYMK FDTHDVRFNNCEAMLRLFLARLACNKLQSGGLVGGDSQNITDVNFRDVVSLFGQWEYF LYKLKESTTSIQILGCFDECDDSAIWFLSQVKDLVLRSELRLKIVITTTNGSPGDDRI TSALSKFPSETIKTIECIPVETTPFPVDLESSKLMQQYPSVVGPDQRREIRGILTACT SDHKLCELVLQWLSSDREQITRIQKLVGNPLTPGIVFAELLDTMEDSHRPWAKILLSW VLVSYRPLRAEELLQVSNIAWKRTQTSKTMRPALADIMTSFRGLLAIVNGEVRFRHPY TRSWLSSQRTAIGEDMWYCTTEGSCHKVILQTCIDHLNDMSTNAEDWVRSFPYAIEFW FKHSPLFDGSEDLLLSLFEDESVFQRWAKALVEIPSRRLNPLPDHIKPLPMAAHLGLM TVVKALLEGHPDQVMIRDQALIEACRAGHAHLIRIFMDAKMPDISAPDLALQEAAKQA SKFGSDEALRELVNSLPMPPDLIPVQQSVAGERRTDDETKEEKETEKPIDTSPVTMRE ASDETEDDRHEPDPLQWLSLPMYRAAASGMEDIVEKLLQFGVKPNPAKGTTPDGNSYL RAAVGKSHHQCAKLLIDAGEEMTKGSGDINTLLGHAVGWASGEMVQLLLENGARIDEP NSFDEVPLASAVYWGCFAATKAILSYRDYREYYVDEPGKNPVDVAVEHGHYRCLKIVL DHGFSPNIYTSGGDTALRCAAYYGRMDICKLLLQYGADPDLTPEGAVTTPLIQAVTRG DLDMVKLLVEYKVTIDKGETSVWSRTPMHVAVDSKKPAIVQYLLAQGADPNVRDSEGV PPIASAVKAGDPDVVQWLVEKGAAMNLTNASNETTLLHEAISRPETLRVLLQHGADIT MTNKWSHTALDVAIGSNYLTSVRIILEQARDKLDLGSESTRRALCEAVTSGYTYVVGA LLEAGADVNTVNVNGESLLMLAVKHNRESNMVCMILDYNPNLTMRDDKQNTALHHINK TTRLETVRRIVNSGGKLDAMNDCEGIPFVVAIKAQLDDVFTYMLTKQPTLAIRCPAAS SKTLTPLHEACINGTLSMVRTLVHHKVKVDVNASCEGIYGTPLIATTLRSDIASPTSS ARHIFAQLLSKGADSSIASGVFRYPLISACLAGDTNTIELCTIKTSPTEHDSLQRKPV HLACYNSLEAVNQLKLLDSEYAARDIVGRVPLHYAVMNRVPNTDMVSFLLDKGADAAV KGKGVDRDWTAHEVAYYYHTDSFLSVLPHSFNDIVSPRKRGDLARSPEKTAVFCDCCL LDIYGFYYTCSTCFECDLCDKCYLSVSKIHPAHSSFMKWGSEMEEEDASMMHIGDDDT TLGLT FPSE_04020 MDSKEIYEEVHKRYGSVTKTTTGKYEQTVAKAFGYTEEELAGIP DGANLGLSCGNPTALAKLREGETVIDLGSGAGFDVLTAAKKVGLAGKAIGVDMNKNMI DKANSNKAAMKISNVEFVQGTITSVPLNDATADCIISNCVVNLVPASEKQLVFNEMFR LLKPGGRVAVSDILARKEFTDDIRNNIALYVGCIAGASPVGDYEAFLNNAGFQNVLIV DTKSDLNVYFTAHENESSCCGNEQTNSACGAGLSTCAPQNSSTELADDQDILGDRLGI ADFNEWAGSFQVYAVKPLSIQDDKST FPSE_04019 MTPKSKRVTAEHTRDRVRNNQRRHRARRRDHIATLEERLHEAEQ TISKLRVQVDDLEDALRGNNSRRISTAILAEPEDLGIQEAPAVVDGHDLLLPSTGIFT EDHDSGEINVLGSDNLPGINIEPTDLPLSILQSAMFSSLSQPGYPFEYQSNQNNSPLQ QNRANETLL FPSE_04018 MTEYLGPVGSLTSVTYHVIKLLIECKQASDQVRRSLELVRTCDR DLQHLISLREENLDILERKPIELVRVNSIIEDAHNGFLEVGRIVEKCRPEAQKKGLPF YRRGVWVMFDAEEFNSQVPVVNSHHRSVLTEIQFLRLIGLHAPPPIQTNEIKTEAKVV QKRRVDIVNPNLLSSLIGVRSVATTPIPLPQANTVDCLPYPCDSDLMPPLPPSYSDAN FIATSSARHINILDIQERWSPYST FPSE_04017 MTPTSSVSSTAAANTNTSKAATAIAPTDASNAVTESARTNAIQA VATAASDTANGGTSTSHPKNKGLNDGEVAGVAIGCLIAGLIIGAIIGWLIFRSKGQHR YARQRRRSEDRGRLVDHPREANAVPLGISGDPMKLENIILQPTPDQDILSGLRRLEDI IRQHVETVYHLKPVDVEEKALARALADAGYSGSRSGIDFETVAGWCIETDTRCGALRH VLSNILFSAIDWNTPGPLTLLPKSAVAFMSSIRRNEKHRDNFDVMSFAWTRWRTLSAL FMHPAPQERTPLEVSEPDIRDQGEALAEALDPVLHYFVAPDDESQHQQGDHLRLMIIE TAQLGYTLFSHTSDWRFLYKDRSAKRRPVVCVGLEKLNGLDGHRFSSPQRIVEPHLIS FPSE_04016 MAEKPDVTVAIDLGTTFTGVGFLFSNGTMHIFNNWPGNNSTGET KVPSRIVYNHDNTVSSWGFYSSLYDDPLPPGKKEHRLFKMFLDKETCEEARRAGLSTI TTTAEASKCATDFLQQIYRHIKITYEEMTGANWTTSAVTFLFSVPTTWRGLDVSNTFK AVIRAAGYGTEGPRHSAQIDLTEAEAAAVDTLKSGVVNFNTGDVFLTIDAGGGTTDFS LVQVTSIENGRTQMSQIAEVAGIGIGSTLIDSAFGKLVQERLANCPDVPFSIANGLAE NMMKSERFKVVKHLFGDPAAIANSHKIPMPGVPNNFRHTQLGADGGCMKFDNHDIQRL FDPHVKSILVKVREQLDWMVQNNRSEQVMYIALSGGLGSSKHVQRQLREHFVQFRHPN CQKVRVIVSKNPQTTVVRGLLEDQKQKRETGNKPVLATYIARMSYGIVIREPYNPMRH VGEQLETDEFDSAQRWAVNQIEWFIRKGDNIDPTMPIMKPFSKRLSPGLTTASVTVQV VSSRNESSVLPQSLLKAGVKRICQVECTLTGLSQNELVFIDAKKVRCYRSRLQVNSFI VARKNNGLDQNFGNSFAELGVQLAGGILINSRYVAPLCPCLSILFPYLSAPRLATTLV MRSSFALLLTALGSFDVALAGPCKPHETTIESSATVTTVAPTTSETKGPLVIRNVIGN GNFAVRDPTNPSNIPNYTIEGDAQIVEDKGYTADNSKERGCVELQASNQPPSRKRAIG NIVSISQQLDSLDTKKKYTVRFFYAVITASSINVCTLTASIAGHVFYTSTILSLGAAM DWNTVLEQTDVPNTEGAFAVAVNCPVGGVAAIYIDSIFMSNQVTPDTINDVAIDFGND GSSVTTSGLSAVSTTYKEASSSANTDGPSTETEPLTESHIISDSAHPTSGFFTESDVN TISPSTKSETETHSVAESETEGHTAGVSTASELSSRLEPSTASQPASQPATQGPSTAS EPVTEGPSTLHEPSTASEPATQDPSTESDSHPPTLTLNPTTAAHATTASDLSTASSLP TGSRVCPVGAAPPGYCAPVEPRVTQTVSLAGIQQESENERPTAPRACWAFGRAKFGTW GRTLSSNPRQNSIEDCALLCKQEGSACKAFAWDGARQEASCRLLSDSLGVAGIDINSQ NSLMWNDLDCFECQGCDIKNPVDVETTTAAPSTTSLPDITTSAAPVASMLCPHCHLQS SPSSALVCQKIGNLGSVDLQPYANNVFDKATMQTTSEQCATICFILKDCKASAYDSAR RRCIFTNTAISSSAFQEAQDQDASAYILPWSSKGCWSCSNDCSTKDETTSQAPSSTEH TVEPATTTPTRTQPPTTFISSYAPTTTEASMPQCTLALSDGCTFDQNNYDYSQCSKSG TLRNTFTLRDDEYPWQMNINSYQNCVAMCNQMPSRCKASAWDQNSQQCVFSTSSIFTS AFTQGNDDGALNWSEQSCFLCFCHDQDRDEYSASLRTALPTATCAPSITSEDAVCQLR PVDNGAVVCQHTGYFPWSWDDAPSKFPNQDSEERCAALCNSNPDCMSSGWSEEYGKCA LSGFQLTGIAWQQFGNTMLSWSDKGCWDCSDCIKSQKWRIIN FPSE_04015 MVRFSILPAAAVTLLLCGVQAGPCRPLTTGVTNIAESSSTVAPD TTATSLDTTAVTTLIEATSTVQEESTATTETSIAESVSTIASDTTIGSVDVTTTTALA DPTTTAEVEATTTTAVPACVVTQLFTNPGFDNSDNDIAPWTNDKGAITQTSPQSGPNA LSFNRLENSFDSFGVSQTLSNLYGTYEFSYHYRMVSVSQSADYTCDIELKVGDASLRG DFDYDVGGWKSGSVTFNDLKFAQADVQVIASCGGEYHQIVVNLDSLAFTRVCSE FPSE_04014 MKPRLKFSWFIQKFNKLRKLSSLLAKHSPPPSTSPPQPPADNQK TTETMALHTGGTDLSEDEMSFDDLWDKAAKYFEDVCGKSLRDGDLRTFDDVQKQIEKQ NQLYSDSDDKSKKQTPFPLAAEMTSRALDFVLSVPQQNHDYNEAVDKVFPHVSTALAE FKIYRKIDNKPLLPEIHHVLSCFVRLCALVVKHHQGGKRALIKGVLNRALRIDDELDQ QLVEFNQAMQSKHYLQATITLSMLEKVQEVTGQTNERVNALYAEQDRAKILRNIGDYL GIPPTVNIDRRSTQTRQDISEKCFEKTGQWIWKHRDYTIWTGTNSKAKRTTMPHVLLV LGAKSTGKTCTCAQIIQHLEQMRNRTYIAHYFFPAEGALKKASDRKTIAWALRYMAFQ IARVDATVRNSLDKACGNESVAFRRDIGSSKEELHELWKSFGIALPGSSATYYLVFDG LENLTADEVDALFGFVQKAGPGPMTTRNLRIILSGKPEQFNDRSMFDKVLKITMGDDS QEDMQIIVHEELARKRVLEKCYDVRKQSDARKLILDKLPSKVKGNYAELHLWLDRIAG AFNNDTPLDSLAELLDRPTQVYEVVIDSLERTLTAKEIDKLNELLKHIIFRQFPPTMK QLEAVMRLHFNETASVEGIDTMVRNRYSSILKIEQDVVQVQEGFEKYLAEKAKTSSSE QESLSHSPSTISMALTLNHVGRKRAAEYFWNLTQMAMQPNFTFDFTNPVKKDNQRQGQ IAVDEFEAHLAIVKHAFKNMDSEPRVDHQSNTRYLVEWLPHHLFRLRELENQSHHGVL LAHQQREIGENLYKLFTDGSVFQLHKSAFERVYWTSFEMETLQEWLVDSAVRRQDKEW FKNVLSARNVIEGYLKEFIRWVITEFLRDRTWDASMAYRWIEEFMEKDSETISLVEEP INAEFSGKWAQVSEWCRKALDLTVESLDSLWYERLAKAASLEDGYELHLSIPIYRCAI GRANPSWLCYRDYGIIQHRQGQAEEAMKNVKKALSNAKASNADPKPSESDITELNLLL GGYAYETGSMESAETYYTSACDKGDTDQALKGLLGCLKIKLNTLSSQEMRIWLRGRLC GDDQQGDMCGVLELMAGDPDHHNLFPMMFSVFKKDLGMLQKVVTIMASGSHGFERPDN GVAKASGLANNSTEQGTDGILLYYAGVAAYGNNQLSNGPGSTFDAISLWVASRDELET IRSDNAIIIRSNASAALARHYFQLMLSNDEYYEVYKRKLEDLKPDDWKFDDLFNNDEV VGWLVSINTIRGQMQEVQKLLKPRLRKAISILSDDIPENDIVGLFAIHMATAACKDLK NAAIALSLVGQPDLVTDALLFRDEDKYVPEGINGEQLWDRYNNLAERTISIAMAKVPD AAAQSRRIQFAKLYIEKETEALKTPENDIMPNDEERATIMAAHQLIKDRLHRVDTKKP EIPIQWSCDGRTEDGKQCKKQSDFGEEFYHCLYCWQRDFCRDCLVRLRDPNSNVITVC NGGHEWMLTPPQGSSFYLGTRAKTVRKPSSVKPVSGDRQILEARYDQDTEGVGSEMTT KEWIHSIRDRYLQGDLKLE FPSE_04013 MTNTLDLSVFLDGPSKNHDREKQTFIEEFWRQPLGETHIEAFSA YFELLQREVYALLDTKSFDLTSLDFEHVLTIRDAILRHCPDTQETLRQDVVEQLEALN LPEEAISLAIMFVIRLLLMIKVKQYNGSIIAQSHLLQISDTQSLKSIVDTIQTAPSLG YWNTINGFPPWFNVIDLEKKAGLRIDWTHYITEHLTVQGDILYLFCNIQALQHIKCAQ GLTSKFFKEEFINETVRTVHLFFPEAPHGYSSSQYLTWFHKHGEIKLWQRSLASLNTP TVSRLYNEYPVWNQRLAWVLEASKNQPNMGIKRIWQDDRDLSLWWTRSSMPGGNSVSH SLQNRRQEAVTVHNSQILSEMLVKFPYGSPSTHCEDRDNYERIDYRDWTTFCVEEP FPSE_04012 MSTRTERAGISTNFQRLGNCIPYLCPTPENYYGQQTIQAFKLDY DRASKSISLQHNEQMKDGIGVEFSKNINLSPRSKAIEVTGWYFCHKDLVNFDWGNLKP GMEIEGQLGLEKKGKFQWIREKEGHIGGINRLWVIRGYFIGPNETNAPPCITVLCAYE DVARFLVDFVHSRLHLHKGWGATMLPGVKVLKFASTPPNDDDLYNSDKSDDPMYFDEE SLNATVERGMESNLIRIEVGSANEHSMPTMTSGDLSSWQQKLHRCGVPVEIVRGPEVI GKATIGGLITVGDEVYGLTVGHLFSSSTEHNEEAQQSLDTWQEWLVCGMDFDWALIRI PGLHEEDVKSWENVNLVQTVSGEFRPVLVALEEPSPYTHVIIATPGSTRCLRGVFIGP GAIVNIPESPTPYATWVCRMELPWLIQPGDSGSWVLDAGNGMLMGVLVAGCPELQEAY FIPAHEIFDDIRQHYPDRYPDMPVSLPNCHLLPRTSQIDLKRHIDEYGRIVKSCLNSP QGLEELDNHITKWVDQSETFVDVSSSLYNLRDERSEWKSALSVLKYSNLDKQQNDGFD NSMKHNLRTVFQQSPLRCYNFLNEIFVSGQDLQHIASMKRFWRCLMLGCVSYTSNDRD LSFDTASDYRSAIIRELRLMNITSRDHSGFQSPWEKDSIAKSHWKYSFRHTDSFADHV SEHAIFPWAEHASKHIFPNPSLNKGDWKYLSRTDHLFRIIYSHRTGLPPWDRLTATEV LHTLRGDLQDLNTLLKRGVEISLPETKMPDSQFVSQRLRSDGDIMLFAVKLTEKNNRF LVVLPPPSVGPSKSIQPWPSARDYPAYARSNRHIAFSAWRLPFMLTDKSTPEEECLEL LLSTQLNIDIGALKQRWKLDLRDEHSRFYLKEYNKYVDVVIYEGHVEDQSFVSPKMIH GPTGWMMQMMDEASARKHLSPPFSAILYPPIPDEQNIN FPSE_04011 MRFTISSATALLGLVASSTAQRTTAYTDPKTGIDFQRLVEKDFS MGIAVPETLGKDLIAQLVVPVAKEGWGGISFRGGMVGGLLFVAWPNGEDIVTSFRMAA SYANPDTYTNTTVKATPIPEGTFVNSTHFSYTFLCEGCVEEKVTSLTGESPVVGYAYS TIAVDAPAEPESALSYHGAGFGQGGLDIKAAASAKFADWAAMAKDSAPTPPGGGSGGN GTIPVPGNSTITPPTTSNITYDVIVVGGGPAGIIAAERLAETGVSVLLIERGPANTVA LGNTQQALPWNNTLTPYDIPAMGSSLGSMSGTKFCSDTASTAGCLLGGSSSINGLNFI HPPERDFQRWPKGWGWADMSAAAERLYERNPGTTLPSDDGKYYNDMTFKVLEKYLSAK GWKEVDSIKQPNEKHEVYSRCSWSIKDNMRAGPARTYMPFAKALPNFTLKLETKVIQP IRSGSMITGVLTQAADGSKQIIKVKAGGKVVLAGGAMSTPRLLWNAGIGKSDALAIVK EGAARTGVTLPPASEFIDLPVGHHLQDHAQVMLQFKTKSNFTAYKFNDIGTKPVASDL DLYMQGSGPITQAAQRMHLWTSAEGADGRVRYLQGTASAMADGIITVRTFLTHGTSTV GELGIAAGGNTLLKTKPWLIDQEDRKAMGDFIQYWLDLVSGSNSTLSYITPGATVDDI LATKMISGDHWMGSAKMGVDDGRKANGSSVVDLNTKVYGTDNLFVVDASLHPDLPTGN TQSIIMIAAEHAAEKIAAIKVVGGGSNSTIPEPVSPTPTPVAIPSKGAKYKRAIRRAA RRSIDFRRRSVY FPSE_04010 MDADTISNGSTRVGYKKSRNGCTRCKKRRVKACQQKGRSCVRHK VWCSLQSAPDHQDTLPPPRSLRPPNPSISGNSPSSSTSLLSGSLFSNPLEEPDCWVST AELMLHYTTVTYKTMVFSDNTTATFQQRMPQAALAFPFFLRQILAFSAYHLAHLHPDR RQFYLLQATKHINASIRGIREALSEEVTARNCHALYGSTTFVVVNKFAAFPNCDAFRS HGCSLPVQSLMEIFSLVNGMEAVLNSPGAADLVHGPLRELFCETTQVHPTSHLLNGLS ARLPDLARRISSDYMEEQCRTALMSAVESITNCVNDSLKELNKASPPELRIIFWWPMT VSRDFLDLAVSGHPLALVILAYYDILLFWGESQYWFFQDWAENLITAIVDKVRGSQWE DLLGWPVEVILNNQ FPSE_04009 MSATSVEKEKSAPRPPPLLCLGAPRTGTASLMAALTILGFSNVH HGWEVSERDDKQWQWRIFDRAADATFPNLPTYNGKGFSRAEWDEVFGEYEAVSDVASF FSESLIPAYPDAKVILVEREVEKWYKSVLIIFEPAQSARYRWFGETIGRFAGYDNAKA SFKMHQGWTGAPTPETTVENLRTAYARHNKYIRENVPTEQLLDFQLSQGWEPLCQFLG KPVPDVPFPHANDSAAYKARGKKLGRKMIGRAMRNILFPCFKKKF FPSE_04008 MTSPLNYNWQQVKPGVWQRPIDEIEQFYSTLAVLYEGSGRMFFG ITGHISLSIKSPKDVSSADAGRHVDEALRKAWLALRYDHPTLASQATQNSETGQWVKT YNHIEGEDDKNSWLDKTLVHIFNGQSGNEWANSDPPAPKLPTLFILHLPTEESDGSRI VRRDLVLRSPHDILDGIGTLMLLNNYIALAAEAYEKGDTYNPPLLDGSEASNLSPSYR IAANIPDKLPQAQLDRLDAMAAQKAAVASDPSIQVLALPFRKGSLVPGRHQRVALTFT ENETQQLLAVCKKVKATPTHAFHAAAAIVVRDLQDKPVESKKVRYINYILRNERPNCS EPYSSMEHPAAVYHSVSGQSLVVDMDLHPENHQPNVQEFHKVLETIKDFYLTVKQDKD FYILAPTMFAQGTPDLPPSPRPLPIPPPKAHPSVSISSMGCVEAIIAPETKAFSARDP WVTGEELGNGLGLFLGTFRGELCLSAAYNDAWHAEGDVRTYLERCKEVVFLGLGME FPSE_04007 MVAETVVPDRLPADSLQPGYDEKEPHNIDMDLKSEADSEDDRII DLFSSFPPAKGVEHEPNPLTARAVIVGIVLGSLVNASNVYLGLKTGFTFPATMFGAIF GYGFILMLTKSLPNVPLLGGKFGPQENSIVQAAATGAGGMSGVFVAGLPAMYRLDLLS DDPKKDFGRILTITLVCAFFGLFAAVPLRRFFIINVARELNLVFPTPTATAMTIRSMH AVGSGANDAIRKIKSLGIAFIIALLIICVGQYADGILHNWHIFTWFYAWSGFTATGLL EPENWGWYIQLTPAFFGSGILVGLNAAISWWGGTVVAWGLIGPLLVHYGECIGIEIGE GKWEGLTRFNVMTGVDKPGFVASPRYWMLWPGVMVLMVYSLIEFFLHGKVVVDGFKFA LRESARSINNRLVARGTHNSWFAGQAAKADADGGVEDFASAQEQVPTWVWVTGTIAAL ILAMVVTEVQFHMNAGLALLACILGVIFAFMSIYGGAVTDCAPLTASAKASQLVYGGI TKGNFAIKDAQRINLIAGNIASGTADVANSLVSDFRVGFLLKTPPKLQFYAQAMGTVV SVFLAPGIFVLFMSAYPCVYKPSDDPADICPFAAPSVAAWQAVATAVTMPSIPIPKSS AYFSIAMGILCAVQAIVKHFWLVGSREKYREWLPNWMSVGVAWVLGPDSGYANAILFG SITAWWWRKWFNNHFEMYAFAIAAGLIAGEGFGGVINAALELGKVSGSFKGTEVALPG AEW FPSE_04006 MAASSTIPYWVLYGNFEKFATESNYHMKCKRQALSTQLHSEDTG PIDALPEGLRGLESIYFNTALLESVQPSRVQTHEGFTDSLQPMKPISLANLKAEIEVL KDIHDQPNFDTILERTRQTTTNIDQFLPGSNINDFINRQSASELCRENTQQELLGGST HYPAINMPPTTIVVEETQHDKFERGDADVESNGRAHARKLFSYHAESNASENEQKLKF NIAEHEKGVLTSFDWRN FPSE_04005 MLPSTVVVEETQHSRCQPIRDDVEKFGRAHARKLYTNPVKSKIG DMQSNGASDAISGKESKPRINFGEHRKGVLTAFDIRNNEILNPDEPVLFGNPGSISAN TGKIS FPSE_04004 MAATINLKPVIIEMWIEYGIGILILCLRLFARLQRIKFTKFKSW AIDDWLTIPAAILWTMEVSMCQIIADKGSITGLTNEVASKLTSEEALSHEIGAKWLFA AWYVYVSMVWTLKAMMLSLLFRVTKSLPEERLVKQASIFVFICYLITIGVVSGHCWPT HKLWQVYPVPSADCSQNRAKYYALVTTNVVTDFVIMALPIPLLWKLQVNLRKKLVFGL IFCGGIFIVICTLLRCIICLTTPGRLDLGLSWSIRETVVGIIVTNVSSIKPLFTGRNH STNDSSHHTSNNRLGFSHSGNTHKMSRMERLPDGSHSGSQECIVAGLGKNQTTVVADD NSSDHKSDHKYKYSGQIHVTRDFHVA FPSE_04003 MTSPTQEGVLQQRLSTLTMVAMAFAILNTWIALAGVMAYILPSG GAVSFIYGFVVCVLCNLALAASLGELAALWPTAGGQYHFMYALCTTRWKRSMSFFVGW TNIAGWLTVVTTQAFFAAQLISAAAVVASNNAYEATAWKTYLFFVAILSFGTVGNIWG NRILGRWNDCALYWSILSVVIISIILLSMSEKTDAKQVFTDFNNETGWSDGVAWILGL LQSALSLIGFDVVLHLTEEMPNPSRDAPRAMLLAVVIGGVTGFVFILVILFCLTDPAT VLASSTGMPIVEMFLQSTKSRAAATILALMLSVCFINGTSASITSASRLLYSMARDKG IVYHKFFAHLQPKLDVPVRTIMLCYIFNLLFGLLYLGPAVAFSAYIASCTIFLNVSYA CPVIALLVRGRSMLGEYQTNKTPAKMGLRIGAVVNGVAVAFVVVTSIFFCFPAGLPVS ANTMNYVSAVVGGFYLLLAVYWFTGGKDFQGPNFDAIIGQPLQEKGSDVVESVPGKFD TSTKV FPSE_04002 MSSKDGYSWTESQGLKSGVPCIGAISPPTNLKDNNTKYDVIVVG AGYCGLTAARDAAIAGLKVLLIEARDRIGGRSWSSNIEGYPYEMGGTWVFWGQAHVWR EIQRYNMQNELELSYDFSRGINKYLLVTPEGTQKFTHEEEDELMQSGLQKLVNVDGQD GREAIVFPHISKLDAVAEKYDRMSIADRIAEIKNDLTYNERICVEAFVLLCSGGTLET TSFYEFLHWWAMSGYSYQGCIEYLVKYKFKGGQSSFAINFFKEALATGNLTYSFNTPV ASIKNSPAGVEVTSRSGQTFRARKMISAIPLNVLNDVKFDPPLATGKKTAADIGHVNQ CIKVHAEVSDKDLRSMTSISYPHNKLAYGFGDGTTPAGNTHIVAFGGQNNHFHPEENI QTTLDAFQGFTPMKIERLVFHNWSKDEFAKGAWFFSRPGLLADHLGDMRASQGNIIFA CSDWALGWRSFIDGAIEEGTRAAMVLRSTLSERSHL FPSE_04001 MATTTKPQKASPYLFIYNTLFALVRIALFVRTTYLWTQQGNGAV WDELNVTARWTETFTVMEVLHAVAGLVRAAPATTALQVAGRNTIIWAITRNYPEVGFR EDAYSFMLMAWNAADAVRYLYFTLQTGTGSVPASLTWLRYNMFIVLYPIGILSEMKLV YEVIVPSQARNPMYQYLLWFGLAIYVPAFYILFGHMLAQRAKLNRSISKKQS FPSE_04000 MSAPYDPNQGYYPQQGGYPPQGGYPPPQPMQYQQAPPPQEEKSH GCFYTCIATLCCCWVCGETCECCLECFDCCC FPSE_03999 MLPLQGIAVLLLCTASHAIPRHERDTTDEVLKVAIEPRPFEHIV NDIGAPPTDYRTMPPGTYTESISTGLVRIIVVNDPTPTTNKSCPAECDCSGIKDKKSP DAWPTLAVGRVESLQSLLLQRNRLLRVLLIAIVTRSRINRVQSTFNAWPTSTVNDVAW EERLV FPSE_03998 MNVVAFLRHGLRLLVPLSVAFTLYLYLFPVIQGCAFPVESRDSH EAYSLTKKFHWPSKPSVDDHAKLAPFRLLALGDPQLEGDTSIITNYLGTFPHIKAAYK KVTFQTSHWCFRERVRQIIHDIVDVFMEDLPYWLMSQRKRFDLWGNDFYLAHIYRQVN WWTNPTHVSVLGDLVGSQWIDDKEFEKRGRRFWNRVFKGTERLSDDLAKYPSVNYTVS GVLDGSEEEKVWKKRMMNVAGNHDIGYAGDLTEERLERFERVFGKTNYELRFELPITD PETVATLYSDENPDSTRVIPQLRIIGVNDMNLDTPAKSLPLQDATYGFINSVIGTSGA VQYKGEFTLILTHIPMYKPEGICVDAPLFDFHTTADGGGLKEQNQLSVDATRGFLEGM WGMSAKSNAPGKGYGRPGLMLNGHDHAGCDTYHYINQTNGTDPSQRSWQVATWSDAQA QQIPGSEGIPGRREITVRSMMGDFGGNAGLLSLWFDQETWEWKFEYATCPLGNQVFWW FTHIWDVCVLVWVALYAVLSNLEGRGVDVDGRFYKGVARTRDFVLRRRTREEKRTVTK E FPSE_03997 MTDVDDAHISVRFKHGIHTIYLFIDALAPFSNVTAELISVITDR YPQGLTTSISPPKNTSVDEDSTIVYGALKIPNDPYSGWVKLKTGNGESSPTKLGLKNN SLVVFAVAKYEGDDPEFEVEWPQVDEEIYEE FPSE_03996 MAAPPSSRPGFTPSGTAMASPPDLDLAFPQDAFPRLSVATPTRL LLGTVSSALVGFSLGATQGGQMAQLRFRAEHAHKMPDTTTGWYFYHKSKNYHAMQGGI REGFRMGFKTGFWSLMALSLESTVDRYRGASDMFSTTIATLTVAGAFSLWPWHLQPRD GKRRVDIARSESKSGGLTSAEHRRVHLDRLSLTTAARTARYGLMFGLAYGGIQDLVGL ARGRPIGYVEFLRRRRLAEDDARAL FPSE_03995 MSSALRRGTAQPLRWATASSSAITINTLRPCLAARRHIHSSDRR TNTIVEQRQIRDPLPSVPSKAPLSVLPLIMILRSLATMTVSSSPLLLPPSLHVMGILA NTSNPILNPDKNPLLRFFLKKTFYAQFCAGEKSPEIKKTIDGLKNIGFNGVILNYAKE VVLTKDEGADLKNAAIETESAIQNEILPWARGTLETVRLAEPGDFVALKFTGAGTIAL NQLKERMPPSPAMYKAIDSICQLAHERGVRLLFDAEQDMLQDGIDDWTLEFIRKYNKG LGEAVIFGTYQAYKKKCPEVLSAHLKLAKEEGFALGVKLVRGAYLNSDPRELFHDTKE DTDACFDSLSASVLTREWNADVKGSGPYPAASLVVASHNAESVRLSRAIMEAGRAKSD IAFAQLQGMADEVSCELVEANQQDKTMNLPAYKYLVWGTTGECMKYLLRRAHENKDAV QRTKGSRDALWYELVRRCKSVVGLA FPSE_03994 MALETVTLEHLPASRKVYVALFRGVKNAAFLHQQLLARNPEFEY AFIDASVVVSRLQLLSAVFKATSTAVNGALKTPNVHSEIVCTMSSSNNIADAYRRYGI SPSTQDLAVVKVTFPGEDGAEPLTQDQIWEHLKTNVEGEAVSITDEQISTATDVPKVR KYYKLNGLKWLDDIQDEKVKQKEMESLVIGAMALRGV FPSE_03993 MMEAISQRNFNSSSIANLSTPTSLSLTSQPGSLYWPFDARSYSA LAWAPDTFAYNCNPSLAAVKPSAATLTKPTGRRPRQPAAISRCTARTKARTASPASAG YRSAPSSAPSSTRSSPACTRTFSPRPKSKMPGRPPKRAASSGEDDHIQAPGKKQPRLE RGPDDFSSVVKNRLQSYTRTGQACDRCKVRKIRCDALPEGCSHCTNQNLECYVTDRVT GRTERRGYMQELEREKSDMLSHIRDLEKLLDNKGVEVKPWEWSPYAQYPSGVNFDDMG NPIPDPSTGETWSQVGSAWVKNSSSGSNSGSSPSFPRTLESRPHGNHLGVGFDSSPLS SIGGTKLSILGMTVDLAKFEADEPPIDAKPSAPLYNKSSQSFLQSAMRINPPMHVDMP SREDAFTYAEWYFMTFSAFLPLLHKPSFMRLLTRMYDEPNFEPSVAELVTVHMLFAII CYQYGTRNWQQVDQRTQMNDLSNKHYHFALSKFFELTCTRDLASVQAMAMIVKHTRAF PKSGCVSIIANLALQRALEIDLHRESRKPGESTNLQHELRKRTFWVIMTVYIAVNGRR GRPMPITIEEFDVGFPEPIADELLSDEGVDTSRNIPCPYWPGIVSFKIIPIYLEMYSN IYSVRRDARNYVSIVNALEAKIKNWEDELPGFLKMDHAEQTEQTRMAAVYAKTWALEF RLCLRHPSVAMTSDKTKMAENMSICEEASRKMLQCQLEIQKCKCLDTTWYQTSMYTAG VFTMLAAMWERRFETTPEAIAALREEMNGWVGILQEAGSLLGSGTSIGAEIGTIIDRT IAWIDHDMRSKEPKSTQPSITPEIKQERTAQSSAYPTPQIPSTVSSGGTQGEVSSTKS YFPEPEMNGQAPYPTLAYNEPTQNGLAPAYDTGAMFYNTSAQAAVTSSALSSSVAQVN PMLAFSQPAQVPQPDMLWQGRGNTWHDWTSAISDTQDRFSASTLLTLGGSTRDSSTGA GVPGVTASPSANDINNIQNGGQWPLIIFDQMAPNGS FPSE_03992 MGKKRRGHPDIEEVLHRPWCYYCERDFEDLKLLISHQKAKHFKC DRCGRRLNTAGGLSVHMNQVHKENLTQVENALPNRQGLEVEIFGMEGIPQEMLDQHRN RILQNFQQAQKDRQIATGNPLPGQGHQQKKIKTETPDDLKKRLAEFRQKKKEIAANGG VDPDAAPEAVEPQAAFNAPPTYASQNPYEQPPFPQAPAAVPPYTFAANNLPARPSSGA ALPTATGLPQRPTQSGAWNGAPAAGDDIDNLIRMAEAGIKPAAPAEEEGEKKKKEKKV RMFYDDAEVSPEERMAALPRYAFVPEVGA FPSE_03991 MAITAAPSASGQSSITVAVRVRPFTIREASQLQKNNDGTIFLGD GSLAAAPTPKLHQRGIRNVIKVVDDRCLVFDPPEDNPVQKFGRSLVPSSKKVKDQVFA FDRVFDDNTTQSEVYEGTTRTLLDSVLDGYNATVFAYGATGCGKTHTITGTAQHPGII FMTMQELFEKIDERSQDKVTELSLSYLEIYNETIRDLLVPGGGGKAGLTLREDSNAAV SVSGLTSHHPRDVQEVMDMIVQGNEYRTVSPTEANATSSRSHAVLQINVAQKDRSAGT NEPHTMATLSIIDLAGSERASVTKNRGERLVEGANINKSLLALGSCINALCDRRQKQH VPYRNSKLTRLLKFSLGGNCKTVMIVCVSPSSAHFDETQNTLRYANRAKNIQTKVTRN VFNVNRHVKDFLVKIDEQMALINELKAQQKNAEGMFFAKFRKQGEKRDALAREGVQRL RTAYEHSATERQERINNMKRLKGFERRIGMLSGWIAAFDTVCDQRGDEDSMPQNLIAI RKTAQGILVELENSRHHIHQKLEKSDWERAIDTALSHALQQLHVADGADTGETDQLTR EAELLKANFNREAYREVLEQDKAGDAAMIQMLLTAQFDILASLHDTLEMGEEEAVAHA KESINRLLQTGFTAAGQVVKPDGSMPTVEVFSPRKRGTPKRKKAIAMHIKPVSAPVFM PENTPLSPTKGSPRRRKVMGASKKSISFTPVKMLKKKGGVRWRDDETEEGTLVDFSQT PQKFNSSPAMPSPEKDIVAPPMPSYLEPEESKIDESKVDDESSPRLEVPEMSSFGGAK PSRFQAGFLAKGARPSLAGGSPQAPSLSLRLGSVSPDVQRTPPLRSLDVTKSGNFSPS PSGLGIPRPIRHFNRVHDENNPPGSGSDSETSTIDARKLQTALRTAMKEKARRASILA GTGASSSKRVSSMGMLPERSAPRPSLSGPLASTTNGISRLRRGSAERRRSPPMACFSN DFTIDRALTQGQARRMNMSSTRASEVNGGSPQGSMTSGPARRITIGTGSGAAHRRQVS AGGTWR FPSE_03990 MSSPVWFITAASSGFGHDIALSALKLGHTVIATARSTSRVQDLA DAGAHTLAFDVTSPISDLEAIAKDVYAKHGRVDYLINAAGYILDGAVEEVSPEELYNS FNTNVFGIFNTIRAFLPGMREQSVGENGRRGAVVTFGSIGSWSGGATFSVYSMAKACM SSLAESLREELAPFNILASVVEPGYFRTSFLNPGVKVVTKNRMDVYNDKNTPTGMTRQ ALVATDNNQPGDVKKGTKVIVEILTGTGVGKGKEVPVRIILGSDADAFIRGKIGEALK ILDDWKSVTVSTDHTE FPSE_03989 MTDANTNDIQIETRVWVRSNRIIGSVAAAFGGAPSNVVMRTLVS QVIGIAIRHQLSGCLLGRPRDRSGPMFLWKFLQVPDALETAAALEEKVYENPTLALSN LPNDAALQALGRKDLTCGNRQTHQQTSLDRYGSDLNRGRGLFLSKVHGYARYSIHHCD YPAVIKKDGHEVDGKKLDDFEGEAYTPTAALATLDDGTTIEADIYLWDGDTESLYTEP GWDLDTFVKERLEDWLDLFEGLRTVRLVFTSTAWYEQQTSAAITSNNVQETYCQSKQV KRE FPSE_03988 MSEYSPLAIGLKVFSIFSMATSTADVIAGHKALIPASERALLPK STLSVLDNQLRFLGAVWGGYGALLWWASNDLETRQTPLAVLGAVMFIAGIGRTASGLA TGWGAPWLKVAAGIELVFPPLIYLFGF FPSE_03987 MEVGGFAVGAVALASLFKDCADLYSMFTSAQNLDKDAATLQAKL EIEETVFLRWPERVGLFKQDQDQNEAIFSDAHTRRLIMLTLEQMRDLLSDGNKLRKLY GVKSITPAKESNSSLASNDVHGRISARRRLQFDSDFRGMNIKDVFKTSRISMLDNFAK QITWVIGDKQKFHQLISDLTYFNRRLVELTPGSTPALTANDLCHIRNIEKFDVIVEAL QNNHSHQSAVIEARSNAIQSRILDTLWFRWHDDRRLTVKDAHKKTFRWSLDSSAEDCT WQHLPTWLKSNSGIYWLAGKAGSGKSTLMKFIHSDERTMDYLEAWSGDSDLVVANFFF FAQGYPEQKSQVGLLRSLLHQLLSHDATLAEIVLPNAWREASRENQKKQEGLTMPSIA EMEKALEDICNLYRATKKIFFLIDGIDEYEGNDIDVAALMSALGAFSNVKILVSSRPH DSLVAAFRESPRMNLPDLTERDISHYVYDTVAYHPYMIQLSAMNPETVELITKSIVER ASGVFLWVVLVCRSVIEGCDAFETASDLQSRVDESPKEIEELLNHILQTIDPRWEDEA MKIMYLVHTNQSCGMAPPLSTLGLSLICEQGYISDMHSSEIRAREPFTTKDTDPRCST MEGRLRSRCRGLVEVNRVMRDKDRRRFFGGNDENKESESILMSSVEFMHRTVYDFLSQ PCNFNRWFGKITQQGFNAYFVLCNLWCQLIPTFQQNKAVERMHCLFGAVSITIYGNYK GCALEVIFHCFSRIQYICGMYLIDDNLPKAAKYFVHDRNCRQYYSDISVILALAAECS VRKTIEFVYETEIPLHSLLYQPPSLESLRNCEHEQDKRRARSFRD FPSE_03986 MHFSKISTALVALAVSVPGVVATPSPNLDARGVKTRPVVKPPVP VGPLVPATVVQETQAKTTDKQSQPMQTTVTKTPVTTGKYKGFASYYYPGESPSKCGQV YRDGELIAALDKRRFDMSLCGKKIYIETPAQRWVEVTVVDSTDGGIDENYLDLSVAAF KVLARIEDGVSQITWNYI FPSE_03985 MTSKPVDVLPDKEIEEQRTTGQDDIYIDPEAEKALLRKLDMWIV PPVMLLYLLSFLDRVNIGNARLYGMEEDLGLVGDQYQLAVSVLFVTYIASELPSNLVI KKFTPSRWISFITVSWGIVATLTGIVHDFKGLIACRVILGALEGGLFPGLAIYLTMFY TKKEYALRIGYLFVSAAIAGSLGGLLAYGIGHMDGVAGLRGWRWIIIIEGIPTFILGI AVWFWLADDPDSAHYLTIAERELIDARMRRQVGHTKSSSQMHKEDVYAGLKDWKIWLF CIGQFGGDTILYGYSTFLPTIIRGLGDWNTAQVQALTIPCYAIGAITYIVVAWFSDRT QRRAVFTVALGLVCTVGYAILVSTAPSGVKYFGCCLAAMGLYVIVGLPLAWLPSNNPR YGKRTVATGLQLTIGNSAGIPAPFLYKTYEGPQFIKGHAVSMALVAMSSLIYLAFWAW FRRQNKRKDEGKEDWRVQGLTEEEAEELGEHNPRFHYTY FPSE_03984 MLPFKAIVALLSVGAVSTLPASHAFDKRATACKSGDKNFKALRN HKVDGSAFCSAYLQITRTSTITPVASTAATKWVTKSARMVVIQRVHFIVKHISRLKVM RTAVMTLTKTKTASVTSFRTKTVTGAQTDKIMKIDTYQVTKTDTDQFTKRVTRHFTTT VTAIVYTTSTKDITSTSTLQLTEEAPTTITVTSTEKLTNNFLAYNCGVKNPITARYGF ARNFALHNFDSCKRFCSGVNALSFAYSTNQCLCFSVLSNNDYQITHVSNFADYTLEPL KRAVKVNKRVPAYLPLKDDKDVSRACSCHITNKPAAPVTSTIIAPNAKAVTVTSHTKV YDKIKKRVTVTFHRKIYLTNFKTTTKTDYITMTVTNRRDAMVTHPVIVTVTHVKTVTI TNVNSVQVTNYNTVYTTKFDTASETDTETEIVTDVEYFTVTEYETIPVTVTKAEVIVP SPVTVFMATTTNYG FPSE_03983 MSTRRPRRLLAACHRCHSQKIKCSGDKPCQSCNSTGRAGECEFP ANKERKVPVSESYLKKLEDDSRKLQAIASHNQTPETEPAFTPLDSQSQNDYDPPSLSK EESNLFNPLFDRQPERPIQERSSEPGFIGEASCAAFSNRLLSCLDDTYTPSTAGLSNY HKLSTYARLPAAQGSEFPERMHVKLLLNVARRFIGNYHPLFLEVTFMKEIDAVYRREM VPSSLWLCKFYALMALGEIYTHRRGVGDNNRVPGTNYYVRAVSLLQENQDYYEEPSLM QVEVLTLLAWASNVLGRIRTAYCYSGIAMRLAQSLGMHRSASRHTTLTPVERESRTRT WWVLYFFDRFSASKLGQPITVRDEDIDVEMPSQEGLTKDEMAEFLDPQNLITNIKLAR IIGNILTHIYGIPKATNGLYIHQVHGILKQLREWHDELRTDMRVKERGTPRPVASLHL AYYQCIIQTTRPVLLHLFKTQFQLGTKPRDDAAPRQNVSSITLALAESCVNAAQASSR IVEGLFLDGSIATFGYWDAHHIFSAAMILIMSAVMKPTAVNSDHLETLLSVLRSLKND GNIPAVDFCERLSHIQTRVSTLRATGRLDGVPPTTRPPSPNENLDSSGQNQPFQTPMS MDTECNTGVVDYNDVDILGNPLIGSFLDGNQVQWLDVLFAEDGTLKEFASEIEDQFLF R FPSE_03982 MSSQGIRIAIDRGGTFTDAWAQVPGRQEHIVFKILSVCPDEYDD APTECIRQILENALEITIPKGSLLDLDPIESIRMGTTVATNALLERKGDRVAFLATKG FRDVLLIGNQARPDLFDLSVRRLEQLYETVVEVDERVTIEGASEAPSNGPIDVSSDPA LVVGQTGEVVRIMKKPDLDAVRANLENLKTQGFKNIAIGLMHSYTYPDHELQVTKLAE EMGFKVSASSVLQSMAKYVPRSQSAVADAYLTPMTFAYLDGFRKNFKGQLEDESANKL LICQSDGGLTSWSKFTGLRGVLSGPAGGVVGLSRTCYDDADGTPVLGFDMGGTSTDVA RYSGALEHIFESTIAEVTIQTPQLDINTVAAGGGSILAWENGLLKVGPSSAGANPGPA CYGRGGPLTVTDANFLLGRIIPDFFPRRLDREVVREKFAALTEIVNKEKDGGEPFTPE TLALGFLSIANATMTRPIRTLSEGRGYGASSHNLGSFGGAGGQHAVFIARDLGIKRAI IPCYSSILSAYGMALADVVVENQEPSAITFSEQVVPEIKARLESLSSKGSQGLESQGF DAASTEHEYFLNMRYQGSDTSLMISVSGDVVDAGVAFTARHTQEFGFSQSRDILIDDV RVRSVGKSRVLNISSPFEELKKYKSDGLTPVPTPIFARKIFFETHGWTETPVYELKSM SPGVHITGPAMIIDKTQTIVLDHLSKGVILPEHVILEVDKAEKQNVATETVDPVTLSV FGHRFMTVAEQMGHTMEKTSISVNIKERLDYSCAIFSADGGLVANAPHVPSHLGSMST AIAYQAKRYKAGELKPGDVIISNHPQAGGTHLPDITTITPVFDDEENPKEIIFFVANR GHHADIGGIVPGSMPPNSTELWQEGAAIESFKMIDQGVFDEAGLIKHLYEDPASFPGC SGTRTLTENIADLKAAVASNQKGIELIRSLIKEFTWPVVQLYMHAIQDNAAQSVRDLL KQIAAKYEDGVLEATEYNDDGIPFKLKVTIDKATGEAVFDFTGTGPEHSGNLNAPPTC SYSVIMYCLRSMISTDIPLNQGCLKPIKVICPDNTILSPSPTAATVGCTTETSQKVAD LVLRAFNAAAASQGTMNNLSFGCGGTDPVTGEVTKGFGYYETICGGAGAGLGWHGASA VHTHMTNTRITDPEILEKRYPVILHEFSIRRGSGGEGKWRGGDGCVRDMEFRMPLQVS VLTDRRVTAPYGLEGGGEGQRGQNIWVRKDPVTGTTRQVSLGPRKTSHFGVGDRVIIL TPGGGGYGSALERADEEVVKRDARALLTNGSLGVRHSIATGN FPSE_03981 MALRTSLSRPVPLLATLTASAIGVSIISKMMFSTASAESPSPQK IFSGAFSSVKLPLHSFEYESHDTKRLRFKLPQETAVTGLPLASPLLTITWPEGSYLPK PRPYTPISPPDEPGYMDLLVKKYPKGQGSTYLHSLQPGDTLSFTSLPLKPAWKTNNFP HITLIAGGSGITPLFNLAQGILRDPAEKTRMTFIFGARSDEDVLLKKELDGFAKEFPE RFQVKYTLSDPKQGSELRKGRVDKALLEEVLGGVGRDTKVFVCGPKEMEKALVGGGGA LKEIGFEKSQIHTF FPSE_03980 MFTFQSLGAKSVKITAVVIFIWLLVAAYYSYSDVSVFTPTPPQR IYTYKDTSRLKSLESIRLGAQYFVDYPLNDTPKEIFGELGQRTQLLRAWIEELESHGN SERRETAELVGQQIEHLFPWLNSKNGVSPPLANIYDRLNISYETTGIPKGNKAPAGIV IPTGEKTLRFACHLIASLTQVHNTSLPIQVVYAGDNDLSAKGRKKIQQAANGVNIEML NVLTVFNDATLKLADGGWAIKPFAALASHYEKVILLDADAVFFQDPQQLLEQHRFNET GALLFHDRLLWKNGFPDRQDWWHDQIKHPSLETEKSLVWTERYSEEGDSGIVVVDKSR LDVLLGLIHIGWQNSDRVRNEVTYKITYGDKESWWIGFEATGSKYAFSPHYGGIVGWL KDEDKKKEEVNVCSFVIAHVDQDERLLWYNGGLLKNKAVNQTEFEVATHWMIDQTWHK GGSKEDMSCMTGKIVSELTDREKDVLRREIDVAKDVDERLDLIPST FPSE_03979 MPNDLDKSLLDRLSALRGKSAGPEKPVSTEIKVDLIERKKTPTR EDTLAARLKSLRERSNEPSSPIAKPAPESSKPKPQPEKTSQKELPKEDDEDESMFQTD DQTLEELLGDVQPEEGFSAEPDDAQVKALLEQLADAIPKDTDEKKDDSDDSDGEAMNK DVDQVIARFRDEVEVEAALAKTKSPEPESPNETESKETDFTLPEVPSDLNDMPISARA GSADIDDITARLAALRAPSPDAESSFALPSVPTSKPSGKLVNRLTTRTNYTDDDVDNW CTVCLEDATLRCPGCDDDVYCTRCWYEMHRGPQAGFDERSHKAVQFTKDKKTKKEKKK VALGA FPSE_03978 MAHAGLVQTSLIWVAYAVAVGLCLIAAIITTFTWQTPRERSAVV SIVAIVSLTSLLATVLLLPVDIALVSATTSATLGAKKDWATPERVDNILYTLKVVYYS LYSFDSILCLIVIPFAYFWHEEYDEIEVEEEGRTLGSRFLAAAKYTLFFVAFVVVLFL LGFFVPAAGDSSQSHWDLDYFKKLVAQNHGEKALTFALGLLLTMGTLLYVVYTGAGLA LLPISFIKAAPSISAPQLHQSTTTQLEQNRERQRQIEMRNAGRQEEMSRKDQRELDAL VREEQTLVRRERLASEAQGEGRSKVYQAWIRVCAVFRPIKLIGGIFLMLLSVVIWVSM LITGIDKAKNSVCKQKCGYILGQIHVFQPMNFIFVKAAKAFPVDYILMALLVLFFFSS SISGIATVGIRFLWVRIFQIRKGRTAPQALLIATVMLGLIILAMNYGIAMLVAPQYSI YGTQTFCTNEPAHPGEQPDCSGHKDMIHACSEALKYQHAKDVCTPSVMSTFLNRITIT WPFFGLVDFWAQFAFLGVFLVVFVTALFRTPKLDLSQIDEEAEADEEESLLASTGRRF GATWQDVRGKVGTSGNDSTNNGNGSQSAA FPSE_03977 MQAYTELAAPSAVTHSLTASLTSATANNLVVAKGSLLQIFTTKA ISAEFDPENQQALPTKPEPEFDHRANDDDGLESSFLGGETMIVKTDRTNNTKLVLVAE LPLSGAVTGLAKVKTKHSKCGGEALLIAYKAAKLCMAVWDPEKSTLETISIHYYEKEE LHGAPWEVSFDEYANYLEADPGSRCAAFQFGSRNIAILPFRQAEEDLEMDDWDEDLDG PRPVKETATVANGDSDTVEPPYTPSFVLRLPLLDPSLLHPVHFAFLHEYREPTFGILS SSQEPAHSLGQKDHLTYKVFTLDLQQRASTTILSVTDLPRDLFKILALPAPVGGALLI GENELIHVDQSGKANGVAVNSMARQITSFSLTDQADLNLRLEHCVVEQLHIENGELLL VLNDGQIGIVSFLIDGRTVSGLSVKMVTDENGGNVLKSRASTASKLGKNAFFVGSEMG DSVVLGWTRKMGQEKRRKPRLIDTDIALDVDELDLEDDDDEDDDLYGTESAAAKPAQA LNGSGRSGELSFRIHDTLLSIAPIKDLTPGKTSFLPDSEEMTLSDGVVSDLHLACIVG RGKAGSLAILNRNIQPKIIGRFEFPEARGFWTMSVKKPLPKALGGSAGVGDEYETFGQ HDKYMIVAKVDLDGYETSDVYALTGAGFETLKETEFDPAAGFTVEAGTMGKQMRIIQV LKSEVRSYDGDLGLTQILPMLDEETGAEPRVTSASIVDPYLLLIRDDSSLLLAQIDSN NELEEVEKMDATLQNTKWHAGCLYADTKGAFQLSASDKGETEKIMMFLLSSTGALHVY ALPDLSKPVYVAEGLSYVPPHLSADYTLRRGLAKETLREILVADLGDTISQSPYLILR NQTDDLTIYEPIRHVRPGGESNLSAALSFKKTSNVTLATTPAQTEDDEVEQPRFMPMR RCANINGYSTVFLPGSSPSFVLKSSKSIPRVIGLQGLGIRGMSSFHTEGCDRGFIYAD DKGIARVTQFPSDTNFTELGISVKKVPLGSDVRGIAYHQPTGAYIAGCMTSEPFELPK DDDYHKEWAKETLSFPPTMPRGILKLISPITWTVIHDIELESCESIECMKTLHLEVSE DTKERRFLVAVGTAVSKGEDLPIRGRVHVYDIVTVIPEPGKPETNRRLKAIAREDIPR GGVTAISEIGTQGLMLVAQGQKCMVRGLKEDGSLLPVAFLDMSCHVSSARELSRTGLC LMADAFKGVWFAGYTEEPYTFKVLGKSHGRLPVVVADFLPDGDDLAIVAADVDGDLHI LEFNPEHPKSLQGHLLLHRTSFSVSPNPPSTTLLLPRTTPPSHPTPQDPPHVLLLASS SGHLSSLIPLPETAYRRLLSVTNQLLPALTPHGGLNAKAHRLPVGTRTVGVEAAGGRA IVDGAVLARWAELSAAKRAEIAGKGGYDGVEELREELEGVLGWSGMSYF FPSE_03976 MTDTSDKQWAKAYLLDPLNEPEPNQDTGIGNLSAQFRSYSLESS NSSSSKDNPKDHESKNKHRSRKHRHGSYPTPPTSASPTRDSFHSSNPFSDAAASRRQS SVPSVSISPPNSPPGPAESNPFNISSVNRSASARVPAHRNRPQVQHNRSFSASNCGVS RQRSLSQRYPGDMSHRPLDILRKEARAADRAPHLRRKQLPMTDTIDALDTIGGTYHHG GPYDATLASRNRNKKYSPVAAVEESNREALRATPRENIQDSLKKKMPLQGTAVIPSGE RDFSGNTINYEEGADLMREPDAMGGAYKRWDGIQYHPDDLKGKGEPSYTYEKDLKEHK RNRGGPAEYELSSNMGTSTRPAFTHNRSFSESPRTTPEYSNGTDIRRNNSTGRHKLSD GLRKRFGSIRRKKVGEVQ FPSE_03975 MALVDYSSSGSDSEPDTQPVKRRKAVDGSSRSTDGDSAAGASSM PPLPDTFHDLYASTVRQSVVDDPSLHQGRKRHIPHVVGNWPSHVYTEWHPSTEQHGLL TTLIADIEEQVSSDTKLFNFLTSDLGSPLPLHISLSRPLSLTTSAKDEFLDKITESFN SSGIAPFTVKPQSLAWFRSPDSDRTFLILRVASGPDTKPLNPELTSLLLRSNSVAAQF GLPSLYARSPDEPVGGAFHVSIGWTFHLPGDDMSLKTLRLFKQSKFDDIRKLEISVPG VKVKIGNVVNHIALKTGRSGAPKSTSFLKS FPSE_03974 MSIMPSINVALEGIKGQQNVGSFKGSLSET FPSE_03973 MPFDIDTTRRNKAPRPLSDSERARVEEFIDSIHYSARYSDSEYE YRHVQLPKAMLKAIPKDYHDSSKGTLKLLWEEEWRALGITQSLGWEHYEVHEPEPHIL LFKRPLNFQPPQ FPSE_03972 MKSASRLFYLSVFALWAAPGSCESSNDECHISPKSIVGDACASY STLDKLNLHTKPAIDDLTQNTDFFSHYRVNLFHKKCPFWDDENGMCGNIGCAVETLDN EEDIPEIWRAHALGKLEGPRAKHPGKKAQRQHPQRPLGGLLGENVGESCVFEYDDECD ERDYCVPEDESASSKGDYVSLVRNPERFTGYAGDGAKQVWDAVYRENCFQKSSFPKSA DLGQSGWQHGPAAQDFKQILDAAGRQAQLEERRQENPNTPFVANTGFEVEDECLEKRV FYRVMSGMHASISTHLCWEFLNQTTGQWSPNLSCYEHRLHKFPDRIGNVYFNYALMTR AIAKLSPYLQKEEYKFCLGDPSEDAATRAKVLEVTEKAASVPQIFDESLMFVNGEGPS LKEDFRNRFRNVSRLMDCVGCDKCRLWGKLQTAGYGTALKILFELDNNNEDVPHLQRT EIVALFNTYARISSSLHNIGEFRKMMEAKVENEKVAKEFDEATETDRLRAKLEAEAAE NDQIDDAMREFIELRAKGPEEESMMGHLEHEFAQFKAVLRIILNGWMRTPKTLWAIFK YETWRLFRLWVGLPVGPRVWSFGLPNVDLGKDEL FPSE_03971 MDLLSGVGGCCSSTDKVESVASRDSSISLDDGAYKRYTPERVKS PFSFDLGIFDEPSLHGPTLPTPSIASSPSVVAPMDVYLRCNESHESRMMRLSGTASQQ YKHTELKDISPAGTCSWEFVSAQIPNTPVLQPALTPKPTANSSHTSGNKNIFSGSDMS ELALSVDSQPMGDDTERYEEAIAEYKAASKRYKYEFLFL FPSE_03970 MLRPSNITRSNSEYSLQDLARPRSRLRHSQSCSRNESEDESHPR NSNGETRTAVPQGVVPTHIKTGTSLGKFWKDYVSCEVDLSASRDHLANERTFLGYLRT SVMMSMVGTMVAQLFTLSHEGHHAKTFGYFITGKPLALTCYGFSIGTILLGAVRTWRH QRIMMSGKALVGGFEIHVLGICSMTLLLVFFSFLLSIDIVKDGAPMTTTL FPSE_03969 MDESNAPSTPDTEFSPPCSPPQKIQYLRDTRSAAKEKLSLLTLE EKVSLLTAADFWRTKAIPEKGIPSIKTTDGPNGARGGIFVGGTKAALFPCGISLAATW NKDLLYQVGQHLAQEVRARSAEMLLAPTVCMHRHPLGGRNFESFSEDPLLTGKLAAQY IKGLQDRGVAATIKHFVGNEQETNRLTIDSLITERPLREIYLKPFEIAVREANPWAVM SSYNLINGVHADMNKHTLKDILRGEWGYEGTVVSDWGGVNSIVDSVEAGCDIEFPYSP KWRLDKLIVAVNEGRMSIETINQAAENVLALVERLKGGDMSPEQPEREDDREETRQLI RAAGHEGLTLLKNDHGILPLCPKSTKVAVIGPNANRHIAGGGGSASLNPYYNTIPLDS IRKASQQKVSFAQGCHIHKWLPVASEYCTEKSGKPGVHIDWFAGDKFEGSPVVKQRRT NTDLFLWDSAPLNQVGPEWSAVATTYLTPKTTGKHTISFMSVGPGRLFVDGNKVLDLW DWTEEGEAMFDGSIDYLVDVDMEADKAVELRVEMTNELRPISKQKQFGITHKYGGCRI GFKEQDRVDYIQQAVETARDADVAVVIVGVDAEWESEGYDRQTMDLPADGNQDRLIEA IVKANPRTVVVNQSGSPVHMPWVDRVPVILQGWYQGQEAGNALADVLFGIENPSGKLP STFPKRIEHTPAWHTWPGENHKVLYGEGLYIGYKHYDHAKIEPLFPFGHGLSYTTFEY GRPEISTRTLTPNEEITITLAISNTGSRAGSEIVQLYVHDEKSRLPRPEKELVAFEKV YLEADETRHISIKLDKYAVGYYDETVPGWIAEEGVFQVLIGASSTDIR FPSE_03968 MADVEMDVDVEAGSPPPPARNESDMQTHTKATAVRSIEGWIIIV TNVHEEADEETLQDLFGEYGEIKNMHLNLDRRSGYVKGYALIEFTTLEEARAAIDGAN NQKLLEQTISVDFAFVRPPPGKPGRGRGAGGRNRGRSRSHSPEGKDERAGDERD FPSE_03967 MGKVHGSLARAGKVKSQTPKVEKQEKSKVPKGRALKRLKYTRRF VNVTLTGGKRKMNPNPGS FPSE_03966 MLQSPPPQGRARSNSLQQMLDLEKKLNFRRTHQGSVPPSQACTD TSIPPSPAHTPRRFELVPKEKKVPPPVRNYSAVPPPLFSAHSDPVRSESPNMIPRKPV GKTASTEHLKESSTDKPAQKTVAFVFPPDIEEKRAVCQSPTWEAYNRQKKERKEEKRR EIEKKEEEKRKEKERDQTMSQALEEAWKNAPKPRGRKLSKPPPPSPSVLAAQGRSVTE PVQPTQKRRSRSSSLLGFSIGRNNDDPEEPKRSSRSSSLSSIFRKSFEIKRASFDETG PTGFLGGVKLEKKKEDFHQKVLQDQAKGDNKVHPAMRKSFLGHGSFTPLKVSAQKEAD AQKRAYPPISINTSGMGQAAKPQKSPGRRGSVNIYLWSARARRNSVSSDCAVTDTEDE GDQNKVHTPDSEILYHKAQGLNRRGGLYVKKDQNEADKSSSEGKNAEKQATPRTSNTS LKQSQQPVTKNDNPKQDTITVSRPPIASAQHPPRRSSAASGLSIPPTPPRRSSKRRGS LTLLTRLNHSQPSDEPSTAKSAPVGKSSKEKLFRNNPPSIRYEQTQPGARPKPITADP EPVTTKWNLKEAARSAFGRGHSAQGSVSSTASKSTVGPPVPPKNNAHGLSTANAAPIR TQHVHLPSKHLTPPSSCSPTGRRPMTSSSESSYSDAILTVSPLSTPRSTPPQSEMGHS PRMASSKTANPNAYWSYANSPRLSPNESTDADVDPIQEAANRVMEAFSNANIRSRRPT PLSSDEQSFASTPTHALKHSRGHLRSPTQDSDSTTAVKTLRRQSNLEHHQYHGEPSAK MFVECCACSRYHDMPSKLYEAMSNPDGVLSAGSTDFAGSVSMTVKCPWCRHEMSTKCC AGFAAMVYINERFH FPSE_03965 MGEIKKGTIKHRNKEGTLDASALLWRALTSEKPDVMWKYITKDA VLCEPDRKVYSPRSEPALDEYLEEQYEPWTAYKIHDEPEFVEIDLMASALNYRVTCWK LQNGQMNATEAWCHSVFRQGPGGDWKCCLHHMAKV FPSE_03964 MAEPFTAGGEKRRTRASADEPTTERSADIEEGWGDGTLLHGLVD VTAHISSPDVFAKGLYATIIDDLGPQMHRPDRRTIEGAGLAQHQQTKRQAEDFSRHTQ VQVRFPDGFLFSMRHIAWGENAQDAKRKEDDLKLNVPNKRPNEKSNVVSVA FPSE_03963 MAKQDDAVDNHVSGQSNEPMSRPAHALTFDQVVEELQTDAMHGL TSAEAKSRHEKFGNNDLGDADGVQPLKIILAQVANAMTLVLILAMAVSFGIKSWIEGG VVAFIIGLNVTVGFFQEYSAEKTMDSLRNMSSPTASVVRDGDAKVIPSVEVVPGDLVE IKTGDTIPADVRLIEAVNFETDEAMLTGESLPVRKNEDEVFDDNCGPGDRINVAYSSS TVTKGRAKGIVFATATSTEIGAIAAALRKKDSKVRPVKRKPDGSAKPHRYLEAYTLTL TDAVGRFLGVNVGTPLQKKLSRLAIYLFGTAVICAIIVLAANEFNASQQVIIYAVATG LSMIPASLVVVLTITMAAGTKRMVERNVIVRNLKALEALGAVTDICSDKTGTLTQGKM VARGAWTPGKGTYLIENATEANNPTIGELRWSRKQPHELPLKTGGDECGVVSTTDELL SQSKYSLTKFLEVASLANLATVNEKAGEWHARGDPTEIAIQVFASRFDWNRLKLTSHD ASNQYSEIAELPFDSDVKRMSVIMKDNRSSQLFAYTKGAVERVIGACATYCPEDSEEQ VPITDEFREEILRNMESFAGMGLRVLALASKPYNVDMKKGDEIDRTTVECDLVFRGLV GLYDPPRLESAPAVRACHQAGISVHMLTGDHPETAKAIAIEVGILPTRMDMVAEDTVN NMVMTATTFDGLTDDEVDQLPFLPLVIARCAPQTKVRMIEALHRRDKFCAMTGDGVND SPSLRRADVGIAMGQAGSDVAKDASDIVLSDDNFASIVAAIEEGRRIFDNIQKFILHV LATNVAQAIVLLVGLVFKDRTGLSVFPIAPVQIMWIIMATSGLPDMGLGFERAVAGIM ERPPISLKTGVFSLEFIIDMTVYGVWIAALCLSTFVLRMFAFGDGDLGEDCNDKYSDS CETVFKARATTFACLTWFSLFLAWEMIDKRRSFFRMQPGSKLYLTQWMHDIWRNQFLF WAIILGVVTLFPIQYIPVISDTVFKHKGITWEWAIVFIAAALFFGGIEAWKFAKRVYF RHQAAKSQGTDWKDMDLEQRTFGEYLTPDSSEASVRHDSEKVNAGAARQNSNNEKKA FPSE_03962 MTDSTAKPKNEMKVLSLGLPRTGSASMAEALTRLGYKNVYHAIQ AIDSPEDWKILERACDASFPNLPSYTGKPFTREEWDELWGHCEGTTDVASIFAPQLIK AYPDAKVILAIRDFEPWFKSVDQSVFYHLWNPIAHFSVNVIEPLVGSVAGRSARKQLL GLFQAQTVDEARANARETWERHHRVIREMVPEGQLLEYRMGQGWGPVCEFLDKPVPEE EFPWVNEAAELKRVIKEKIKRNIAEAVGVVLPWVGAMAVVGAGVWMMAR FPSE_03961 MASIMEKIKETVVPSNNEGYNTNPSSHDHEPPSYSESSHSNNNN SGIYNSVTENNAQREFSSNNSAPLDTSTQNEGVRTGDRINSLVENVPGVSSSSTQNKS TFDTPSYQNNTHHDTSSDTPIDAARAPPSVFKEHLGEPTIEHDYPHESSTKRHASVSH QEEHYNLN FPSE_03960 MSSNLSFVLNKPGDVSFEERPKPSLASPHDVLVAVNYTGICGSD VHYWVHGSIGKFVVEDPMVLGHESAGTVVEVGDKVKTLKAGDRVALEPGYPCRRCQNC LAGKYNLCPDMVFAATPPYHGTLTGFWSAPADFCFKLPDNVSLQEGALIEPLAVAVHI VKQARVKPGDSVVVMGAGPVGLLCAAVAKAYGASKIVSVDIVQSKLDFAKDFASTHVY ASQRIAPEENAKNICDLAGLPDGADVVIDASGAEPSIQASIHVIKNGGSYVQGGMGKA DITFPIMAFCIKEATASGSFRYGAGDYPLAVELVATGKVDVKKLITGIVDFKQAEEAF KKVKEGEAIKVLIKGPNEQ FPSE_03959 MTQQPQAETEHILQTPLWIVIIRGFQFLFSLIIVGLCGWMIHDA GLPENSLCIAIAVLTWIVILYTLLSEKLPALRSLYHIIAVLCLDALMLVLWLAAWAAT ASRRAKYVVPVTVGNCYSDGSLIDSKNCSVFYKRDGENVILFKTGLAILAAIAGLGAL VWVLFIATFVWSLVMFLRGRKQGRFAVDLSGSTNNYQMEPKTEAQVNVQPQPTPAASQ YQQQQPAPGQFSPQQQQQQYPPQQQQQYPPQQQQYPQTTPSPYQPTQSPYQQQGAYPP PPVSPYDPQQGQQFEGQQNYAQYPPQQYHEVPGTTSPPPHQYHEAPGTTSPPPQQPYP VQGHPQ FPSE_03958 MGPPLFDASLVRERSSVEDRQEEAPCPFKDLLDNVSSVLAKDKD IFAIGGHVQDEASGNSNVTIRWEGHPTLRLPLTDDTAQLNAPITMDIDNFCTNFDLGA HGILGTINQSLARSLAQRSSLGWQAFRAELCKLNVHSASSDLSQTLVNTPASIGQIAT LVVCLPVAHKGGKLTVREKDQQVEFDWASGPSHMIQWAAFFDICELETLPITEGHRLT LTYNLFWADYVPGLMADQLNLVDQQSLYFYKSLEKLIDAMKSTEKEHLVGFTCRHAYP HTSRSSHENLHKMLKGMDMVVYQALKQILGHVTVGTVVDDSEFVKKQFETEEEERESG RAYYELDNSYLVDTVCITETPVSVMAGQPRHKEWDDYDPTAANPTNIAHRHRRESEYR REKVNWLNHAPDEQTPKEVSDIYCEPWQRYVDITEYESSAVIFAWVNKVVSTEVVSTE DDYEEEESGNIEDDEVESESGNTEDYDGWNEIFD FPSE_03957 MATQNPPMPQEKLGVPSRNPLPLSASQEAQVRDIFYQKVRKECA DEIKAFAACALGRTFTVSFACRAEHRVMNNCMKVHATQVAHDEAREEWFALRIERQKE REKKARVAQAQEDFMREWWGLPEHVRLSRQKEMEKRGERIHGLAARDRPRD FPSE_03956 MSTPTPGGFSLFPSPNASKPPPTSRNQTPKPRRSESRERDLRSA TPQAMASEPSEPVSPPRNGRQTPQNRAQTPQRAQTPQGQAPQNRAQTPQNRSQTPQGT PQNRSHTPIEFDPIRQQQEPKPVKVQRPRPTVQIPGRADTGNIQGPALVRNSSDRSRS SIAKPPLGGEATAQPLRSIFPAYNPELPLNQQHYVPTETRPAEIPRAVISRQTYHETP AGAAPRNPPVRSPVISPMSIQSAQSSWPHRAVQQQEPPLIPTVSSNELLKNYWKVANG WQASASEGRVYCMKLTQEKDAPVYSLSSATQPFYNLRLDPTSASAYVTLTRHDPNKPY KAPKPEASSSASSIISGAVGHNSGSKVTDTKHWQEALTTTLEEETRRHPPNDGLVALL MPSPATKMAIERSQDPASVMLAERECARLVWDEDSSHHFLVHPALATPFCVTIERSPS WSRVEYTLEHNESPQHLAKLTRDGTGSGWLEIDTGIASKIESYFIVDVAVTALLLVAQ GDEKNNPITEAFEPPPPLILTAKQEKRLSKNGKREEKKSKKRNMEAFEIDVESQDESL GKDKSKDKEDKLPFLIRVIVKIVKGLFACFIWLLMISFRCVGFAFKGCYKCIGSKY FPSE_03955 MSSMRNAVARRPHRERAQPLERRRLGLLEKHKDYSLRAKDFNKK KATLKNLRDKAAERNEDEFYFGMMSRNGPGSKLKDGKKWSGTVQGDRGNKIMDVDTVR LLKTQDLGYVRTMRQVVAKEVAKLEEQVVLTRGFDKLDEEEDQDEDSDSEFDFATAPK APRKIVFMDDEEQREETLQEHLDMEDNDEDDKKEMKEGDAEFERAKALRRLRRQLENA RKKLKILTDAEGELEIQRAKMAKTATSGGSTRKGKKIMVRTRKR FPSE_03954 MGVALPFTASRALLSLFIAAVVFYCFFHQIPTYRTPKINFIPSS YEWSRHKLQHPVELSSMVKPPRGSPANLPRIQHDFSNPELRKRELATADVRRKEILQA FKKTWKSYEKHAWGHDELKPLSLQGSDPFGGWAATICDNLDTLWLMGMKREFYKAVDY VSRMDWDLPTSNGFNVFETTIRHLGGLLAAYELSGESALLAKAIEVGDLLYATFDNEE HMPPHTIHFSDLKDGKGRPEPRQSTASLGSMSMEFTRLSQQTGNPKYYDAIDFITKAF ERTQNETSIPGLWPIQINAQDGFKVTDNTFGLGANGDSLYEYLIKEYVLLQGLEPKYE KMYLKAADAAIEHLMFRPMLPEKEDVLMLGEATASPRTKEVRLRTDVEHLTCFAGGMY ALGGRALGRDDHVVIGEKLARGCAKAYSAYPTGLMPEIVHVERCPTLEPCEFNPSSQK EPLGFRARDSTYLLRPETIESIFYMYRITGKEEFRDIAWDMWLAVRAAAETDEAFAAV MDVNADGEIKHKDSMESFWMAETLKYFYLIFADEELVSLDEWVFNTEAHPLRRMVN FPSE_03953 MGSRAPGHREKTFAIADGLFASLVYIDTTEPKTTYQSVGGHGSR NDLSRELQALYDDQAHTRVTADAQHCSMNSNSCAYEITWSSIRAVCNGMDSLWVHFVN VAADLENVAVNNILARYQDAKGLRYTGAIALRNVLSGPIPNDLGQVFAFCCFSYVVSH LLHARNILPQGDILAGVHLWLHALEKDDERGAFQLLVQWLWPEAQHHLRLMYSVPAYQ SEATNISHVNSRPMDMSPTNGQNLAYSQQDIDLFIDLLNTSNADLVSPSPSGMDMSSS QPSPYVEPHGPSYSTTSCQLRQAPVFKAVIQYIQENACVLGSLGGWGLVLKDVPTCLA WDQERRAQKRQIQDSFIRLLSSEKGKHDLVSRSIVNIAEAFVERGLLQEPNEIEFYMQ LAGISLINGQASSQEFRDWIHNFHQSSTVDFAVKHLSCWHSDCGYKTNRSANLKRHVG SQHDNSEKGKRTNGYSRRK FPSE_03952 MPTEEQLGRQRVADDSQQPVMLQSWLNESGTIASATDVWTSERA LNRPRPSTNDTTIQHNNDKDDYAAKAAPPYFRNTPYGTLFTRLPFEKT FPSE_03951 MALINPTPLACSLSRARGFLAGLIFINSLPKIAGQDFNANIRLF QNTKQWTVLQHVHRQLEETRLRLVEVKRTIGLACPDKTLESFNNPTSFYEAAISGFHN TLLGLPPTTLGGVVALCAMSHATSCYLYSTGIQVAFDPFSDFDLWRSTISNDEHQQAF DDLMMAACQEPYLTTLMNPFPVFQNEINLPGEDLNLLWSPDPITNSLIPFLITHNAGE TQAPDLQSLQGSPIVANLTHFLEQCGELPLILCGRDATADMCYFIGAPISTESGDEQD LKHLYIQLLRERGPLHSPVTQGIVSIVERFVDLGYLQSVDENKLLT FPSE_03950 MLFNKVVILAAAGLVASEAAPQVTQPAVLRRDLGDDLKNWAETK AGEKITNVEDWVKTNANEVEQWASEHGGDAKSWVKDNYSNAGNYVSTKVDAASTKIEV VISGAVVSVSAAATDDDNAAASLSGQSGVVAMMAAIGVTAFGFFLA FPSE_03949 MVLPLARCRASLRYGTCTRSIYLLRTRQCLPRSFTQSSIVAAAR SDILSAWDKYSNSDIPLNLDHSDKCVGFLSKRRTIHKQLAFADLTTPSGETIQICSDA KKDANAHTLFTQIPAYSPVVVSVSGQADEPADKTSKPTVYLKDIRALNTVPQNLIVTS EVQFPPKKRHYQIRFHQELQERLKFRSWLKGELTKGLQEKGFTDIETPTLFKSTPEGA REFLVPTRQRGKAYALNQSPQQYKQTLMASGISRYMQWARCFRDEDSRADRQPEFTQL DMEWSFAGASKVRQDVNDIILSALEALRPAHSYQEIRGERIPVLSEIPRGARPADEPV AHTFTTLKFQDCITSYGSDKPDLRIPNKIHALPDLEPYKQFVSMITHLEDPLIESFTF PLSTEDPNEARKLVTKFMDNLPSALADNPDGKPQILVCDRKAPLQGFSSLGFEFQDVI DLVADEGVEQGDLVIFQAREKPKGQYCSGSTKIGDVRIALWKFFVEEGQMEKPRLGDP GSLQFVWVTDFPMFKPTEKGEPGQEGAAGIAASHHPFTAPLSKTDLELLFTDPLQAKS AAYDLVLNGVEVGGGSERIHIAAVQKFIMSDVLQMTDKRIADFAHLFEALESGCPPHA GFALGFDRLVALLTGTATVRDVIAFPKTMKGEDPFVKSPSRLTDEQLEPYGLQLRRSD QKGSDVE FPSE_03948 MSRGSSCAKGKRLSVTPLPISLVSTQRSLDSLPQEALVKVTASF RLSTLRAAPRSEREVEFEQLREKEKPVKFTAVAFPGPDPAIIRFVTTGSLSEGDSFIQ AFNFMSRTRILDIVTGRSKDLERTGKVQKIELWIAKRTSTGSWSAMAEVFCPPAYTI FPSE_03947 MLPTLPNEVLSTIFGHLMTENEKHCLGFQHLGDITKVRLVCRLW NDLASKHLFRTLALHNDIDTMTSWFYHWHRLIGSKTVADAARRITIESAAEDWQGSCH KDSWPASWEESGKWPAFVSAIERIYDMPNLDAIEVRFTSCCAGPDADRQPWGDVEVAD PEPTATRLYTLSAVAETVEKRATRPKMSVIRELVLDNLQNMPLPNTIASSVLQNIQRL HIRILSESYGKLHPDEERPDLVHREELRRFPRYLQNKLLPLVANQLVELTISGKHWGS IPGEFNGKGLSFPNLRTLTLDGFDILHKDQFQWILEQKTLMALHLHNCTIAAYCHVQH PDFSRWNVDLQGWSKVNALGLGPFAGWYESRLRWDTLFHSIRESLPRLRRFSFDRQPW AVYFRHDTCPFPGQMELRYLAFSGRWFEPPRLHYYERSELQKSMPRLVDESLVKAEKP DSQALEKLLQTVKDRV FPSE_03946 MSDDQASSPLPLQPVVLSMEVHDDDSFESYYRLRLGTRVKYVTT SPGTFDRDTLSFPVPSLPCFPDNEEWTIAHLSRDETSGELKTSVSSTTRPGIHQWHQT RIDCLDLEKTKSLTPMVFETVSHSILPSDTMIAKIARFEWEMPRIEQETRAYQLLEGS GLAPRFLGHIHENGRVMGFLLEKLEGRSASFQDLDACETALGKLHELGLLHGDVNRYN FLVTEEGIKLLEFELAEENASPESMCEELEHLRVELVDESGRGGGCIFRDDSD FPSE_03945 MSQTTSKAGEFRSLYQKYIESFNTRDFEVMKSFYASPVNVMDKR QEPDDIIAMCKYLLESFPDWKGEVRHIVIEGDYMTVHFKDSGTHQGEFRGYQATGRKV ETTEVSLYHVVDGKFAEVWPLVDFDTVVEQIK FPSE_03944 MFISKPAYNCSLALIQSSNETYLGAGYGCSNSLSCLSQTLDQLA KQLASSEALSDHTLSIVTALINHEQVAGHYVEAGAHVKGMKRIVDLRGGLDKIQDGAV ATKICRTDILFTLQQGGHPLFYRDRMDEIQNSLLSRGFTLQSAEGHKFLSNQPVLKQA FHDVIGICNLFNQHIDKKPLDLVEFQEVLTSICYRLLTFRTLNESRLIRDVESAHHIG LLVFMMSLFWNNHQNWLAKPGMIAACIREALQVVDDLEGGFFFWLLLLGGISVSGEDD MLWMVMRLHEKAQNLGVVTWNDARNYLLEFPWMNVIHDASGEKLWNKLIISCYRVRPI AELSNTLVMPSTTHSEFGTNTEAIEVAKAFPNGIRGKTILVTGVNRGGIGFSTAQAFS TQDPALIIIANRTQSKANDSIDTLKAEFPNVKYRFLEVDLSRQTSVRKAAEQVLSWSD VPTIDLVINSAGVMGINERTITDDGLEMHLATNHIGHWLLTCLIMPKLIKAAEDKPKG SVRIINITSASPMRSAMRWSDMNFDKKNKDLPEEEQPVYQYLKLWGYTDVEDSTYLPL DGYNRSKVANVLFGIGATKRLFNKYGILSLSAHPGVIWGTELGRNFPQETIDAAKKMG EKGEGEGNPGSYLVDCQISDQANPLAVSSSEAERLWEFSEKATGNQGADHPVGYFVGY TMSASPPSGSSKRRVRTEAQMSQKRLADRVKHRENRQEHKLRMERMEADIAQIRRNLD TVSAQLRTLPQIGADLMASQQQQQQQQQQQRPPQRQTTNFASHPPGESYEMDTSTNVN ETPDFPARSASNGPGRASPRPEHDMPMPPPEVMSSLFPAPSHVDCRCGVQHHSQADCL EYRSFAILYETHAAFPQDPLHARSLPKNPALPNMTLHSYGDNAVTCFLTSFLKGFEMA SVETLFGVYFFAYRLMRWRLHPDPMTLKDVPPWLLPTEVQNTYPHPVSIDYIPWPDLR DFLCTNPRIKSRHSVKMYLESLQLKWPPGCSLMTMEGGQYMLVKFRAMLGQSAQSLGK ESL FPSE_03943 MKYSTILALAGLASASTPKKPLVNELKLQKDITLKGLMAGAQKL QDIAEANDDTRVFGGKGHNATVDYLYNTLKSLNYYNVKKQPFTELYSAGTASLKADGE DIAAAIMTYTPAGEASGPLVVAANLGCAASDFPAESKGKVVLVKRGECAFSAKSTNGK TAGASAVIVYNNVAGELSGTLGEPFGNFAPIVGISLESGEAILAKTKAGEVKVDLKVD ATVENRVTFNVIAETKEGDHDNVLVVGGHSDSVAAGPGINDDGSGIIGILNVAKALTK YRVKNAVRFGFWSAEEFGLLGSYAYMKSINSSDTEIAKIRAYLNFDMIASPNYVYGIY DGDGDAFNLTGPAGSDAIEKDFEKFFKTKRLASVPSEFSGRSDYAAFIENGIPSGGLF TGAEQLKTEEEAKKFGGEAGVAYDINYHKAGDTIDNLNKEAFLVNTQAIANSVAKYAK SFKGIPQVNHLTRRRDADHAQIFKRTSAHTHAHGGPCGAVEV FPSE_03942 MPKLLFLNSFVLIRVLRPRIRLDQVVLAMVCRILERFSGQAMAS DVGSLARLTLYELRVLLAATCRSRYIPWKGGLCVWVFGQRFFEAVFDSDAMEEPSEKL DSAGTGPCLPIYPTSANIYFRFGSRTVCANGTASDHCHPNVLRLRIRQTSLDRFIESL FSILSRLSGTWIQDKFPEWFLPENIVLKIEKNNWEAEFDHEIVAYDKLRCVQGTVIPK LYGMIDYHHKRAVVLSDLGGFSLATPEGAVLDKDDLQSLLHPALTSLAELGVCHDDTK LDNFLLVTEEGKDKIMIVDLESADFEQTEEELVYTAKNKTNWLIRQYQGHIDCMEYDG VRLPQRPLRT FPSE_03941 MIPRPLLVTPRRLWLNLVLYGSLSTLSGSRTRLKPRDVSDGVES ICVSHRAFTKLKTLTLDGFDILSLLSTSPRLQLLHSSTRWIPSLYYGHTSRVHPDVRQ PKQPRLIGTHSTSSLMTETFWAHDVFSSTHPPFSAEAGLPIPLSADEMVKISTPELNS TQPAGTLEHIKAEMVQDHP FPSE_03940 MCTEQYTHFYCIYCKCCIRKGYEWEICEQALQTESKSMGSCGYT DDGEVARASEYCQQCPPDEKKWNKSRDTDDVDKDDAPCLNICRWFYSNCLVFLLFSSS AKLVLIFLNDTDFGNTHRKIKNTTHPTCATTSPSTTCATSAPTVTISRNTVWNATKRE QYGYKQDSDDLQLVAGTPSRTVIELIIPN FPSE_03939 MCEQKTKRYVCSRCSNLIFLGPSIITECDRYSWGKRCGCLEQLD IIRCEPDLCSRCPFLSADSPLPFTGKPDVAPGSAHDVEDCTKSHQSKKVPYEYVGSPA LAKTRKMYLVGGVDPNQKERDDRLNRELDYWLTKELELMNMRDPSGGSVQEIEDEPKE ESKDEPRDQPKDELKDELKDDLKDEPKTDSKTECRCICKCKGMFKKKSKDEFKVSKME SKEE FPSE_03938 MFPISCFIAVKLMFEIVHMMKELGVVLCSEIEYGIRYGCGYG FPSE_03937 MCQLSTTAMICEQCRRLITYASVKSFCSTEECMKKLQDSSQYVS CLTCNKCNPSGKGLGTRRDGLVNVPHPDHQILRANSASQ FPSE_03936 MASLRSVLVSGLLATSVSAQNFGGGARDEEAFSWVQPKNTTILG QYGHSPHYNSTYATGKGWEEGFAKAKEFLAKLTLEEKADMVTGTPGPCVGNIIAIPRL GFKGLCLHDGPLAIRVADYASVFSAGVSAASSWDKDLLYQRGLAMGQEFKAKGAHILL GPVAGPLGRSAYSGRNWEGFSPDPYLTGVAMEHTINGHQDAGVQATAKHFIGNEQEVM RNPNFKKDGYVGEVDEEALSSNMDDRTMHELYLWPFANAAHAKAASFMCAYQRLNGSY SCQNSKLLNGILRDELGFQGYVMSDWGGTHAGVATIESGLDMDMPGGIGAYGMDFKAG SFFGGNLTRAVTNGTLEEARVDDMIMRIMAPYFWLGQDKEDYPSIDESSADLNTFSPR KTWLKEFNFTGTRSRDVRGDHGALIRKHGAESTVLLKNENNALPLKKPKSIAVFGNDA GDITEGFYNQKDFEFGNLVVGGGSGTGRLTYLVSPLTAINARAKQDGTLVQQWMNNTL ITTSNVTDLWIPALPDVCLVFLKTWATEGADRGHLSVDWNGDEVVLSVAKSCNNTVVV THSSGINTLPWADHPNVTAILAAHYPGEESGNSLVDLLYGDVNPSGRLPYTIALNGTD YNAPPTTAINTTGTDDWQSWFDEKLEIDYRYFDAQNMSVRYEFGFGLSYSTFEISDIS AEPLADDITAMPEALPVQPGGNPALWESIYNVTVSVANSGKVDGATVPQLYVSFPESA PKGTPPKQLRGFEKVFLEAGESKSVSFELMRRDLSYWDIISQQWVIPEGEFTIRVGFS SRDLKEETKVTLVKA FPSE_03935 MLPRRSATMAPPDLNDAQRAILRNAGMEELWGKIFENWSPGHRI PMPVMTRHTFVESSISIGRLKCNQPPGGDYLVPCPKYRKEGATVYLAVKRDENDNTAF LWCDKKGEPVKRSQIIPRCDVDIYRLKEMLCEDYNNNECYFIDEYNEAIKIAHGRTVL AFLVARAHRDGGRDRSTPHFCEETFRYKAHVFCFEDDPEINGDD FPSE_03934 MPFCPVTRCSLSGGAYSNSDVCNNLVDFTFYDDFCDVYKNNKLA TCKRTPIHDDKTVDESKCDVCKAKDTPAQ FPSE_03933 MDSLGSSPRKGISASPTLPTMRSCSLAGSKRSAPTLLPPFEPLT SSPPNLPRPVKRQNLGSGSGTGRSRAHLKYPTPVPTSSTGILSSSPPQRSAIASERAP LAAVPAVELSENGETLVMGRSSNSSHYQISADRLVSRVHVKARYVAASSPLEPSKIEI ICNGWNGLKLHSQGRTWELFKGDSFTSETEGSEIIIDVQNSRVLVQWPKRVLDHISDA VWDSPPCQSRVQAIFQSSPPRRASRIASPESPTPASLSSSRRLQALLPGQRDIEIYED DAEQGLSEKLDSSSINVSMCTDVTASFSSEVSDEVEEHDPDEENDPIIHSFGPFGADI SCRLASITTKSTKFFKGAKRADPLHSDVASDLFAPRQIPTAPQSPRKQHTRTESPLSS PPRVSSPTPTPETEAKMSFETNPAVANHVVNQLAFSRLSSTPLSTIMTHLPTEEKRDI TRDDLRDVIESTPCIGIIKRQGKDAAGKPLESEYYYVPEHDDDQQRRLAVTDGLRKPS LRACRKQHKQYYWKRPKTP FPSE_03932 MDRQPTAINTDHTSPPSEAPTSPSAQAGADRCMRHTNSWTPSIQ RRQSWSPEERKHEMHMDKIGQVNTGPGFTEREGN FPSE_03931 MLATTRVWTSEKLLQSRPQASNVFRSTVSLQSRCDHRSFSSALG SPTLPQRRSTRNSATIQPASLTRNVSWENRSRAAFRRAMKNFNQTADSTGFISVEQVK TWVGDLAGARPGAGIEDVERSAIDHLLRGDDKANIRKATLTTGHHFAGLRPDAKVSDN ANKKDASFIDPITNRRVPKAPKTAPKPRYDDLEAYKPIDFVKATAEAESAPKYDDLDK YKPVKDGKVSQQPAAPKYDDLDKYKPEIDETSTKEKAVEYDDLDKYGPVKYNEPDGKR QPTTEENSKKYNDLDKYSSVGIDSALAQRQLTAEEKSKAYQDLDQYKPVYWNEPDGLK AQSSEELSKDYDDLNNYKAVYWNEPDGLRERTAEEVSKDYKDVGSYGPVQWSEPDGLR RLTPEEESKKYDDLDTYASPFEVSQAALKAHEKAQMDTTMRGKPLAPKVDAPVEDFAS KYDDLYLYGPVHWNEPDGLRKPTPEELSKNYDDLHLYGAVRWNEPNGLRRPTAEERSK SYRDTHLYATRDVSPPISRVHPEEASKAYKDLPGYRQYANADAAPRIHPEEASKQYAD LKAYASFENDAPKAKDTGAKYKDLHKYPSAGYEETTRQHVHPEELTKAYTDLGSYRPT EFVSQAQAYPVHPEEASKVYQDLHKYTAVRHNEPNGNMSIPLDEVARGLREFDSKAGS QKSPDAPNAYCGTSNRSIPDSMETSVDDSGSAETIRAAVLRRAHQNSQRVKHQTASNS EVGRTLTGNYARDFPEEFAASWSKSNSSSKSTLLPNNGGSSGHVSIVIEDNEELGSMD ESFPMEGTKLQPALDRSGKVHKDSYSHQPQGLQTSYSEECGSSTAPVWEKHYEPKSEP PKSEEPKLESRRTTIPKSTSYTIIAFDPASKMMHVAPTMSNAYDNKSPLPLPEALLLL AEPAKFLPFLQSLQKQGYEVVSGGRQMLVCRQIRPISEKSVGSYDAMIRHGGVFSTVD EATQKSRRREPKKKMGRKVLVGTIGIAGGAFATATLVEYLSTKGMRA FPSE_03930 MKRSQGKSAGRGFEGPRSGFGGFGTAASGTSLSYLAEPPSFSAI SDPNVVVSLKNVLKKDSTTKAKALEELLAHVQAHPFEKDGGVEEGILDVWVQIYPRTS IDNSRRVRELTHNLQFELMKSARKRFERHLPKIVGAWLAGLYDRDRVVARAASDGLTP FLNTPEKVIAFWNKCQAQILDYAIDAIQETQDTLSDERSTTAEDAEAKYFRVVTASLS LVLGLLQKVEQSNLEKLQSRYDDYFAEENVWKTITYTDSAVRKTVCQLLFASIERKLP YADDTKVKQAFITGGLKTNQAGSALEYVRALTKMTQKYPDVWTAAKVTTGPKSPLGRM QAFISKGSQGSPPKFWECLDQLLMVLPSDLITPEVASQLLSSLKSGITHREEPRMNTS FAWKCFVDTAKRLLKNLPADDQLPFVQEHLFPLIEQFLFSVSEKTVAIPMGPNAISVL VEAYIATVKASPSVVSASEEEWNRLASIFCAKISGSLPEVSREYQQSQEKISEEGRRW FGLVGQIGDKIAETDDVPDHTAEPSLKAISQSIALLESRNLKPFGAARIIEYALSMAP RLFHGDGITRLSTFFTSLARDDVAKAVSSPSSRYLLSSLHLLGSVSTQFAPIWNSWVE AVLDLPSEPSRDVALASLISNDRGAVLAKGNMDLQKHIIGQAVLLAVGNSNDWELLEA AVTYQTLTDANYRELVQTLVEILEKDPSKTASTLQALEIAAKGRPELFSRDDRVHTTL VALLLGLSELYDSSISSKATAIRTLLDSHTGGKLPVVAVVQANLERAGTQSLDIGTLV SQAQNAASSDIPSEELFPSTNVWMKELAPFLEFSVNPALSITNSTGGASALVKGTPRS TLLRVHRDRKGRSIPARMALYVSQLSEKLPLSQLPKPFQLELLYLQCLTVQLISDQIT CMEENRLWQTLKHSEASAQAEEFVSKTRAVLSDLAADAKVWSDSAEKPIIQELIDLLT EHSKDLTPRGLYSARALSELVQFLAEAHGASSGFEDALLKPDVLKVSPTTVLPAAAII AGFGETLQPSKAANTFCNRVVSEIAGVSAESDKTLMTLVLLSSCAQIYEAGELPVANN RIVFAVRQITSWLDEPETLSPALCAEICRSLNKLLPCMKDVYGSYWEKAIEFCISLWN RAHEFELNEALPLIHSSLKLYKTIESLPEPNDDLEDALKEFAGAKPRALIELLRLPRE TTSQPLEIVDAMLCREVEKISLRQVPDLCDIFGLVASDSRDIQTAAYNLLHKALPEQQ QQKSVDAMLDKTDARLPDELLSLLLDAPTLEKYSDEMLAEFPSSIRSYLLSWKLVFDA YSTSSFKIRNDFNDNLKQSNYVGPLLDFMFDVLGHSAAHPLKLERINLTSEHIQDYDV KLAESEAEEKNMQWLLVHIFYLLHKYTPGLFRSWYIDCRSKQTRIAVESWTTKYFSPL IIADVLDEVQQWADTQEPPAMDEQELAVRVARAAREIIAGYEVDESQAAISIKIPQNY PIENVVVQSLNRVAVNEKKWQSWIMTTQGVITFSNGNIIDGLQVFKRNIIGALKGQSE CAICYSIISTDKRMPDKRCTTCKNLFHRTCLYKWFQSSNQNTCPLCRNPIDYLGADTQ KRRQG FPSE_03929 MALPEWKAALSMSQRYETIQKIQDSLSASSMSEAIAIEQAAYQI SSTQEEYNLACQPTSTPTPPLSQEVESEIDSGIRIGSYKNCRPVSEGVTSEVYRSGDK ALKVIVTYQDIEPHNPQREAKILKTLRSPCIPLLETFRDQEQRFVLVFPFMTYTLADV LAQGPLPLDSVRSIFRDILQGLKDIHTQSIIHRDIKPSAILLSSPTGPAYLSDFGTAW HPELSIHSEPANDKILDIGTGPYRAIDVLFGHKSYGPEVDMWGLGVMLSEVLRDPPTP IFESRAVHEDGNQLGLILSIFKTIGTPTPETWPEAKQFKVAPFELWTSFPGQNWEELL PNVHPDFTDLVSSLVRYDSQRLTSTQARKETDIPAGPGPDDSSDSSDSSDSYKPYILA ATIPPVGYALHANLRDTRVRNTDVEDNHAKDTRVKDTHVKTTDDDFAVCFKDDIDDFD VDWNVVHRRYIHTPDYEVDNDILTGKRVDGINSRDPVQSIHVDTGIPLYRRRDHWRRW SAPGSFIPDWSHRRHVIRPHSPPSENGDDHCHRCCQTFFHPYHLSRHLQNHCPAVTVD SCPRELLLDNTLPDTLRFENPQLLPNSVRGELQEWVRSLYARRLQDVDQTGAVVDRLD SELSLGHTIPANRSFTNPQLLPNLVRGEFESWIMSFYPRPMQGVDRTGAVEKLASDDE GDSSVELDEQMKEWLSIDPNIDEEDIATYAPNDMSGGEMISWMPDDSSDGEMATDAPK DSSGGETTEVPNYSSNEEMATDAPNDSTDQEMATEVPNDSTDQEMATEVPNDSTDKEM GGVNMKM FPSE_03928 MEDMARAEYPAMLAALQPGQAVQTLTERMKRMTRVNNEVADWLQ ERRRVEDQYVQGLKKLTQFKVPNGQSELGVFQAPWNRIIDSTESIAHAHHQLADRIEK DIEHPLRNFSNRKDFLNMNTMSNNLSTMAKDLDDSQNAVDKLTKKGGRANTSKVESAT SKLESATGQWESQAPFIFESLQALDESRVNNLRDLLTQYQTHESDSAQRVQENAMETL ALMLEINTEKEIESFVHRATAGKAKLPTRNSTRQSSFAGTTPSTPVPPSTADSTNLPA QSAHTASPAPVTAPTSSHADDDMSETSMPQEKPGGKLRRLGTMFGGRRRQSMHAGFGQ LSPGKLGPSFGRLGTSHSQTDRGPSPRASSNNLQEHQRLSSLAETPDMPRVPDGENDT PKPDAHENTNGTSHNENLLDSPIPQSAGGTVNGNHEIDLSNVQPPPGPPPSHKQDQPS APQNATKDSDGFNVPPPMNDPISEAQREAKQESGEDPDQLLKVNIQQTPVEDEDPDAK MAALSSVANSLKMGPATRRSGTVRGRRDVRHTMYVPPPNLPESGTDSPISGLAASPIL APSTSKGSALAALASESSIAGTSDTQSVRSGNSLGGGNHPQHAHMTAPGLNSSIIESV SAVFEDGVIKSASITGEVAFVNNPSDSGDAKTYETIRINNFAALERIGPNRIFVQNSS PDHHDQFNIDVSHLTKNATAFSYRVFEESEASVLAEHAPLLLKPAWKPQGDKLGLLLQ YQLNPASKIAAPVSLHNVVIVAKYEGKSSGAQTKPVGIHLKDKHLVYWRIGDLTLTND PQKIVCRIIGSEGTEPKPGHIEARWELTASGDQPVGSGISISRLDEGKGKGKEISDDD PFADDSASTEQKWVDVPVSRKLVSGKYEGR FPSE_03927 MASIGLRSSATTRAITLRSSHSRLLPLYQRQLSQRHFSSSTPRW DSSKPSTNSSASSEESIASSASSEPKASKLNIFSTEWEDLDTKISSFSDLPHRLFGAN QHMVINYELKEALRLMLRQFNAPIVYSFAYGSGVFPQSASKASISEADFRAVHPNPPE ALIKSQKGSPKVLDFIFGVSHVEHWHSINMKQNRHHYSGLASLGSGVVSRVGNWGAGV YFNPFVEVNGMLIKYGVTSIDNLVHDLSSWDSLYLAGRLQKPVKILRDHPRVRLANQH NLIAALRTALLLLPPNFTEVELYSTIAGLSYLGDPRMALPTENKSKVTNIVDNNIVHF RRLYAPLVKTLPNVDFTGPCRIDDTDWIMNPDPDKGLQQDMDPKRRGNMVRRLPQTFR SRLYFQYQKRFGIPRGEFNELMKASTDNDGTAVKKMQGGDFERRIATDEPQKLQETVR VAIKQTVNWPSTVQSVKGLLMGGVSRTMRYLGEKYSKYKKDKVDKKVKQLADKSEKSE FPSE_03926 MRSHTPNDKNGRGGRTTPMSNNRFRASTSNVGPQPSLRNSRMSF RASTQPSYNLLTGESNLPRPASRTSMAPESVRPSSRESHKENMPPPEADESEKEKRQM EALKAEIGTLQYRLQTTEQEKEIALSTSNSKVEQSRRETQDEVQKRQAAEAEREKAVE QTEALRRELEQIKESIEDDKKKLERKARDAEDEVRLLQDQLEDLSAAKDEAARIADRK ATDMEMQIAAAQKTVKELEQDSEQRDNALQQAQSQLAERDGQIANLEADVLRLKAQSG DAETMEIIRQELTEQVQHIRNLESTNRDQLSELKHLRSLSKAVEVVEEEKRTLQRRLE SAEVIEAELTEARIQRQRLEDERLAWSAYLKNAAETDESQFDSPEAVARALVEERLTN ASFVEKVGQLQAEMTSAQNTIQSLNDEKAQLKSEVEKSKSAASATNTDKARMRLDRQR IMAVKEVEYLRAQLKTFDTEDETLQPEQFDQARVQRVQELEDLVDQYKKEVQTLHAEL SSLEPSTGAPQPVTGTKRSRVEDDSTQEQLGQLTRKKRKLEEELTKSQNKISLLEKDL STSREQLKAAKQSTQTRVLSLKSNPTSDFEAIKRSTLKALKKENEELLATLRGKSSSP MIPTSVLAAMEREITAAKAETASAEKRTRRLKEVWGSKSQEFKEAIFSTLGWTVTFIP NGKMRVESTFYPSQTDEHENSIVFDGERGTMKVGGGPRSDFARRISDQIGFWVREKGC IPGFLAALTLEFYEEHSRAAK FPSE_03925 MAVEKVTSDAPVVGEKSVVRDVDERAPEAFTATGEEYEQEDFMT RTGLNAKSFTRKHYGLGLVELDRKMKPRHLQMVAIGGSIGAGFFVGSGSALSKGGPAT LFIDFFLVGVMVFNVVYAMGELAVMYPISGGFYTYAARFIDPAFGFAMAWNYCLQWAA TLPLELTVCAITIQYWAPDSNPGIWIAVFLIAIIILNMFGTMGYAEEEFWAACFKLTS ISIFMIIALVLVCGGGPSSGSYSTYQGFKLWEDPGAFKNGFKGFCSVFVTAAFSFAGS ELVGLAAAESRNPTESVPKAIKQVFWRICLFYIVALLFVGMLVSCNDENLLSSSSYSN SAASPFVLVGKYSGLKGLDHYMNAVILSSVLSLGIASVYGGSRTLLALAQQGFAPKIF TWVDRAGRPLPSVGFIIAFGCLAFLNLDAAGPVIFDWLLALSGLAMLVCWGSICLAHI RFRAAWKYNGHTLDEIPFKAIGGVYGSWIGLIFVVVILIAQFYVAIVAPVGESGMGTV EDFFMQYLGLPIVLAFWAGGVIWKRTSWISIDKIDIDTGRREHDWNSINAWRAELATF PWWKRLMYTLF FPSE_03924 MDYEGDTIMGGIDTSPPFTQQSATGLLFHLQKASTLLTNLNPQN PSTAFEEKESQVKAAIEDIYTRVVQYDMKGNQDQVQIDESGSSDDYDYSDEDDCSDED DCSDEDDYADVPLQIPNLSAVQVLAAARASAREGYRRRKNGHKNRNRVERKKKRYRKS KRVERKKRWEKRREKKRLARMADLYPRDTKMQQARPSVYGPFAFDDDVQTDYDDTNKT LDQLKSLMVSVRQDVVHSTDGSDAATNSGFDPEDRTKIQGLIKKVDVIKGMTNCFEDD VKAAIATYDRLASNVTDLWSTADQETRQKAAHRVLRLLMYSPAKLKILLSRLGIEIPD ELDNAMYKALGQMTDSQTDLLVGGPSQNEELIALRKDAETLRSNLKKSETTAEALQKS LAAKESELGTLQKTCSAKARDAHEASRLSNRARRELEKANDKIAKCEVDLETQQRDFY RDRERFQSEITQLHQQLSDKEQARVKLEAERNAMLSENTRLTADLASAQTSSRRQVTA WQDEKRILRGDVEEWKQKARDLEVQKEEVEQNLKDARVDVRGKQSRLKETEIQLEARG ALEQSRDQLQEELKIKVLELQKKDEVIQSKTQELRERSETGDKMIKLQAQQATLLLNH LSLGVESQNWGAMMKKVLADSQLARPRTHWRPWDIASSCSTDASLQICHHDQSVNIIA LDVLAMLDAKSSSTEQLLSYLQALQEGLSSSLQIVKSIFQLLPSCFVNAASDPRLHIM HLVAMFQIIKSLTPLQGHGLFIVQAIDKVNARVGHLVRALEAYDQDPTSEFQLAESIV YPELALVGIDPNADGIIACSSLKFDVQYERKCFEIKDKKAPNSIHLAVTSGVSTSTGN FPSE_03923 MESNIANHTLAEAQAKATEAQDKANKAQDKANKAQRDLEMVPRG VSGTASSVDEFAGIVRQYMEDTGVTAVKYRVNPVYRSE FPSE_03922 MDIDMESSDIRDRYDFDGKDMHIATARLDTYPPLWKGSKTSSGI DCLIVVIRRIYSCYVIDTDFKDAVHWVAMAEKQNPILRHAWHVFGNSPDNVARTTEDR KSLEKVLNSLGITPQASFKDICGSSMMNKTFWSHDWFRLSHPPFDTATGKPIDLSPDE IAKLSTLELDLCKSPDDRLQEVVDKAFGIRTLGGVEVGLIPSAPWVVRVLYRVPKMDR IPTIQHLKTMEVPIWTKCLDRSDLCFRESGRNLYYLMAVVRMRRDGRSDLVRTYNPTG SNVLFNYTSKYIMSDQWSVVEGDRTYMLFYASMPMNLCRREIRDRTYFPEVAQREDVD EEWMEAFEELMRKTKSTLSTTVPKPKETIPGQATLPFAPLTSQPPEAPSSMSRDSSVV AKRQRTTVQTEDDIQSQDPKRQREEQGNNELGTIRNGSNRSHGRSRLSGRSHNDHGGW NSSYRGSRNRSRGGNYSARGDSSNRGRSQVDPSADERHRLARRG FPSE_03921 MVYDTDIVATFTGLGRDRNRCIATGHQSAFVIYSMPLPSRLLYL SWYRWWLQWQRHWDTNPRHFGSPKGEHKRLATSDFEIPDPLQLDKLLTLSCDSRAVNA LLTSVFFEPDVESNICGMWLRGSFAFLNTIKDPNLLLRIMIKRDPELGFLWVGAFITG CHDKALREGRGGWWILNLAAAVWTGTLMSFIQEPVLCPAPGTMSIPRADECRLSFLCH GIEYTIPPLFPFAPFGSTALLDTNLDVREHWSCGKDHAVRYTGMTWRCNDGTEIKQEP EAPMVVMRPKTGQYLNINTKVEVDYEDYDSDDGTSESVTRHIFTWLREQDGFPIAERA IREHEWIDNFYSDGDEPIEGDARSTVGGHIHGWLLKTSTQRSNSI FPSE_03920 MFLALDSSPTVRLIKAQFAAVVVAGPLYRLTLTNGVHTRAAAIE IVFNCFHGYRRSLARHGSSWLRLGLAKYSIFRQARRITLMQGPLDGIVDISEEASDKV RVCVAEILKELAALGMDIPANAAVPWERQEMTADEQCQIYWHLLRAYMHQVTLCRNPP SDCEAEHGNPAINLLKSGTPCSLASDISAELLNIKSLIETSKAEMVYGISHRLTRVSH DKVDMGDWLMIPSDVIESWETDTGLSLQTVVSSRAQ FPSE_12397 MANTDADCLLITIRPIRNGQVTHDAVVKAYYERQGTKIIEPRSR IGQKIAKAKDKVITEEPDRAAAEGDQAATAGGRSKVDSFHHALHLVLLGP FPSE_12396 MAETPTKRQRVDCNSQGPRDTPSFEDSAPDGDVIFIVQGKTRVR RAMQFRGPFATSFLHKMAGMARPLHEVQYKPYIYVLTEIYTMHETSFNNAVDNFARKE YLHAKAISDICRILHEKKYRVVGHAHFEYAVDCITWKAYVKDLEVDKHEYGWPWCSDE SEPRLKDFAHGVSSAYTDWRKAKGIKDSHFAPEKVAQRVQPKPEPTRRGLPTTNTGEG GHVSSPQSSWHQS FPSE_12395 MSSHSEEEAPVGFGDIFESLRELPDLPHGKPRALFGHIYMPQYR SEQNFLDQIRLSLPVDRRTTRFFIIGSDEDADEGEMSDSELAPVRMTIAEFLDVLKNN LRPPGSFMAWRPAPGPDAWQTKSVLPRRAVFLFQVDFFITAECALAVTLLMDWALTVS SHEGFSDIRFLTLSSHTDISFLEELLWKQGAVPLLTFPLSDENDPMLDNDISDARSLE QIAQELAGIVKKSDKTTRLILSFDETIQTHLQCLMGSDEMRSLVKVQSILPNWPQALM ELRSIGDVANTELATLVTLCGQIPVRPKPIQGFQEIHVVLGHRDFYTPSWDNKTRQVL TYPRLTSKEYRELQLWWTYNPDASVTVYHCENTIDEFIAKGGDSPYIVEGAHMGAFVA SAIDLERYSMSSKRIINSFIRNPLAAKDTIDMLTAQGLVSNNRLALEDQEAAVFRSVL RQVEYDYRLAMFLALDSEPIPRLVKVQVATVLALGSCHSLSKGDNTRPLALETIFKCC HGYGSSLAKQGTTWFNLGLAKYWLDRESRGVKTSSEIDGIVDLCAFSIDRLRTCTDDI LQIFNSAGIEIDTKHNVSLERRELTSHEQWQIHCHLFRAYMHQVTFCRNPTSDPSERG PRIRLFRSNADCSLKKTFRAWQPDFKSLLGASDDNMVLGVSHNLVRLSKSHVEIEDWT MIPANVVAFWETETGLSLQATTASRVQHCPDNMDEITGTCSMSS FPSE_12394 MEGRTKRLGRRANIANEDQNPTAQEWAQSLLDRMAPITSSDLAT LLGPPPESDEEWTDQDEAYIDRKWATSTEKKAQDKIEDKSHPMLLSLWKTCLRVFRTS PVDLISPLNNLRYSVMSDDDTSRHLYSKAFCTDLTSIMVHPFICGRQARLVIVLQFAA ICRIDERELWKSTAKRRGACPALNETFRLMEKNANDGTSEPVKSLHDAARQSVLRVGE VPSNLSDLLHWLGQVISSTTRSVATVHDQIDYRMGMPVLPICITDLKNIQQAINTMQW NDEAYACTTAEALESYKSITRAQELPSSHDLKRVFGLAHKALMRALHREDRGSHFDEP LGDEPLGDVDARHASEPPIEGQLMEDDGDYSMNSSSQQISISDDVALASAIRSPSLGV GGDREFRRESPATTPGTEMILNAIMDMKVQFQVEMTTANAKLDAKLDAMSNEMKALRA ELNAVSSEHRRLVEENGNLSQHQPASEPHNVDQTDNEQDPGQLDVSYEGMIEWMSD FPSE_12393 MWEDTDLLETPTIWETVRHPPPSAWHIKAGATVKRRQFIEDHFG FYSVWRPEVWSSCRSVAQADLCPLLHKGLDAREIGDVYFQYQVDFLTWYDYVKVMDLD DGTEDFEHGWPWGDNDEIDPSDMSDGISKEYKAWREANGHPVSEPKQKEKASVSPKQA PRVLPPAKGLADSEWAPGNREAVVPELDWADEVQDAADAKAAKPEPVKRDHKLKASAL SFSPAGPSSKDSQKPVVATPLAQTQASPKPAPRPAPQRQVAKPPSRAGSSSTAAGPTA TTSQAPPQGKPTGWKKGAQQKGPQKTAPQPAPQGQVAKPPSSAAGPGARTSHGSTQGK PGNNNKGAKKVPLRLQQGAGYASIAASGVVQGSKIPVPRDPVVSKETKEKVAEATTAL KNFKLSNDAKDKARSEAAQEKAAIEKALKDAFRVRFDAELARIRERNIKVDKEPLPPV WPMDAEFPIRRGFWPSYDVGFAPPRATKLELRQHIWDSLALGKLGGPFPSPFEVVLPP WIDFADLVLGTGSTVLGPVMDQMPREVSITWTRSKDGRPCSLVMGPCPEMNHNMNDKN MLKAVRTVWCHIVEWTAHAWYGRPRRLADFLATQVTLALAMDASPSASILKWARESWV EFVDMQDFPRAKEAKDELIRWVPEIHAILRQPKNHVEPLLNEWVEREGDLDDRLFVVM DTWSVLAPIHAFKWNLEFEKRRLDKKLAEKKLAQKEQI FPSE_12392 MSSGEKDSGRGRSRERRNPDRSEEDEDAVIERLQRQTSEFQREL QRMRNRRESSTASRGRGDRGRGRGRGRGRGRGGSSQGPRSSSTSSRASTSGQSGKGSY SGVSKNPGQGGFDWVSKAEKREYQGANGMTAQRVVNTSYGGGNNCRFGAFTHTGGVMG MTNTPADLLRAGEEVMSNGRVEGLSFHLEPLKPGAHDWMQRKATLTAVTSNLEPQKPL LERPVEHLSSQKGEQCICCERTGHNLRDCLYASGGTIHGCTFCNTIDHRVDECTTFRA MSLKQKAGLLVYERAKRPALTTTRLWWEYLADYVRENGPDTPMPNEFPWSAAFARGKC REGDGTVLAALQAVWDAGRKVEDLPADPAHHSAEAVHCLYFPNNPPFTRAPVAPVVPA APAPAAPAAPEAQGSNVPATRDMGARMSLMSSLLRKKQASKPATQPADTLVHDTMDEE TPSDNEDETMSVDFEAT FPSE_11101 MDVHSDIIDLEAIRDFLSQSRIPQRSQDNILDQFVQGCRVVETA RDDAQRQRREVEAEAAQIKQEHAKLQEKQSALDAALAGLTATLPEVLESVETSVESVT SNLWTEFQAIQTSVVSGTTDLKAGLRTVAFNSSKLEGDLQAVHASVESGTTELKTDLQ SVRTLVESENTDLTTKLESVHTSVASTADRLKAELDQTVRLLRDEVVHRQNFRISVND SHKRTIDLLNVLSTAVANANNSIATLGASHDKLDAIPNVFGEVKSSLLEITTACKNLR GLPSAMSEVQRMLRAVGVSVGKIHGLHKAVDEANKSLATISSGVSSLHRLPSEIDEVS KMLLEFGTDCAKVDQVNRALVLAKTSDDKMDGISNAINRVEVGCTKLDRLPAAADCIE DVVGKVESLSEAVQRIETSYRKLDELPRVFHKALDKHSATIEATSGKLDGISNAVKRA NDRLLSMEASSVATANLVKASDVSQKAVAESVVNIDNRLAATLTEQQFMARMTPMEAS INATERAVNTLPTMANFAEISSQLASEAEYQQLKGRCDWLEGEVNRLNQHDVHRQNEL VEATARLHNSLDDLKDMESRVGRRDVTIANLREIEEDLELQLEEAQENLDRDDQVVAD YTERLRDAATRLAGLVPRADLAQAKNSVKDLQNQVARLKASLDSEKRQNAACLKNLSE QATKLESEQKRAERAETAKNELAIKVIDVERQSQTSAKEVKSLHQDLKDAWQDQKLIL EAQVSDKVALLAMSQRDLVQMRSQFETVQGKLSIAEEEKANLQRSLEDANLDTSNTVT VPKGPLGDLAAMYMKLADNIRDIPTAPESYETFQLDELAATIGPLLAQFQATERLVEL LNSQCDSWHCFEQVVNNGHEYSHTQGKNCHEHGNECILVRVSDLGHPVLDFEMRSF FPSE_11100 MDLQDVEMAMLTSDLPNHASRSAEMPMPGGDDQMKLVDLCARSN SLYELYLQLPKLAHDLERNPVMRQNNFRVVETVRSLREMSWVVCELLHSMSPRVVESI VKGTFAYDSQRHIDCYPKPSKYDGEIPGIYVVGLSRRGEKGRFLNINEMETLIQWLQF YIEGYLAYAKKQYADLSSDEEIALKRLKAVDSEAGGNPSPKPVFIEKDEEIPRIRALI DTFKKMCNRSLDATGTVRMLQSPLYVGCSKNLADRMSIYEKNSLKGMNKPLGLTVCIM RKLNKPLKLHVCNVIRIWEPTQLPIAEQLVASLASSLIYQHGFNATEAGGTGPNTITS ASGIMHNTKMVMSDLKHLATNAKNSIAELEQRKGFVDSLNKIRGSVADIAVTMEQCDR QLRSLPEDFRWNNTLTKLEELVDRLQKEVEQKKEILRFWELMVEIQQLSIQILK FPSE_11099 MSGQMKSKSGRDAARAAQNPVAKRAAEQWIERGRLEDQDIDNVV GYLRKPPGQDWTAEDEAEIQRQWDVSEMKQVKDDAKWGNYAQFANLLRISLRVLGVAL PLVISPLCAMRFLPEASARGWASKYIYARPFCDALSSIIVHPFVGTGVQRLVAVLSYA IVCRTDNREVGLFADQGLNDCPAFMRAIRWLESSREQGPDQSRHLTLGQSLDRSAEKR ESVSAVTKLFHHIGSIASSKEYNIATPSQGFDVEHGLPVVFLTSEDVNNVREAIDTFD WPETLRDKYACTTAEALQSYRLTHKVHDKPGASELGQYFERCHKEVMRGCLLEARRRT EPAPSPQDDEHEEYHRGENNDGDIQSDDGHRDDESNNGAFQQDATPPGAQNATEESRH QVEHLAADLPQSEGNVLQTPSSSSRPATMPDGYPIAAELHSLVQKVLEMETMQQEQEA KLQEQDLMLRDYAAEVEQLRRDKQQLSESLDTLLARTGDARAEPPSISHDDHGNDKSG DPMDLGSQHGMALE FPSE_04875 MSRRQNEVQAKKLGRPAKSSIVENPVAQRAAKILVDRHRPAREA NIDDLVGYLHKPTNDNWSADDEAEVDDIFQQDADLRLVKEKFKPTAYGHILTLWKICL RVRECSPMWLISPVNGLGYHPAAGNDQTSYHLWSKPFCDSLAAIIVHPCMRAGTSRVV LILQYAVMTRINSCAYWAGIQPSFSHCPAISETIRKAHKEVGSGRTTSVHSLHKRARA EVEAKGETPTQLSELLIEVGDRLDGRRLAPNPREDPWYKLGLYALPVTQEDLKSIRSA IDNMQWSDDPKYTNYACTTDEALVSYKAAKKGHEVPAHQDVPQYFERAFKSVIRICLP NIRRARSGDSGSDFGTGSDPGTGSDPGPGSDPGPGSDLGPGDDLGPGSDLGPGDDLDA GDEQPPELINASIGVITDREIPPQRDQSEDGRQPRRSLSLRPRDTEQQPRSGHPRRET KGQSQQGDPAPMVTPQSSMHPSRGQRDDQTSGRMDELVLSLAREFPQVKDKVEKIYQT LQRQHQETQNQSRLIEELNKRLERQDRLLEENKAIALASLQRSSHRPLPDVRRSVELG IDDWLIPLDSNTTIEGESNSRSHWESSNSPLHLGSVGKQIKPRFPDRGSGIQPSRGTP RAPRDEVRDSAPTNNGSNNVDQMDMEVLSHEDQTQPRSLDRGSGIQSSRGTPRAPRGE VRGSVPINNGSNKVDQMDVEESHHEDHSRQDGTEDSMEVDPLEPLAMQLSGLSREIEV ASNKNFLRANGLLTSFLFRNGETTDILKRTQ FPSE_04874 MAPSSDKNPKRGRSSQRRERNEEESIDIDALQRITADMQRLLQR ARNRQANNSRSGSARGGNSSTHGRSVSQSNRGDRDSSTSNHNSTSGQSGQGSSAGVSE NTNNTKKCLASVSEVEKREYIGADRKTTQSIVNTNTTRFTAINYRRPDNTKN FPSE_04873 MSGAIPTEQPILNNFHPLDHSRRRLVIKAHFEFHCHWIEENWAA SRAQVQQSLFTAVHSATDARQIGDVWFQFEGDYLTWCHYVGRLQHYSHSQQYWHVWPW GRMASIHAHDVSGGISKEYDAWLKAKSNSIPNQLQEQQKNTLESVTNHFSC FPSE_04872 MTSTNKECFVERKDLIQVHDHCPEQPYVELEIPHDAKRVIAVSF GGLSRDQGWADNAERVSFTWFDASVKRPEGRGDLRTILVHNNRLAEQEPQDWTERWHI WLGPRKRLWIEALRPGDIIQLIPRAMYMAWTNIIHEGRINIEYEANDPLEVIQSLSIS SNASHYTHALSLGHQEIRLLHVKPGSFDDPINAYFSSASLKDAEAQNVEFHALSYCWG DSSERDEIFLSPNQNDIQDTPFSIGKSAAQAVRRLRLADETLAIWIDAVCINQDDLEE RAQQVTLMTQIYSLASIVHIWLGEDNHGVEACLKLIRDICNYNSEICQGGDACSCTGT KHLTPLAEIKSFGEDRMKDGHKISFKGMFEVFEMHLKTWSQDIIDMAGWYGNTQLSFL MSTLYENPWFSRVWVIQEALSARVPIVHCSAEHVPWEEVVQVSNWLGHPAYAAQNPHN ASQQISMAAIWKTLKPKGRTREVATTPIEADDKDQLSSILEVFLSGLDLKATDPRDKL FALLTFADETHDATQLDDLIRPNYDKSKERVFADFTRWWIREHNSVAILSSIHCQPTR TWVKAVGPSVDTSNGHPTWSVDQKGSSRWTQANLNACLRFKAAGDTTPNLELLKTDDP LILRLSGLRITEIKKISFVPIEYMYPYQGSFDNKQEICKVLHQILDPCGLTPFWTRRN SAGDILQKTVQECNQKYIDHMNSHWAYSTRPALKVLKPNAKAELEYYETKKLPTCIEP CFFVTTSGLFGLCPWGSKEGDAIVLLDGGNVPYLLRPVEKEGDELRYELVGECFVQGI MHGEVVGALGANSDHKQVFTLA FPSE_04871 MSKQFSAIRSAAIDGRVHNPIYRKDQLRNLHSALADNASAIQDA IAKDSKHQPSEVKVEYCLALQLIADAYTAIDPDQQLEQEYAVTKGQDDVTSREPVGIV VIEPSNHAFFYGLISALAPALAAGNCVIVQSENSLRETPKLVLNLIENSLDRDIFTVS AQGVTPEDIGTSHTFVSQNGTSGPILRNHLVSDPKAPVIAFVERDADISAAAQALVSA RFSLQGKSPYAPDVVFVNEWVKKDLLRALVQQSTEFLATSPTKGRALKTGLAREVEKD DSTHVVLSGSNGVILDVQNRSSSLLKQKVEETTLIVHSVTSMDDAIDASRDIGSLAAA YVFTTPAMAKYICQFLDTAVSYVNQIPTKLLYSPIAPLGSPPQAITNTIYREDQFSSS KPKYLTKSSTEQKLAKILGTSTAAELRALELEATKRLPEMKRRLKGTQLGFFEQGIVT SLVFVLTTLVSGTGLLGYYGIRYWRS FPSE_04870 MSTTTTQTRVQNGSFHSAVLRDYELHHSQSREETPERSPVSVDH PEFDMPHRRVPSYRPLNRDPEHINGVRVYTSTPELFFITAMFRGLSFNAGAAQTWRAS VGKFTDKVWKYPIGGEF FPSE_04869 MALNGNLRQTQRFITTHNEEGKAVVDTTINSDAPFYALPNGEAH FALGYATKQFPADLSKDVSSYQSFLSDAPGLSVSTGTVLRYVDMCPGHMSPMHRTVSL DYGVVLEGEVELILDSGDKRVMKRGDVCVQRATMHAWRNCSETEWARMLYVLLPSTKP VVGEKELGEDYGNMVGVKASE FPSE_04868 MATADFSHHFSNKNIPFGIASSAAHENPQAVTRLGNTVVFLNDA VDLFKDVEGVFNQPTLNAFAALPRSVHQDVRKQIQSAYQKSGLDGFSSASKEDFSAVT MHLPMEIKDFADFSCSLDHVINAGRIVVNNPNPPPGFFHFPVGYQGRTSSIVVSGTDI ERPYGQFRNPNTSNGESAVVYGPSQKVDYEVELAAVIGKPLGMKQRLNAKDAEEHIFG FAILNDWSARDIQGFEMSPLGPFNGKSLGTSLSPWIVTLDALDPYRTRSPAQQTLAAS YLQHPNPSTFSITMKVEVLANETATTVGVCPVEALYWTPQQMIAHSVSSGSALRTGDV LATGTVTGSDETSRGCMLEATEGGSKPLTLEDGSKRGFLEDGDVVRITAVVGKEGSGV GFGECTGQLTPARPY FPSE_04867 MTSDTTPLNVLIVGAGIGGLTAALGLRQQGHNVTLFERSQLARE VGAAIHLAPNCHGILRRFGVYPETFGANPVEGISEHTGTGDVKFDMNLSGPLSIWENP WVLSHRVRLHEELKRLATSTEGKGAPAILKTSSPVVDVDPSTATVKFEDGTTASGDLV LGADGVSSITRNIVTGTDIKPFGSGKSAFRFLIPHDVIRNNEATKVFTERTGFMTMWM GDDRRLIMYPCSNNTFMNFVAIHPSNISAGANKGAGWGRGGSTELLKEVYKDFEPKVR ALLELVDADELKLWTLLDMDRIPTWHKERLVLLGDAAHPFLPHQGQGGGIAIEDAASL AALLPLGTTPADIPSRLALYEKTRDERAHTVQEFTRQAGEDLHGEKRAKFNIYKFLDY NFNHDEWHNSTKALKDHLHSQNKNLHWKSPLSFGPMPSPRQDIVGQRHDGTNSSFTTY SVRFKSSATYLKTLFPTPQFSFYKPGTVAEATFACTELKGMKWLGGGGYKYFGLWLHG VQYEKKDGSKIFGSFLVVLMENLADPIVTGREELGMPKLFCEIEVEEKDSATKIQCSW RGAKFVDISINGLAEANGVNGTNGTNGAPKPTGPPGAPPLPEEQGQIVYRYVPSVGNP GVPDAAYPVFIEDGLESTPRKVEKTEVGSGAEMNLTAGTWDTLPTLNHIATGFSEIPV YGIVKSKVERGIGVDDISHARRVE FPSE_04866 MPNQIKEDLHTVDEKSFPYIFEQNATVPLKAGDGLVRLNVYRPK GVDKVPVLVTYGPYGKDISYQDFHPKSFSEVNPQHKSEHSAWETPDPAFWTKNGYAIV RADERGLGQSSGKLDTMSRGTSEAFFDVVEWAAEQPWSSGKVGLLGISYYAGSQWRVA ARKPKGLSAIVPWEGMSDYYRDRCRHGGILSNAFIKFWWNRQVITNQYGRPGRSARNW GPDTIEGDLPDDELEANRQDQTIDNQANRFRDDAYYASKEYDMGDIEVPLLSVGNWGG ILLHLRGNIEGYIHAGSKFKYLRLITGRHDLPFYYEEEVEIQRSFLDAFLKGEDRVGW SEKGKVSPVSLVLRKGNVGFNDAEKEKVYPRREESEWPIARTQYKKLFLTPEQGLSWD EPKTDRKKLSYKALGTLEKPEVLQFSTPAFEAETEITGHVVAHLNVSVSPDPSGPTPS DIDLFVTLRHIDPSGQEVFYTGTAGDPVPITKGWLRVSLRKVDQEHPKHREWLPHRNY TSKDVLPVIQGEVYAVDVEVWPTNVVVDKGGKLVFEVASGDTQGSGIFQHNDTVDRSP EIFQGHNHIHFGPRQQNYITLPVIPN FPSE_04865 MKFFVVASLAFLSGAYAESTITCSGCPSAVTTPDIVTRSALPTV SCTDKPLKIVTDTKGASSGMAAPTGSDSGSGPMPTPTQVPVSGASANKMGGAGMALAA AVAAVYLL FPSE_04864 MYFSTSLTLAAAGLVAAAPAIQKRADAINDGVILNYALTLEHLE NQFYLQGLSNFTEKDFANAGYDSTFYGNIKKVSADESAHVDFLTKGLKAAGVTPVEKC SYSFGVTDVKSFLATASVLEGVGVSAYLGAAADIMSKTYLTAAGSILTVEARHSSYIR AGLKQVPFPQAFDAPLTYNEVYSLASGFITDCPKSNPALPVKAFPALMAEASKEAVVT GSTVTLMTPGYTVEAAKGQKVYAAFIAVTGPTFVEAMPVDGGFTVEIPEGFAGQTYVV LTSCNTAVSDDTVAAGPAIIEISS FPSE_04863 MMKANLAPVHFFSHGSTMMLGEESESADYWKKAGDQALANKIKG VIMMGAHWDAVGDRMEVAMNPKPGKSPVAYVHPSKYVDYELVPDLPTGEKCISLLKNA GFNVSANPNFEWIHDTYLILIRMFPNGCPPTTLISMNARFDPHYHMRVGATLRSLRKE GYLIIGSGGAVHNLYRNIWAPIVYYSDNFAQEKPPESWALEFRQAVEDVIKNNSGPRL RRAMTRLMKHPCYRDAHATDDHFMSAMFVAGAAGDEEDDGVYGELKAEDWELTNMCNS QFTFGTWPRTLGVAA FPSE_04862 MSTTTQPGMFQCGSCKKNYKRLDHLARHVRSHTQTKPYKCHVCP KAFTRPDLLKRHVSGHGSQAGEGSVSDQPRFMPGRVGKACKACSSNHLRCSDEKPCRR CQEKGIECKWNEPMEMDADYASPEDRQDESMFSQDNGSSMAAFTDQTSIGSTSGSSVM GQPSLDILTPQTTQNLFQNLDPSLNPAADPLSFQFPDLNLLSGGWATNTNDVEMFSYN ELDDIDLRFLDSYNTTIPFEIRSIQPTPRGAQTPRAASHTDPGEPAAMCTEAFQNSHW KFRPNAKDHAGAEEHNLSLPATDSAYPSPESGVALDLNTRVTCAKLEGPARDRILMMV VSSCRSDHLSKAVASFPSAELLDTLLQFYLTSSVTHATAFIHAASFNPNEKRPELVAA MAACGAVLTSDPALSKLGYAIQECLRVAVAKHWERDNTLVRDLQLTQAFLIILEMGIW SGLPRKVEIAESFFNPVLTMMRRDGKFKRSAYSDHKVTTRASRQDWLDWIEHESFKRL ALRMLSHDANSSMALMVGPLVSYAEVLLPLPGNAELWTASSPEQWSSLMASRVESEPL YVADVIDDPDILNNYAGSVDAYAAILAVLACTWTMCWEYLQIASLQRSRPRRWNTLVT EMRKDELLKLLGHLKLSLSADAAADPEIKMRLELALLHLQMPFEDIQIFAGMEGTERA RAVYPMVRDWAKSEAARHTIYHAAQIVQIAKDSPRGSMRGPMAIILYHASLAFWVYGL LSDQSRALSQNVYLDDPDSIALQRFKGFGQGQPCIRWHSEIQGQGEIMMSVSLSQPDK VMEAVMGVVRRNFAGLPTPHLTEKLVQLMGELENSAKRKMDT FPSE_04861 MGNFHESSNNIWLEDGHILHAECGNGEGDYVESTLDLDYYIGND DGSFSWGGENFSGSASNITLDIEGDDNIPVLRAELNPMDGDPVEANVNLSERIGNDCG TLIFLA FPSE_04860 MVSLRTAFDLSSEEVDAAAPPGTIQIHREHEDIGHISSPTDDPR DPLNFPTWNKIAALLVASLYAFVANYTAGVIAPAFQLWPMIFPKDPRSLSELSKLMAI NVLFLGAGNIWWVPLSNWMGRRPVLIIATLLLTFSTLWCGLATSYDSLLAARAFQGMG GAAADSVAPALVGDMFPVHQRGRAMAVYTIMLVVGPLAGGISGGYIAFQQGWKMIFWI GLALSAACVVGVVFFVPETLYTRNAPIEGVPHSESEKQAQFGNNEHVEDKQVTVSEQQ ATKPFTYIQSLGFIKPRGSLVKQFIQPWRTLALPGTWVVMLHYAGLVGGIVSISTIGP QIVAGPPYLWKANAGLINIGALIGGIIGYIYTYMLADGQLIKKASKKRHGVAEAEDRL PTLFFPLFVATAGFLVFGFCAQHPGGNMWVGLQFGYGMLTFGLMQVPSVGFNYLIDSY HSLAADCFTMVTILRAIIAFAWTFFVADWIHQKGPAEPFGIFGLLMGIFSLLTVPLWL FGKRMRIATAERVLRWQGF FPSE_04859 MASNMTNGTAARTYESTYPSGVTYIPEELEAAAQHAMAHTDADC LLITLRPVVNGQATQDGVVRIYYERQGPGSPWFIANRDELVRTGYLPRN FPSE_04858 MSFLNPFSRKGAQWTFAGYTSEFPDVDDDAGNLAKHRLCNAKSI PGCKAFHIPKEEPSLSKEVEIGDDALGQPLEDQVLVFQYKGKFHAVDHSCPHSQFPLS RGTPFDIEDFGVTLSVGVTCPKHDWSFDLFTGMSDRGSYKLKTWEVQIRDAKEQDSTQ MDGDTEKTKEKEVWVRRKQRIG FPSE_04857 MAEIIGVAASALQLGAACCSLIDILRKIKGCATVLKQYYDQLQE LRDLSTSIYGNPLLQTPEIGTQTKALLSTINDNCINSLLRKGKLLRAWGFLYREQDLL DIFVTLERQKSTLSLAIHQIQSKALYQIQTDIQRMASNKVTEETTTKSKYGNLLEHLL YGGALENSSYLHKEIGPINVSRSRSPAMSQVALVHQADSRPTTAKLPARPSVGTDFGT KVNDGLRWNGCIADQGFDQNNGYEWDIDGELPIQFTEKNMFRSDFRNMLKIGDGNQHN GHCLEIESDFAGTPDMKGDQWTRCIAKRGMGKQDGKTYGTQTNGLKIKVKKGSQL FPSE_04856 MSDDATLEMILGPDTNVDPTLLAFETDPGQQSCACLANLYLSLE EIRKADDLPFTSRLSVLRHLTTTAGGIIQCQICPTKFLWAMQNAQLLNTLIISLGEGY KKIVKSVEDETIQAAEAKEAKIVSITEDQQPGTPGFQMSLDPEDWQNIANKAIKAELF GTKHSTTTSFVEMLQWMEDRQNAWHSGHTHLPPGIGTRMHHQMHEKEPHCVSVVKHTR DMTWTADAGCLSSVLELSLIGVTSIYLTMEASTSVMLTSESTTQSKQPDNLSPTSRPL ISGMELSPEINQASARAVGGLTGSTALSINRSESYVSSGATFIGNGHQHNGNHTQYND HSLNLAPTFTFNLNVYLDSAMLCSLVQYGPPHLLERKRTTAGLGNMLILAQVVCLALI CSKMP FPSE_04855 MAPSKEVVATGSAAVAAIDPDQTLKASKALLAHIKKASKQKADE SEKRNLLEDQDSEKIPIWLNLTTKRHIVDKARLQPGKISLPHSLNNDETTTICLITAE PQRHYKNLVASEEFPEELRKRITRVVDYGKLKAKYSQYEAQRKLFNEADIFLGDDRII NRLPKLLGKTFYKTTQKRPVPVNLQAKAPKVDGKRQKRVKTEGTVNSGTAAEVAKEVQ KAVDSAFVSLTPSTNTSIRIGYSGWTAQQVADNVDAVVTGMIEKWIPQKWRNMKSIYI KGPETTALPIWLTDELWIDEKDVIAEDEAAKEKANIGKKRKSLENAEEVEAAPKKAKK RKDVPEADDNKLDKQISDRKTRLRKQKASAKKAIDN FPSE_04854 MLYPSLLRFGFAIGALAGHGHAAPARTNDDLTDTPLPVVVWHGL GDQFNSDGMKGIEELAEAINPGTFVYIISLNGDPSQDRSATFTGNVTDQISQVCEELS KHPILSTAPAIDAIGISQGGQFLRGYVERCNWPQVRSLVTFGSQHNGIVKFKACGQND WLCKGAMALLRFNVWSNFVQSRLVPAQYYRDPSTEQDYNNYLENSNFLADINNERELK NVKYKANLGSLTNFVMWMFEDDTLVVPKESSWFEEVNGTESIPLRARKLYQEDWLGLR GVDRNGGLHFRSAPGDHLQGMDELLNQTFTDYFGPWKRSFSEDVWQEL FPSE_04853 MASNRDAPATNSDGVPKIGPHPGFISSSNQYTSELKLRRMLKDN GCDPARQDNYSLKGVQLIDNVREYLQLPVRTFDTASTYFHKFRLNFRDAEYDYQDAAL ASLFVACKVEDTIKKSKDILAAAHAVKNPDKPAAPDDKIFEQGKIIICLERLILETIG FDFRTRYPQKLLVKVVRDIFGKEGKPFYNTAYTMCIDIYKTFVPIKGTTFSMVMAIVE LTALITEQHVDKVREYTKTKPQYSRGAVMETMLDILDLYVQHSKSTKIGAQIDQNRVI DIKIRLNNDLDKAFEPRYLNFCTRCEAEDPQPSTPASSTTPVTAASWPGDASGRRTAR GQEGTMRFVFDPEAAKREQEMTSEFFKDEYEEYEVEVEEPIAPLPLEEGPPVGRGGYR GHRDRGDRRGGPYGGYRGDRHYRGGRGRHR FPSE_04852 MASILRQIVAGPRARHPEAGLDLCYVTDHIIATSGPSETYPQLA YRNPLDQVVKFLDSKHGDDWAIWEFRAEGTGYPDESVYGRVRHYPWPDHHPPPFRLVP MIMASMRNWLHGGELDGGKVASDGRPMSSSKGTLTQEQKDAKREKRVVVVHCKAGKGR SGTVSCSYLIAEEGWKPEDALARFTERRMRPKFGAGVSIPSQLRWISYVERWTKGGKK YTDRPIEIAEIHVWGLRHGVKVDIEGFRDEGKKIDVFHTFKRQERVVVDPAAPEESGI SDMIWEMAGYPAAKANQKAPEDAHYSDATNPGDDPATEDKGKKHALKRKGTEILHKVS SKGTKPKNGSASSSKTDVTDNSEEEPGGMAVILRPTEPVRIPNSDVNISVERRNKTPK SMGLTMVTAVAHVWFNTFFEGEGPERDGKALDSGVFSIEWDAMDGIKGSSRKGSRAFD RIAVVWRVAGTDALPEEVVEPAEGQPVPQVRPADWQGANKEDPDSQKDLGLRVQSPAS ADVSKASSMRSTEEPGETKDGELEGVRSSGPTGEDLHVGDHGEVKDGNKK FPSE_04851 MAPPPNPNLPLQERLMALAQTLQFGWFVGHLTLILATIRYGFSW LRMNYYTGMAKFSYRTAFIAAAVTYGIVVYKTMRARAKSGQRAAPTPLAMLADENIQY LAMSLVWLFCPQYPLALIPYTIYSVFHVATYTRANLIPVVVAPRPAPEADGATPSRRT SVDHPIANKIGAFVKEYYDASMAIVASLEIALWGRIFLSAILFQRRSWILIVLYTAFL RARYTQSTHVQHSFSQLSARVDSFVSAQGTPPAARSIWQSVKEGARQFHDATALVNAG PAKKSS FPSE_04850 MASPSFSGTLTTNTPLPLNCTKDVSDSSGIIAGGVFGGNLIGVQ NSTYNYNRHDPTKHKHEVLQWLCPQDIFSSLTTPAQGQGDQDAHKNAGASFMSSSQFG DWVSGKEKRLWGMAPPGAGKTFLVSRIQAELANHAMLKGDKSTSKVAVLYMHYGGNHS ADMLLACILRQFIQDSPSIPPKIEELRNKNPGAQPDTRDLVPLIQGLANDNNLFLVVD AWDECKHEVGRLFLNEIAPLDDDISVFITSRFTSDTESCLSDFRSVKISATVDDIRDY VSHIIAHNKRLNEFVRTDKKLENDIKHKLINQSGRNDSGAIIFLLVRLHMDSLKEQVL LEDVRIKLNELKHDINDKYRDIIKRIGNQDSSRQKIAVNALAWITHAYRPLMAEELRQ ALAVNPKDRQFKPGRMPLVDDIIAFCCGMVVHDRSSDTFRLVHNTATEFMKGLGGETL AISDEGFKDPHCYISQVIATYLCIPALEQPDDTDQMKSYAVDLDEYPHHHDEQKSYET QHRGYRRPVDKRNPNGISYRRKICTFPLTKYAGMYLGRHLRAIRDLQSAPAKNALDIT YELLSQRPKRLFYDRLLDHSRSYPPLKPEVSYLRRAFGSARSHSYDDEIDSSDEEGHT DPSAPSRKITPLHLAAHIGITELVSRFLTDAALLQVRDYSGLNPLGVALCSGYTDVVL CLLKAGSNLDLESREGCHLLLFAAQSDIRAQEVVHRILEHSLLIPNKGRNFFFEYLRW LWILAITKLRYHGSRILQLCQASRPCWRAPQPDKKESPSTNASPSEAKVEKTVHFSLS AEDLTTMSPTPSTFRIPRTYSEAIFTPKRQEQRDYIKLVAAALHNDCEKIESLVEEGR VLLEPCHGRGHQDSLRLFVNLALFLALENNNNEVVKLLIEGGVSKESRDFNHRTPLHR AVIRGNVNLVEYLIACGAEVNALDVREETPWTLAVRNHDAKMCGILVKAGANVHTRSY NGETLLYEAAAGGKAKIVKLLLDQGVNPSVQTAYGWSSLHWAAGNGMLECVRLLLDAG AEVNPLSDTQKSPLDMAIDGKQTEIGDILRHRGAKTSAELYSQYGAPHYSPFSQWHFG DEESHSDSGDEE FPSE_04849 MEPNGLGEPLYEPGSPQDAKVDIVFVHGLNGDRIKTWTFEETED SPSMFWPKDLLPARCENARILSFGYNAEVVHLFNLGKAKSPIAPTTTINDHSAALFDS LKGLRERTNTADRPIMFVCHSLGGLVCANAISKQYGANTPEKALVDKVRGMLFLGTPF TGSDKANWALIVTQYLRLIVSVNQEKLKHLDERSDKLMDISNEFLKLVHERQRSDAPI EVAFYFEEHPTKRKKVNLGFIVDRSSAVPMGFTALSIAADHSNMCKFEDEIRDGFISV SNKLYQWIQDLDNAGKDGAANKGGVNVYQPSVSGVSNSTGIITAAIISTATDGNKLVG SQTFTINGDSTGDIARKLATL FPSE_04848 MGKPKNAAPQHGLQNPNKRSRNRSLSGSPSEARPPKKTLFEHDI RDWNRMMKDSAPRPEALPFTAVRDYINGCRSPSPLTDQIDGWLEDTYPSDIYHNYLYS DILIQNPLHRPQARYARSEPPSMGRRNVRGKRVRFSTRVSTIGSSSAGATPSGRNGVS TAAPGTTTPKLTGTLVENAQYEDINLAANNIIYRDRREELPEHILQLVEIISGDRELQ DLSVEDVDDDDALADLERGAGEPHVEQYIQSTLVTLPPRNDILKRSDKIPMARWTVPN TGTAHRVSGPIPDILLGYNLKGAFTPAQRRSSSCVNIADMLNEELMERGPKDMEPIGN SVFSVSMNGTEARLCITWMEDETFYVQKIRTFALQEAQQLLEFRKCILNIMDYGRNVR LESIGSALDLLPEETLESVKSRSDLLSEEGLESIKSVLDLDLLSDEELEAQGMNVRRL RSRNILRP FPSE_04847 MAANLEAPGAPAERITSTASTITDYAYPYGHLGHLTQKQEEAFI QFKKVLEERGLLTPAHDDPLILRYLRARRWNVEDAYTQFKETEDWRKANDLNVLYDTI DLSAYDFSRRLYPQWTGRRDRRGIPLYVFEVKTLDSKTVHEYEKVGASSTFSQAKSDG KTPNGLLRLFALYENLTRFNMPFCTQLLDRDHPEVPITLSTNIVDISGVGLKQFWNLK QHMQAASQLATAHYPETLDRIFVIGAPAFFSTVWGWVKRWFDPITVSKIFILSSHEVK TVLEQYIEPRNIPKKYGGELDYNFGELGNPDPNWQGVVEFENGHKTFPSGPLLWEEDG NDRLVCVAKGSKDGKPRHERICTVPRTFGLSPVVEIGANAPVDAAPVNGVTNGTAGAE GAQTDDSTLSGEVNEKLKIQEDKPTVPQTTAA FPSE_04846 MPRKLSKNPLPPSSSTLSSMSSASGASSLLPSALADPSLPLPKL IVFDLDYTLWPFWIDTHVTPPLKPNSSHTSATDRYGEDYGFFSDVPAILYALPRAGIK IGVASRTSAPSLARDLLKMLHIPAPEGNTKEKPKKALDMFDGLLEIYPGCKIKHFESL QKRTGIKYEDMLFFDDEARNRDTESLGVTMCLVKDGVTWDELERGVTQWRNKRGYIKR PDAIELE FPSE_04845 MAKRTWSDSNPAGINADATASPAPARPNGHGRTSSGRQSISGII STEASIPQISRKIKACAACRKHKIKCLMDESGPPCRRCSERNLGCVLSKSLQTIIDEK SQFSESVVQDLEQMHNALRQVMTKLSLPELPPLQSINSRDEGTPPKDDNPSHTSNHLA VSQDEFRGPSCDNSPKATPEDEGLPYVPIHSLYTLTKLSALRSPDNPEAQRGNVINDF IARGALSLADAESLFALYRDRLDRYMYGIGCRYMTLEELRRKSPILSAATLTVAALHD PKADSIYGICSGEFRRLMEKSMFERRIDRDYLRAMCIASYWLSDLSWMLSGYAIRRAA ECNLHNSYNQAIKEKSQEAADCARLWYILYICDQHLATLYGRPSIVQEDSSIQGWEQF LKSPVANEEDKRLTGQVMLVSILRNIRELFGTDKGEPVPRVYLNHILQFRRQLDQWYT RWMKDLPEQWTQIGSFPRKGTILHYNFAQIHLYSHVFRGLAGDAPIPHYFLDCAMQAV TAATAIIDLIITDPDVALGIVGMPSYMLSMTAFACMFLIKVAVKYGSDLIERQRVHDL TTSLVRQFRSLKAGKWHLANLMAGGLERMTATLAASELGQGQSASMVYNTMENADMAG QMMPGNQVYTDMDGDTFFDYDMSFGLSPVFRFDPSMFTVDASGQSMPTYPEPDYGMRP Q FPSE_04844 MTQHILITGGGGFIGQELLGPLLDSSSDIHLTIVDLATPPVPEA YSNRITALGADLTDASVVNKLITSQPFTTVYLFHGIMSSGSEANLDLGLKVNVDSVRC VLDTLRNKLTGVKVVFSSTSAVYGPEKGPVTEQTMPQPLSSYGTEKFMTELLINDFSR RGLIDGRIVRLPTVVVRPGKPSAAASSFASGIVRESLQGIQNTLPVPRSTPIWICSPA TVVKNLIMMKDIPAEKFGNSRIVNLPGRTVSVQDILDAVEKVGGKEALGYVKEEADEA AYKIVKGWAPWFDDSRAMSLGLHADGELVDAVKAFQDRLKAK FPSE_04843 MSDLEAMESESPNIPAPRDIQLPSNVPALDQILSANDFALAAQK ALTPKAWAFYSSAATDLVTVTNNRELIRRVMLRPRILRNVSQVKINRKILGLQSKAPF FMCPAAMATLAHPDGELGWSRAAASEGIFEIISSNASYSLPSIIGAASPGHPFFLQLY VNSNRPKTVQLLNRARSLGIKAIFVTVDAPVPGKREADERAPQAVVIKSEMSGSESSK DGKGSGLGRLMGQYIDKSLSWEDLEWIRRESSVPIVLKGVQTVEDVKLAVEYGVDGVM LSNHGGRSLDGAQASILVLLEVRKRYPEAFDHLEIFIDGGFERGSDILKAIALGATAV GIARPFLYSLVYGQKGVEHLSQILKDELETSMRLAGITSLDQATPALVNTLDIDHLVT SGRIEPVSVSRKVRPSKL FPSE_04842 MTNEVQGPRLGQPIKERKAKGEYSMNPQAIKSRARRRSLPVDQR N FPSE_04841 MAFKAGWRLAKKKLYNRDDYKNADSATRKSLEEAAYNEHSHRYS SHHRKTIDFITRKVTAEFTIASEHLQNGFGEVLQTLWTECHRRMRNHSNWSKSQMTKF RDV FPSE_04840 MSSQNASNTIDLSQQQPLTSKDIIDDSHCLGSRDQGATIHNHHE LTQLEKAALHDLLKGWCNTRPNQSVTPILQQMFYARIFESWNSDNGSVSIPARPGKKQ LAQVAVAVGTFKMDLPVTPMKRKSGENLQNKPERRAGAKAWLSV FPSE_04839 MHEMGVMTYRKDTAAYQAILGSWAGSIMLRMLIDHEIEIGRGRQ IRSVSMVVGENLKYQIGNILPFHQAFSLFIVIGNCKTEIIVYEGG FPSE_04838 MVILFKNELKAMYFEQTAMELRRTEEYRYKWSGCCDPPFAKWKP GAPVLTDRGSIIDFFNKEVMLYIDVDVMRRPTEEFYFPLLGRYLYRTWFRQHDGRPPF QRGGFIVKPMLLREPLRTDLVQLSTYHTELSKFLSQSLDDLVAFDCYHQPEDTWPSLC PPTQSFRDHGYFMHPLFKALYVVYDDQFDDHDHLDEPMERGEYETIDDYRQRFIGWKK SNESVLLVRTGNDSHLSSPNSFLPLFQSGLAFDVNRPDYQDEPEPTVVRVKLETALQF IADLLKKEEATPEIQRCIQEQDEGCQDFVDRMIDDCREFGIDSHRSYWVAFRRVRARL ENEAFEDDQAIPSWECLCRWTW FPSE_12295 MDPPPSQPDQPDGMELDVTKPIDKKPIDTKPVDTKLVDTKPKDP QATPYIKAERSPSPPGECSPKRPDLLIEDLEFLTQKTIPKRVPAAGRSITGLRASNTT AQFERAHVPTRPFDDDNTGTPYPDEDSADERQERLDGEDLDFKLDEHSEHDSDDDVVF VKTEKSSNSKSNGSLEPTTRQPVVRTAREYRQRELQREKEAENKKRKLNEDQDGPRKV RKASTKSSAGEGSRNLAGLMANSLHGMDGIDESFANGSVPSMGSIQASSKWDQVKQMI NSVCKDLDNRQTKTQKAELKEAMVSFGYRKVEEANGKWRLSGLSLRMESHQLTAAQWM IKREAKELSPAGGILADDTGMGKTISTLACMVSHPAEPQDIREFSRATLVVLPNRGMV TQWEGQIEKFCYCTEAADTLRFSRAQNKKPPWWGGQWVVLTTYAELVSQSVKPAVINR LRSEHRNDEKGFKKALDKKLGLLFNVRWYRVILDEGQAIKNRESSTALACCLLTAKYR WVLTATPISNGLQEFYPYLKFIGCSFTQTPAEFVEQYMTGENAEQNIRFVVCMVMLRR THKDTFLGKKITNLPPSKCHDLWVTPPSWELTLSQAMDNQHRVKGLEADVKKDTANND DISGELELTDSKDAKSMTAQVTRMRQAASHPFNLEKFLQESKHQGKIEWILEQYKGNA QKPSIDAEQQVEDAIQWEPFLPGQHQLETEYPDVSGDITDMTKLLSLAANEHNIHGLD CPLCDEEIEPKKFYKSKNCEHVYCSGCVKFGLKKAREGRPEDSPDQCLVNGCSVELVR ASPPKTPQCILKAVRALKDYKEPGTDSIGSQWLGEGKGTDCFFAATCGREDIDFDPVR MPWGAKLTATVQVILTWLQKSPNDKIIVFIEFTITAKVLGCILERLGLEFLYYNQIAS TQAKKDKAYRKFQKDPKVRILVASMKCGGTGLNLQVANLVIIDDIWFNTTVEQQAFGR VHRIGQKKETRLVRILARGTIDERLIMLQDAKAEIVRRVLQDDGHEPTFSDDLQLRML LTTKDKDTMVNDMEKEAVKRKGRPKKTAAKASVKKGAKTGVEARKTKVKAKVTKKA FPSE_12294 MPTINAHRTFEPPSMPSLPDFERRRSTASSLSHNTDSLGGLQSP PLRSRSSRPKSAKFSSYRLRSNSGLSLHTNEDILRQYTDYHPDGTPRAGLYSNGGGQW SAERLRSIDSVASSARSQRSSIAYTESDSSGELPIPDLVGREMFDMVMADHAASGQLW KFAADRGVGQNVDYLMKIRDYIQSLEQVVIQLSTISTSYTSITATSPLGLPPPMSKAL NTNIKHLTTSLIPSLENMFLESKAFIEQRIVREIFPDFVKQQLSQCTSLALSLDAEGD SPLNPYPGLKGSFCLSDPSRSGNPIVFASDEFEELTGYSRTEVLAHNCRFLQGPQTDR DRIANMRSAIWRNDECTELLLNFRKDGTPFWNLLFLCPLLDKTGKTKFFMGAQIDVSS SIHDTDDVLKVLSYGAMEEERATERCSSRWASEASHDEPEHDEAFGIKNNTLKSKKAT SFFKSFKKANPPPPSPPLSPRRSSDRPRSSGPTMDKTYSTRSVIRRFSSQPEMLMSTY ARYMILEHVPSYPKSLGAMPLDQEGKYPPKLCVSFYSKEMSEALDLGPATEAVIGKDI FDIMTEQATLPSVTKAFKLTVRDLVVRDGKSVSLDLALANHIPRRANTSRSPTTDSDG PHKKPAKLMSHWTPLKDSEGHVKYVVMIVSPI FPSE_12293 MSPSLVLKTVRSLKDSTFQACATISAYSKSSLSTPKSSESESKA SKFEIKHLLIELRLLGGSLHSLESFVTELTADNDTSGDSTLGITPSASGSASGNDNDR EWPMIDKCEILIAALKSFHAYATSDGIKAARASLSDVRFKLVFLLGSSDTLEDIAHQF SILTTDPVPQLMVQKESDCEALEAPDHVYDDDEPSAADVSGWLTILNSPENDREPAEY NRETILIQERRITEPKYRVAAARWPEHAETYWRQLRPQVCTLFRIPKSFSFVQWVLEY ARVTYPRTLGALAVSPRLLLELTDALCDGSVSSLHIAAALGLPSLCKDLLSMGAQVNQ SSLIGTPLFCALVGSKVLATRTEPESWTTLLVGSDSNTDQAATILLLIDAGADCKYRY TWKNVLDEVSLAGLAFWTAMISKHEDVFTRIAKGGGDLDRSFHRLLQRETLIKRGLLH RARFAHLLTYAYDLTLRDIERDSTDYVEIQYLVSKVMKRANVKFSPATDGKIDTLSDG SFAEVIRTAVLDFNVRLVERLTKDPRFDPNFPYDNDGTSGTILHMATEGAQLEIMDIL IRAGADVRARDSSGRTPLMVVEEIGPLGKLILEHSAPTQDTDNGGRNIWHLFAATNDV KLLMYLWLNDSIKIRNLGAVCEDGYTPLRAAFAYVETLKELPKSSNGVEPLAARFLMH HCQEYLKPEGNEQLARWAVEWGDALLVKMVFRVLPSANTAHESLLRSLNISACPKIVS LVLDKAGPSRPFSDGLTPAETVITNTKLLQQRPGFTARPSLHPSCYPKMTRGAYMDLL TPEVLQSRDKHGRGLWARFCDDVLSMLDGPSVDLPTSMHFLYDFARMAISCLLHKGAL LDHEKETGEWAISRICKKVQVGPVTWTAAKLPFVAAVLEASWEVEDPEGRAKVGSREF FETRDAAVLLSLAVRSRKPDIVGLLVQSGINVHKPWESFGGRSIFEDFLADEPVDVSM IMPLLGNTKAQDLVANQHYTFQEVLSIPQEDIALEILEVLIDCGMDVNSLEVNNQPSF RSPIHDSPGPSMLVKALCRSRIAVACMLVRHGADASLAPPDSISGFMLVAKIGFVVVL EAIIEKATADFDWLCRFNALEHNDVFNALQFAAIGGHRDVLMALLEATPLVGEIDTVS PRYGRSAAHLAAKAGSLDCIKVLTRYGANLTLKDYSGRTPLFYALVGPSREVAEYIGE HMPDSRNIVLPPSMPDSAASPNMPSDASSDVDMAEASSVTDENSDPQRLGDLLAHAID RYQLKRDALFRPLLNHASKRDLESAVMPCKACTLLSYTASKNLIRPMLELLDLGFKGF VTSCEEHWGDGYNALVDTARNIQSLMAYDMFISPEKAYSFFEKCLDAYLQEERIWFHL GTNPIHALFESKNAVAQPNLEHEYNVLQIFINHLTEHAEQYWTLMNKFGLLSQFHFTE DESIAKRVLRFAINLGTLSDGTTPLHSISEFRCGGELATSDEEIVCKMAKLLIDSGAD VNAQESSLATPLHCATTYDHLPMIDLLLEAGADQHVLDCEGLSPLAYSLTTANDSLDI TRHLVEHGGNLATLAVHSFPISEKNASNLHDLLELGLDPFSINLPSLETTFTCMLSEV QSYALNGDFDFYRLAEEEPSFLRTVYLAYFGVATKYKDKIKLKAIIKRIPWECRARVV NFEPGHGLNVVFWAILLDLDDKVRLFLDAGFDIDREWHGRGSALMFASSVGAFKCFKL LIQRGAKISYLGTGRREPVMRSAVEEAKRRPKLLHWLLVGRYYETDYLTEKEHNGPDT TIKPWSGPRKALYTLSGVDGECSRLHSESTIFYAQRVSTMRKSLEGRVVPVTFV FPSE_12292 MSSSIITVRPATLADSDAIATIHSEALSYYNDFYAAFFQRHPRD LIPIATRIALQNPEVHFSVAEEAGETVGFVRYKDLTKKPEPNSNASDKPQPQVWTIKD HMKDLWQWFDTRSEEMDASKEKALNGRDHFEVMHIMVHPDHQRKGIGGLLLKTVTEKA DAVNAPTVITSSIEGHGLYKKHGFKSLGTWAIDNEAWAHKIAEHKKSVGYKDGVIKLE DKCKGMRESEDSMIREPSNR FPSE_12291 MDAILEILDPYVFDYGYAYLFPQQTTQPSYGNSTAFATSSASKN FDDEYSLNFGSSLARDDIYRQSASILMIAGFGAAFIYVISAALSYYFVFDRRLEHHPR FLKNQIKQEIQSSFFAIPIIDLLTLPFFLGEVRGHSLLYTNIDEYGWSWLAISTILYM VFNDLGIYWIHRLEHHPSIYKYVHKPHHKWIVPTPWAAIAFHPVDGYVQSLPYHVFVY ICPMQKHLYMFLFVCVQIWTILIHDGDMITGHWLERFINSPAHHTLHHMYFTCNYGQY FTWADNYWDSHRAPMPELDPIHEAIRVMQEKGLADKDGNPIEKSKSE FPSE_12290 MGETESKSANGAVHATTTSDSSTVAAGQDDQPPIAEPPDLAIEK PILPHTLSAHRVAQDLKSDVDDGLSSEEAAARLARDGPNSIKGAKGISLYEIFIQQVA NALTVVLIAVTALSFAISDYIEGAVVAAVIVLNIVVGLIQDYRAEQTIQSLYALSTPK CKVIRDGQSETVKAETLVKGDIISLATGDVVPADLRLVQGINVSTDEALLTGESIAIS KKPDVIFTDPDMPMGDRINLAYSGSSVTRGRATGIVIATGMETEVGQIAELLRKNKND DTQRTGVNRLAWKFYQFSRRILGLEGTPLQVTLSKFALLLFAFAILLAIIVFSVSLWK ITDEVLLYGICVGVAVIPESLLAVLTVTMAVATKAMVRGNVIVRQMPSLEAVGGVTNI CSDKTGTLTQGRMITRKVWLRGDLQGTVEGTANPYDPYSGTVKWSADLAGSYLNTFLK VLTLCNNSTVSDGKKEPETDSSSIITSEDTEWKAVGEPTEIALKVFAMRFGRNTTGGD ILVAEHPFDSSCKLMSVVYGNEIEKTRHVYTKGAVEVLLTKLTETEEFKKEILLKAEE LAGQGLRVLCIATKPMNGDVQDCHDRAKVEDDLQFVGLAGLYDPPRPETAGAVAQCRA AGVTVHMVTGDHIKTATAIAYEVGILNKDIRLKSNTVMAAADFGALSDDQIDALDELP VVLARCSPLTKVRMIEALHRRKAFCIMTGDGVNDSPALKQADVGIAMGDRGSDVAKEA ADMVLTDDNFASIVTGIQEGRRLADNIQKFLLHLLTSNLAQVVLLLIGLAFKDVNGTA VFPLSPLEILWANLVTSSPLALGLGLEEASPDILRRPPRSLRSGVFTFDLVRDQLVYG FCSGSLCLAAFMIVNYASSGQGYYNMVPHCNESGTEGCDLVYRARATTFSTLAILLLV TAWEVKHFHRSLFNMDERASGPFSVFKTIYHNKFLFWSVVAGFVMIFPIVYIPYLNTE VFKHKGLTWEWGVVAGCVIVYVALIETWKAMKRRFNWGIDTHPITQGQVDV FPSE_12289 MSIPGLGQIPSQPTITATNRVVTLRPLCEWRFQTSQASPVIVKL LSGTAEKDGVELGPKNAYTFAGVKSKILTWHGCELEIDGRCDAESVAEYANPTDNPAN THMNLHGQLNDMRQAAAREGREGPRVLIVGPADVGKTTLARTLTSYATRQGYQPLVVN ANPREGLLSLPGTLSASVLATILDPEAVDGWGSTPTSGPSSVPVKLPLVFYYGQTSPD QDPDFYRELTSKLAGSVSARLSEDQDVKSSGVIIDGMGLTEQSKDGHELVAHIVDEFS VNVVIVVGSPAISSELSRRFGTERTSLGEPISVVPIDKSDGVVIRDEAFLQHVREASI KEYFYGDSKRTLSPLIQQVDFDNVIVYHTSDEHSYPDQGITREDPCTPMQHWTLAVMN AAPKDAPDVVRAASVMGFLYVSDVDEERRKIKLLAPVSGRLGDQPLVWGKWPEPYINL LG FPSE_12288 MATPTDDTPAIQDKENIKNEDAEYLGPPPALPASLQGLAKPGSR RKPKTLVHRGPTALPKNRGTGFEEFFADPPMTPDEAKEEKDEIYAPDVPFAERMQACI QRFRSRRRLQGDRILYFDEYLFLGGVDCQPNNFGGLSQKELKELTPAERRDATAKDVI WASSAAGDKFYNGDEENWTVDFAGVAAGFFSVTLVHLTSSEQKRMLEGISTVENFLRY VLQHSVCPEYEDDVKAAMEVCKVAAEEWPIFQELCAALPGQFNLAAAELYCSEETTKQ SWSFLDFKRPKDFDPISVFFTAFALMDEPELFERLATKQPTVTREFKCTLELVQTFRP TDDIIKRVKSLVIADKAAYHVPVGKATFKQGVIEDDWEKPPTSWPIDEETMTLFFDDH LLARMWPGMKIEANICELDAGLRFITSIENIVPSFYVFLPQEMMRHYKEPKENDRPAP SVNDPQQDGNENGDGEK FPSE_12421 MVIGSRQMPDRKELRDYNYAVAGHAGTLCTPDGELFVKPCTQPE IDFYESANRRHPDFADLMPLFMGSLLLTDPTEKAIDDAVAGVISHAGDLKTSKEEIVA SVTEQVAHATASRKQKEGSAWVPAKDNKIKTDQAVVLDNATFGFKCPNILDVKLGVRL WADDAPQQKKQRFDKISAETTHGTLGFRIAGMRVYRGSEDASQLDEAEYKIYDKDYGR TKVTQENVVSEFRKFIFNKSAGIDEDLGKAVCAAFVRDLERVEEVLSRHESRMYSSSL LFCFEGDGQALRSAIEENNALIDAEAGIGQAARTTKRVDSGIALDDEDEFDEDSDLEA SLPQIYSLKLIDFAHAEWTPGQGPDENTLTGVRSLLRIFKEMA FPSE_12422 MESPNPPSTTESSGETSPVITAVSARYRAAWPQLRRGPLERSRA SLPAAVEARQGEIELLATKILRDFHLINDGEYDGVELVQMESSSYTSIPTIAICASWS EDKQGLWVSAVQAIAMELYKMYKGSDFNYESIYIDMQSPELTQTVYYGPVDRDDLCQT WDSIRKIVYQRLESFEATADCMRFICLFNYGILEEINDNPPTIFISVDDKSSETGWLR VINDIKSNISRHGGQSWMDVNVHIEHIVEWNTFLD FPSE_12423 MDPPKQEDPVITVISARYRAAWPELRPLPLVWASDPPWSTPLLQ QRRYEIEETVIEILERLGLEFDDGNAAEVWFANQHMASQPDTCVPTIVIYSSWSENKQ TLWETVVREVALVLYHMFKGSEVAYDSIHIDMQSPELGGTIYYEPVNDCDDLCDSWDD VRALVHQRLEAFKATERSMVAICLLHYRESITIYIAVDYSSDETGWLEVIADIKANMD RYKRGWTDVQVHIQHNAGASYSFDMLPPAYDSGATRKVCSEGKLFYGDYQQAVKPGDD FGADTSSSDGSKMPVGFGTIGCFIEIKTKSNPVWKRYALTSYHAVRPALPGFRLEIVG EGSKPAPPEPNSECWNADSKGYAPGHSATPPRFESPSRVKHNFTTWHIADRTETSNRI SDELEAELQRTGKTRTQKTIDSIRKSVQEGREERQKKIDFFNDGKQALGTLFAASGYM RRVSVDGDRHKARLDWALIDVAEHRQGSNRLPSWSAWEQKYESVRITPYLLDESLLEE TQSDGPDNSYVWKLSAANGPTVGTYCRNRIACAVAEDKHLRDGASETAEYIYQPDSGC MHGTYCAKGDSGSVVFDKNGKVIGLYSAGIKIRQSFGNEGFGIVTPIEHIFQDIKDFL GDVTAIRVAMD FPSE_04888 MCSADFFLAFLAILFPPLPVWVKCGLCSADSFINILLCCLGYIP GLIHAWYLIAKYPEPPYEYESLPQDREGNRVTYVYVQCPPGPHQHGQNNKQPKPQPKP HSGNAGNMNYGTQNAASSSHPAPQQQQGVTNNGEGSSSNDQSVPPSYADVVAGDHKIQ SKD FPSE_04889 MDDLLNLELLSLVSKVTSEVQNHLGVGEKTVAEFLIAKRTECNS FDEFRDNLETSTPGLPPSLVESIDRLVLALHPKFKGKAKDEQKEHPSRTLQEKEKVFS GLALPDKEPARDDGADAIDDTLALLEGLEPKAKKEKPTRKRSRSPENDQKESRRRRRD RSRSRERRKRDKYRSRSRSQERGDEDWRDGYRDSRKDRRGRKRHDDDDRFRNAPAPEV DDSPQLHKVYQGHVTGLKDFGCFVNLHNVKGRVDGLVHVSRMSTGQRVNHPSDLVTQG QEVWVKVTSLDKDQNGRDRVGLSMKDVDQQNGQDLEPQVRMSTGANMEALGGGARDGF AEPSGMPRNDMGPPRRQKKRMTSPERWEIRQLIASGVAKASDYPDLEEDYNATLRGDG ELELEEDVDIEVREEEPPFLAGQTKQSLELSPIRVVKAPDGSMNRAAMSGTNLAKERK ELKQQEADAAAKDEPKENLSQQWQDPMADPDKRKFASDLRNARKNQPAEDVPEWKKAV IPKGQSLGKRTNMSIKDQRESLPVFAFRTQLIKAVHENQILIVVGETGSGKTTQLTQY LAEAGFANDGMIGCTQPRRVAAMSVAKRVAEEVGCKLGEEVGYTIRFEDCTSPSTKIK YMTDGMLQREILVDPDMNRYSCIMLDEAHERTIATDVLFALLKKTLKRRPDMKVIVTS ATLDADKFSAYFNECPIFTIPGRTFPVEVLYSREPESDYLDTALVTVMQIHLTEPKGD ILLFLTGQEEIDTACEVLFERMKALGPNVPDLIILPVYASLPTEMQSRIFDPAPPGSR KVVIATNIAETSITIDEIYYVVDPGFVKQNAYDPKLGMDSLVVTPISQAQANQRAGRA GRTGPGKCFRLYTEAAYQSEMLPTTIPEIQRQNLSTTILMLKAMGINDLLHFDFMDPP PINTMLTALEELYALSALDDEGLLTRLGRKMADFPMEPSLAKVLIAAVDLDCAEEVLS IVSMLNIPTVFYRPKEKQSQADQKKAKFHDPHGDHLTFLNVYNSWKTSGYSAPWCFEN FIQARSMRRAKDVRDQIVKIMDRYKHPIRSCGRATEKVRRALCAGFFRNAARKDPQEG YKTLIEGTPVYLHPSSALFGKQAEWVIYHELVLTSKEYMHCTTSIEPKWLVEAAPTFF KVAPTDRLSKRKKAERIQPLYNKFATEDDWRLSAQRKGGRGGGGGGTWG FPSE_04890 MLSDLALTQAQAPPMVPGLGFSNTWAGSQGIQLPGTRRRWKQVM LSWNSETNVGIEVDVGARLGRLMENGNGSGYGEN FPSE_04891 MLSTTSMVFRAGARRVVRRSIPVLPAMGPSPTRYLAGRQNNFVI SVSQQLQQRRGYVAETNPNPPLGKKNASNEGPSRIGLIGARGYTGSALVELLNEHPNM ELTHVSSRELAGQELQGYTKRKVIYETLSPEDIAQLDSQGKVDCWIMALPNGVCKPYV DALDGINSQSVVVDLSADYRWDEKWTYGLPELVKRSKIAQSNRISNPGCYATGAQIGL AGALDLIEGTPTVFGVSGYSGAGSKPNPRNNVEVLKDAIIPYSLTGHIHEREISTQLN TPVAFIPHVAGWFRGITLTISIPLSKEMTSRDIRQLYQDRYAGEKLIKVVGEPPLVSA ISGRHGVEIGGFAVDSTGKRVVVVAAIDNLAKGAATQCLQNMNLALGYGEYEGIPVM FPSE_04892 MPEMLDPGTPTITPPVSPGGVERRRSRNPLKNMMQHLTVDPPPE DDVREPRRASLIRRISWGRKSRSPSAHSDRSGGQPQDPNLCTACAGWAADIDSTFDTM DDFFTRALNPTSADTFENKEHSLGRLKDLEENRFMTKCPLCKLFLSVHIPSEGEGDLY LSGFSSRDTNYLIDNNTMFDQGHASKNKTKGVSQAFLGVVPKKSGDGALSWDVSADWF RGSGMLYRTLPDAAPTNDLLSARSEGRHSRANSMSDNNGWQKRGIWGRELEDTIDMSI GQDWLRFCEFYHQGRCGRRPVTREMPGFKLVDCAKNPPQVVTASIQERYAALSYVWGN TKTETWPKVVWDAVIASKDLGIRYLWVDRLCMEQLQPAERTQQITRMDEIFEGSILAI IAACGDDADYGLPGVGSRTRPPQPKYEFVNSNITLVSSLPDPRIAIKESKWYQRGWTY QEGLLARRRLIFTDQQMYWECEGMCCPESLILPLEFYHDMEQQRMFDFMRPGLFNGVS FVDGSWERWKRLPGKVEDPSTLSIFREADQHICNYTRRDLTYDNDSLNAFLGILRRLE TTIGNGKMFDILGVPLWAPQLDQGPAVIGLPRTRDIFALSTCFWHHKDGMIATRRPHM PSWTWAGWKGAVDLYSSITVVDPDSKVIQRKYNHHHYVTATHVSRNDPNSIRWTYSPN IVLVNRDGSVAYDFGASTAVTGMPPSPPNLHIRPYGIHVPNPFVLDRVKARSHPDGWI FNRVSVDVRMSRGAVSMREYIERHARGEQMTVLWFVEEALVMLIVVERMEREGRVVWE RVGRMRMGFPEEAKDVVKRCGRLEKLVEELPLRRLGEDIVIE FPSE_04893 MGNCLCRASDPEENIDPNHFVDSAVDHKGEDEAPVFIVAPVEEM VEPLTVTVQDDEITPVGRVEDMSAMPIKAHV FPSE_04894 MSDQQQQQQRHQLWRQKQQKQQQQHATQKARPFEAPGLTDEHHR PQWLDCGGLMFLAMVLKIGPVPGAAWKL FPSE_04895 MGGNDPVQGFGLTCPSGSTFYVCENDHNRFIGCCGVDPCGARKG LCPDQHLHQASFDKAWEEYIPPQACTNDNVDVAWHVCSWSTSSFIGCCAVDPCSSGCP SRQLRAAKLSDNAKDAQFFLGDGYVYPSEPSYAPRTSLNPITSTTRSTTAAITAISSF STSYTLDRASEADTSTTEPAGPSRSSGHSKGHHCLSAGDIAGIVIGILVFFSIPALCW YWKGRSLKNRAKVNPNDTKTRESTPSVDSSTLFDSRDRSSPIQQLGQSNTPEAPDQNR RRQMQQRPQYDASPHGHMNQSQAETWAQQRPEVSLQSQPHQVPNIPGRSCSPATALRD LLRPPESSNFNMARKPVPGHTFELHGSSTPDPAPMTASLRPSSTESTGTPRSSYGKIL GPVRPTNGYASTRSSNNPDIGTVTNEANKTPTPGTMSPTGQTSVDSPQTTQPDPVPKD GPSRHRLAQGRL FPSE_04896 MPMPPWLQDEAPGFSTSPWTTPLQALEPRRVKHFTMAQLTPDQT YSIHVATLVVASASILATIVTSFWFFRMRRSFRHDLIMLLIYSDMFKSFWLLLFPAVE LVAGKIETDETFCQVSGFFLALSIEASDVSVALISVHTALFIFRGEQGLYPYRKAAYA LAAILPVVMASLAFIEGPGYINTGQFCYLPFNPMWKRLALSWIPRYLAFAIILFLCIG IYIYVRVLMSRFGAGNESSRNTLSKMSGFDSLEPMQYPAAAVPPTPTIKYHGLIPSSN TSRRNSFTIAEDPTRPSLSTLNSFNLDMPGGTHSKLHSARMARRGSAQMWMANHGTDL ASQSEQAEVDSQNSTGTTRWDSDDLIAPAAIYTKPELQNQTPAPPVTRPSFVTRQSSY LSTTAPTPSIPNIFAILHRKTVRPESEENDLVLTQSDINAPGTVKTREKILRQLRLLF IYPIVYVVIWILPFIVQLTGYGKGAPYGMRLASIVFLCFHGLADSLVFCIKEKPWRHS QAYNQIFKRSNLQFWKRRQEAPDVGARVGRTREEMTLDSKFAKQRREQEQAEWELQRQ AGQDRRVTRAPDWWDRDD FPSE_04897 MPPLRLAILEADTPQPQTRDRYGGYTGVFTALLEAAAKPKKLSD FVSIKGYDVVNELHSYPAIEDIDAILITGSRHTAFDNDPWILKLVEYTKKAIASNRVR VVGVCFGHQIVGRAEGAKCGRSNNGWEVAVTEVDLTAKGKEVFGLDKMRIHQMHRDIV DAFPKNSIPLGSNEICEVQGFYSPGKYITVQGHPEFNEEIISEILFNRHTVGIFTDEV YNDAMKRAPLPHDGVAIAKAFLKFWREG FPSE_04898 MSTDGAVRPPHGGRVDAPDEIKHQAELKPSHAFLFPLGYKDAAY QWWTSLAPQAVERNLLSLMPHLREANDSITNIDTPERPDPFGTRVWRRSMVELSGKNR ALNEVSVERVGEKTEDALVMIHGYGAGLGFFYKNFEPISRMRGLKLYALDMLGCGNSS RPAFKIHAKKKEDQVMEAEGWFVDALEEWRKARKLEQFTLLGHSLGGYLAVSYAIKYP GRLKKLILASPVGIPADPYAVNAEMPEPNTSTIEAEMMQDQQSTTGKSGTLSKHKPAS NVLRRPLPGWFVWLWDQNISPFSIVRMSGPLGPRFVSGWSFRRFNHLPPAESQALHDY SFSIFKQKGSGEYALAYILAPGAYARRPVINRIQEVGRQTIQQPDGTKLKEMGIPVVF MYGENDWMDVAGGIASEEKLNAAKQKVLESATEDERKRENGSAKVLLVPKAGHHLYLD NPEVFNDMIRKELEETRQSERRLK FPSE_04899 MSDDVDSIVKGASTPTQGAKDVAGSSPILSTTAKQGDPLHHTPS SPSMIYLNLLILEASLRAQFLELRARKRHHTFFLTLLSLWITGFGWALFLAPREDGRG VGGSIYWAVEGAEKVCFMGGIITAILVWATGIWERGIRWPRRWFVISNRGLRGFNCKL IIIRRTWWAETLSTIGFFLTYGLFSHTASSSYRYVEPTLLREVEKELQITSDTHPTLV LPHEDEEKGGHEEDLAPGGDYVKLLLLAKPFSATFRENWELYRTEYWEKENERRALLR QKVKERDHQLVRAQYPWTWWFPGRQPRRHEPEKTAPHPPRHITVERERRRRGSSVRRA STSSTRSPTPAFEEEQGAHRRSSSGSASKGRKRLSTSSKPKRPSNDSRSVTPDFSSPL ARESSANDTPESGPEGGKVLRSSSSRSTVGSKERE FPSE_04900 MFDWSKFISPKPSLDVPALETVDLNDKEMEKRIVRKQDLRIMPW VCITYLLNYLDRVNLGNARTLNNDTPEHNIVTQLNLTGQKYNIAVALFFVPYVLMEFP SNILLKYFSPSKWISRIMVSWGIVTICTAAVSTYGGLLAVRIFLGLAEAGFFPGIMMY LCFWYKPEERATRMAIFASSVAVAGAFGGLLATGISYLNGKAGLAGWQWLFVLEGIPA VIVGILVWFYLPDYPQTVSWLSPEERAFAVKRLGPYAPSMNDKHWDSKVAKETVSDYF FWLFAIAYFFMANSLNAFGYFAPTIVASLGFEGKYGQLMTVPPNVFAVFIIVGNCLHS DKTKERSKHVLGGLTFVATGYLLLAFVKHWGVRYFAVCLIACTNAAVLPFVAHRTATV RGSTATALATGGMIAISNTGGITAPFLFPSSTSPMYAMGNWTVFSFLVAAGCITGYVW YVFGAHSGYRTGDATATGHLEVLDAGDKDPNAVMAQAVENERAKDKLKDIDMA FPSE_04901 MASPSTASSPRSESRLPTSIPSSPPDSNDDLEGADDALVKEEQE ARAENDKNEEKRRQALMKKKKKKKAETKSEREAKARELDDLLAKSAAFSDILTKKTQV LGRVGSSLDGTTLGEHNLKMAQQPKCMINGTMRDYQLEGLTWMYEICSQGMSGILADE MGLGKTVQTIALIALLREQENYLGPHLIVAPLSTLSNWMDEFHKWTPSIPVIMYHGDK HGREQIFKTDMMKHLKNGRPTTKFPVVCTSYEMVLRDQHNLSRINWEFIIIDEGHRMK NAEAKLFQQLRQFSSATRLLITGTPLQNNLKELWSLLHFLLPNIFTDWEAFESWFDFS DLQDEEGTEEFIADQKKQALVKKIHLILQPMLLRRVKADVAAYLPKKREYVLFAPMTK DQTDLYNVLTDKKVDTREYLENKVLEKLNAGVSATPSAKSSRSSSRAPPKTMSLPVRE SSRKKEVKSEEPEKSKEPAPNAFSVMMKKRGRGRPPKNPKPDASIQTPQKPGTKRKNH PVALEPEPKSTKSSRQATPVGLRRRSRKTRTYKDAGSDEEKMSDDEFEAKLANEMESD DEDKDDKASMTPEERERAEAFELAKKQISHKKLGNPMAQLRLVCNSPHNFYNPWKAST ELPVDDSIVTASGKMLLLDRLLPRLFEGGHKVLIFSQFTTQLDILEDYCAELRGWKVC RIDGSVAQDSRRTQIADFNSDPEYKIFLLSTRAGGQGINLASADTVILFDSDFNPQQD LQAQDRCHRIGQTRPVVVFRLATKDTVEESLLNSADAKRRLEKLVIKKGNFKTMGQKM DLREDLDPESLRALLLKDGQVYKVSGGEEVLSDSDLDVLCDRSDSAYEKAASGEGDAD AFRVVETGADSIKMARKD FPSE_04902 MASFASQTYTPTEEAEIQQWLTTSERLKSPEDKSTILETLNNHL SSRSTLLGSKPSKADVAIYETLAPVVAKWSPEERTGEKGHPHIVRHVDFVQNSPLFGL DVKDENKVKVNQDEVLYVKPQVDAKAEKEKKKKAAADASAAGDQASLVDRTKEKVKEV VESAKEKIATDKPNKKEKKEKAPKQKAAPAPAAPLSPALIDLRVGHILKAINHPDADS LYVSTIAVGDKPGNEDYVEYEGQICRTVCSGLNGLVPLESMQGRKVVVVCNLKPVKMR GIKSCAMVLAASPKIKEGEVDDHKGPVELVTPPEGSKAGDRVWFEGWEGQPEGVLNPK KKVWEMFQPGFTTTGGLEVAFDAAAVEQLGKTGTGRLVTESGGVCTVPSLKDAVVR FPSE_04903 MSSTGSDAASASASETGTAASGTDTASITGSLLSSLLSTISATE TPTSSSFSGPTRTTSGPIFSATQSSAADKDKGIGIVSFLTAVGVAVAIFAVQILLFLL LRNKLARIFKPKTYLVPERERTESPPNKPLAMLKTLWHYGDREVIEKCGLDAYFFLRY LKTLLIIFLPIGAVVMPILIPLNYVGGLGQKIDVTDDDDDNQVDGLPTGLDTLSWGNV APKNSGRYGAHLLMAILVVIWVCTVFFFELRVYIKVRQDWLTSAEHRLRASATTVLVN SIPSKWLSEEALMGLFDVFPGGIRNIWLNRDLSTLLDKVKERNNIHLQLEQAQTDLIK DAKKAQLKQQKAEEKKRRREFKLKAMTKQERADKNAREDAEAQRRAQDGSGTAAGDQH NVPHSVDAGVRESQHEAFNRDSEDFHGHDHNRKKGFKVPLLGDPLAKVGQGILGVVSK AGNNMDETIETTNGFIGLSQTTHSRNASRTTNRGHERPSVDDEEELNSPSDTLRVQNQ SQNSMRRSGESTAAMNPKSNREPLGAVGNTVRKIDDIDEIYSKEEAQWWQFWKPPPGA YASPVPQGDVAEAFRQKKTNESKPLWKRIKYALPFVSPEIEAEPLDYPTPHNTEYDEA AEPAEWEKYLKKKDRPTHRLPLFGKSWLFPIPFVTQKVDTIYWCREQLARLNVEIEMD QQHPERFPLMNSAFIQFNHQVSAHMACQSVIHHVPRQMAPRMNEISPKDVDWDNMAFS WWQEWLRSGIVFAIVVGMIFLWAIPVAWTAALSQLDNLIRSNTWLSFLQDNEAVHNIA KAVAGVLPAVVLGLLLVLIPIFLDFLAGFRGAKTGAQRVEFVQIFYFAFLFIQVFLIV SIASFFAASLDQLVHNVQELKTVQDVLNLLAYNLPSAANYFFSYMILQAMSTSSATLL QLGALVMWYIIARILDSTARSKWSRNTSLRQVKWGAFFPIYTNFACIGLVYCVIAPLI AMFAIITFALLWFAQRYAMLYVTRFEHDTGGVLYPRAINQTFTGIYFMELCMAGLFFL VRDENDKKVCTPHGVVMIVVLILTILYQILLNYSFGPLFRYLPITFEDEAVLRDQAFQ RAQDQRLGLLDDDELMEEPENPKAFEKGGQTEKGQGIEMRRLGSVRRPMKQVGTWAKD GGNQIARFTGVKQARDKNKRASEYRKKHRMKDVEAQLAIGEALFGGIHDEIEDLTPDE RDALVRHAFQHEALRARRPTVWIPNDDLGVSEDEIRRTQAYSGHIWISNEGTALDSKV RVVYGRAPPDFSEIDLINL FPSE_04904 MYVQGLFLLSLGGFLVKAKAALTAPASQRKGPLTFVVGNESADL DSLCSAVVYAYLRSHAPPHTLHIPLSNLPREDLALRTEMSAVLKHAGLTLKDLLTLSE LPDLKPEETRWLLVDHNSLTGPLTKYSEQVTGCVDHHADEDVVRKDATPRVVETCGSC MSLVVDETREIWEELSTKDAQDSDAATENEKLVRLAIGPIMSDTINMTAEAKVREQDT KAVTFLEERMPFDRAAYFHEISAVKEDISELSLRDIFRKDYKEWNGSGLKLGISCVVQ NFDYLVSKAGNPEPLLDAFEDWVKERNLDVASIMTTSHPDGEFQRHLLVWGISDQGRE AVDRFVADSGEKLKLEMWKDGELDKHGHSRFAWRQHDLTSSRKQVAPLLREALKKS FPSE_04905 MLTRPVAAALSCRQCQSSIIRAVVSRPATSPFRSFPQTSRWLPR ATATRFYSDVKTTDPIGEKAASTSPTPSDILKDSIDVPEAEESGDVPWFLEVEPPRHP ESQHAVELPKVPEGAPEVIEPMIKYIFEDMGLDDISLLDMREVDPPAALGPNLIMLFA TARSERHLHISSGRFVRWLRKNHNISARADGLIGPGELRTKLRRLRKKAKLMGTNTAI IPGGDNGISTGWVCVNFSSSGDQTNEAAKVDDSGRFSGFGAPQTGTTVVVQCMTDSRR AELDLETLWKGILKKNLKQNRQARGEKMDSPEELERLLASKIQLPKSGSALQWQAMQN ASQRRAYTTSARRMLPRLVSGHRNAHPRDDLIHAKRNVVNSNNLPTEGTKTLAQVRER FENIQLAGLPLAQQMLCEFVTEAFTGTGSEPLAQERLSLVDQILWTAEERGMTIWDSA MFTSLIEGFLLSPSYKQRPFSRAQTNIQTLMDRSDCLFTTSELCRLVTAYGKRKEWSR VWDMLHRPHLHKLSRAPELYASVFKVLADTRNQILCIENLRWIYIEMLNETEPVSITG RVYTNLKDCIKVCDPAAEYLLKNGFEFLLRNKHLGEATTNIYKQARLKNREYLNMLRE VEVLHAAHIRA FPSE_04906 MLVRQTRKGIFALQSRLLSKPHIATTLGRRHGRQLATAVDDFPL NNYGGIHEQLARSGLQPFQTPLQSHADLRAFDPMTNILTVPEPSEARPFGKINNKGVP GDVEEMIPVFDACVRVGKLERAALVLKRLNATGLIPGDEMIILHNQYLRASLEQLRMT PDRKQAEMLHKWYELQIRNTDIPQTAETIACMLKASLLSERGARLERLVHRYMSMAPG ESGLRVLSMADILSDQDLGVITEICPTYTFAPEAESDAQYVTMAEDEHLDTQEGHGET TAAAGHEAYPDIRPTPQRGEGLNVLRKNLNLINELQNVDISKLPREEQREFQSRLERD SIDIAIEKWRTTKKNLDKMHINTSLNDRHEETSLAACMQVWLTAMEERLKEEIRKVDE SEESENKTEEDLERCIYGPILRLGDPTRLAAVTILTLLNTSAIQGVDKGVVIQRLLND VSRVAQDDIRTQIQENAELEKRRRRKVKFTGDNAETQATEEKTITDADEPVLSKNPAM GLWSVQVRARVGSILIKSLIETAKVKVVREHPISKERISQVQPAFSHMHAPRKGKKVG MLFINADLVERLKREPMGDFLAKHLPMVSEPQPWRRINEGGFLSSKATLVRLKTGDVE QRLYTKAAIKRGDMDQVFKGLDVLGKTPWRINNNVLDVMVEAWNSGDAIANMPTLTPN LVTPAEPTGSDPLLRRAWYRNVKAVENERAALHSQRCYMNLQLEIARAFRKQVIYFPH NMDYRGRAYPLPTYLNHMGADHTRALLRFANGKELGEKGLRWLKIHLANLYGYDKASF SEREAFATENLPNIVESVANPLGGSRWWLKAEDPWQCLAACFELKAAHDLEDPTKYVS SLPVHQDGTCNGLQHYAALGGDTWGAQQVNLMPGDRPADVYMAVANLVKESIAKEAEK GNQLGQNCMGKITRKVVKQTVMTNVYGVTFSGAKQQVCKQLDALYPEMYKETGIPNLV TATYIAKHIFAALGTMFRGAHDIQYWLGEIGARACRALTSAQIEQLAEEKDTPAAGAT AAATKRMELEELTSQFRSTIVWTTPLRMPVVQPYRKSTTREIRTCLQAITYPTQGRTE PVDRRKQLQGFPPNFIHSLDASHMLLSALKCDDLGLNFAAVHDSFWTHAADVDILNGV LREAFIRIHEEDVVGRLAVEFEARYKGSIYLANIPADSPAGHAIKAFRKKSKLTLKEE LLLEHKRNNLIRSGNPWDLEAAEKIQTPASVFEDMEMSEDEIDSEQDPENVGGGLGEI PKAESSLTSAVKEAVQEMEPESTETAEELSVILLNRLKHTNFEANVINPKKEFKHAAK KFVTIWRPMTFPKLPQKGDFDVRALKDSQYFFS FPSE_04907 MSSVTETFFPRLKEILDQDPSAAQRLKLECGMCMEDMLINEDVR VEQRGNVTYVSHNAYILPCGHIFGITCVLKLKENSITVRDPEYQCPNCRAPMYFHSCG CLSTVGAVLNTEGDKYQKMCEEVRTLNFRNSSCRKCRLAEIYDDMFESNFEVSGGVQI EPSEMQRRKLSGIPLAMLLFRNSEGWAISEVHVFDRRYVDHEMSDRLRTRLDAFINDI VTSDESNKTYISMELAMVDPPLVGMRGCADTEMNLEIFDRL FPSE_04908 MSTTSAPTMNEMRAETNWPVLKSYLEDGKVSFEDLKLPCGICRD TMTVLPSQHQTDEDGMTHSAMIFPCGHIFGKSCIELAFAEGSDLGRVCFACRADITYT ECKHVHAGTSVPSTKEGIKDIPGILSKEGEMAERCGGCLLEYTSDKLIDEVSKVNASR EDGCLMGFTCRHPPSGKSKSWTPMRNGQLCTVQGGDTAICKPTEEAMWSVTDFQDEQD RINSGKCWFQEGKIDLEWEFYLWNPPMTENAAFHEYVDYKYLMEGETATGMTMEELRA EFFGKHMPLAKPKTRRLMDIYLELKAQGFDDDDDDDEDED FPSE_04909 MISSPALPRRVVQFLLVVVLVILFFQVKLNWHRENNDIEITING PVPEEQYLERLRQKIGLSSQTSWTAWRVRTSELDSGRTSITDIDKDFHSNHPRVIDTK EPERRNLVARQELTLPVLGGPRPKQVDASAFLFAVSTTYDRVVRDDYAVAKDWARWMT GGNKHGNGASFLLVLDQGHHQQADKLNQVLASYGIDAHVTYSEGQMSTAKRYFNMIET VKKQSATLAQKGQMKKWYGILDEDIFLPNLSHLQERLFSFNSEKDLYVGLPSERGDWA IGEGYMTTYGGGAVFLTRSAVSKIPELPCFNDVPSDPANTQLWDNLLQDCMTKDTKTK MRILPSFYSPKDNDLYSSHLDSYETGVQPLALHDYEQRHHLTPSQAHLVTDVCGEACF LQRYRFRDNWVLVNGYTITEYPDGIHVSDMPLPTTGSTHRSLIGPVKLKEDKADRKML FWTGRRNVWKLMDSVTTPNGDVWQAYVKRGALDEPALKKRWGEEEGETKDSVIVMVWE AGNDK FPSE_04910 MARGMALRKEDQTTQSNASNTTGSTDLPAIRTPQTLSFSMSATP VLKVPAMPLLYLDSSRPRTPDCNVCVQSNNPKHPRPMETPEYRRLSHSEWKFFATGVG GIRDKEHDAPISPTSSFWPPKGLPPGLYRDAIYRRTICHYSFHFTAYVRWILLILQLI LGAALTALGPMSLEKGTPITILGASNTVLAGLLALFTHSGLPDRYRYDKAEFERVEDH IREILVTGLVRAEKSVNEALAECYDRYRHAKTTVEVNVPTAYIPSQSVHPGQRHQPTQ VNEANDPITPTKSHRPSLHKEPITPIRTQSRNTTPKEETEEKGPVTRIGE FPSE_04911 MASLGAPSKKHKVTIVGSGNWGSTIAKIVAENTRAHKELFEEDV QMWVYEENVTIPKESKLYDEANGDAPQKLTEVINKHHENVKYLPGISLPANLIANPDI RDAVKDSSVLVFNLPHQFIANVCKQINGHILPFARGISCIKGVNVTDTDISLFSEWIG DGLGIYCGALSGANLAREIAEEKWSETTIAYDPPALDNSRAPTPRSGSPNPTMGELPE AHHKDVRGRTSKTKLTAMPAEYPPLDQHCFRTLFHRPYFHVQMVSDVAGVSLSGALKN VVALAAGFVDGRGWGDNAKAAIMRVGLMEMVKFGKEFFGETVHTATFTESSAGVADLI TSCSGGRNFRCAKMAVQKGISVQEVEKQELNGQKIQGTTTAEEVNSFLKARGLESEYP LFTAVNAILNGEAQVDDIPTLIQDS FPSE_04912 MSNQTAPSEAANKSRLAVVLRKLSALTQRPEPKKYPSIASIPYT SAERLAQAAEDYVVDRDHPAPKTYLYLAYGSNLAAETFLGVRGIRPLSQVNVSVPTLE LTFSLPGIPYREPCFANVDYRKLPDKPKLPPKVPIPPFDPPQPPHSESMKWDEGLIGV VYEVTEQDYGTIIRTEGGGAGYKEIVVPCVPLPPKVSIPEKPFPDIPRPFMSRTLFAP QIPDKDLPDDPRKKKWWYPILVAPPREPGYAEASARYLNLIKDGAKEHELPDGYQRWL HSLQPYTITTLRQRIGAFLFLFFSGLFFVTIIMMSKVFADKSGKVPQWLAVTMTVTFN VTWMIYDKFFKPVFGNGERTEEKDTRKLSTRVRSMSGGMLDLYPSADEEKAALLKALN VNN FPSE_04913 MVQTQRGGMPDKRARQSQTHQIGQLNTTPTSRHLQSPQVDNNHE PPPSAQPQESTNEYDDGVDEVLIRLNKQVFESEGASTRRSTPIDSHFDDSSSQKSGSG KLPSTQPLPSQLISGNLTNKGQPLVDFSETATTARRSSPYPPQTTLSQAADDMPKYNT VFDLPGTIPDSLDVPGDHLPEDELYDATPVPEDREEQAAAEKQTAKPKSARKSAEKGK ATDSSKKAKASIRVLEEEVGSVKEEPSSFPPRPVVGRQKPAKNAKQVQESPKPAPGSV EATTSGTRRKQKPKPPLRFNSQTNQMIDPLSPQVQPARPSLVEGMIQAYAASLSPDAN ATKPTPKPAKKVSPKAVPKRTSKNSPKTTSKTSPKTISKTTPKAGQKAPAISQRITRQ SALRGRLNQQSPDKVDEAITNVSPAATPVESKAKVSPAVSQGTTMTKAKEETAPVLPP SKEKEIVNHGNTQGSTDDPIVLSSGRNSSSPYDDDEFVPATDPTEVSPEEARPDAVAQ AKRQSLVDPGEPLSITRNEKERIVATRREIPKPMQPVSQTGRQVTAQNKKIFVRADPQ NQVEAGFERTNRERPIRIGPGEVLSARDAHALAQRQAFKVNVLKRPPSTRDPVVDTSK PSRKFMKRSRSFSVSQARSPLPLETEAQSLGTSSRNKDDDQHPAVSHSNKQASGYRRS QRLRGRLEAAESMPVKAQVAKGKLQEQERSGDRRWRREASGHNQDLHAQIMASLQGRD ESSPEVHDEEPDNTPEEELAESTRPKGPSDEVEEKLHGLVKTLLGHLQTKEATIYQGA DAYRKNGIDSVKKIKQRYLHEKQQLGERWKKDADRFVRGSRSIKAALDKRGKAQEEAR HKLEETLARRRHLFQKATTSLRALHGRLTEHRYEENDE FPSE_04914 MPFRQRMARHFGDSLAPEKPYLSYYDVLLTAEDIKALKHDWLTD NNIAFWEEYLERETLPKYPQARIVLLRPSMTFLLMKEPDTRSIQSALPDFSKVTHVFL PINDNRNVSVAEGGSHWSLLLVSTLDGVAFHYDSLGGANYSEANVATRKLANILGRPL RFINLEDCPQQENGSDCGVFVCLLMRHLLVKRLLCANAREKVSMSMGGKMVDSYGGRK EMMRIIENLQFEDLLKELLTEANATKKVAAKPTAHQRVTRSRVKEDSPRDEDAPVKTA PAKTAAATNISTSAKTSTPVKTSPKRTLPPWKGMRDFGRPQGWHTRSTSTTLGPRACK PDRITKETRAPPPSPSTPVKIRSKRPSNLTTALPQSRKVIQTR FPSE_04915 MAPLPPGLKKPSARTLRHQRVTGQIHPQAPQKVFRDDAAVTDSF LSSKRDKRLIKHSSFVSRIQSARISKSTKRRRPSKKLATTLDGLADALPELEEADAEQ QGKIRHKSLKSKRGALKKKERVVKGEMERFGVSMARLTAQEEEKVVPQDEKMDEEEKK AAPAATANRWAALRGYISSTMEQNPAFTGKN FPSE_04916 METVDKLRSEIAKREVELVDLRSQLAAAESAARETETKESWKWP LDNHEYERYSRQMIVPNFGLEGQLRLRKARVLLVGAGGLGCPAAAYLAGSGVGTIGLV DGDEVEVSNLHRQVAHSTGRVGMSKVQSAITYLKELNPTITYNAYNTHLTPQNAQDIV SEYDLVLDCTDHPTSRYLISDICVLLSKPLVSASAFQTSGQLIILNNPPGKGPCYRCV FPTPPPPDSVVGCGEGGIIGPIVGTMGVLQALEAIKLISRGDLEIHGDVKTPMLLLFT GTADTPFRSVRMRGKRKTCLACGDENRLTLEELKTSMDYVQFCGVRQPVQLLNPDERV TPKEYETISKSGDKETLLVDVREKEHFDLCNISGSINIPISRFMSARGETTPEGWPID LSPSTPIYLICRVGNDSQIAANKLKTLGIGNNGERFIGDVSGGIKSWKDTVDPTVPFI FPSE_04917 MASPLKTFVLRMPATGLVRSSPKASFSTISAARPASCLSRSPFR QQCFTPTVSINKSFSRAVSDKAQPETVQATPQPAPSNVLPPLDWNSFFKLRVKRRRYQ MLFSITNGIFAGSGGAIFLSTGSAEPIISQIPLDPFMTLGLMTLAFSGLGWLSGPSVG NQVFYILNRQWKKQMTQKEAIFFERIKRNRVDPTNSSANNPVPDFYGEKISSVAGYRS WLKDQKAFNKKKTANFV FPSE_04918 METFQQQLEPASPKKRKPHEPKKSRVAVHVPSRRKDYIPGKGPA LQRISLLPPQDSTAYILERIILPSPGLAADGNPLPRRMTYIVAWHDLPAAQLLVPAMD ILEYVSPRELEEWEFANTEEQMEQEINKEQEQTGDAPKPKRRGRPPKHSKIETAVVAV PDDDDNAVQRGAMTIATPTKNRLKDFEGLSDEDATPAAQLQWETTGETDDQGIYDRGD SDGFGSAHAGAQADKFREAGPAYHQSQNHTPIGQFESSSSEASSSRQSTPKVTAIKSA TKGKRKADRPKKKTALDGVFSGLQGAESASESVWSPQGTVTYSNSGVETPDPEPRTTT ARLIEEAASVQKKKKKSLKNSRSEKQPKPESKTPMVSQPEESEEPDEQEEPDWEVKRI EGMDMYEAEGEGLVRYFKVRWEGDWPPDQNPTWEPESNLPDQLVRRYLKNRKRKRSEA EKPKRPTTTQPPVASSYKSRKSMKQTTLSWGITAKQYRSVTEAFEGLEEDELAMPHYD EAPIEEEERDEDELFIVEEPPTKKSRVKTWVGNGLDL FPSE_04919 MSSEEVARADDLARVISDSQSGLARLQYHLAISADIPGAIFSSF DDISPYVVDNNDPTVLGVALSAEYINMPRSLFDTSGLGVQTGALSSRRVLLSGLPGSA TVAQVAGGVAAMGGIVSIFVNRDLRAGTLPGQLSAIIEFVFSVDAARYVHFVATHGIW FVDMKGLHHEVSARHILTPSNTIVPIHPRNCDIDDNGLSGRCIRLEAFPIIAVWALLK DFGIRHIVRSEITLENDCATKGHLHIEFTNVFESTRMCGQLLGGRFYHYRPTPAQISL AWCPSDRDVEEIGITIIAHVDNDEVESHWNRRPFNWIIPTQFGARLISSTPTSQEATV TILGDDGQLRSIPHTEVTASMRANGTNYILLGDTIYAGHPITLNNPHGVHNRVFGPAL VRMKKLYVLAPGWESFWDELSKAQGINIRAFYEYARVAFLRRVDNQLHGRPDWDAGGI LENTNTPAIILSYSRPHLFRKVISTTE FPSE_04920 MAGFDRGSGGNKERVFSREPVSTSSLQPNGTPSVFLNLPAPGFV FLLKFRSVMVVDVQILKWRVQLLFPSLVSSEFKVFLCRRLTSSSDVLCLPQPNPTCSC SVVFGDIADDGLMIAAFISCGSRLC FPSE_04921 MASTTESDVPWFLKSSYPVLFPFDDAVRKPQAEFDEYLRHVITQ RRDDAATTEVSPDQAMTDADQSETFTLVSNSTSAEIRQGVEAMDVSGETAPDSKPAET IPAESHPFMEGLINYQKEEPSETLQGMMLTENGDLAYRYTESALVDLFQELEEVVSGP RLNELLLAAWRENPIATLRIIFNARSIHLGKSSRITLYRCAGWLAQNHPMTLIANLRW LSRPVIEKKAEKKEDDDLVIVEDENKDETTKFDIRNGVAHGYWKDLLNILALAVNKKL AVLEDPKDILNSENPGIIRGKSVAKPSGRRGARGSRGRGGVLSGRINTTSQVEDKKEN ARPDPKEVRREARTTRYETATAMFKNDPVYRALHLSVARLFAKQLDKDLRALRGDDKK AKRSISFAGKWAPSHDHFHDRHTFVVSTIAEIMYPRESINNENLKPTDDHETYLRFAR EEYRKDTSALRKHLEIVERAITENRYGDIKYDRVPSLAMNQYSKLFIEKDAERFDQYL DKVAEGKANISGATLLPSTLIHKVRQGTRSASRLDQKIAQIESKVLDGQWNALVQRIK DSGTMDSSIAVCDVSGSMDSPTFSDKTTPMDSAIGLSLLVAEVAKPPFAGAFITFSSH PSVENVDLSKTLAEKINIMDSSEWGMSTNFVSVFEDLILPMATKNNLKNEDMVKRVFV FSDMQFNDACDSSDSIYSAPSWSNAPKSGDEAEGGWDTSYERIKAKFEVAGYEMPELV FWNLAGGRAGYGQTSGDPVAPKPVKSDENGTCLVSGYSQGLLKVFLDGGGFEEEQEEE EVVVEKDSEGNVTQKVKKVKISPLKIVQKAISHKAYEMLTVVD FPSE_04922 MTVVTLPEPFASIPRENFLFGASPLQPLPRISAALGGKVNVYAK REDCNSGLAYGGNKVRKLEYLAAEAQAEGCDTLVSIGGVQSNHTRAVTAVASKLGLKA ATVQEHWVDWEDPGYEKVGNIQLSRLMGGDVRLDPSTFGIEHKTTLAKLKDELKSNGQ KPYYIPAGASDHPLGGLGFARWAFEVEAQEKELGIFFDTIIVCAVTGSTFAGMIAGFK LAQKKNGSPARKIIGIDASGKVQQTFDQVLRIAKNTAAKIGLSEDDITADDVILDPNY NAKVYGIPDETTLEAMRFGAATEAFITDPVYEGKSLAGMMDLIKTGKIAGGNVLYAHL GGQLALNAYSSI FPSE_04923 MSASQDTKYDILTAITEFFNLSSKHHQLPQYAHDYLIQDSYVIQ SSPNTLEKTTLGDLFSGQKSPKQQIDWAIDEPQEIFVHEKLAAVWTGWSIRADDGSII SHKKGLVGLAFTKGRWKVSGLASTERSIDIPNPKDETHLEQDIMKPINALLNDFSHPN WDTLKEWFLPDAGVTLYRPPSEPAPMTLEQSIRRLQNMIKSGITMQEKLHHAQVRKHG DLALVWAPFVVEINGQAMHHGVNAFTLFKKSDRWCFGGCQDYGVPISG FPSE_04924 MAGEYDCNFLLSFADEINFTQWIDEYFHESTSKFDLTDMDLLEN FTNPERHIDRLGSPEEFFDDCDLAQLMSPTMQPEPPVAPTVGSDESVTAKAESPELFD FASLGNDGMADQDMSGVVDTGGGGFEGPVSTALTPAAQSLRVESDEPMAEYRQENLPT GNQVNCRLQIHAQRCPPQLQPQVQNQYQLPVQVQDHTPAQFDCQVQTQALAFQHPLHQ QYTLTNQYIQGNYQPVNFHQGLIPSQPDPPQPQPQPQFHFPSVTPSFNPTPVQPTRPA IEQPPKKYRAPLQPTAQTPHQAWLVPKLEIFTRQYQGYIKTPREARGIESTFLSLSHP PESATTTRAAVDTSFPRTSQEYCNRVRQMFEAICDWSSPREWRAKMGHAMAAQWIENV KKDRQIRGQSTKMSELTDEDLAPPASEMPPVEEQWKNVIHRRLSDVEIELLCAKVLLN KVLIHSALRASWISRITNSPFSETRRKDQNKAGNDRKRTLIEQVENGRKRPANEQGGG KQKRAKI FPSE_04925 MTNDNSNNAGASNGEAKSSFTVKAGLAQMLKGGVIMDVVNAEQA RIAEEAGACAVMALERVPADIRKDGGVARMSDPAMIKEIQDAVTIPVMAKARIGHFVE CQILEALGVDYIDESEVLTPADDESHVEKSPFSVPFVCGCRNLGEALRRIAEGAAMIR TKGEAGTGDVVEAVRHMKTVNRDIAQAKAALAEGGIVRIREMARKLEVDAELLRQTAE LGRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPAKRAKAIVRATTHFR DAKVLAETSTGLGEAMVGINCDSMKPEEKLAGRGW FPSE_04926 MISLTIGVLALQGGFSEHIDLVRKAAESISSTENISKNKIQCIE VRTKEQLEQCSALIIPGGESTTISFVAAQSGLLEPLRDFVKVQKRPVWGTCAGLILLS DEANATKKGGQELIGGLAVRVHRNHFGRQTESFEAGMNLPFLNDEKPYPGVFIRAPVV EQVIGASDDRQPVEVLAKLPGRVDKMKSGVSQANTKDDSGDIVAVRQENVLGTSFHPE LTKDERIHVWWLKEILNQQ FPSE_04927 MDRDGFSVISLTSLGSTISHRLLSSAEELNELNTALETPDERLA TFASRLYQLHQHVGYLEAALNNATAISVRFQTTLSQSLGSCDVVSTVLNKQVMRLQAE TLALLDEVFLVIYSDVLLAHTRLFAFFAKVLSLTTRDEQDVTLDSKSGREVFDHVDKA CQKASQTKDILLTSTSQNYSYASSSKGPLPGDLEPPPYEPAHPSSSQSPSLEPPTSPF TKGLSSLTHSFKAMTSGLWAKPDPLSTALCQAALRGDVQQMSGLIKQGANVNGRNGEG NSPLNCAILANQEDAVRFLIGSGADVNSRDMKIPPVFLAASVGSIGVAKMLIAQGTWN ANAASWTGQFYFVDVCNSENLEGIELLLENGAKPNTTNSSGRPVLAQAVKKGNLELVR LLLKYGANPDTSDLSGNSLLSIAASQDRMDMMKLLLESGTNASSKNLSGVSVLTDAIG KRKLDMAQLVLDHGANASAKDLVGHPTLVLALRDSKLSQNDKIRAVKMLLDHGASPNV SDGTWGVAAVCFAMETGITELVKMMVQAGANTKKKMNSGETLLLYAIDHGRREQAKLL LEAGADANAADKKGRTPLMQAISRRDTELIRLLKANGADMNVGGCISPAELAQSMGDL EILQILGFGSSPSQGRTARAHSPPPGYESAMGKV FPSE_04928 MSARIVRSSLALRPMPALQRGFRTTALSRHNESEPIGPKSSDKP MSKGANSQMIMYGGIGLALGAGFLLLMSRPDVAKDQPIDGVTNKPVSPK FPSE_04929 MSRTLTSRQAEELHKSIIAYLAANNLQDSASAMRTELGLGEDAF DTATAKKYETLLEKKWTSVVRLQKKIMDLEAQTQTLQTELNSATPTSNRRGDPSSWLP AGPPRHVLQSHRTPINCVAFHPIFSSIASGDEDATIKIWDWEFGELERTVKGHTKAVL DLDYGGPKGHTLLASCSSDLTIKLWDPANEYQNIRTLPGHDHSVSAVRFIPSGAPGAP LSGNLLASASRDVTVRIWDVTTGYCVKTIRGHADWIRDVSPSLDGKYLLSTGNDRTVR LWDISVPNPEAKLVMIGHEHFVECCTFAPPAAYSHLATLAGVKKPPPASSTAEFMATG GRDKTIRLWDGRGNCIKTLIGHDNWVRGLVFHPSGKFLLSVSDDKTIRCWDLSQEGKC VKTVEGSHEHFITSLRWAPPIIKDKGPGEETNGDVGTPKKAATAPQDVQIRCVIATGS VDMSLRIFSR FPSE_04930 MSDESQLPGLPGFDRNNIQPWTVEVVVSMTLLALVSCGLRLYSR HLKAQKLWWDDYVILFSMAWNLVVVGFIFAMHSCGMGLHADKVPLDQIVMMAKWLVVA EVLYAWNLGWTKLSLLLMYYRIFRVPYFKKMAWIVGTFVFAWVITITFLFIFICVPVA KLWYPTLPGHCINQVGTWIANAASTIFTDVVILCLPIPPIWKLQLGRSEKLGLTAAFA IGSFVVFASSYRTSVLFTYSDKDPSYTLAPTVGWTEIEMSAGIVSANLPTMLPVLRIV AKFTGLSSFASTIRSGQRSKGEQSTQGLKSDNHKTHMSNNSSSVSTNNFYRLNDENDS AGLIKGTNFTESTAPVDANLRPDIQGFELTTKTYNARADGSNSSSEEILQGIRVQREF HQSTTQR FPSE_04931 MAAKTTIKVPHLGGIDAGYRVSGDGIDASKPTLVLVNSMCTTSS LFESQFGAKDLTDAVNLLAIEPLGHGATSVKSEHFTYWDSAIMNLQVMEALGVDKAFT LGTSAGGWIVVRMAILAPEKILGVLPLGTSMDYESADSREKGCWDPKTQLGPFFESWS SPTPTPDFVVPEVWRGLVSSVGFGTDPSPELLSFWDETLKQVYSGDEGRKKLRIAVVN LFERDGLLLRLRDVKCPVYWLQGTADPVFGTTVPTEHIKLFTSSPEATLDFVEGGGHY LSATNPKEINEAILKMIKKYA FPSE_04932 MSYSCEEARDRHCNDTGHYAPNWECEICFSGFDCYESCNYHEVN ECLYCSECQEEFFCEEDMEEHMESSEHEETDYITQHQNQRSAIPYYQNRRGAISFHQG KGVVCPFCRASYRAASGVAHHLERGCCPRAPLDRDTMYQEVRRRDPDGLITNQFLEWE CTIHYQATELAYNPHYGEYECYICHDLFRQLSSLNQHLESPRHQQNLYHCPNRSCYKE FTTLAGLVNHLESESCGFMRFQAIQNGMRGLVSSSRRIGFY FPSE_04933 MLGQKSIKVNGADCGVEAILLGVITSIGGFLFGYDTGQISSMLL FSDFKERFAQGPAGDKEWNPYIQSILVSLMSIGSLLGALSGAYTADWWGRRKSMTFGV AIFIIGNIIQISAMESWVHMMMGRFVAGLGVGNLSVGVPMFQSECAPREIRGAVVASY QLMITIGILISNIACLGFKKMDTSSASWRIVIGLGILFSLPLGIGILIVPESPRWLAS RGDWEGAQIALGRLRGMKHNLDHPLVQDDLKEMKEILEKEQRVGQGSWAECFNPKSGI PKLVWRTFLGFFIHFLQQWTGVNYFFYYGATIFESAGIEDPIQTQLILGAVNVATTFM GLWFVEKFGRRWPLFIGALWQAGWLAVFAAIGTAMNPSESKAVGIVLIVCACMFIASF ASTWGPMAWVVIGESFPLRTRAKQASIATAGNWLGNFMIAFLTPIATAGIGYGYGFVF VGTNLAAAALVWFFLFESRALSLENVDLMYGQEGLKPWNSHKWVPPGYITREQRDEAH FQRGNEKPRNSESHSGEDSRVESVMA FPSE_04934 MPHFYSLPAEIQNMILGFVADTPHTTSSSPPKPGLAPYACVDKF WNSFFESRTFKNLTITQADIPSLSHIVGRRRRTLLKHLWLRIALPKYGTSPCKRDEKP KVIWRLDTVFTRSISDLWDALSEWDSTGHKGMTLELGVFSPSDWASFMSHACSVQQDV ELYKQYLTSGSAEQYEAIGDVHWPYIAMHRTFNPGQGLLTTAERKQHWFATTNNLLGW KPLDFTDNAAELPPVSVVTKFLVRRQQFREIYPTALNKMLESLSAVQDIHVERWRCAE SHDEKAWCKEAQKTFGMLLPPSVKSLTLYGDTSSILQKWEAKQATVVSLAKTLRQYTR NLEYLSISHLIDAKEFLRPFWPANSEEATRSLPDWKNLKRLSLTSDIFNTGTEKDVNN LLCAAARAARKMPSLEILELWNGNDERASVFSYRANGEMTWRGTHIPTLDDEVTGAWE ASSVSNSRPCIRESFKPIKTDDVTSTRRVIDYLASNDQVLHPVSASRAIGKRRRNDLA DYEMKANKRARAIQIRRMNVAWRNSTIRV FPSE_04935 MKIRSSRISVSIAKAICKRHGSSKIGLIKAINAFFRFFKLFLSR YRSVDFLALRKEVWQIDEDEYHISFEADTKDSLLPIGDLGYSGSTFFTTANEKYLIKS LPRRFEHDFFAKELLDPYVRHMKNQPHSLLVRIMDLVYAPHKSIGGMLGAAPTHHIVM ENLLFGKPHGEQAKTWETYDLKPNDYFFPERDIANGALVPDSVIDRLVDEFPDKVRVT RQAKDELLSLLFADSALLASHNAVDYSLFLVRYPVGSEVPVVESDAGNWRRGVDDVEG KWTYRCVLLDFFWTKHALQARALTKVVKIFNKITHKGPMSITADPAEYRDRFMNMVDE MVVVG FPSE_04936 MTGEQNDSGSGSASGSGSGGQTSQVHTPARREKKRVGWHASKQE AAVAGESSESSAPTRLHPGQPQHPDEVIFSPAGSPQLAPGELPPNTPDAYELSLALTK ILSAEQDKKRQEKPQMLAPDTPEIMGPKRPRPALRRNTSYDDPGEREKADQAESRTTE RQYRSMADARQRADRLAVSLGSFSAPGSRRGSIDFDNFDQAPQVPSFKPLIEDYDNIK VTPPPKSTTVEDAGYFGLRQRVPRVDEDLFMHHAAAENLVRSHTRKGKKDLYTKHPNG GGHASGTATPVLQQAYAHDYVPRPEQYRGGILGSLLKLYNDDRNAPGSGLNTPKDPGT PLISPRSSPPISRPTSSNGTPLRTPPRSRPSSGLFNYHKSRHSSSSLALTELMKSSSM FAAPASANMAGKWAENAKQQPPPPKKRDKTRITIHIAGIIQRHRYLLKLCRALMMYGA PTHRLEEYMTMSSRVLEIEAQFLYLPGCMIISFDDSTTHTTEVKLVRVPQGIDLGRLR DVHNIYKEVVHDKIGVEEATQRLDEVNERKPKFNVWVRVFLYGVASACVAPFAFQGRF IDLPIAFFLGAVVGILQLVLAPSNELYAHVFEVSAAVITSFFARAFGSIRGGELFCFS ALAQSSIALILPGYMVLCSSLELQSHNIVAGSVRMVHALIYTLFLGYGITIGASLYGM IDSNASSRSTCDRPLERGWYFLFVPGFTMCLCLINQAKWKQSPVMVGMAVAGWSVNSY SADYFGGNGQISNMMGALAVGILANLYSRMGRHVENGWLDLVDWWKLRMRPRYTKKNL ESDSSWSLPTLTDPESRPGSPEKGQEPEKKPRKVGYSLAAAAMLPAIFVQVPSGLAAG GSLLASITMADEITKNGTKVATDMNTMGNLEGTAFNVLFKVIQVAIGISVGLFMSALI VYPLGKRRSGLFSF FPSE_04937 MQFSLATLVFGLAAIASAGTMDVEGVRNAPRSAVNVRRQNDNRP VPNGACCVANTSLKQDTCTAANGQTGRCVPGGNNCGSSLSCVEQSNLECDNNVIERGS SLCRAKAGNGGLFDGANIIQNLGQASVN FPSE_04938 MASSSSTQGSVTTRASPDRIVPMRVIVCGVHRTGTLSIRNALWQ LGFHDCYHMQTLIKDPSRSPEWIRALEAKYAGRGSFTRADWDRLLGDCQAVCDVPAAF FGAELAELYPEAKVIILNRDPEKWYESVLNSIYLLTSPKDIWAKLSMIYCFFLDSNIQ YMAKYSKSMRSLVQKYDHGNEKEKALEWYKAQYQEFRDRIPEERRFEYTITDGWAPLC KYLDVPVPEVEDPETGKKVVAPFPHLNDGETFRQNSKVMKKKSRERANQNLLAGVGRL ALTGVVGYAGYLVWKTRLGGRV FPSE_04939 MPSTLLETPTMGSPKASQLRLGDGVYVPTLAFFTPEDEVDGPAL EKHIVKLLEAGVAGIVVHGSNGECAHLSPSERTTIIRVARDTIIHEASGTRVPLIAGC GAQSTRETTELCEDAGKAGATHALVLPPSYYGGLLPDDLIVQHFYAVADKSPIPLLVY NFPGAAAGRDLSSDTILSIAKHPNVVGVKLTCGNTGKLARIVADCPEGFFVGGGSADF ILQGHAVGGNGTISGLANLCPRACVRITELADEGNWKEARQLQAKVAKADWMAIKTGF VGVKAALGHFGGYGGEPRRPCVAPSQKELDTIALGFQEVMDLEITL FPSE_04940 MPKATKGKNAGPRKGPYEKPAANRVFKFNTNIGQHILKNPGIAD TIVAKAYLKPTDTVLEIGPGTGVLTTRILEQAKAVKAVELDTRMAAELTKRVQGGPLQ QKLEIIMGDFAKLDIVQALPPIDVCISNTPYQISSIIVSKLISMPKPPRVSILMVQRE FGLRLCARAGDSLYSRLSVNTQFTSKVSMVAKVGKNNFSPPPEVESVVVRIEPRTDVP AVGLEELDGVLRICFSRKNKTLRASFLDSHEICERNWITWTSMYPDKVSEKDLDLLRD SMDTAEKVSDSKQSVCGVPVSKASLKNLIRTKIEHVLETTELAEARAVKCDENDFLKL ILAFRENNIYFT FPSE_04941 MVLKSASIAVLATVAGASGFVLGPFGGAQKPIGGQDAITNDFTC DLPPVLTPKNDGLPDANSLFSSKEAFDAQVKRHQTIVRVPSICYDDLGDVDKDERWAP FYDLHDALAKTYPAIHKHAKLEKVNKFGLVYTIAGSDSSLKPYLLAAHQDVVPVPDPS TWTHPPFDAYFDGEWLWGRGSSDDKNSLTALMSAIETLLTKTEWSPKRTLILAFGFDE ECSGPRGAAKIGEVLTERYGDNGIPFILDEGGSGVQLIDDTLYVLPSVQEKGAIDIWA ELRTKGGHSSIPHPHTGIGIMSEIVSALEANPYSPVIVKDSPVYNHLVCLARHSPDAH PKLNELLKKDDLDKLTTELIRLNPSAKFTVQTTQAVDIISGGQKINAMPEIVTLGVNY RVAPQDSHEKVQRQFLKSIDSVVHKYNLSVNAYEGDEKYHAFAGTVSETGYDYDGHLK LTAVRNSVVTPVSPTSGPIWDIFSGTIQHSFAFDGGKVVPVGEIMTGNTDTRHYLNLS PNIYRWTPSRQRGSENIHTVDERIRIDSHIDIVKFYYDLIRNFDAADF FPSE_04942 MGSLQETTWTGTAYVQGRASAKLLASNLELSFWGGVDPQTSEVI DRHHTLSGKHLQNTILAIPGGRGSCTGSGIMLELLLNGKAPEAIIFERREDILTLGVM IAEEVFQQSIPVLVLKKEDFRQLLKLDGQILYVDDGHISTAPILSKHTDSARDPESGL ILETAPALEGIKLSTLDQELFRGDYGEASRVAFRIVLRMAHLLGATRLMDITQVHVDG CVYTGPATLALAERLRDWGGKVRVPTTLNSISVDQKRWRALGVDTAFGEAADALGKAY VDMGAKPTYTCAPYQLDSAPKLGEQVAWAESNAVVYANSVLGARTMKYPDFLDISIAL TGRAPKGGPHIYANRLASVRVAVSGIENIPGLDDSFPPLLGYYVGTLSTSRIPVLTGL ETYGLSTDDLKAFGAAFATVSSAPMFHIVGVTPEATTLEAVVASELTTFEVKPSDLVN CWDKLNSAPINQPLDLVSLGNPHFSLSEMRKLADLCLGRKKAANVAVVVTCGRSIYKL AEQAGYISKLEEFGVQVLTDTCWCMITEPVIPRAAKTIMTNSGNPAAQDAGFTRPPMN KLLAAVSQSGKRSREPVLQQDAMKNPWTFPGPLVLPDDELAVEPDDDGQTFKEWLDMP SEDERNQVTTEKKTIYVILPPTIPQDSEKTMKDWHKPVLPGSAQDLDKWTSSTPRVND LISYLRAFYHGMNVVQYQETFTWRPWNEKPKARSKTTKIGLETPGEPEVWDVRCRPSL DGRARMQVNLDDVADALLQRIPNDAFAVIMLTDYDLYEDEDDDFTVGRAWGGSRVCIV SSFRYNPALDGPAGIDRMHMWPNSHCKTFVDNEYKAAAEEEEPRLAKRVKKSSSAAYG KPPPDSALSLAVQAAKRVPKLTTRDELASHWFARLAVTVSHELGHCFGFAHCPYYACV MQGVNSVRQDGQVPPYLCPVCSAKLAWELGPLLDGSGSRAEKQEVWVGEQIVALKGFC GRWSHVAQFAGFEAWLGKRLEDMKGK FPSE_04943 MRVATVANLLASASLAIAFDWQQVLGTSDNRAPSAVSTDSDAPS YRSELLSLHKSLIETSSVSGTEHDVGVWLESYLEKKGYTTARQELEPFENTPDGKPRF NVLAWRQDGKKTFDPKIAVSSHIDVVPPHIPYGIDDGEVTKETMITGRGSVDAKGSVA AQITAVEHLVEHDKIDPHKVLLLFVVGEEVKGDGMRRFSTALEKKELPYNLDAVIFGE PTELKLACGHKGMLGCDVTTKGFPGHSGYPWLGKSANELMIRAFAKIFETDLGSSDLF GNTTVNVGRFNGGVAANVIPEEAKVGLAVRVASGKQDDGHIAVHDKIQAIFDEVDKDA FIFDCTHGYGPVEANCDVDGFEKITVNYGTDIPNLKGDHTRYLYGPGNILVAHGAREN LTVADLETAVEGYQKLILHALEQ FPSE_04944 MSTLQAVKYTRGQLQVLDQLRLPHEFHYDDVSNRTEAFDSIATM RVRGAPAIAIVAALGLAVELQNGSVTASDAEGTISQIDEALDYLKESRPTAVDLTNAI NQLKATIRAVGSSATKDQIISAFIEEAERIFEKDLQTNLSIGDHGAEWLRSQAGASPD QKISVLTHCNTGSLATSGHGTALGIIRTLQSKDLLQHAFCTETRPYNQGSRLTAFELV FEKIPSTLITDSMAASLFRTRKQEKNIAAVIVGADRVVRNGDTANKIGTYQLAVLAKH HGIKFIVAAPTTSIDLETETGDGIKIEERKSEELTQVTGAVIKPDGSVDESSKCMEPC L FPSE_04945 MEQPFSTSKVTVEYFDPHDVYKLLAPGLIPRLPLRNLNWQSHAG PLRSIDRLHVELLKGDDPEADPSPYTLRRSGSTVDDGFQQQNFGAGAQAPSADQIDTQ TLPSRTIGSQRRHQIPGLRRTPYLKVLLVRCDDNETYKTSVRAEIREWIREHIPSSTN PKRNSQQEKHDAFDYLILHVVLPNTVASTQPRTSGRSESTSEKSGPRWRTGSTPLFEK LRTDFSGIGKTAPDRVAQIRIGINDLPYDQLPRVVPAVPTGYLEDEHDAENAWGELIA KLKSLILTSFDLRVTQYEEDIKEKDGQRSLPGWNFCTFFILKEGLARGFESVGLVEDA LVGYDELSVGLDSVVNEQADEGSPTRHGGTMLTYTEELKNIINNALAKSPGGETADEE AVDLQSNETIKEQFDEIPINATKKAYRDKILANDVSVFDFRCYIFARQVALLLRLGNA WSSRDDLLAKLKEQQESVLHGVAPLAPPPKQTDEVENLASLGEICRRTLQFIPIVSQI MRRDILTAVAADKSDEDDSPIDPNLSEVMDNVVASFAFSVAQQILAQTASKSLPIPPS TLAPPQSEEQKASIPEPKTMMHPARTTSLHVQTGLAPGTRPPLSPGVFPGPGMPQISN DQEALFLKAGLEDLAARRAELYMLSRSILGGLGKKRGWSDGWKEAPIIAEAEADFEEI SLDAPASTDATASEDIPPLDMGVNNSLLQTSIDSAENFYRLYEILTDKALRHYTVANH DHAVQASMADLAVLKFSLEDFGAAASYFYRATPFFGESGWTSLELSMLVMYLHCLREM KSKDDYVRVALKLLTKSCAAEGERLEQRSKRVSTIGKPEPADAMSMKGVVGNLFDLAS SLSSQVKVHLSKFFTNVELAGAPEYFEEEDKCSLTINLWSLLPDDIKLDGIDAKITAV ETGPTRELHFSRTGDIVLHPGQNSIQVDCTSVVPGKYKIDHLGLSSSNLLLHFDRDFN TAPSPATDIFRHPEVVIFQREGALDVQLIATKHTALDKNNTLDLVLHPGWNSIKKCEI RIKPTTGGLRLLTIEAKVVDSSVEFAKKPESGGVIHFNEIEAETAVTLRFPYSVEQDM ADVSAKVDVAYTVESGEEYTFAKSIVIPISLALGVNVQDVFKHQALYSRFNVSTATHS PLRLYKSELIEADLFESDFGVPPTDSTIVFSKQPATLLYRVKRKTSVRSSKRVARTMY LKLYYNVLQTEIEEALVSSIFGALDDPSLASFSKLVRAIVVREAKALQPIDLERSALL GAVSTEFLAEVQWDKHFAGIGRVPGTQEDATDRISSAIKAWQISNAQVPLSAIQPDDP CTLLIPVEIPSLPILHTADIQLQTPVTELLDQKPGAVPTVAINQMLPATLSLKWTQIW DTEMQHKKDVEYSYEVSAPPDTWLLGGRRKGHFVIPGSKREPLSSSSDTEAQVPIILI PLREGWLPYPIVEIREVKDGIENQAQTCELDFRNLGESIRAVCERKGVTISLDASGPG GGPLVLESEEPNRDRGRIVA FPSE_04946 MEQFEFHPATTSHNRTWFPIEPGVDELVLNEDKQTGRRTTLQRW QPGARNQQDVFIHDYVEEIYMAEGDLYDENLQQGWSKGAYAYRKPGMRHGPFRSEGGC LMFIVCIPVDKQGEKEVGEKV FPSE_04947 MTNSCCDIERIAAASCPSLLMETSRDDFTSDRFRKTVTVSLIFD LQAHVSHLRGDDFVRNRWAMLCVSDSGKRCNPRAKPHKRSQSLTGSDLSVQVPKKVES QELENQYQTWFLDRHHRSRVSATDRADSIAFINLRRIRWMEEGPRITGNG FPSE_04948 MAVNELPNVPSEHAHHIARSDSSTSSSPSDHHHHDPDHENEEPI IHQPVPVRPGLPQRKSSGPLVVPRDSSAVGPHEPDFGPDDVRAMSPRRTSEDLDRIGK AAREEMRRHAKALQDSLLTIFNRIEAVREEHDKLDNNNKFLQKYIGDLMSTSKITATS SQHSTTIVEPFEHLDSSRCTL FPSE_04949 MAPSATALQAIEASPRLHHLLDRLHAASEAQEKSYSQLWFYFKF LYGFYITGKAWPASGDNHMRDKFVALEQDKCQFMYLLARSINAKNIVEAGTSFGVSTM YLALAVGQNVTEERAKGGNATGKVVATEKESSKAARARKHWSEAGEEVEPWIELREGD LRETLLVEEGMPDEIDMLLIDIWTPLALPALEAIKPRLGRGAIVLADNTKMARPLYKE FLDYIHNPKNGFKTTTTAYAGGLEMVVYLP FPSE_04950 MAIVTALLAPIHLFSFSALLGSQLYQTFIVTKVTFQRLPRAPFI NLQKYIFPIYFQSQALLLFLSAATLPPYGPLSLIQHKSDWIPFLVSTIVSGLNLVVFG PRTRKLMLHRVEQGIANAKTASPEDSSPVMQILKKRFGTAHAMSIHLNLISLGAHLWY TWRLASRLDTSL FPSE_04951 MAADLANRIGELNPFSSKNKHLNDEDYGEEIDNNTVAGGGHTGR HIVTDLRVSSALREFIANEKILSKKEAGVKSDDVSRALLELVSKPHIRVPPELTDRSH PLPEYYISSSHNTYLMAHQLYGSSCATAYETAIKTGARCVEIDAWDNGDNKDEPKVTH GYTLVSNISFRAVCETIRDSADQEELDSRKLGFRPSPILLSLENHCDAYGQMRLVNIM QEVFGHRLLSKAVRDIGHNEQAGSGDHVRLEDLGAKIAVIVEYHFPGEPSDSDSHSDD ASDEEEEKAARKEYKDKKNEEVCSVIISELAELGVYAQSVKPLDNSWYEEGTLTNGPH HHLINVSESGLAKHLPEHTAAISRHNAQHLMRVFPKGTRISSTNLKPVPYWGIGAQIC ALNLQRFGASNQLNEALFSGTDGYVLKPAALRAGGNGKLSTGRSKRLRLHVAGATDVP LDADSTKPYLTCTLHHPDDLKNEPPKRKTEPYKQHKLEFLHRGPNPPPTDPLWDETLT WEYEDNELVFLRMLIKSDDSWAVNPIYAVAAVRLLYVVPGWSFIRMLDPKGHETQCSI LVNFEIIDA FPSE_04952 MKYSILTLAAVASTTLAVAVPAPQPDPVAEPMPWCTWKGQPCWK EKMARREAQPEPEAVAAPEPDPVAEPMPWCTWKGQPCWKEKMARRAAQPEPEAVAAPE PDPVAEPMPWCTWKGQPCWKEKMKMAKREAQPEPEAVAAPEPDPVAEPMPWCTWKGQP CWKEKMAKRAAEAEAEPEPIPAPQPDPVAEAEPWCTWKGQPCWKAKMAKRAAEAEAEA EPIPDPVAAPQPDPVAEPMPWCTWKGQPCWKEKMAKREAKPEPWCWWKGQPCWKAKRD AAPEPWCWWKGQPCWKAKRNAAPEPMPEPANEPRWCWWKGQPCWKSKSKRDASPEPWC WWKGQPCWKAKRDAGEALTVALHATRGVETRSVAETEHLPRDAAHQAKRSIVELANVI ALSARGSPEEYFKHLYLEEFFPEIPHNATAKRDVKTLQEDKRWCWWKGQPCWKAKRAA EAVLHAVDGSDGAGAPGGPEEHFDTSHFNPQNFEAKRDLMAIKAAARSVVESLEG FPSE_04953 MTASKSRDGSPPTKQGTFTCLTIMVGSGLIGLLAVAFQISFAKA VEVDVKVDAYTNTVESDWTTVYYSNNNPLLIGNDGGPDKGGFHVYDLTSKSPLREVTA QTPGRSKLVTTVYDVDDKDVLVTIAQPDSVLRVYEMPEFKQIKDADFKVLGDWSALCS WKSPTGNDYVYLFGKGQAVQLLLRATKKSLEFVEIQTFPTDFETSGCAASRSESRMYI STDDDKNVYSFSLKESIGAPKIAKVGKAEDDVTGLAVYAPKKGSDYFFVAQTDKIAVY DQSFKLKGTLSLSGFEDIEVQGLNIYQGSTDKYPAGALTYALESEEVNGFGVSSLETT LKKLKIESNTKYDPRKLKSEPEKEPICKTCGGNGYCVKDKKQKCECFSGFTGKTCSSF TCVDNCSGHGKCVGANKCKCDKGWGGLHCSFVLVEPTYETESRPGDGDDPAIWISPEG PEKSRIVTTMKSGKEAGLGVFDLKGNLVQTFSAGEPNNVDMIYGFKAGDRKVDLAFAA CRAEDTLCLFEMLPNGTLTDIPGGSHPVVEDYKVYGSCTYRSPKTGKQYLFVNEKSAR YLQYELTSTSKGELQTKLVREFQGGSGGQVEGCVTDEENGWIFLGEEPSALWRYGAEP DSKDEGVVIGKVGDGKLYGDVEGVTLVYGSKADEGYILMSCQGVSAYNVYRRAEPHQY VTTFTLVESSDGKIDPVSNTDGITAVGTALSKDFPHGLVVVHDDANQLPNGKTSAEAS FKMVSLEKILGTKVLGKKGLLDQVDKNWDPRK FPSE_04954 MTYIKDLHIAIMGAGMGGLGAALALAKRGFKHIDVYETASNLGF VGAGIQMPPNVGRILDRLGCWDTIEKEATRVAGSSIRQGSTNEELAHVDMPDLKGQYG YSHLCGHRSSLAGHMYEACKKQDSINFHFSTSLVEVETFSPRVSFKLKPRDGEPYTSQ ADILLGADGIKSVTRSQILQQVDTTPEEAETGQAAYRIMLNREDMAHDPELLALIDSD EVVRWVGEKRHIIAYSIADKSIYNLSTAHPDENFAGAPSITYTTKGSKEVMLKVFDTF CPLVQKMLNLVPEGEVCEWRLRMYKPLPTWTHGAVALLGDACHPTLPHLSQGAAMAIE DGSTIAEVLCLAPDTQPETIAKCLKVYEQSRKEWTSSLVEMAYLSGRTLHLGEGKAKE ERDRMFKEHKLSGSVPDKWTSPDVQKKIYSNDCVAKVRDEFETLFAAT FPSE_04955 MAFKQDAPPPAYGPPPGAPQPTYGGYQQDPYQQGGAPPPQGYYQ QAPPQMGYPQQQQGPFPAGQGPYPPQQGPYGQPPPQGYYPHDDQRGNGGGGGGLMTGL LAGLACCCCLDCLF FPSE_04956 MAAAVANQPKHDWADDDDVEETSTDLPEPQTIANKDGTKTIITF RYDDDGRKVKTVRRVRFITHTETVNPRVADRKTWPKFGLSAKDPAGPAPDTTSVGENI IFRPSVNWRKAEKDDTADTATQNMKDQLKDKQVKCRICNGQHFTARCPYKDTMAPIGE SGAADVAAGMGDEPSAAAAAGAKKGSYVPPALRGNGTAGERMGSKFGERDDFATLRVT NVSEMAEEGELRDMFERFGRVTRVFLAKDRETGMAKGFAFISFADRGDAVKACAKMDG FGFKHLILRVEFAKKAQ FPSE_04957 MSTAADVPDTSPGLRQRQSGVDSTAQQETQAQQSHDLEPSETIN SSENSSKTYGRTPDGTVFIVPTTHDMVSQLLDPRQPKNLSDAIVLAILGLHILAAYFL PSGSKRTVFAIVFLFWRACYNIGIGVLLQIQSNHRRLVTWAKRWKLFEHPSTGKNPRP WLYKLLKTELETKITEDYEFEKAPLEYNTWLVFRRVVDLILMCDFVSYCLFAMVCGHT PEGENPLIGFSRWAVGISLIGFNLWVKLDAHRVVKDFAWYWGDFFYLIDQDLTFDGVF EMAPHPMYSIGYAGYYGISMMAASYEVLFISILAHLAQFAFLVIVENPHIEKTYNPPP PRKRTVSGSQIDAIPADTRSIEGAFDQQTLKPSQKDEPGQVHNLVGLSNLDLFRVPDF AVIVMPFYVATLTLATPSTAAWQAAFVFHALAWRVWYHLGLGLILDQQSKNKMWTRHF LKFGESAGEAWRQWKGLHHISMIMCNTAFVAACWKMYSPPEDWAYGLVMLKHVLGASL VALQLWTAFSVYDSLGEFGWFCGDFFFDHQAKLTYKSIYRFLNNPDRFFGTAGVWGAA LITWSRSIFLMALVTQILTVFYISYIERPHMQKIYGRGLRQEAGLTKFIKKSLPPHVK GWQESVDKVLDDTSQFVEDFLDTARPKFASGVKTIVRDTSALFNMAPARLTITRITPD LEGYDPKLYSLSVNGMQTTHVPIVEKYTGKESLTGRFPKPVRTMAFEYGAPLRVKWRA PTNHSKKDWIGLYMVTDNRSRETTEVSSLGRWAPTNSGSYDSLTADVSILVDEHPVSA TDSTEADLVEGEVIFEGDKLWWTQGVFEFRYHHNGHHHAMAISEPFEIRISKFDEEDV DLGAKGLYEQAVEAALLPVVQNCLDRDPDIAPNQPEEPFGGHVERDTKYAKRIVYAIR EMFGIEFAPPVVAADGSVRKLAWRVCNAKEVLAPYSMSLSRGTTTPALQDFPSEKA FPSE_04958 MKLLDHRKPNKNPFRDNSTTLLVSTLLLGVPLTVVLLHCAYETY YKKGAHWSHNHDILDPVSHAHDPSGLLYVASYSGLVTTLNLSLAAYRDAPVKLETLAT TDGCGGSPSWLTLDWMNGVLYCTDEGIKDGKNGSLASFTTNENGTLTPLTKLSTALGP VSAVAYGQWDYGLAVAHYGGSAFTTWDIRNPANITSVQIQEYGLPKPGSDPSRQEASH PHAAVLDPTKRFLLVPDLGADLIHVYGVDEDDSLALSKLDPLVVAPGSGPRHVTFVVK ETKTFMYLVTELANTVVGYEVVYGGGFIVFKEVWSSGIHGKGKDIPEGAAAAEIAVSP DREYILISSRNENTLQAPNFNSGNTTGITSDSLVSFRIDGETGHLVLQQDIACGGRFP RHFTINKAGTLVAVALQHDSRVVILERDVKTGMIGDFVAYAEVEGEVTAVIFYE FPSE_04959 MSPSSTGESAHSISINSARPFTVPARDSFALDLPMSSLATMSAD ASLKSPISYKNQRTPSFSMVTSQKARHLSQSSDNNRPDNMSNGGQKVSSDEGSNPLKR RNTETGVDYPRRRATIACEVCRSRKSRCDGTKPKCKLCTELGAECIYREPGIKLDAGD KLILERLNRIESLLQMSMVNTTANGLGLTENSPGMSNGTGLDGDNLILSANAATFSSI PNGGFGTWSAQPVGTNISTMPKVHTNAAMHLLQWPLIRDLISRQCDPQVLLQLEMARE PLHTLTKTPCVDLSNTQAYIEAYFERVNIWYACVNPYTWRSQYRTALSNGFREGPESC IVLLVLALGQASLHGSISRILPHEDPPGLQYFTAAWSLLPGLMTANSVVAAQCHLLAA AYHFYLVRPMEAWNLLCTTSTKLQLLLMAPSRIPSHQRELVERIYWNALLFESDLLAE LDLPHSGVVQFEEHVGLPGGFEGDESEQVGRDELWYFLAEIALRRLLNRVSHLIYSKD SIANTQSLDPVVAELDYQLSQWYESLPLPLQFPFTRNALQDPVQTVLRLRFFACRTII YRPYILAVLDNEQQVLDPAVRENCHKCLEASLRQLEHIHEHHAGHMPYIWQGALSMVS QTLLIMGATMSPSLLNILLTLVPSREALDQIINDVVMEVERYATLAPSLSLASEMLKE AEVRRRAFLGTP FPSE_04960 MRLGGYNRDVPDEEARLPLVRRRPLRPESHDWYGPGDVAPYYPN PMPHRTRVDELQRPNGGTPRGYSRSMSLDAYPPPTMPMPPAHLMRASTDVYKKRPQFE VYEADEDDEGDERFPPMKPRGRQPEPARPRRRVTRPREPTPESTPPTESEEDSESESE SESEDDSDDERIEVVVEEHERHKRHHRHHRRPSPDDYMSSPDEKRVPQRRGRIPSPST SDDREIRRYRHASSERGSPDRRPALRREITDTPRYSLPTRFNSVLDASRPPVASRRTA KVVESREIIREGRPRRLVETVEVSRESSRRPPSIAGSGFETSRNSSRDRPRIIRDCKG CLDEVPASRCPKLECGHRMCHNCLKKRFKQSMTDAEHMPPTCCTDEHIDPEHVDKLFD PVFKKIWNKKFVEASFKNRLYCPSRRCGEWIRPINIYHDRDTNRKAARCDRCNTKVCV TCNGRWHFAGKCPRDDETAKFLAQARSEGRKRCIKCGATAQIRDGDNHALCRCGAEFC VVCGDKPKRCECPRFDADVPESDHEEKAITRRERSEIQVFREGSTTEDSRGPRRPRGK QPRPHVYDEEIMLRQEDREDELVRRPRYYAKDDEYDIVGAPEPPTSHYVEESPKRLGR RVVGGAPPSPSQAEFERGSRVGRGGYYGGRMDRRKVERHAEDPYDMEPPGMRPPPMGM PPPVMEGGYRGGTGPLIVERDPNTYDDDDSYYSHSSRSRKGTRRSDVRKSSELAGLAG RNSGMGRIDVWRKFVEPGDPEGELGPAVAAA FPSE_04961 MLLLHQVGSLKTGEVVRYTVTYTPSQDRILPSPEKLYLRVRNTS AIALRAAFVHGPYTLCAAAYPAGFDPNEKFEYPERYGVPEFEPMVKAGGSWECELVVP VTIRQSAGLGSHGGFGKGPSHDHESASWIVEVSSQILFSTSAAVGFEVVLARDKKSLG LTNASQVINGQTQVSQPGKISDHQQGVGAKDGHHPAQPKGVFSRAIHVKVEDTAALWN TPRLPGWDDKGDPEKRDGPDQHVESVSKTNDPEVEKDDDSKSQEPKQKKKKVHVVILT HGLHSNLGADMLFMKESIDAAVKQAKIDAKARRARERAEREQSENGLAKSDTYNTKDQ DKVEGEDDESDDDEEVIVRGYSGNSTKTERGIKYLGKRLARYVLSMTYPDQPFLPTGK GMAEAVSHTFDKHDPQQKAVHTHSSIHLGKDGARLRKAERPYKITSISFIAHSLGGLV QTYAIAYIQKHSPQFFDLIKPINFVALATPFLGLSNENPLYVKFALDSGLVGRTGKDL GLTWRAPTIARSGWGAIVGNLGETAHKKVYGDSQPESKPLLRILPTGPAHTALKKFRN RTVYSNVVNDGIVPLRTSCLLFLDWQGLGRVEKARRDAGLVETVVGFGWAELTGSNQG NSRQRQLMNGERSVGQSGTSSPQNVHENMREVPQPPSTVMMDDDRTSLRSVATPYGDE VPSDSADSTNSGPLAGFFNLFKSSEPPKPQNVSQKQKRIFSNSQTLKEATDGPGEDST ESASAKQSTVTTGHEGEDEMTAPPRTTVFESASDLLNPKMPDIDYLVDPSKRPRTIFH DRVYHPADIPGPPLKKRPTTLVRRKTGQRQNSIGQVSTSSAGSNPYPSINHEDSSLST QDYDDTAHTNPDKDANEVIDTANMRVEEKIARAYHKDLSWRKVLVKVEPDAHNNVLVR RMFANAYGWPVVKHLVDAHFSDSAAARLRNEDEDNRERARNMNEPPDAHGAELKKSRD DLAGMTRTDSESREALDKVSDLPKAAPSKRDSDASMDQRPKAERADSVSWSDQDWHDS DNDSDLDFGGEGPGPTSPSALGQQDKGKEAQGSSAGWNWTEKIVGKGAARTKSPVHED GEELKTNKSEL FPSE_04962 MRLYLLPLSTRRTLLYAKRLEVTTAPQGRTYVDKGTAWAARTWA QWEKMESGWKRKVVDYGNYAFRRIPYEEWGLKSVPPLSSRRRGEDIQGRGKVELCFPS SVIPTNKAEGILQTLATERQALHKKRLVWCIVGMPITIPFALIPIIPNLPFFYLVYRA WSHYRAIAGGKHIQWLLEHKLLRPSPSEKLDQLYALHAPPAEEPDNKERTLLTQKEVQ TFSETLNMPALEVELERAIWQVEHAVLHPDTKSPPKEKAAGTAAEKPGSTEPVEEKYK EEKDK FPSE_04963 MTACNYRDASSYAPVFPSPLNPTSHGPVNKYGAVRIARRRRSRA GRRGPPGSHTLTQRFLRVKAADAWRGHVLSAQVTQYEYAEAAAMGVIRETKNRNCCPS MPGLKNFGLNFSLSDAHRIASRISLPDLSCLKTRRMLLAMGLVGLLPALKVRDALRAA ESL FPSE_04964 MFNWAKQQLANVAGTQEPIYGPSAIKSVAIEAEKTPYTEVTRDG LKWKAMDSTSVETESFYITADNGYIALAQVIYSNVAGIRTTCQFNCKVFDKDPTKPHL WASTPLNNQDFNEDKTSFYADDCAVELSEDGTYYTIKSMNSQNAIVNLKITRSTPGFQ AGTTGTTLYGTDHNNPWGSIRHAFWPRCVSEGTITTKEGPIDLKGKALFVYALQGMKP HHAAGRWNFVNFQGPTYSAVMMEFTSTPSYGSTLVNVGGIVKDGEIIHAGAMSTATHT QVKKDSENEWPEPSEVKYTWSGATKDGKPVEALIEGSLGDRVDRVDVMAEVPGFVKTI VAAAAGTKPYIYQYHPKLSLKLKIGDEEIVEEGVIFTEATFIS FPSE_04965 MEEDGTQNLAQAALDPISRPESTSTTASTSLPPHVLPGISALAA ANAATDVATQLRASAAPSPAMYPTASPAATSGGSGSTMPTCHNCSTSTTPLWRRDEYG AVLCNACGLFLKLHGRPRPISLKTDVIKSRNRVKTMRPDLANKKKQQQQQQQAAAQGF ATTDANGFDTTAAARRASHNKPNGHDGDSPISRTGTPSMYAHHNISSFMVEDPYQSSF VATGEGRAISPMNGDRKMDAPQSQEQLIAQNSSLKTRVSELEVIIELFRGRLAQLEQQ EATARNSQQVASAEQNQLRSELDATRESEAQLRAQLEDSHRRENSLKRRLDELELEFQ AVHPIGSDPDERPAKRPRTVDEPYKTQSETDIEAAAEALIASEASRPNETAETARYEA TETPEVSQPSEEIKETYPSPETEDVPIDPDMPMMDDTPQSGEEVKPDDASVLDPPAVT DASSEAVEGAQGTASETATA FPSE_04966 MPTVPSRGGPSGSRSMPIPVTYAGGKVTAMQKRGIGRPAGQQST GGKTVLGGKRHRKILRDNIHGITKPAIRRLARRGGVKRISAGIYDDIRAALKARLETI LQNCVIYVEYRNAKTVTVQDVIHSLSRMGRPLWGFGRETYNPNK FPSE_04967 MATCTTMESPQHMTIRELDLRTCHPSTVVDRVGAVPVLKTSAAF FTFLRANLVLHSAMVSATSTPQFGSSVPFSSFVPPSPSPLAASTPYFGLDDDEDVEPL SLEVLDKPQDKVEGMRLVADSIAQMRQRASLNLVFHPVCLAGLSAALASIYHFSGVSR DSGLGMTVSCGVIMSYLMGIRYLASGYISLAERLRWNWLRSDDGEEDTLLAARLNQDI IGTLVLRLEPNQTSNHRRRRSLSLRGGKGVIRAWTTNLNYRGKGVGKDLLQEAVRVTK EKCGKDAEIGFAQEHANSAMLLPGIFNAPFRRDEVRATRALDGILSNWDLLKRRR FPSE_04968 MSLSRYGVDDAKVPQQPFLASEAPRGPTFLDTTACLCSLRALPG QNKLAEANAALDNGQAAWQCIGNQTQGVYNVTSGKWYKAKNAKGSLKLPMEDDSNPPV GKDLVWDSKSKSLEPLKDNTLTVYDRDCTGTNRSSFSTSFYRATHQQLNDQLPYDAAP CWRPGALPLQLQNISSWQNEGCREGFLCQNNTINSLPQSCPPLKECTVARVSGITCSF NGSNIAMGVFEPIVCQAGYYCPVEDKGQKKIRCPAGSYCQPGSSSPTPCNVGSSCPEG SSFQRYYVPLLALILVDILLLVGMFVLMFRSRWQRTSKAHTGMLKRHKTMRAVKATIT GGGYKELRDDADHEMAPMQPGYSPYSPYGFREGRSRTGFEAALNRNSVYSSEAEQREL EANPQLRAFVESMRRATEATNFGLSFRYSDLVFHPKKSPKPVLQNVTGSIEQGQLVAV MGGSGAGKSTFVNVLMGKTSNTGGIVAVNNTPGKMKQYKKLTGYVPQDDVVLPELTVY ENIVHSARVRLPHNWTPKEIEDHVEAVIDCLELSHVRDSRVGSVGKPVISGGQRKRVS IGMELAAAPMAIFLDEPTSGLDATAASSIMRTLKAIARLGISIIVIIHQPRTEIFDLF DNLILLGNGQTIYEGPQIESQTYFESMGFQFPEHSNHGDVITDIITGNGREYNNVGDV SKEALISHWAKMRQNKKEEAYERRSIRSTMLGDTGMRQALKKRGAPYYKQAWLCLCRA MLQQYRARAAFFAEMGLAVLAGALLGLANNPKKGIMFVGLFHEPYDVLSTSIDFFSAP QFALLIAIAIGLVAGAPGVKLFSEEMLLYKREAEAGHSRLAYFLAKVVSVFPRMALGC LHFTVPLFLLSTPIVDWGLAFLVNVLYFYCIYGLASIISMVVRREDAPLFATMISLIT GILSGSAPPLASVRDWHMEWLWRASPATWLAELYFGQLVAPFSYLYSVDIAAEKTGYH LDRKWLNIGILVAIGTLYRLIAFAGMILGHRLRR FPSE_04969 MKVTQLWYYPIKGIQGIQVQSARLGPQGLQHDRRFMLCKIEKSG ELRKLQLSEHPECSLFQPEVIGDMMRVKYLTPKEPLVPWKPDQDTVLEIPIEPKLKDL AKADINLHQSLVVAYRMGSEYDTWFSACFGFATALVYIGDGRRPVLGTFSPKSQNTPP SWTSLLVSYLSGNKVSSVEEDWITFSDCAPYLVATEESLNNVRDRLSTSDVDMTAMRP NIVLDGETAWDEDFWAQLVVNGAHVIALTKNCNRCTSLNVDYKTGRTAEGERGTVLKK LMSDRRVDTGMKYSPVFGRYGFLTSKPDDNATLSIGDNVEATARQTERAVCDWPSRDP KFARYYQKSSNANTNLSIVLSFWLMAAAVLGLLPCWLFFS FPSE_11316 MNNPPDTNLVSTTSSDQCPEKAPAQTQAQVQGQGKPGPGPLTSP STSASGEPSAADFSTSPRRSNPHSLPPTSALAFGYVPAEPHQIQTKPSRQARAFSYSS ASLLANSSVAAATRKGRGAEPVTRESYARRSSFTTAQNSTTAVSSLPIKNPRALASTR RESMTSDTTAEDQVLTDSQLSDEPHHQRTRLPSAIENSHTVSSETPSATNNRFSSSSD LQSRRLSGTSIYSLASARGVLSGSSSAQGSELGTPPRSVPGFLSTSKSTGVVQSEAEV SNVTVTTSSLQAGQSVAGNPNQHNLTARDHNSQPLDFTKRAIRHDNMQNATSGLRNQG PDRSRSRAKRRFSGSTATSSHSPSSDRAPQHREREEVKPSRYGVIGVCALDIKARSKP SRNILNRLIANREFDVVVFGDKVILDEEVENWPICDYLISFYSDGFPLDKAIAYVKAR KPFCVNDVPMQQILWDRRLCLHLLDKINVRTPKRVEVTRDGGPGYLTPEMSKHIKEIS GVTLDPIDPEQVPPPQKVELIDDGNTISVDGQTLRKPFVEKPTSGEDHNIIIYFPSED GGGARKLFRKIGNKSSDYIQDLNVPRAITEPDSSYIYESFMQVDNAEDVKAYTVGPSY CHAETRKSPVVDGVVRRNTHGKELRYVTALGTEEKEMASRISTAFGQRVCGFDMLRAS GKSYVIDVNGWSFVKDNDDYYNHCSNILKELFIKEKQRRGGVTPPMPSPAPSESGTDP FTRASNAFKDREQQGQSSTNGVRTSIASIPATTDSQPDDTSRRATSGTVTPLMPTEAG QPSKPQSSAAIPVIPTTPTDVNLPGISPAPPAPQSAIADPPSEDTPAVPEPPLPTHSW KLKGMVSVIRHADRTPKQKYKFTFHSEPFIALLKGHQEEVLLIGEAALGSVIQAVDLA YEQGIEDRAKLRSLRNVLVKKGSWPGTKIQIKPMFRKKKTEQPAISEELVAITEKENN KAEEEGDSSKEDKPAGAPKRQDSLSGVTMSKFTAAEERLVLDKLQLIVKWGGEPTHSA RYQAQELGENMRNDLMLLNRDILDEVHVFSSSERRVTTSAQIWAASFLGKKDIAEDFI TIRKDLLDDSNAAKDEMDKVKKKLKGLLRKGNERPAQFTWPENMPEPSEVQTRVVQLM NFHRRVMDHNYKKLCSGAVTSLNAISNPSTEKLSGDNSSSSIASSMSQANTINQIQSR WCCGEDAELFRERWEKLFQEFCDGDKVDPSKISELYDTMKFDALHNRQFLEWVYTPPN HMLDEYTAAGTKESKPKESEDGKSIDEKTDKSHQNSPEGSDKVDAGSRSASVKKLFRR RSFLNNLRHFNEEAPPEQYFRLYKGTKQTASQTDAQNEPLQELYRLAKVLFDFICPQE YGISDSEKLEIGLLTSLPLLKEIVQDLEEMQASDDAKSFFYFTKESHIYTLLNCIIEG GVETKIKRSTIPELDYLSQICFELYEAEMKTAGDGSSPHNAPTFTYSIRITISPGCHV FDPLHVQLDSRHCIGCAPRRSLTPHADWLQVIKTLRAKFNQVKLPKTFLAVNLSDAFT FEDLERQGSDSDVLEMKTAPSRGLTDKPKSPGQEGSEELATGAGEVMIS FPSE_11315 MSSTVSYLFAVYFVSILFAKLLHLYIHIHSISVIDFIVYLPTFF LQDVFLVLFGRLLLRRERTIVSLAGYILGCVLTLITFVAAASELGFHYRTGGEVEWSD AGDFANGEGLNVLVSESSSVVVSALIILIVSWFPQNYLYRTVGNVVTGLGKRIASVSR HLRGKIRPQSQNQHDPENAEPFLHRTNSGESLASTGNASPNPNYDGEEKESEEGAPTK RRMCAFVPSWIITTSIILFISITTIVRPDQPYNHMASSLPLRLLDSVKPKFGLCASQN EWPLKELTEPSKWAVPKGDFKGWAPGKINNDHIKNYRDNPPKWLPDPIPSGFLKWDTG RYQNQTDKKDGPSDTCPNTLQDRGFYNPVNDPMRITNLDQDIIAPIQEVLHNNSVKIR HVALVLMESMREELFPLQQGSDIHRMIMESHDEKLRDEINSRVSRMTPNFERITGKSG NYANSNGTAYAQPENLSWKTQDKPGFGGINVVGGFTTSSVSTKSLAAAHCGAWPMAVN MFEEAELESYQPCLPQVLELFNKVKNNQTEKRQWWGSKEWFGKSESQQAKFHEYQWKP AFFQAVTDSYDRQDKFDAKIGFDFKVTKPKLDEEALHNPEMEEINYFGYPETDLKEHI KKYISDGLAENKRLFMSHFTSTTHHPWGVPKWFETEKYMGKKGGQHGDMDKYLNTIRF TDTWLGELMQMFEDAGIADETLVVFVGDHGQAFKEDYSKTGTYENRHISNFRVPISFR HPSIPRIQYEANVTSISILPTVLDLLVNSGSLNQEDSAIASDLANDYEGQSLIRPYQK TKGGRRAWNFGLINPGGGMLTVTSADAPWRLAVPLKKDLEYTFTDLSKDPLELDPLNK WNIKSTIKAVKRQYGDEAANWVREADEVAHWWALERRRLWGYNPNED FPSE_11314 MPHNEADHPVVKRQKTVAPANASKSASESSRIFAPFRTVGLVSP TSVPFTSIPLGKTTFQISTSVGRSIQTYDLRRGLNLVFITRPQTPADITATLAWKQQI FAAWGGSTKGEPQGVWIFQRGKKVNELVLPSDLIEPIQQIHVLGTWTIVAALTRIEIF KTATLEHYTTIHTTPASNRGNEITGGIVTMPTFLNKIFVGRKDGWVEIWNVSTAKLIY TILPPGPDCGSVTCLEPSPALSLLAIAYSEGTLIITNVLTDKISLRIEAGSSEAQVNS ISFRTDGMGAGNDGRKDGVMATSTAATGDVTFWDLNGGGRVMGVLRSAHNPPSYDGPL VRGGISKVEFLPGQPVVLTSGRDNSLKSWIFDETPFSPIPRILHSRSGHAGPVNCLQF LPTDFEGADAGNKWLLSGGQDRSLWGWSLRRDGQSAELSQGNIRKKAKKIGILATNAL SHGPTTTLEDLKAPEITCMASSLNRDGGMGAMPGNQPIWQKSQKKRAHNAEVSGMTGW ESVVTAHKNDSYARTWFWGRKRAGRWAFPTGDDTNVSTVAISPCGTFALVGAESGGID MYNLQSGNHRQRFPSRLTPAQARQLKMQQLRQADDVVQLHMESTRQFPVGTGKHTKRI TGLVVDSMNKTVVSCSLDGKIKFWDFLTGNLLEQIDWAPMTFPTGCRYHPASNLLAFS CDDLSIRVVDMETKRTIREFWGPQGAINDFCFSSDGRWIIAASQDCVIRVWDLPTSHM IDAIRLEKPCKAIAMSVTGEYLAATIENELGVTLWTNKALFKHVPTRQISEKEIGHVS APTVSGENSHGMLEGAFEDEQAEDEDTVVAPTIEQLSADLTTLSLVPKSRWQTLLHLD LIKERNKPKEAPKAPEKAPFFLPSTTGKDTLMANKEQKGDIKNTSRVTKLEQARFEQA LSSKLRVGAETGNYDEFIEHLKSLSPSSADLELRSLSIGDGDDVPNELLHFIRALTAR LKTRRDYELTQAWMTVFLRLHFDLVMENQDLLEALSEWKTYQEKECTRLDGLVGYCSG VVSFLRSPRT FPSE_11313 MNMEPSDIFRIVNLAVGVIVILGGIVSIFSFSLQPIILGAYMIV FGLVTGLLEFQIPPQVSRHASFMFSFIGRGVFYIFLGSLMVSDSVLSKIAGSIVGITG IAYVALEFVPSIEPPANMREAEDAGWGAEQV FPSE_11312 MIPSYRTYEILASLLMLMLGVTQRVGDVDSGNTVTDFLDLERER GITIQSAAITFNWPLHQSLAPGEHAKTINLIDTPGHQDFRFEVDRCLPILDGAVCIID SVKGVEAHTERVWGSAHEFRVPRIVYCNKLDREGASFKKAVLEIGTRLKGWPLVCQIP WWEKEDFVGVIDIVNRVGYRWKSEREKTVYNTAQLKEKLSSSNKDLLPEIETARQALV EGLADLDDAIMDEFLAENENIDAAVIKQAIRRVIREGDGRVIPVFAGSSFRHIGVEPL MDAITDYLPSPDERPSAEVRVGSTKQRLIEVLDNSPKSARGQVSSIASVFKVFNHPKE GVISFVRVYHGTLTRNAASFNTNILANEKPMGILQISASQTQDIQTLGVGQIGALRGL KKARTGDTLITMNGNKPIPESFRHVKIRPPEIPPPVAFLQVDPYGNVAAQQLQIALEN TTREDPSLRYSRDPKTEQFTIQGMGKLHLDVSLYNMKQKYKIDADFGPIEVDYKECVT EPTRPQHVVFDRPVASKPGKVSCSVTLEPLEEHHRETLLESSVERDGNIYHVVIPLAE GAATLNFDPEEARAQLLNGAIAGLARGPRRAAPVHGCNVTITLDTEPGACETPTGGHF SSASRSAVQASLRDAFEKEQIGVLEPIMLVNITCPEVVAGAVQHDVTAGAGGQVLEVN DRSAESTGEDHIDVSKIYTPPDPYDSITSLRGKKSTARVVEIIAKVPYKEMLDYDNHL RSKTAGRHSMTMFFDSFAKVVGHREKSL FPSE_11311 MQFTTTIISAILAFTSFTEAAPRNPRSYAEAPSLTAQLRLADTA ADFFTLLPDDKDFVFDFNKKQESPGKGGELIAANRKTFPALVGTGSGMAFGRIDACGM NTLHVHPRSAELQIVTSGRLMTEMVPENGVMDKDGKRRVIRTELKANMMTPFYQGSVH TQYNPDCEPATFVASFAAEDFGTGQIADEVVALSDDVIAATFGQSIAGEDIDKVRAAI PKSIALGVDECLKKCNIQKRSA FPSE_11310 MRLINLSAALVGALSAPSIVAGKSHGHRHGQHSVLESRPLQKRG GQCQFPTDDPNMVAVTPDAKNAGWALSPDQECKPGSYCPFACKPGMVMNQWDPKSTYE YPSSMDGGLFCNEDGEIEQPFDGKPNCVSGTGSVEAVNKCGSTMSWCQTVLPGNEAML IPTVVSSSATLAVPGSSYWCSTAAHFYINPPGTGEEGCVWGTEDKPVGNWSPYVAGAN TESNGQTFVKIGWNPIWEDSALKSTLPEFGVEIQCPDGGCTGLPCKIDPTKGQGNVGS ALSAKGAGGSAFCVVTVQKGSTAQIVAFSTSGGGSGDDDDEEEDSESSTAEAEPSSTA EAEPTTSEEAEVSTTEEPSTTAEPTTSAAPTTSAQPTTTSAAETTSTPVETTTTEQLS TSAAETSSEEPTSTSFTSATTSKTKTKAQKATSTTYSRKVYPTVKPGIFRENGTTTYT SSETEETAAATEAGSGAAPTETKDSGAGRDQGNAALAGLVVAFIAAACFF FPSE_11309 MPLVLDFLTQIRGFIRSQNGDELRAWLQVEPNSPQQYHNLAAEL RSQFRQQGLDNIIEKSLPQDDDVPEGQATVWPGFIAFMKDYMAFWRDVNYEDLLGAHQ LLSGLVNSCSTAFAHPTYGAMLLKTSMSLSETLARLTMSLSRQPELARRLRAVDEDKT IAESSAEIIQKIFTTCLTDRSSGRYDRPEGKKIGVYMFANLVLKLLFACRRTHLAKMI FVNINTISPPLSLYPAAQRVTFLYYLGRFNFSNNHYLRAALCLEQAYLQTPSQLVSHR TNILTYLIPCNILLGRFPSQLLLQRQECKDLGPVFFPICQAIRSGNFIQFQHHLAQHE TWLFEKGLLLTLSSRLRPMLWRSLSRKTFLLTYIPPTDASSRKAATLDLVDLHTASTY LQRRLEGWVPAGPNGHNRSQNVNPLLVKALGNNAQNPEGDSTLAPPPGGPKSLRPNEG MVWGNAEVTSEDVEMTVATLVQQGLMHGFIAHGSGRFAIIGAKAKGSPVLAGWPNVWQ TVRDRRYDEDFDPDEVPGWVK FPSE_11308 MTVTQLRSAGRLAQLAGHVNSARQFSTRPALRKEIQDAYILSAA RTPTAKFNGSFLSVSAPKLGAVAIKAALEKSKVPVEKITDVYMGNVLQGSVGQAPARQ AVIFAGLPKEIEATTINKVCASGLKAVTLAAQNIQMGLSEAQIAGGMENMSQVPYYVS RASGLPAFGHVKMEDGLIKDGLTDVYDQFHMGNCAENTVKNHNITREQQDEYAIQSYR NAQKAWEEKAFADEIAPVTVKSRKGETVIDTDEGFKDVKFDKIPSLKPAFVRDGSGTV TAANSSTLNDGASALVLGSKAIAQQYGSGSRVLAKICGYADAATAPIDFPVAPAKAVP IALERAGITKDQVAIWEFNEAFASVILANSKILGLEGAKVNPLGGAISLGHALGSSGS RILTTLLHQLKPGEYGVAAICNGGGAATALVVQRVESV FPSE_11307 MSTAARRRLMRDFKRMQTDPPAGVSASPVPDNVMTWNAVIIGPA DTPFEDGTFRLVMQFEEQYPNKPPQVKFISEMFHPNVYATGELCLDILQNRWSPTYDV AAVLTSIQSLLNDPNTGSPANVEASNLYKDNRKEYTKRVRETVEKSWED FPSE_11306 MSSANSIKVVARFRPQNKVELASGGTPIVSFNGDDTCSLNSKEA QGSFTFDRVFDMGCKQQDIFDFSIRSTVDDILNGYNGTVFAYGQTGAGKSYTMMGTNI DDDEGRGVIPRIVEQIFASIMSSPGTIEYTVRVSYMEIYMERIRDLLQPQNDNLPVHE EKNRGVYVKDLLEIYVSSVQEVYEVMRRGGNARAVAATNMNQESSRSHSIFVITITQK NVETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGKS SHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETLGTLRFGMRAKSIKNKAK VNAELSPAELKSLLKKAQGQVTNFESYISNLEGEIQLWRAGEAVPKEKWATPAASTDV VTRTKADAARTSTRPSTPSLISDSRSETPAISERAGTPSLPLDKDEREEFLRRENELQ DQISEKESQTVAAEKQLRETKEELAYLKDHDSKVGKENEKLTTEVNEFKMQLERLTFE SKEAQITMDALKEANSELTTELDDMKQQLLDVKMSAKENGAALDEKERRKAEKMAKMM AGFDLGGDVFSENERHIAETIEKVDSLHELSATGDNIAPDEFKALRSRLVETQGIVRQ AELSMYSTTSGDADSRRRQELEARLEAVQAEYEEILTRNLGPEDVEEVKARLENAFAN RQTAQSAFVDELKADIAQKAAENTRMKTLIDDLQQRVKAGATAPMANGKTIQQQIAEF DVMKKSLMRDLQNRCERVVELEISLDETREQYNNVLRSSNNRAQQKKMAFLERNLEQL TQVQRQLVEQNSALKKEVAIAERKLIARNERIQSLESLLQDSQEKMAAANHKFEVQLA AVKERLELAKAGSTRGLNSPGGFSFANAGSRIAKPLRGGGGGNDAAPSIPTIQNLQGQ NEGNTSSGSSSKRASWFFAKS FPSE_11305 MISETAMSLMRRDNMPKPNLGHEINQLPDWSFYVFLANFILFIP VLLLCSYTFEKVFPVLAIVEDEKPPAYAPLAVESIANDDITKPSGVPSAPAAASHGKP ITSSFRATFSLLRSTGGFRAMYRGYLMHALQALALGLIMSALSHVTPYSFVVAHLISS LICVQLSTAWVHIVITPESQDPWYRRIPAFKTTFLATWRPIVIMWAASQVVTLSGITT VYAMGTDSQGMPEFDGSESGVWGIMILALFLQVAIQIPAYVVLVRVQASLLPAEADTI IPFDRSFNGRIEPVVVGGRGYATVRDAWSSFSKSAWKRIVMLEIKIIAVTAASFFALM VVVIPQIILLATLSASTGDNPGNGDMKM FPSE_11304 MSGPEIPNLLSSLRSARGGRGRGRGRGSHASSSATHDSTIQGTD TDASVSRLSAVDLGYLDDPYAQYFVQSSAGPAARRLPIINRGTYARTISLDNLIESFL SADQSTGSDSTPKQIVSLGAGTDTRPFRLFASKARPGLVYHELDFEVVTSKKLRTVQA VPALRNILTNVTQLTEHSWSSKPLGGEYYCHGQDLRNFSLSKASKEDNDTQETPQEKP EITLPGLRTDIPTLLLSECCLCYLNGTEASDVLNFFTSRIPNLATIIYEPIRPDDPFG KMMVSNLAARRIEMPTLKMYPTPEDQRARLSKAGFETVHHMTIEDIWQTWVSPEEKQR VDYLEGLDEVEEWKLLAAHYIVVWASRGSGFGNWGGVGAGA FPSE_11303 MAESRDNKAYKYRQEISQMMYVSGETAEPPVETTSIIEDIVRQQ VIELLRNCTELASRRGSKSISINDLIFQIRHDQAKVSRLRTFLSWKDVRKNVKDSDDK GADADLAAGDDPVATGDNTTDEASKKNKKAKVGLPWEPSSYYNVEVPEREDEEDEEEE EMNYITLQRLRKADERTKAMTKEEYVTWSEYRQASFTYRKGKRFREWAGFGIVTDSKP SDDIVDILGFLTFEMVQTLTEMALKVKEQEDLVRAQNGGDNLGTAKKRKHEGALFDFP SEGKTPIEPRHVQEGSRRLQQRPKKSRAMLNGTRISQHTPLNIF FPSE_11302 MKTVIRFLKRLVIAIWADRQANDLWQQIVKCSIACIASVVAVIT PEAVAILGPATFLAPMGVIFAHPGQRTGIMIEALMMLVLGTAVGLGWSILGLFLSSFI VDESKAAAYTIRGVFLALASVFHGYIRSAAPRAFLFVAFYLIACLIVLLGKQDRVSMS VFTNVAYPTLIGAGISLVANLSMFPESSSNFVGLAAIDALCETMDTLTRATHWFITPG GDSHEELSHVELTMTSTVKSVPEKPKRKKNFVTKRMAQFPNPFKPSQNRYRVSTVPVG LATIASLTSAKGKLRSRLAQCKNAQREVNYEITLSVLPPASMKPLTNSHMGDLVQNIT NIIGACENKFAVVENDDGSNDDSTTTADSSNGEPSGGITRMNTFEVYQQKLENAKPPR EVELSNASLLEAIIARIREPTAKFDAVIKDAVRLVITCVAYCYDVPRLPSGLPVPKDI SLHELDYRIDLLAEAIANFDSSCSSELRQLRMDESGNSVDFMPRHETFLISSFVLGVR QSATHVLQMLYHVRETVEQRQARRNRATFWFPKSVDMRQFWKTGGESDGLVLPGTARK QIHHGKLKYQTQSAEKDSNDTEPKIKDEERAIRFVEPKPRVTKRNDGAEKRKGGKSKA DGSSRILKIRGKAADIIEWIHESNDLFYAIKLAFAVLLLSWPAFVWDDWYARIRGVWA PMQLFLVFEVAVGTSVYVFIVRFIGVLLGCVIGYISYVVGGGNKIAMVLVLIAGVVPS FYVQLGTRYTKAGMISTVSMVVVALSSANGPLSAQDNFIRRWLCFLVGGLVAVAVEMF VFPVRARDRLIESLSVSIKQVQNMQAAMAVGLGSPAKPDFRDPGIIKRFNLAKDRAQE ALALADTFLPMCLAEPRLKGDFKLLYPVYKEIVVVLRQIVERMDNAVSLRKEFGSSIL EDLHPQVYMYRRNVAASIMLLLFSVHEALITWQPLPQFMPACRLAHFRLINRVREILY SRSGAQTPAGGPPSVSLENVNLAEEVTRLIVQKRSLSWNASTYGQGEIIEYLEELVEL TKILVGVNAFRSGLLEEAAPYSKYDQRARLNRIPLSRAPTNETAATVAAEEVPREEKA ERSDSEEDIPVSLQRVGTRICENNALIRRRTIAMSNDDDR FPSE_11301 MLSFILIQNRQGKTRLAKWYAPFSDEQKIKLKGEVHRLVAPRDQ KYQSNFVEFRNNKIVYRRYAGLFFCACVDTNDNELAFLEAIHFFVEVLDAFFGNVCEL DLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE FPSE_11300 MPPSASLITKLKVQLKLTIARLRMVQQRDEQLGKTARRAMAQLL EAGKEDSARIRVENIIRSDITSELHEILELYCELLLARSGLLEAHTVDPGLEEAIQSL IYAAPKTEIKELGTVRTLLAEKYGKEYVLAATENSDKKVNEKVVKKLSVTPPREELVV GYLEEIARAYGVDWPKREAVSPPPELLDEDEEADDDEPSGGQKQRAVPIAADSKDSIL NTPVKKLAGGGPTSPLTVTPPRMTTDNIHPKVTLGSVELKPSKKMEAAARKGEPDGSI PDLGDLERRFAALKKR FPSE_11299 MNGAASSPRVKRKAPGSPDLPPPAKRAINGKHSPNDNTPDIVEF DDQSETSGDLHPHAMYIGTPGSLGEWQDTIQKVVRNVVAIRFCQTCSFDTDAALTSEA TGYVVDSERGYILTNRHVVGAGPFWGHCVFDNHEEVDCYPVYRDPVHDFGILRYDPKA IKYMHIDGLELRPDLAKVGTEIRVVGNDAGEKLSILSGIISRLDRNAPEYGEGYSDFN TCYYQANAAASGGSSGSPVVNKDGCAVALQAGGRSDGASTDYFLPLDRPLRALQCIQN GKPVTRGDIQCQFLLKPFDECRRLGLSPEWEAAMRKQFPEETNMLVAEIVLPQGPSDK KIEEGDVLIKVNGELITQFIRLDDILDSNVGNTIKLHLQRGGEDVEVELEVGDLHKIT PDRFVSVAGASFHDLSYQQARLYAVAVQGVYVCESAGSFRFDNTDNGWIVQTVDHKKV PDLDTFIQVMKVIPDRARVVVTYKHLRDLHTLNTTVAYIDRHWASKMKLAVRNDESGV WDFTDLGNPLPPVPPTRRSASFIELEHMPHPGIANLIHSFVHINCTMPLKLDGFPKNR RWGMGLVIDAEKGLVLISRAIVPYDLCDITVTIADSIIVEGKVVFLHPLQNYAMIQYD PSLVDAPVKSARLSNEVLTQGAKTYFLGYNRIGRVVHGSTSVTEITAVAIPANSGAPR YRAVNVDAITIDSNLGSTCNSGVLVAPDGTVQALWLSYLGERNPHSSRDEEYYLGLGT KTLLPVVESIQKGVNPKLRILSVEFRSVQMSQASVMGVSDEWIKKVTQANRSHHQLFM VSKRTFERVNQPVSLLEGDIVLTLNGKICTTISDFDLMYSHELLDVVIVRECEELHLQ IPTVSADDMETDHAVSFCGAILHRPHQAVRQQISKLHSEVYVSSRIRGSPAYQYGVAP TNFITHVNGTPTPDIPSFIAATREIPDNTYFRLKAVTFDNVPWVITMKKNDHYFPTME WIKDDKEACGWRRVTYEGSDAFKGEAIDGVAPVAEDAEME FPSE_11298 MATDIGDEERAHLLGISPSPSPIDVITTPYQPLDQDESTNQDDD DSSSTHSSEWTVSQSARRLYVSHTLSTWNSRVFEFGSVLYLASIFPGTLMPLAVYSMI RGAAAIALSSWVGSYIDRNDRLKTVRLSIVSQRLVVTVSCAIFLVLIKVQTIPHEVRI GLLAVSILMACIEKLAAIMNVVSVERDWVIVVARSDTTALRTMNSQMRRIDLVCKLLG PFFIGTVDGISTETAILVNLVYYQVPALQYPKSAPQTSHLEDGNHQSPLVSIKHGLKK TFDDLRLYFTHPVFFPSFAVALLYCTVLSFGGVMVTYLLSSGYNSSQIAAMRTVSVAF EVLATWIGPWLMKKIGPVRAGLWFLSWQLGCLSIGVSIFWRYADNVLVSTLALVGSSM LSRVGLWGVDLSAQVIIQEEVEAQNRGAFSAVEASWQHVFEMCAYTSTIIFSSPSQFH NPTALSVAAVLFAWVLYSLFVKKQRGHLVHWPTCLSAEKQQEAAIDGVLESMHSRRRE GPGGVA FPSE_11297 MSDSSTPKTNAWTEEAKNELLLRIIAQLKPEGKSINWNEISMEG RTMKSLQNQWTAVNKKIDAIKQQTADAPSPPAKKTPGRKRGPKPKTPKIVGSDEDDGD YGSPKKVTPRKRRAPKAEVDDESPESSAKAIKMELNETIKAEDGGFDHEV FPSE_11296 MGTPRDYYADLELPPTADVTEIRKQYRKLALKYHPDRNPGREQE VNTQFQIIQTAHEILSDPDQKAKHDASRGRGRYTGASGVKGNPWSNVSAQYPPPPRRN NANTSARATPSGAQRWQTRFSSGVPPTAKQYTASDAESKKNAARAFENMRKGTSAKSN EQTRPPPPPPPPRTESARQRAEASFGARKTGFHPRTAMGDEPPVSNSNYSSRPASERY PQPYAQEDPKPAPPPTPQRPAPTAMPDPLSQFRDRDSWADPRQSSPYTSHGGEKTNPF DGIQINRAKSTRETNREDQSSSDKEAFNRQRSSSAPKGGKTEEPSIPTDPADRPKAQM KKTRSGFKSVPLGPNQQATEVPAAERSAESPSGPSMYDNSTSTRRRHPTSKSFSKCQG YNGTGFYQTLYKTPTKPHHQSPSGGHDSPHQLNDFERQQMAILAELIGNARDESPLKK AKHQPRSINTPRKSNSANKANSNSFSFPVNDDTFRRTSPDQPPFSRRNTDDIDTSFVE EENAADWEFSAGGAEQESPAKQRKGRRSPGKRPTMSGKSTPSLVSDPERPDSTKPESA FNPDGWNFGPQTFVPQPAKSVSPTRVSRTNSRKPKASRKPNYTSVVDDSSSDDEVLEW RGRKAQDEPPAAESPQAMDIDTPPVSTSIPPPRPPKIPSPQPPQTTPSPQPAQPASQP KQFAEANQIPGTAAHTARDIYVEPSRPEWRESSADNVNSVENRAESPKKPFNPNNVGS EDSEEFLASFADLRNVAPFAQQSSGLKSFSDLKDNLPFESKPAPQIPIKLPTVHPLIF PNPPVAPQIPSAVMVGGVQPSAHSWETYVKDFENYMKQWDGFNAQVVDHFATRKSRIA HTREKNGYSFLENRGDADIQEYYNWLEQDMDVRRRWTAACEEHEQRFREFMAFRIKMK FPSE_11295 MSDDQISLPYLLAILAISGLVIRYMFFSAPSPPRPARSPEAFLR SREITVERIQQMFPQADRRSILWDLQRNGGNIQTTTERILSGRLDTPPVTFQPPPPPG QAATSGSAATAPRQPEKPAQPDLITRYNLKNKLDTVSQEDQDAKGKGWSSNKDERQAS LQRRRDEMILAARRKMEAKLAAEKAAQGS FPSE_11294 MAGAGPQNAGRQLGGNVSFAQSLTGSQPSTSLDLSEFPSLSNNA QMSNANQSSMWSTAGSRNLGGPIQRNQATPQQGGQDDMFSPTSSRVPGGFRFGNQGNI GQQPQSSSVDDFPPLNQTSNGELGSDRTVNLMSSLGFGSQAGPGPSTSNRGNGLLNAL SANSRANEVRSPPGIGAPGSSRPQDGKPPGLEEDPRQKSAREDGSTDVASPAAAFNND PVSLKAKDDQEPHGSEIIDPLAGMPAVDKWGIKGLQTLMHNYPDYHAMVVGMDPSSLG LDINSPELFSTQNYSLFDDSPPRVPLANGKFRLPDCYNVTNVQPIESKIASFNEETLF WIFYSCTADVKQQMAAVELHSRNWRWHRKMELWLTKDEHMTPQILSPNHERGFYIVWD PNNWRKDRKEFTLHYGDLDTTLNQAQVGP FPSE_11293 MDFDDDAPPELVDTTTNDVDEEITVKVPITIVTGYLGAGKTTLL NYILTAQHGKKIAVIMNEFGDSLDIEKSLTVNKGDEQVEEWLEVGNGCICCSVKDTGV NAIESLMSKKGAFDYILLETTGLADPGNLAPLFWVDDGLGSTIYLDGIVTLVDAKNIL LNLDDPKGIVEGHDHDGHGPVMTTAHVQISHADVIVINKADMVSETELNHVKERIQSI NGLAKIHVTERSVVPQLEGFLLDLHAYDQFNESDASAKGHSHLDPTISTVTIPVGRLE PEQLDTVDRWLRSVLWDSKLPDAKEEGNFEIHRSKGRLVFANGDVKMLQGVREVFEIN DGLSGDETPKEGKIILIGRNVAGVGFEDSFKQAIK FPSE_11292 MSLAPSLPRLPSPPPAAEIQMAPMSPSGGPAASLQTTPQEQTQL EASSRRRIHPGTKAADMAAGPPLIPLHELDSAFQLQEHLAALHCYHTASNTQPISRET ALQLATPPSGVDRTIWLYELCRFLISKCNSLIVGFLFDTPPCSANTCPEMRASEWQFL CAVHEQPKSCCAIDYCCHTLDWAANVVTDQKIFPSRFVVHNDNHSKNVGVKNLVNVFR RLHRIFAHAWFQHRGVFWSVEGETGLYVFFKTVCDLYDLLPAENYKLPPEAEGLEAPH VEQEAAQPPPTILKPSSQQRGPPAEEDNMHPTRTNTRRHIRSSPSTGSAVTTVIEAEE EDADGVSRRLKNMHLTAPSPVEEEPEVAEVPVIVEQEVIGETESQTRDPHPEDAEEDE IEDEGDEDADQTIVGDASEKDPTDDEGTQNNETEPTLEPVESKEAKEKSGSEEDSSEE KPTESPESTGDSTTDASDAKPDTESKPSEDETEAKDTKSTSESKKDEK FPSE_11291 MGDYYHHFLSSMSGAGINPTASPEEMLDQQIHGAPVHMMPATIP GPYQQLGYFTGFPDPMMFNPGKSQKSRRKSATGTPTAIDQVKHRRTRSGCFMCRSRRV KCDENRPICERCKKGNRECVYPDPPSSKGSSVSSGKSKDPTTSAHQTSPTSSKSEDDE DAEQDKKLETIVDEDEETEGHTRSPDFSIQSKSASPSTTTATPSSLATAYPSSDFPAN LGETDWSHLPPQYQQGLSFFVENLNHFNYCIPLDSDEFFTKILPNMATRHEPLLNAVV GFSAYHSTLQNPHGKLQDFLQYYNKSVTQLLSLLQRKEKPNIATLLTILQLATIEEYF GDWVNLMGHQKAAFGIITSIFTPQTIMQSPVGRMCLSWYARFDNFVALMGGFPTDLPR EWFQAMLDFYKAGVVTNPDEIHWKIDEWSAQLRLISYDMSILFARGSRGQISPEDFIK EHELINQRLIDWKEKRDPALQDPKYLVRDFPPPETLDPEDFVNPYTVGILYERPLFDV TVLCAEWNSIMIMHKCQSSGTRPDQLFADLNRHAYRTCQYFETLEFWPSTPRGVLVLI QACIAIAALFCPQDARHHMWFRRKFALLETMGYIHPLPLRTKMAELFRETSCVQWWLP DGEGFSPVLREIRNFADERNAAAVTAQQESLREVRHIFAKMTLMDDANAPSSSGPGSD S FPSE_11290 MTMIPSSIHFGMDATRHFVSNLDAVSLILLTVTLVAFLIPIFIL FPPVTVDCSDVLRQTHSRAGEPLRDSNIHREPSPGLRARESNSSGQEQHKHIFPVHHC RGIELSRDGTSSFSSSECHQLYMFGYQDHDDLAWKAATRERFPSLVNIEVDLWVPDPN KTSRLLGKVEGSFLVLRFPWTDAGLQGITQRVSAKLSHGLNAVPEKEFLLPVSYPNDD EIKTRGYSLAQFENGHDKTMALNMDVELPTELARYLGAEKMGIFRVDH FPSE_11289 MRVIEVIIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITSMATVRAQNIQDLIYKRGQAGVTKASVTIVFDNRDTKKSPIGFEEYATIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINSPNFLIMQGRITKVLNMKAVE ILAMIEEAAGTRMFEDRRDKAIKTMAKKETKLVELKELLKDEIEPKLEKLRTEKRAFL DFQQTQNDLERLTRVVVAYDYLRYQDSLSQSAADLEGKKQRQRDLEDSAARLKSEINH LEEDAKKVRAHRDKELRKGGKASELEDAAKKHSNELVRLATILDLKKSSLAEEEEKKL AVERTVSELEATLEDKTAAFENAKARYDAAKEDLEQQNKDAESKEELLQTLQTGVASK DGQENGYQGQLQDAKNRATTAATEQEQAKIKIAHLEKRIREEEPRAEKAKVQNADLLR DLDGLKVQAQKLEKELSRLGFEPGQEEQMYKQESELQQTVRGLRQESDKLKRQVANTE FNYADPVPNFDRSKVKGLIAQLFTLDESYTQAATALEICAGGRLYNVVVDSEVTGTQL LQRGKLRKRVTIIPLNKIAAFKASAQTIATAQNIAPNKVDLALSLVGYDDEVSAAMEF VFGNTLICADADTAKRVTFDPKVRMRSITLEGDAYDPSGTLSGGSSPNSSGVLVLLQK LNGLTRQLSEAESTLRELQARISNEKAKLDHARKIKQGLDLKTHEIKLAEEQIGGNSS SSIIQEVENMKSTIKELKESIAEAKTRQAKASADVKTIEKDMKDFDNNKDGKLVELQK ALDKLRAGLGKNAAAVKTLQKELQGAQLDSEQAGFDLSAAREQLQEVEVAIKAQQKDI EDLNKQKAELTETHDTVQAELDDERAKLHQFDDELRALDDATRSKNARIAEESLEMQK LVHLLEKFNKEQQGAAEKVARLEREFDWIADEKDNFGRSGTPYDFKDHNIGECKATLH NLTERFQGMKKKINPKVMNMIDSVEKKEVSLKHMIKTVIRDKRKIEETIVSLDDYKKK ALHETWEKVNGDFGNIFSELLPGGSFAKLDPPEGKTISEGLEVKVCLGKVSLVALSLI MALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVSLKDGMFQNANRI FRTRFSEGTSMVQALTPADMK FPSE_11288 MAALRGPRIPTGSAAWVADERASALTIVDVEVDEFTYAAQNEFE WLNEHMASIFNENETNIAETFKTPGKLRGKTPRTARKLISTETRMPLSNVFGGTPTSS ANRFAQHLYAQSPQRELLNQAKTSSPRRPAPSPKPAQSISNDPIDDASAHPTSEAERI LSDQDALMTVDEETEALSGAKSQPPMQADSGYFGSQDVNPVTPFDPMAEDEDDDDDED DSLLDATSFNEDIAFPQPSSSRVSPVKQGAKSSSPAKTQEPATDDIDMGDVDEEPEAN EDAVPDDGQSQSDGPSPVRPGFRNSLQFASLPAREPLTAGKARVSRTSHIDPKRTSYL ARGKSMASHAGEDASDESDQDDMEVDELPSVPQSKNAEELALHHNKTYTQMLQDKISV LGKSQPSGSRPSKSIHSITNSQQPQTVSQSVPEPQSTPPRSPKKQDMTTTPGAFPQDD EDDDDWIVPPTTQKSAAAPPTRPSLSKSRTADVMEGIHGKQTLGSFDLDQDPASIRSP ARAHSQREKPSYMRHSKSVSVPAVPMFTASERQEGSPLKQTVFGSDSPPRPATAVSVV SAVGSSSPSKSPSRLFRDSPLKHLKNKMSSILSTSKGLMASSAALSAEGKSSLLSPSR VQVGTFPGDSDESVAHKPSIESFRSGSTATDQQPNAPRPVAKRTRASVEREKEEKRRE KEARFQAEQMDKLEKEREKEREKARVFSKEQEKLANLEKQVVARKEAEQPVQATPQPK RTSPRRAKPQEELDSRFAEPEMEMVDAPSLVPPPSVSRSAAPSQLSRAKEIRRIGKPS RETTTKSKPAPTVHIKVNTGSQLHPGSSVANGYQDLGASTSSQPPQMPSKASKASMQP KSSASGFATSTGSNGRPRTLDMVAQKKAQDEQAQRRRDAKAKAERDAKAKSERERAAL KEEKKKQELLRRQDEERQKRQALIEKAKKTPAPPPAVRSQPNGPPDSIQRDGIPRPPS RMNSNIYRPGDDLGRPINGSKSAIKRPLGRDVNEDGPSRPPPTRGPQYQAKDAKRRRT SDDFADELDMEQPPNIKGPPVRPSSAFKRDLQVKSLASGYTQASAQNLFKTNIAQSHM KGGNPMDMAQIHKGTIPFAPNPNPAGPAYKTPGRPGPVGGLKSAVKSAQRSSPRFQNG DAIDLPEIQTDDEDEEDDEPQPGTVASWANSPAIRAALLAQEKMNPAAIFGEPGPINM DEVFHASKDRLHKFRARTSSANWSGNDRLTEEDIRKDLAARDRLRREGGWSYQLGRDM M FPSE_11287 MASYLGSFTPAMDEVKIDQITESRIFNVVSMLPTSLQSGPIRFL RRSISYHTLRPAVTVSGSQAKPRPLSEADATAMSHPLQTDMVKHSNGYAESQDLCPRP MSRVLYSELPEDEPVMRAASGLHWKFARQGANLVNISVDGGKAAVAEEDVAFERKAFV DGLTYLLKALPRDLDEGELKRIQSALSDNVKEQELSLIRADGDPGWTANRTNQPRSII HRGVQMTVVNMIFFLSFLMPYLLLLVRYAARVERKYKISETLIGHGVDLANSIGKQSA SLTEAVGQMNEGKVAQALLEVFVWTIDGVTQGISDGLGEGLSMVGSKQTSSR FPSE_11286 MFRSSLRRCATQARTATTAALFSQTRAALPVAHRQALTISLPIA SINKIASFRAYSSEAAAEQTQPATADAEPEVRFAELEGVDESLIRTIIQDMGYETMTP VQAKTIKPALKGTDIVAQAKTGTGKTMAFLLPLLQRMIAEDPSLAGKNARRQARSDDI RGIVLSPTRELAEQIAMEARRLCRNTGLVVQCAVGGTDKRGMLNQTRRQGCHLLVATP GRLNDVLADPGSGIDAPNLAALVLDEADRMLDTGFERELNEIVSQLPRPEEKVRQTML VSATIPDSVIRLARSMVRADDFEFVQTIPENESLTHDKVPQHIVPVSSWTQVFPTLFE LMDREHKKSVEDPNELPFKAIVYLNTTALVELGGELGYQMRQNARNDGKSYLPTYVLQ SKMGQNQRQRAADRFREAKSGILFSSDVTARGMDFPNVTHVIQIDTPRDRESYIHRLG RTGRQNKEGQGWLILPHSSVRVARKMLQGLPIAQNSSLESAETNVEGGETTPYHDSTK ALFSVVPRDLLAQTYTSMFGLATDKVETAEDVNKWTKHGWGWDTPPFVSSMWVNKMGL ARASGMNVREPGSFGGDRGGDRGGFSNDRRGGDRDGRSSRSSDPFVNMAANVRRDDFG RGGNRNGGGGGFGGGNRGFGGRGGSGGRGGSGGRGGSGGSSWGGRGSSRSSF FPSE_11285 MAAMLEYRTQGYNPYAVKYSPYYDSRVAVAASANFGIVGNGRVF ALALTAQGIEVEKTFDTNDALYDLAWSEINENQLIVACGDGSLKLFDLGVNDFPVMNF HEHKRETFSVCWNPITKDTFISSSWDGTVKIWSPTRNHSIKTLPIGTCTYSTSFCPSN PALISAVSSDSHLRIFDLRTPSSAKYHLTATIPVHASGPQPSPGASAPAEILTHDWNK YRDTVIATAGVDRILRTFDIRNPAGGPLSVMQGHEYAVRRLAWSPHSSDTLISASYDM TVRLWNDGSMQQQQQPQGPGMAPSIGTQMGVMNRHTEFVTGVDWCLFGMGGWVATVGW DERVLLWDANMLMGQRIP FPSE_11284 MKAFLTRTKRKASPEPQTENAEPDEPTEVKLALLSSLHPQHDQE YLLDILLAHDGSVSEASASLKVQIPMKKGPRVVGYQQSLKQFTSTSDSSSLTSTPTKK KLKSKAGSTLHLYDPEDVAEHTPCTIIHNFLPPEDANRLLEELIEESKTFEKITFQLY ENVVSSPHTSSFYVESYDEIQRQKTEYHYNGGRLTDVRRITPQLVSVKPKVQEAVNAE IQKRIKTRYPDGKKLKYQSPNPWVPNSAFVNAYTGPQQNVGWHSDHLTYLGPRPVIGS ISLGVAREFRVRRILPKDDSKTVQDQDAEGQIAIHLPHNSLLVMHAEMQEEWKHSIAP ALSIDPHPISGTTRINVTYRDYRANMHPKLTPRCHCGLPCVLRAVTKKKDNFGRYFWM CYANSPGQENCGFFQWAEFDDDGNPQFKKQSGKT FPSE_11283 MSELSFPASSAAMFEPRLPPTTTDGALSRPFVTLTFATSLDSSL SLAPGVRTRLSGPDSKAMTHYLRSRHDAILIGVSTLLADSPALNCRTSGATSQPRPIV IDPHLRWTPSHTDKVLEVARLGNGLAPFVLTGMDIQDVPAKSLEILEEHGGKYIQVPT ALGSNSRKRFDWHDVFDALLREDLSSVMVEGGGQIINSLLDPRFHNDIDSVIVTIAPT WLGQGGVLVSPDRRQDSTGTPIPVARLSNVSWHPFGEDVVLCGTLHN FPSE_11282 MTHKDLEANCECDVPVDMTTVNEDGNTPGTRVSSNRITLSKWYK LFLRGYVEEKGIRPVPPEERMETQQSNLFTVFFTGLLCILPIPTGALGTVLFGLGLRD VSLIIIFFNIVTCLPPAFMSLGGYKTGMRQMVQARYSFGIYLVVIPLLLNAGTITGFT IVGSIVSGQAIAAVNKESNISVNVGIGIVCILSFLLAFLGYRALHLWQRWQWLPSLIA IVIAVGCGGKQLVNQADHEPATVKNVVGYGSLIAGYFMTFGGTVSDFTVYHTPEESKR KVFAYVYLGFLTPSTPLLILGAAIGGAIPNIESWQTAWIDYGIGGVMAEMLKPAGGFG KFVLVVLGLSIVGNMLLSYYSVALCLQMLIPIFAKVPRFVFVILTLAIMVPMSIYAAA QWQTSLVNFLSMIGYWAGCFDAVIIEELVIFRNGDYGLLNPEIWDQGRRLPTGLAAVG ASIISLGLVIPSMNTPWFTGPIGAKIGDIGFESAFVVTWIAYYPLRTLEIKLTGRV FPSE_06507 MIELYSFAHMFGPVLVLTSLLIFWAHRHFQPTLPLPPGPPSEFL LGHTRVIPKENAAKVYSRWAKEYNSDIIHVRSLGQSTIVLHSAEVAKDILEKKGANFC DRPRFTLLEVMGWGKTLTFLPFGKSWQMHRKLLQTSFSNTNVRQWHNLQRTETHRTVK DIMARPGTWETSLRRFAVAIVLQVSYGMEVPSDDDPYIRIANDAMYATGNGGVPANSL VDLVPFVRYLPDWLINDWSLRFARQWRWAITKLHDVPFAAAQAERGNKQDWSLDDIKG AAGAVFIAGADTTWATCVIFVLNMVLHPEIQKKAQDELDAVLRPGKLPEFSDRPSLPY VEHIVQEIYRWSPLAPLGIPHKSLHDDVYHGMFIPKGKSDGIHTMPVITVVYANSYAI AHDERVYKSPHEFNPDRYGAGEPYPVGNFGFGRRICVGRFLAGNSVWIMVATMLSTLQ FCKKVAQDGTLIEPRVQFTNGGTWSVNPRNPMIPVPYVPPQSLECNVQSGPLPLFPCK AVNMKQAC FPSE_06508 MASHASAPQITVLGSLNMDLVSYVPHHPLPGETLTSSHFNTSPG GKGANQAVACGKLSRDSDLANPSANVSMVGAVGADPYGTLLLDSLRSFGVSVDSVTAR QDQKSGIAIIIVDEPSGQNRIIVSPEANHSLRPADFETLPGPRPDLLIMQLEIPFDTV MQALKVAKRAGVPVLLNPAPAQTLPMDAYDGLAHLVVNETEAAILAECNESELDTPAG LDRVGRVFIDRGVHNVIITLGGRGVYFVNKQGQSALLPATKTTVVDTTAAGDTFVGSY SLAAVAARGGQFDIEAAVTAANRAAALTVARKGAQISIPWKDEL FPSE_06509 MKTPEAPLASSDCTGRDKGADTSASTRPREGDFSREEPGISATR SQRSSSGKSDSSALWKGRILGAETLRGLFPSMAALSTPIQNRETNRRQEDEKRLQTVS PAHDRGKKDTSKWGWATWF FPSE_06510 MTSLGYEIPFWADLDVCERAVANEKPSPLRVTRYNENLQQDIRY AENRYNHNSMKYSQSFQGDGSLTIPKRRKVEAQTIAAGKENVRTPQYNQTLSTPSSRN THTPGYWAHSESPPRLLGVTRSPQLSVKKKRQAHTHARIQPEQNRSLSPFSINTLPAA YEMRRPGKARPPLNNLYDRDYMHKEESLLVSNATGWIGYPLSPDNNQQLPPINSLTTL PRQKTVPCVAPNINITPEVTAIEAGCNIFWVAIELSATPWYAPERKSQPGARWERSDL FMDLAGLTQENLDRG FPSE_06511 MSPLNMSALPSLRKQQLLSEFAGLKQACPEGIFVSITPGDATLW SGVLFVRKGPYCPAILRFSISFPDSYPQLPPVVVFTTDMFHPLITPLTTYMYTTDIQE SGTVSASDQERLPPGGFSLRHGFPDWFGRGRRSMAEAKHTDQQRLTSPDAAAASISTT PAVAPVPSYTRTGQKSVSAYEVLHYIRSAFDDEAVLDSVPLTAAGNPGAWHAWRTHRK ATGKLVEDEATEEAQTSEENDQQPTENAKPTPAATPRQPGEWNWDGVWEDRVKKNVSS SLSEPVLYGETKTSDDLIKFLEMEDNDIDSVKDNLRRTLGQA FPSE_06512 MAGNKKSDNSKKAQGNARKAESAAQKQAADNAREEAAEADKWNK GAKSNAKKEAAAAKAAEAEKKKAEKAALEAEEDANNPVKGPKKSKAPVKKARGLDLSQ LDDDKPSDINASGIDNALDALSLTNKDDGKVDRHPERRYPAAYAKYEERRLDEMKKDG SGVGLRLDQRKTRIRKEFEKHPDNPFNQVTASYNATRDDVATIRSQEKSKIEQRLGR FPSE_06513 MFNSRENAALIRSLGVRITKSTTSSYSNCQDLGTGDPVVTQMSQ MRDSKLPSVLPSYLPADNLFLGLMHVWLYWATTEYHTGQPTDSMSVEDELMHSASSIG YYDDKTTL FPSE_06514 MGTDDVDAFRRLPGRPRCQIRVASASQSADRVISSVKPETLLIL HRAQRASHFFVCSPYRATSASLLPTDDKVSRQPWRSCTSTVVIIESGRFFLSNNVVQV FPFEILGRAGKEILSSGLVEVDHGSVCRYSSRRRGGLVLPGDYFS FPSE_06515 MARSRQMSAANRDASRNERQLPPFPTKQMFVLACCRICEPIAFM SIFPYIYYMIQDFNITDDTNKISVYAGMVTSAFTLAEFSTGVLWGRLSDKIGRKPVLL FGLLGTALSVLVFGFAPSLPVALFARALGGLLNGNIGVLQTTVAELVTVKEHQPRAYT IMPMVWCIGSIVGPMIGGALARPCISYPEIFARGTIWDRYPYLLPNIFSACTVFFGVI IGLLFLDETHSEKKNQRDRGREMGDYITSWFGGVTKCNGRDRAPEKQALLDGKHHVQY LSNAPRPGSAHSEDEALPAYRSQENSPRLSPQADTQSLVDAPLEPVLERKPTKTFIKP VIMNIISYGILAFHTMTFDQLFPVFLSTKRPENPVHDLPFKFTDGFGLETKMIGVIMS VQGLYSLLSNYLIVPPVTRRLGSLRLFRMLAFSYFALYLVTPYLVLLPDSMRMPAIYL LVIWKCTFSTMAYPSNAILLANSAPSKQVLGTINGIAASTASLCRALGPTLSGLLYSW GLQTGYSGLAWWFSGLITIVGAYLSSQITEGGPQEETTLPEEDPLMDESLFTDYDEEN SV FPSE_06516 MPARGPPSPSSQPAKRQRQNSFDDASPPRNGQSSPQSRERERLQ TDGASAPASAPSTIASPTVTAKAGQSSNFRNVSACNRCRLRKNRCDQKLPSCASCAKA GVACVGYDPITKKEIPRSYVFYLETRVEQLENLLNANNIAFPPAENLELCSRIGTDTA SINSNTETGYSAASEAGDRGMGRPQAQAVESLKKKTGQAGFLNNIVSPSKPRSLASAS GVSFARVVYAAVQYSAAGQPNSNDSRAPRQPSGNGASLRDSFFGLHTRPSIKPAAFPS KEVGLRLVRLYFEHSNPQIPILHRGEFMQTFERIYSTKDPARGSRELYLLNMVFAIGC GVIVGEPVKTESSGDPGMDSRSRCEPEEYHASAIVHLESCLSNSGGGLEVLQAVLLLA NFALLRPVPPGLWYIIGVAVRLAVDLGLHYEDDREIDAGSNETEQTDAARENGAQNRG KKLWHRDMRRRLWWCTYSLDRLVSTCVGRPFGVSDQVITTEFPSLLDDDYITPTGFRE SPPDQIQPSYKHVAHHYFRLRLLQSEILQVLQYNQAQIAKAGVQGKYPDMHIHLPSPF LVQFDSFRSWRIDIDRRLYQWKTSAPSKQETGVMFSTEFLELNYWQAIIMLYRQSLSV PAMFEGEYNSSNEVNSPTAFQAELREDEDRIYLKVAEAGQKILRLYRQLHLSGLVSYT YLSTHHLFMAGISYLYAIWHSPIVRSRLSMDEVDFTILAAKSVLTDMIDKCPPAETCR DAFDRTAKATIKMTSSTGGFGAPAARRQRSAWNTPPDSSKTSAQARHRAQGSDQASYP IDLSLSDTLSSPSMSVTGDGNAQASPPMARSAEGYLMQSRNRGGPSPSDSGLKQEGSP VESGMVPSPVPRRMTSQPSNGGSFGGQQQFNGQDYPDAQTMEFLQNLGASSNGDFNPM DQSQLDMGLSMNWEGLHHDFGEAPQLNPFDTFFFGGPQGGGGQDGGMNM FPSE_06517 MAVEEGDIYPTEALILKQSRRHYRIPVRSQHWQLRSLISAEKQS TVYFPGGTGSNHIQRLNVSTRQCETIKLLTFAPRCLVAEKGWLCCGSESGDFVAIRLD EGNEDNDDPLSGIDPETRLHLGLDAFPNDPVFSLISRARRSQKNMIAKSMKLAKDRVN CITLWFPPTTMPANAKAYKEPVAVLANNDRTVTLVSLRDFEEKDKTEALDIITYPDFV NRSLLSPDGRFLISILDDPYMYIHERVRKTTESPATKSPDEISYQWEQTQRILLKSQR KDDRTDSRGSFAACFSESGAYLAIGTQHGTISIFNAALLADPDANPLITTFESSRPRS GPGAIRDMAFCPGPYDLLAWTEDRGHVGIVDMRSNFVIRQIVDIEDPAFEHINILDRN TTIIDPRDLESHRERRDNDAATGAIENQRRREVLEHLNTPLTASETLVLEAMQMGRSN RERTIQRAAAPEDRPIGGPTTFWARRRAPISAAAGNAEQSNDRGSSSVGRPMGGLLAN NPRFRPGNITRAARPTGIAEGETLEGFARRRREEERRQESLGETMAMLSRERQRRESL GENVAERQRQDPSYLQVLDILQARERDSDRELDDTTIIVPLVNQVVNRWEEDHGVFEV PPSPDNTAGLAWSEDGRILFVGAQNGIYELHVDVQSRKFCPSISMR FPSE_06518 MLRPATRKFSSKFLIPQRYSFSSTAAASADFTHAVIGGGVVGLA VARQLAQRDGTSTVLIERHDAIGTETSSRNSEVIHAGIYYGPSSLKTKLCIRGKNLLY ELCDKHDIGHRHTGKWIVAQNEDQQETLDKLHALCKNELGVPTRWVSGEEVKRDGEGV KAACALESPTTSIVDSHGLMLCLQGLFEDAGGVVALNSPVSNITPLGPKPGSEGWEID VKDASTGETSSITTETLINAAGLGAAAIHNMIVPSDKRQNLYYAKGNYFSYSASQPKI SRLIYPVPEPGIAGLGTHLTLDLAGRLRFGPDVEWIDDPNDLAVNAERLPQAINEIQR YLPGIDASALVADYAGIRPKLAGQDAVRQGKGFQDFIVRKEEGYEGWINLLGIESPGL TSSLAIAEMVQNILYGSTQSL FPSE_06519 MNSQHNDPAAASSGEMANEHSGLPTASPQPISGSPLTGDPRHHR APSLGEIHQVIEAEQEGQVNRLLHMIRQQQLELQRLQAANPNNQNQGSAVDDSSAIAE RLGHGTPHASSSHVSIPHGTTGDSGSRSNSHRHPRSSFDLARRSRTPSRSGASPRLRS TSIGGNSEDWVLGGRDESAFYQAETQMLTRENQMLRNRIRELEKQLTDGSPVAHEQHS QSRRYSTIAGKEADKPSTE FPSE_06520 MGRELQKKKARSGRQPVRQLNRSKKILNPRGNDAIAKSWNKKET LSQNYRRLGLVARLKAPAGGTEKQLGATTTSAYANDPFAIATIENAVVSEARVERDAD GKIIRIIGSAKPNPLNDPLNDLDEGSDAEDKPAEEWGGIADNADEEETTDVVKQLLEQ AKQPDLPKKRHQSAREIEWLEKLVAKYGDDTGAMSRDRKLNPMQQTSANIAKRLRKMQ KESQE FPSE_06521 MQFNIDPDIVPNLKLGLHSFQIIISLVAWCLQIGVLNAKNADVT GKNGWTFGVCFLSVPAWIFLIMTPRWGRTRRFAQPHAMLAVDAAFTIIWLSAFATQAS YNAAGQCGTACNISKGVVGCGVLVTILFGGTTFVSLYTLNYYNNNNVLPGYDNRQVGS DNIDPDKAAFSMAPHGDEAYERVDMDDHEPASSAYGGGGYNNSSYNSNSRYGDANPYS ADDDDPDRFGALPPRNNTLFENDTQYHGGAPPSMPASYANPTGGHPYEDVPAQFPAAN YDRGH FPSE_06522 MTECFSTTQSSFGPTVPTQGQDNYYTVEMPECQDCDCTDCAYTN TYTTTLDVFAPTGVAQLPYSVKEVYRGMSAMPADAETTAVPYGFTSGVETCNNCGDEP ITATMTYPRGGSPYQESVPTATPAADSSFEPPSTLRTEVADGPKTARLENTILEHKAI EYQGQAASSSNFAKFGLSSDSDSDSDSEPKNSGGLSKNIKNYAKMRDVAPSRDADGAA MGRKPPAGIAMALLVPIALLM FPSE_06523 MRESASGSAASAVAENGVAPLSDERTPLLKSNGQGQNPDAEPTS EAQGDAPNQGLPAGQEEETTVVAEEVPTGKLILIFGTAWIGVFLGAVDSTIIATLSGP ISSEFQSLSLLSWLATAYLISNAACQPISGRLTDIFGRGPGLVFSNLFFAAGNLICGL AHSQTTMIIGRVVAGIGGGGLMSISTFLGSDLVPLRRRGIVQGLGNICYGSGAMLGGV FGGLINDHTSQGWRLAFLIQVPPVLVSAVAVHFLVRVPPKQSDKSFLARIDFVGAFLT CSFLVLLLLGLNSGGNIVPWTHPLPLTTIPLAVITFGLFLFWESKARQPIIPVKLLLD RTVLNACLCNLACTMAVMGALFYVPLYLQVLGSSATQSGVQILPSPIGISIGSLFSGF VMKKTGKYTRLGVAGLLVLIAGVVVLTVQNQYSPKWLMGVSFFLIGSGYGATLTTTLL ACIAAVDHSQQAVITSATYLARSLGSTVGITIGSAVYQNILKARLWERFGDQPGAADE IHRIRDDLDEINHLPEGCTHCTRLLRDGDFHDKDEKIIRS FPSE_06524 MTSSNGATNGQNGHAATPSSLPTGIYAPVMTFFDPETEELDIPV IKKHAVRLGEAGLAGLVAMGSNGEAVHCTREEKIAVTKATREALDEAGFQSVPVVLGA TEGSVKGTVELCKLAAEVGASATLVLPPSYYKAQMSEDAVYNYFIDLANASPIPIILY NYPGAVAGLDMDSDLLIKLGQHPNIIGTKFTCGSTGKLTRVALASDAKTPFQEGSGYL AFGGIADFTVQTLVSGGSGIIAGGANVMPKTCVKVWDLYVKGKRDEAQALQKKLSKGD WVLTKAAIAGTKSAIQSYYGYGGHPRRPLPRLTPEQAKGIEEGIKEIMEIEMSL FPSE_06525 MDPLNVLMIGTGEYTTGFVGGGASGSDKKVGVVGLSLFDLRRRE KVNKLGMVGVNGKKFPAIREHLNKNIQQVYNDLDTSFDSFPANDKVDPDAYKSAIDAL SPGDAITIFTPDPTHFPIAKYAIERGIHVMITKPAVKVLEEHQQLVDLAQKKGVYVYV EHHKRYDPAYADARAKAQKLGDFNYFYSYMSQPKSQLETFKAWAGKESDISYYLNSHH IDINDSMVQERGYVPVSVNASSSKGVAVELGCDPCTEDTISLLVTWNKNGEPTKRAVG VYTASWTAPQKAGVHSNQYFHYLAANGEIRVDQAKRGYDVADDSVGQMMWYNPFYMKY APDEDGNFAGQTGYGYISIEKFVDGCRAVNSGKLKPEDLDAKPLPTLKNTIATTAILE AGRRSIDENREVKIVIENGKWRLE FPSE_06526 MPDPHFSDLILPQNEQLSMSQLMASLKRTTLTIHNRLTSIQTDA EFVDNVSHSYAPRPLVANERCGSWYIPPERKGASAYFKSTDGHERAWKFSTRRLNLHL VEIIEKNDGIIIVDSTRRGKRMPDALSSTIPIWCTVLNLAILPSHPSSSKLYLPAHLP ATTHSQISTLIPGFVASFKALNLNLPTCLTKPLRPFWVTPDSTLPSPEDTESIFEDFR PVICCTASHRVVESEMGEGGYIQGAGDDTEMWAHGLESPLFWENINELLETPEAELPG LISRIVDEQATSKKDEGSQIQIVPHISVCALPLPAMPTECRIAITQDTTPKDSWLKSK TYMQAGLGKSKLASRNLRTALPEICDFAAKYLASVEEPGERRIAVACESGKDLSVGVA LAISCYLFDDEGKIRDSTKNVSFTKNLIKSRLGLIMTAYPGANPSRATLQSVNSFLMD WRK FPSE_06527 MPEINTLLFDCDNTLVLSEELAFEACAGLINEICESRQVDMRFT GDTLIKEFVGQNFRGMLTTLQKNYNIDISAEDLETYVRKEEDAVIAKLKEALKPCVGV DEQLEKLVASRKYTMSVVSSSALRRVRASIEKVGQDKYFPGDVVFSAATSLEKPTSKP DPAIYLHALDKLGKKAEEAVAIEDSKSGTLSGTRAGIKVIGYVGPYAEDKQAEMEQIL RDAGAVVIMRDWSEFPSALQKIEAGEV FPSE_06528 MVKETKLYDTLNVKPEASQDEIKKGYKKAALKWHPDKNKNSPDA AEKFKECSQAYEILSDPEKRKIYDSYGLEFLLRGGTAQPETGTGAGGNPFAAGGMPGG FNFEGGMPGGGTRTFHFNTGGGGAGGFGFSNPEDIFAEFMRNGAGGMHGGVDDEDMAG MFGGFAGGPRSRASRTRSGFDGRVRETTPEVTTVERPLPLTLEELFNGVTKKMKIKRK TFDDSTQKRVQTDQILEVPIKPGLKKGSKIKFNGVGDQVEGGRQDLHFIVEEKEHPLY KREDNDLVHTVTLDLKEALTGWRRTVTTIDGRQLNLEKGGPTQPNSEERYPGLGMPIS KKPGQRGDFVIKYKINFPSSLTADQKQKLREIL FPSE_06529 MASMEPNEGEIDVVMEVCNLNAIHDRDLVIQALKLNNRDPERVA EQWFNDEEGFRSKYQKIWDDSVFSADRDGSTNNAGISFHVESSDHSVIQGVTPPPDSH LYSAPSRPPSRSNNRSPLGTVVDWTAAHVPGIPLAPSNQHTLTESYQGVPNSQAQEDD DVQRAIRESAQEAGITIPQQETGFTGPSEPAPIQFGPANRETYNAADWAMVPTGSASK SETPVDGPSASKRKRTPGAPAMLTTTGAAAYHRLGGLLTIMHEIPIARNVLLNIGDLA PTYGNNKNWWRGEEILAPEVLAKMNNEQSWNSGDFNSGTAFEEEVHRLMAFLDSTERG YGSTYVLVDLMEHSGLDKEKRFYEMLGQRHMETIRPIMQVASLALFHGDILEEDATFG MLEIEHTRNEYKCIKTLYEALDHVMWSDTLGSETINEDSKVAFFKEMGEVLVLDIGCD GPKDPIEIPQEFYPEKYMISRRDDARRIQYGWRETKKEMARLEKEKEKIDHLAEAWVT DKAKTKSDLLKMSAEQWEGYKSYLDGLGKFQALEKSGFDTSKYPEYHRAVPDRDGAAE EQYQTVEEVIQYSNKLLESLDKRIKEVDAEMEQVARKQRALGRLLTVPDKPGRPEPMT CKKYLLRGVATSPHIIYVCQREEEDLIELNGEESKPAEQWWRLAHTPYGDETATRAEK LDIEQVFKEMWNDTTKPLLVYATEEALKTPKQPLPPQLERFVKMDNKSFRQELAEEES TVEVKTTQTFDPISPSKRKHRADSVGSMDSNRASIGSDGRNGWDNPFEDQEDGIGTEM KDYGDSSNYIHSSDLLDDDPPTLPARPQASTASTTEPTSATLTPNTVGANNTDSALSI SEEPRSPEMQERSRPPPMMSWKTSSERKGSVDLIDIDMDMPNDKQ FPSE_06530 MAQKRLMQELIPLQKEKWVDIETDESNLLRWKVGLWVVNPDSAW HGAYLKAEMKFPNDYPYQPPSFKFLTKSICHPNVYTDGALCISILHKPGEDEHSGELA SERWNVLHGVESVLRSVLLLLDDPEINSPANVDASVLYRDNRADYNRQAKEIVDKSQK DIPSGSRMPTTDELTTAPQKPVDDDADFWNMTDEEFNFGGSDSEEDMEDFDDDDDDDD DDDVRDRN FPSE_06531 MAGKQPGVWKTPLTLNNDTNDSSFPGLQPTASPEVNHKIEYEEL QQNEILALEAIYGDDFVMHSETQSAWKKTEPHFDIRIKASKDEDFACTLSFVMTATYP KSPPLVTLKKHDLKEVTQFKIQKFLETKPKIFAQDEQEMIDQIVEGVRDILEDAAQAK ADGKHLPSLEEERERHEAYLAKLAQEKKEEEERKKMEETQEEERVMAEMLQQQIDRQK QKAKESKRRPNGNSHQELTASTETDEMIEFDQFCNTTDKSGNTIVFKSVAGKCEPRQG PVSVVYTVRPVLANGQGSLTMALKETILRNTSKDAKEFKLQLQSLESRLQDLKTVKRI QHRHLVDVLDFRVQAGLATDPTVANAWTISVLSPLAEKGPLEELLELAGQIEIGKVRS WTRDLLDALNFLHNKNIAHQDIHPGNILLFREATGEIIPKISDAWYQREIHSINSHKP GLPGLHTAKSAYWLPPEIAGTSKPQYTFKTDIWDFGVVFVQMIFGLDVLRTYSSPKNL MESVTLSHSLHELVSRFFREDKQKRPRAFELGSSEFLATDAPVLFDDTSALLSSSPAT SFQPIPKFRRDSTTHRGPSLSRYTEDFVEEGRLGKGGFGEVVKARKKLDGQIYAIKKI TQRSQASLTEILKEVRLLSQLSHPAVVRYYNTWVEEITDQGDTEDDTSTGYITEENTQ GTESVGIDIQFATSTGGLDFISSNAGADYGFEESDEDEDDSEEDSEEEDSSDEDDESL DEMSIHRVVSPDKERNAMFQRRARYQRSYRTILYISMEYCEKRTLRDLIARNLYKNTA EIWRLFRQVLEGLAHIHGLSIVHRDLKPENIFISSSSDGIDNVKIGDFGLATSGQFSV EKSTTANTLETDDMTRSIGTAYYSAPEVRSTVNGIYSTKVDMYSLGIIFFEMCYLPIM GMQKADVLGQLRRPQPVLPSDFKPAGKAQTEIVLSLVNHNPKERPSSTDLLKSGKLPV QMESETIRRTLAGLADPSSPYYRKMLSTLFAKRMEPAKNYAWDMSSANMSPQELLNQG LVKQELVSIFRRHGALETPRGIIYPKSTHYGDNAVQLLDPNGNVLQLPYDLTLGNARI MAKQTNGPVVQRTFTFGNVFRDKQDMGQPLMFGEVDFDIVTTDTLDLALKEAEVLKVI DEIIHTFPSLSTTAMCFHLGHSDLLHLIFEHCGIEPASRSGAADVLSKLNIHNYTWQK IRIELRSPTVGVSATSVDELQKFDFRDTPNKTFAKLKTLFEGSDMYQRASPTIAHLKE VVEYCKHLSVGTKVYINPLNSLKEAFYTGGVLFSCLYDKKVKDVFAAGGRYDQLIKEH RPKVGSQFGEHHAVGFSLAWERLAKPPKSGGRPFLKKAEDESNGIFNTRRCDCLVASF DAAVLRSSGVEILQTLWAHDISAEMAKDARSPEDLMSKHRDETYSWIIIIKQDSILKI KSMGRKDVPDADMPSTQLLAWLRNEIRERDSRSVVKLRGNSTTDSNSGDKESEQEVRV LVAQTRSKKFNRRTVVEQAQVSASSLVRSFLEGPVLAIETTDQVMDLMRETCLSEPDG WRQVEHSVTTTEKKYIREIHDQLDTWRHMYEKKNGSRHAFLYNFRSGNCVYYDLGA FPSE_06532 MLGWMLRRGENAPEPVNEGDTTQIDVPDTPAPVFAARAFKSAIF GTPARPSNQSTRASGKSKNARMDQESSRTPQRPQGILLTPGTGTTRRKRVSFGQDVKK NANQNKEPESRQRTRLNEALEKASKTVNQKNEEQQEPDNSSDEWEEADDEDYCTHDIT IDLNEPHSQSGRYWKEEFEKYHEDAKVEMEKLLKYKQLAKSYAKQKDAEANELAVKLK EEQQRVIEMEKQIAEGASKIASKRGDRSGESSADLLSTLTKQTALAVQYRTRVQELED QLEEILCEREDDPDWKDRKGRQTTSPRTEKTLLETQSQLRRARMQVKEADDLRNQISS LKTQLKAAEKRAAKAEANNETKTSAKEKTDDEPLREGSRAQELRAQLREAREENKKKD DELRQLKQEFEAYRNETQAHNADTNAVLERAHAKIAELKKEVKTLKAGGHGAPEQDDH AARPKSWHAQSDAGRLVEEGSKSRNVVSDESNRDQRHATARRSFDLTDLEGDTMELKT TDPNVPSLRQKFSDDAVPRVSKSDVGMSNMVSSSLGSKPDIGRPRWQPFVPRSPRNRG YLGEEIAKRIENGGETPGRPNFEEITVPDLPALAKSIAQSKRTTSTGNMDEKIDLLQD HYARLGGPDPKNSVFTANASRLPPERRAAAIARIEQRMAEKKRMRGRKAFDKENVRP FPSE_06533 MPAEILIPTDANLIQDEILELEKRLHDAKARLNKVQPSPPLSPA PHLAETTHFLLLLSDSALPLGSFAFSSGLESYLAHQPRASASFASFLPASLSSFAATT LPFVLAGHRNPERLPQLDDQLDAAIICTVGRRASVAQGRALLGIWDRSFRSSCPNVDG RALKEFAAMLRQESQKEVPLVSAHLAPLFGAVCALVGLGLRQTAYVFMLSHVKALISA AVRANVFGPYHAQKVLAGQQVQRMIDDMIDREWATPVEEAGQTVPAMDLWIGRHEILY SRIFNS FPSE_06534 MADTAEQVELAPVAEQENQEMVVATTNNEVAAPTGKKVVKKIIR KKKRPARAQVDPDFFTTEPPPQTGTIFNIWYNKWSGGDREDKYLSKTKAKSRCNVSKD SGYTKADTIPGSFFCLRFARGICPKGQDCDFLHRLPGTYDHFNPNVDCFGRDKFSDYR DDMGGVGSFMRQNRTVYAGRIHVTDDIEEIVARHFAEWGPVERIRVLNTRGVAFVTYV NQANAEFAKEAMAHQSLDHDEVLNVRWATADPNPMAQAREARKIEEQAADAIRRALPA EFVAEIEGRDPEARKRRKIESSYGLEGYEAPDEIHFARGAQSVNPLGREGFEVEYQER PMIENGEAGQAQAEEHQPQQEVGGIFSGSTLAALNKAKVAVASKPKAAASAGPLVAYD SDSDDE FPSE_06535 MVNITEKIKEIEEEMKRTQKNKATEYHLGLLKGKLARLRAQLLE PGPGAGGGGGSGFDVSKSGDARIALVGFPSVGKSTFLSKVTKTRSEVASYAFTTLTAI PGVLEYGGAEIQLLDLPGIIEGASEGKGRGRQVISAAKTSDLILMVLDATKKAEQRAL LEAELEAVGIRLNREPPNIYLKVKTAGGMKITFSSPPKRLDEKMLYNILRDYKILNCE VLVRDENATVDDFIDVIMKDHRKYIKCLYVYNKIDSVSLDFLDQLAREDHTVVMSCED DLGIQDVIDRCWKELKLIRIYTKRKGAEPDFGEALIVRSNSTVEDVCDRIHRTLKDTF KYAMVWGASARHIPQRVGLSHPVADEDVVYICTAWKA FPSE_06536 MSAKVLADKDVNVPMTEQPAVKDVKSMEYHRQAFHSKMADDETD KYVSPSDGIMSPCSQKINALRNKHASKAKPKSLFAQASAKKLQGDNPFGARPAPTKGA FQL FPSE_06537 MSARIPAIAANRVSDAAKKQLDLVAKFVEEECIPADPVVEALAG EGDARWEGHPSIIEDLKEKARKLGLWNMFLPKGHYKESPGWTNLEYGLMAEWLGRSHV ASEACNCAAPDTGNMEVLAKYGNDAQKAQWLKPLMDGKIRSAFLMTEPQVASSDATNI ELSIRREGNEYVLNGQKWWSSGAGDPRCKIYIVMGKTDPDNKDPYRQQSVILVPAETP GITINRMLKVYGFDDAPHGHGHLTFNNVRVPASNLVLGEGRGFEIIQGRLGPGRIHHA MRSIGAAERALDWMLLRVNDESKKPFGKLLREHGVIIEWIAKSRIEIDAARLIVLNAA IKMDDLGPKKALKEIAEAKVLIPQTALTVIDRAIQAYGGAGVSQDTPLAYMWAGIRTL RLADGPDEVHLQQMGRNENKRSAEATATIKMQRAKTEELLKAYGVERLQPGARIQHKA KL FPSE_06538 MSSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTREVLVDDRQVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNNAKSFEALDSW RDEFLIQASPRDPPNFPFVVLGNKIDVEESKRVISNKRAMTFCQSKGDIPYFETSAKE AINIDQAFEVIARNALAQEESEEFSGDFDDPINIHIENDRDGCAC FPSE_06539 MADDGLLNKVHALSDLELALLLCLISREHCLVSTPSEAIDDLIQ ELQLVATKTFGLSWVVIDCNPSTTLEDFASTLLLGNQASPSSHSPTVLRNPDSYFTTR PSTSHPRTPLSPLTPGGAFASQIANVVLAKNLDRAPQAVQIQALELLRTRRIFTRTSV QTAPKQFVFVPVLQAASGGEARVTAHLNDFLYITYWHNPEDGFVNLDEADAGNDADTA STGSVVKRGPGEGTTSTPPLIVETDISYLGSLSKEAQVDIEVTRYQMNIVSFLRMHRA VAGGMSPTATKHFGQLIRCLAALHGLDFVTPALVRLATSKVYLHRIQLTPPGKERSMQ WGSRIEAVEALLEDIGPEDVIEDVLGMVTAPV FPSE_06540 MRTPVSPSSSKLPGFDPHEKPDRYKFKPKRQGIIGRMKESWMTQ SQRTRWIKTAAIVFAIVTLFYFISPKGVEVYHEVTKPAHASNNGQSPSDSSYGTDRCA KSFSKDKPIVQYVLMIDAGSTGSRIHVYKFNNCGPTPELEHEEFKMTEKEVGGLSNYK GNPVAAAKSLDPLLKVAMDTVPNALKGCTPVAVKATAGLRMIGKEAADAILDEVRRHL EQDYPFPVVDKENEGVVIMDGSLEGVYAWITTNYLLGKIGGPDKSETAATFDLGGGST QIVFEPTFKGAADGGMPEKLAEGDHKYDLDFGGRHFELYQHSHLGYGLMAARKAIHGA LIKDLTASKGADKSWLKEPIVNPCIAPGMTKAVNVTLDGSEDLTLVTFTGPSQPAPAQ CRNLAEKILKKDEKCDLAPCSFNGIHQPLLSKTFSKEDVYIFSYFFDRTKPLGMPDSF TLREMHDLTQTVCMGKTAWDVFTTVPEAMTELNDRPEWCLDLNFMMALLHTGYDMPID REVKIAKKIKGNELGWCLGASLPLLAGGSGWSCKVNQIA FPSE_06541 MDVQLFVYDLSRGLARQMSMGILGFQLDAIYHTSIELNGKEYVY DGGIIAIRPGSSHLGQPLQKIPLGKTNLPIDVIEEFLDSLRPIFTLEAYDLFHHNCNN FSDSFANFLLGKGIPEHIVKMPQAVLDSPMGRMLLPQLTQGINAGRQGGSILGLQESS QAPVAAPKHVVRNIANASEFDRLMSETKNSCAVIFFTSATCPPCKTLYPIYDELAEEV GDKATLLKVDISQPQQHEIGSRYSIRATPTIVTFLHGKEENRWSGADPAALRGNIQLL VQMAHPVHPHERLRLPTFSNPNAKPVLYTKIPPLDKLMVKMGSEVASKPEVKALKQYL EDRTKNGPSSAVIPDMGHLSSLIKDSVASLPIDILFTIVDLFRCALSDPRISGFFAEE KNHETVLAVLESVAQKTDCPYALRLVTLQMACNFFSTPLFPDEIMRDSSLRAVIILLV SSSFLDESHNNVRVAASSLLFNLSLANRKARSSSKPTLSGDDDLELAASVVEAISLEE KSAEALHGMLLALGHLVYGTSLDGELPDLLQAVGAQDSILAKKPKFPDEKLVTEVGTE LLGKGLRKP FPSE_06542 MTSENNNIKEESFKTQLDNAADNAREMENQKPNPVVEKSKFSRQ HFTEYVPVAAKVLPARQSPPKEEDKPPGPPERPHHDHKIEDFVRDQHRSQGIEGVLGQ ASNDE FPSE_06543 MPQIPITIVTGFLGSGKTTLILNLIPQLRAQNPDYKLALLKNEF GDLAVDSQLAANSSISGVQELLNGCICCNLVGQLGPALKELEDTVVPDRIVIETSGSA FPATLALEVNRLARESGKYILDGVISVIDVENWKGYEDTSYTARIQARYTDLIVFNKW ENVSMLRYDECLDRVGDLEVDVAKVKSDKGKVPMDIIFGVDGGLAQELTEGDVEKTNG HSHEHSNGTTNGHSHNHQSEVEVLSVVLKSDTSARINTEKLITFLSSAPKDEAYRIKA LVSLATTPTNSDPDIPKPAPSASGRYILNWAFGRWTFTPVAEALQEHDSSSDVTLRMT MIFARYESNKWKKRLEAGGLIELDGGDSSGLTVTKIL FPSE_06544 MPSWTITNDDKMSSWRDQLSPRNLSFRGFRRASESVAKTTSTAK TDFTMMHYPDRPTRRITEADIPNDEECKKTLVRMRKASFAEQLHHRRHEYHIQHQNQL SPVPEPTPTPEEPFSLRPPTLKVSQIEPPRIEPPSSSPQQSEQPRVEEPRAQQPSRLT FGPFNGPFRSTYGSLSPAQEELENVDNDEPTETTQGVLQVPEPAIDIRKSILAVDDLN LATGPAASPVVISEAKTIRLERVERIDNTPTASPFSSPRPSNASMTTLPKRKPSVQMV AIRPSSQDSQRRKPSVHTVVVRRPSSKPHVDALSSHPTRSSTATQVHVRQDSLSDPMC LVCHNGIAERSGTCSICDSDSMTATPVESSPNFSRLHHKPTIKKYNRPPPLVSHSLNG IAKLHRPSASLTSDPEANQISPPASPSSRSSSTAETVKSLATGPSVPPTPMSALSTPE VFKRFKEEVENRAQADDGPAFDDPWMAKCKTPEDDVYEDDWADYYFDEQNFNARPGKQ TQSPAPDLQFVASPVCPGPGWI FPSE_06545 MTTPHQSDVKGVDNGGDRHRNSTSESHARRLSVTMDSRHSHSNK LGSISGVYIPVFLNIMSILMFLRFGLIIGKIGFVGILGLLVTAYSIDLLTTLSLSAIA SNGEVKGGGAYYLISRSLGPEFGGSIGILFYLAQVLNASMNVVGLIDCIRLNLGPAFP EGYWTGYFLQTAALLLCTGLCFLGSATFSRASNALLAILSLAIISIPVSAIFKTPFRD EDLGIHFTGPSFDTLTDNFLPHLSSPHFKGLETFRDLFGILFPATSGIFAGASMSGDL KDPSRSIPHGTLWAMLTTFIIYFVVILSLAASTTHDSFLANDNAISLINLSQPVILAG ECAVTFFSALMGLIGASKLFQAFSRDKLLPGLGFFSKGTKHGDEPIYALLLTYAIAQV ALFADLNQIATFISMGYQMTFFVMNLACFLLKIGSAPNFRPSFKFFTWQTAFVAGILS GFAMFFIDVTYATVAITVLVLLFLLIHYLSPPKHWGDVSQNLIYHQVRKYLLRLRPEH IKFWRPHIILLINNPRRQTRLIQFCNSLKKGSLYILGHVIVTDDFNSGVHEARLQQHA WTKYISEFSKIKAFVQLTMSPTITWGIRNLILSAGLGGMRPNIAVLGFYNMEDLRKSN PRLRVPDVPVSFSRQMQRPPKSNGKAPERPRRRRGDTSARLMEGILPTDVIRTENMMS PKEYLTILEDLALRYRLNIAVGCGFDALETPRKDGSNTKKYLDLWPIQMSAEVTSDGK SLLTTNFDTYTLILQLGHILHSVQLWKQVYTLRVMVFVEYENEVHEEHARVLALLEKL RIDAEVNVFCLSSGELNTYEIIVNGVGNDIDWEIVVNDTLRNEEWWDDVQMYRGRADN MTSTQELDQLEQIYDSTSGRPGLYNPHEEIYERRRLSTTDVPELPRRPAIRMLSKMGV SMGIHTHHLPDDALDETSSEDDETEDSPTDLIEDEEMGYSSILDHDAGDEASIGPSDS VHQPLLARGESHDQDGRVRGDFWERFGRRSKSDTVGDATATSYGTMSSTATVKGVGGA ETSQTASTSQPTNERPHHESTDSAPADIPSLVSRDSLGPSAAYSRTRPASPSREDTVR PYRSGTTTPGRPTLSRQSSAVKFSSRPVPETKIVTSEAEGSTLSFAPPSSTDSETPKA GQYRPSYSRQSSLGNYPSKSRPSTQVTSGENESKKISFAEQPDYEPYSAHHSRFHSRR SSRGSTQYGGESFDQIPEMLERYRLSSHLEEEAQEPGYTPQGLELSFNELPSRAQHLI VNELMRQHSKDTAVLLSTLPIPTEGTCLDDAATIQYLSDVEVLCNELPPTLLVLSNNM TVTVNL FPSE_06546 MASPSRIPSDQPPRSSSPSSFRPRSISASVPRADLTARLASPIP SQFGTTPPVGGTPRPDAASRSDNLDDLSQLPGTGTSMQGPGVSALAAALSNSLGQSPP RHGTPAARVSTPPIRSQSPLLGARNSGTPTNYGSFNSRSQNALGTSAPYEDPEIVKRH LVQPSDENPTSEESSIQGNTKGKQPADIGGAGLNEDEFSSLRLQGGDVTRGIYKWTEQ AEARAKYNRSKSFDLGRPEPEAEVLDINSIKVPGGFRRNHLRRSVQSPGPHGHLEDGH NSPAPGQQRLFTSSFLEFLSIYGHFAGEELEEDDENLGPNEYFSSGEDTDEYNSDDER EPMEDSALLTPSRRRRKRKVRGGSGNNSPMNAALLLLKSFVGTGVLFLPRAYLNGGML FSNLILFGVAALSYYCFVLLVKTQLKIGGSFGDLGGALYGKKMRTLILSSIVISQIGF VAAYTVFTAANLQAFVRAVSDCKSSISIQWLILIQMIIFLPFALLRDIGKLAFTALVA DAFILIGLAYLLYYDILTLNQNGIADIIMFNKKDWTLFIGTAIFTFEGIGLIIPVQES MRHPEKFPRVLLIVMIIITVLFIGMGAISYAAYGSHTETVVLLNLPQDNKMVNGVQFL YSVAILLSTPLQIFPAIRIAETELFTRSGKYNPWVKWQKNVFRFFVVMLCASIAWLGA DHLDKFVALVGNFACIPLVFIYPPMLHYKAIARTKFWRVADIALCIFGFVAMAYATTL TAMSWATADPKHPGYCDQKGAPGF FPSE_06547 MPDDLPLDREGPLKGAIAGYAEHVLVCTGNADWPSRIEDDNDGD CLAADLKELFGRGGTYSDVGISFAPHVNYLANSFQPFHNVSVLNSSFPSSTSPRPQLQ TTSAYLLPSFKYIPFLPRVSFDSVEALAKGFLLPEKLHRMHDGLSPIHRDRLTRKEGY QGLLPGVQDVRDVLVLICGHTGRDARCGIMAPVLATEFEEKLEKEGFDVQHGPVQINL NETQRIQGEAGEEKTSARIGMISHIGGHKFAGNVIIYLPPDLKMGSELHPLAGHGIWY GRVDPKNVEGIVKETIVKGNVVADMFRGGIDAQRKMLRI FPSE_06548 MRATTRILPGFSRTGTYYGRNLTRCGQIYSQLSASQARTLKTSP NPSITPTQRYASHKPNFSAPLQARIFPSLIFVGIVLVSSGYYLLTPPSRPNTLNDITF VPYAITAREAISPTSFVITAVPRTPNPFLPYLNHSDSQWKNPLWSVEFKQPEVQISRH YTPLPPLSNEDPTDGALRFYIRVVGDGEMSNYLGRRRVGDDVFLRGPHVGFELAERLG EHSRLVFLAGGTGVVPGIQAAKAVLEANEKSSVDLLWAVRKREEVQNSASPSSSSWKF WQEKRPTALGLDVESPSPVTKRLQDLKKTYGDRLRIQVVVDEEGTRFQDNGINSAIAA SPGIVASFNAGCRFHDQAMHIHASEFAMPEGPGCVCESPAGTTPGKNLFIVSGPDGFI EHYAGPKIWLGGHQTQGPVAGVAGHLQRQNPALTRDWLVLKM FPSE_06549 MPPSQQYRPSDPPDSPTNDSDSDLDLDIQELDPISTTPAPRATK EHPPTEPETSRIALRNLRMGGLRRAGKRNRGYGDLGRDRDGNDEHSEALLGDRNSSTP QWSEGSGYNEDDQPLLGGQPSQGRRSINSDRVSSRLRLPSFMSSSKKSDTNDTEDRED DDPSSSRHVAVGSTQPVRFPNNIISNAKYTALTFLPITLYNEFSFFFNMYFLLVALSQ AIPALRIGYLLTYIAPLAFVLCITMGKEAFDDIARRRRDTEANSEEYKVIVFQDADLT QTPVRQRKLLKSEVLQKHSRKKSKGARENLSDILEEENVEAPPPSSRAIEVSRKSKDL KVGDVLKLTKGQRVPADVVILKCLSAEVPAPAPILEEPAEEETLLAFDGEEPQGKGKQ PAEAAPEQEAESGPGGETFIRTDQLDGETDWKLRLASPLTQNLPTEEFVRLRVTGGKP DRKVNEFLGTIELVESRKDALAHHATVGESDASHTAALSIDNTAWANTVIASQATTLA VIMYTGPQTRSALSTAPSRSKTGLLEYEINSLTKILCALTLALSIILVALEGFGNTKD NVWYVKIMRFLVLFSTIVPISLRVNLDMGKSAYSWFIQRDPGMPGAVVRTSTIPEDLG RIEYLLSDKTGTLTQNEMEMKKIHVGTVSYANEAMDEVSAYVKQGFYVPPSTDHVSQN MLITPSSTYSSSANMGTTRTRREIGTRVRDVVLALALCHNVTPTVDIEDGKEVTGYQA SSPDEIAIVKWTESVGLKLVYRDRKSMVLEYANSKRPVVRVRILDVFPFTSEGKRMGI IVHFHENASVKNPSLSSGEIWFFQKGADTVMSSIVASNDWLDEETANMAREGLRTLVV GRKKLSYGQYQEFAARYQTASLSISGRDAGMQRVVSHYLEKELELIGVTGVEDKLQKD VKPSLELLRNAGIKIWMLTGDKVETARCVAVSSKLVARGQYIYTVSKLTKKDNAQEHL DFLRSKTDACLLVDGDSLALFLTHFRLEFISIAVQLPTVVACRCSPNQKAEVAKLIRE YTKKRVCCIGDGGNDVSMIQAADVGVGIVGKEGRQASLAADFSIEQFCHLVKLLVWHG RNSYKRSAKLAQFVIHRGLIIAVCQTMYSIAIKFEPEGLYKDWLLVGYATCYTAAPVL SLVLDKDVDEDLANLYPELYKELTTGRSLSYRTFFVWVLVSIYQGGMIQGLSQILTEV DGPKMVAVSYTVLVLNELLMVAIEITTWHPIMIISIIGTFLLYIGSIPFLGGYFDLEF LITWGFVWRVLAIGAISLVPPYAGKLIRRTMKPPSYRKVQNR FPSE_06550 MVQISEVKGNKRDNRTAAHTHIKGLGLKSDGYAEKQAAGFVGQA AARESCGVVVDLIRAQKMAGRGVLLAGGPGTGKTALALAISQELGTKIPFCPIVGSEI YSTEVKKTEMLMENFRRAIGLKVRETKEVYEGEVTELTPEEAENPLGGYGKTISTLLI GLKSAKGQKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPIPKGEVHKKKEIVQDVSLHDLDVANSRPQGGQDIMSMMGQLMKPKMTEITDKL RGEINKVVSKYIDQGVAELVPGVLFIDEAHMLDVECFTYLNRALESPIAPIVVLASNR GMCTIRGTDDIVAAHGIPSDFLARMLIIPTTPYEADEIKRIVRIRSTTEGVSVSDAAI DKISEHGVRISLRYCLQLLTPSSILAKANGRSQIDVQDVAECEDLFLDASRSAALLSS EAGRGYLA FPSE_06551 MTQVISLVTPSQAMTPAHSSPMDSDDYGRPRFRALPDTPDSPSP RTSGLSRKRAASINTVDASYGRLERLQLSTPTSMASSEGTRDICLCAPVPKIPRPRNA FILYRQHHQAQVVARNPNLSNPDISKIIGEQWKDEPQEVKDNWKGLADEEKQRHQRQY PDYRYQPRRGNKAQGLRSGSLSGDDSGRCPRCNLRCIVTPQTPSTPFPTLSAPEDKAI SYPHTPGFRGEEAEAARRGSYGNLPLMRQRLPLQRLAYRDVDDYEPETPEMKRRRFNS TGGYHAVSSPVARTMSMGATHPRPYPVTPLPEPHFTRSRPGPMPPPPRPAAGGPWSDQ EYRGRKAYDESLRLPPLQTAGPMSPTTSPDDVRQLQTPITGLGISNPRDPQARSVEAM VMSIPFNRKLEVLSKINRTTIRLDSKDAEKRGAVIAIEGPKPRLLKQIASLVERTLLL SNEVALKTWGKETDGELSAARRGSQSEEELLDPDTRFTACFETMIQWHKKSREMVKHI AGHIESPSGSEIERDSESPTIYRRGSMDEDNAPKRGRDDGVRTKTPVALIKDGFSLTL SDEFACTVPISDAYAPVDHWQWMATLWRGTVDPDLVVYVKPSLEEEIAACGSVDVRVG LMIVRIAVGKDMDEATERRLAFEVVEWVRGGSFRESHDKTD FPSE_06552 MPDFKPGQTVQLNDGRKAIVRFAGATHFQVGEWIGVELEDKSGK NDGSVQGERYFDCPMGYGMFVKPVMATIIAQAPTPKPPARKPSRPSSYNPASGRASSA AGDAGLGRRKSLNAPSPSPVPRAARPTSLARSPTKSPTKQLSGVSSNSVSRTGTPSGS RAPSVGAKPRASVGGGRTPMGPPPPIARTTRQVSTSSTISRPGAAPPRATNSRMSLGG QSRPGSAARRQSVDSQARRGSSDREDVISPPPNDNGDILSPQPRSPVQARTKALEKLT AGPASRQSTPPTSRKVSSTSTAGAARPTASTAASREIEDLKAKLKVLERKRAEDRDKL KQLETVQNERDKFESVIQKLQQKYQPQQQENAELKKLLREAEMRLESIEEFQQEHESI LELATLDREMAEETAEVLRAELDALKEKAEEMELEVEVLKEENEEYSKGMTPEDRAST GWLQMERTNERLREALLRLRDLTQASEEELRDQISGLEDDMKEFNTMKGELSVCREKL EQSETAVEDLRQQLDNALGAEDMIEDLTERNMSMSEQIEELKAVIDDLESLKEINDEL EINHVQNEKEMQEEMDFKDSVIAEQARRAGQQEEALEDMEYTLSRFRELVTSLQSDLD DMRASQAVTEGESEKLNDRSRAMMDLNMKLQISASKAQVKTIDLELRRLEAQEAEQHL EILKLFLPDTYKEDQDSVLSLLRFRRVAFKANLLNSFIRERLNGQPHPGHEDDVFAGC DASDKLVWVSNMCDRFVNDMTHCTIEQFSKYQNALHELEPVERALNVWIDGLRRDDLK EKTCADELHRTIALLSHLGEVHISNSLANYADDVHMKANIMQSHLDSAAVTFNTLRGL VQRVVPAEGDDEELAQHFSKKSEAVITNTRGAKVIAAKAVRALQDLRTRSLSLLPDTN EAFDECCEATQELADLARQIGLGLHNLFHADESRNEPFTYVEVQSSVHKTVLAVCTSS ESDIFSTYLSKLRDVTTQISDLASLATDLDQVQEFDVATAPWRLRSHELKALKTIPVD AEEELRRIKEEHSEARRTIAQRDEHLSTAVLKIETLESRMRDAQANIDRIAKLQEELE ASGQEIGGLKEDIEKQDRELKNLESERDKWKKIASDSRAYADGADAAGAKAGQERAVA TAREMDALKKDIESLQSAVRYLREDNRRARTSEQHKYEWLAEPLKKPTSVTEQRRNMI ATEGKDVLGELVKMASSASVYDFSTLPKDKLAWKPTRSTPQYHAAKQMEDYAAWGAWQ ESVLKKAHVLQGQAANAERRKKAPTAARLHIKLPGANGKMVPGSGREVQIVGSSEWEA LQGRLAV FPSE_06553 MSHPRIEEVSDSDFDSDPAEADIDDFADSDIMRRVEPSSAPIKA PAPPHQEPAIVPADAPVGGTMPASADRSAYADFQCLYPVYFDASRSRAEGRRVSAELA VKDPLAREIANACSRLRLQTLFEPEKVHPKDWANPGRVKVGLKKSSGHPVKNKHHLYR LVAEHLRDNPTTEDSPGLRVRIGGQLQPEPGKPYPKPAAPRGWKMGELVPYLSAAMTG GGVSENLFKDMMKEMQGGGDPMSALMGAQAGGGGGEESSGGGKKKKDKKGKGKA FPSE_06554 MSPRTRRQAGKASNASSSESEPEVRSNGNGVAHRAADVSDDTPR ENIFLFYPNIIGYARIVLAIASLYYMPLHPRTCSFLYSVSCLLDALDGYAARIYEQST RFGAVLDMVTDRCTTACLIVFLSSAFPRWAIVFQGLIALDLASHYMHMYATLVVSGSN ASHKSIDESKNWLLRLYYTNKGVLFALCALNELFFIALYLLCFSSPLVSPYLIKPIEI VGAELQAGAQVNTSILAQIFPDPFSPAALELARANKMDSTVPWIIAGISFPFMFMKQL INCVQLYNASQGLAEIDLKTRREQGLPRPKPKKA FPSE_06555 MSSHPSLKATFASRAETVSHPLSRHLYKLMDLKASNLCLSADVA TARELLYFADKIGPSIVVLKTHYDMVAGWDFDPRTGTGAKLASLARKHGFLIFEDRKF GDIGNTVELQYTSGAARIIEWAHIVNVNMVPGKASVTSLAHAANRWLERYHYEVKTSI SIGTPTASQLDEDSERSDGENQKNAPEIGRDNGRKGSIVSTTTVTQQYESADSPRLVK TIPEGDETVFPGIDEAPIERGLLILAQMSSEGNFMNKEYTQACVEAAREHKSFVMGFI SQECLNTQPDDDFIHMTPGCQLPPEGADENEAIKGDGKGQQYNTPQKIIGIAGADIAI VGRGIIKAGDPEEEAERYRSAAWKAYTERVR FPSE_06556 MTAPETDDGPRLKKRRRIVISCTECHRRKQRCDREFPCGNCKSR NKESSCVYETGAPTAKHNQHSQHNLQTTGNSPALSSGLVKKTEPSPSGSSDSLDNGPN EPLSSKAADWGYAHNGASTMGILKRIETLTDGEESSAALHAKSLDATAGSPGKELALR EKYKAIIRQLPARDYIDKLVEMYLQSFNWQYYAIDPDILYAQLQEWNSLPFSALSDVG PRGLNPELQAFPALVFQIIATALLLLPERTDPIFDSLKFAGGMSFEDLAIEYSESGQA IVDLLGKKHLSLATVQAQFLRASFHKFTAKVTDAWHTISVAIRDAQDLGMHRDSLDPK AADSSVEGILENQWLIQRRRRIYILLAIWDLNCAMILGRPGTVDWNQTLPTPPVDAPI PQNRNKTPVIPRGEDDHPTPVTRLLWNYQLCEPLRAIQNLEVRGSYPLDSDKIDQIHQ SIQDLDKAIPSYFRMDNPDTRWDHLPEAQWYTENRYYFASLHEFSKMALHRPFIFNRA KSRAEVIHASLKTLEVQKLTFEGLPQDSWRNFMLFFASFDAIVLLASVYILFPREHAE YTDKTMEHFQWTIERFSAIQERNPLAKSAQGVLRAIVARFRKAMSKARGGSLPSMTEG STTESSKTATCSTPGSSTLGSGDFSGLVTTDSTWIDEMNMMAPFFPTGDLVYNDLTAG PDLETLSLPLADSQGDDSMWQFGGGWGDDTVWQVLNQFPAGTETGAPNFGL FPSE_06557 METTSFNDFIQRYNTLQAYEASKDKLLQDVLIYCKAIESDTDKE NKKLKARLNEVELDLKAATKTRRDLQKTLEEAELQVKWVMRQNDEIKNTNSYVLILID GDGLLFDDSFTKQGLEGGKLAARALRTAVAQLCSHERTGSLEIICRVVANVGGLSKAL ASEGCIENPNQFRDFTQGFTQAKASFDFLDVGFGKERADAKIRETARWHLGNQNCKHI LLGIGHDSGYAPFLEEVVHDDDTRHCVSLIKGPPLVRELDRLQMNIIEFDDIFRPTKL ISDKTSALAMGNNRTLPIKTHTTATTSMPPTQAAVLTPATSTASLSPPNNSWAKITKS ATPPPQLTMPLPPKQNKSKAAASKAPTQPAWSPGPRGIDPTVTVGASAMENIKRRAGN EKLCNNHYLRGPCGRMDICPFVHNYKATQDDLLALAMLSRQNPCTAGQECDVDDCIYG HHCPNVLNGMCTRQYCRFPRDAHPPNTKFINKNIDVN FPSE_06558 MRLKLLSVEAALLLALPELARAATRKFDFEIGWVRANPDNAFER PVIGINGQWPIPTIEVDIGDRVIINAHNNLGNQSTSLHFHGLYMNGSTHMDGPAGVSQ CPIVPGTSFTYNFTVDQPGTYWYHSHTAAQYPDGLRGPFIVHDKDFPYAKKYDEEVVL TLSDWYHDEMRSLIPQFMAKSNPSGAEPVPKNALMNETTNFTMSVQPEKTYLFRVINV GAFAGQYLWFEGHKMRIVEVDGIYTEEAEAEMIYISAAQRVSFLLTTKKDTSKNFPII ASMDTTLFDVLPPDLNYNSTGWLVYDKKAENPVPATVESLDPFDDMTLVPYDKMEILG KPDKEVVLDVKMDNLKDGKNYAFFNDITYTEAKVPTLYTALSAGKDAEDPTVYGTYTH SMVLKKNEIVQLVVNNLDSGRHPFHLHGHAFQSVYRSEEEAGIWADANVTESNLPKTP MRRDTLVIYPNGNIVMRFKADNPGVWLFHCHIEWHVISGLIATFVEDPLALQETIEIP KNHLDACAAAGMPTKGNAAANTEDFLDLTGENKPAKTLPPGFTPRGIVALVFSCICGI LGVAVVAWYGFSAPVDSTSAGALSAGLVENDSGDVHSAQKGPQETVVSPTGDARSH FPSE_06559 MAVDVFAVPVFLVVFRETLETVIIVSVLLAFLKQTLDGPNGDVK VYKQLKRQVWLGTGIGFFICMVVAAALIGVFYTVGSNAWEKHEYYYEGAFCLFASLII SVMGAALLRIGKMQSKWRVKLAKALESPIKTGNKGWFKQFVERYAMFVLPFVTVLREG IEAVVFVAGVSFSASAKSIPLPTVVGLFAGCCVGYLLYKGGASTKLQFFLVLSTCLLY LVGAGLFSRSVWSFEMAKWNEYIGGEADEFGNGPGSYDIDQSVWHVNCCTSTDKIQNG WGIFNAILGWTNSATYGSVISYNLYWICVMTGFIIMRFKETPGRYPFGKAKAPANAVD DAESHATSSPRNVSSEKTTTA FPSE_06560 MGESGTAASAEADGSEASKKDLPPVVEIDPSGDIVLDVTFETSA STLNKTRKAELAASRKAGTQPPDRSTLKSKVRVGYRVNLAALKKHSKYFSNLLSNSQF REAKLILDIHEKLAQLKINANEADVHDLPWIPITDDDDATKAAGRENAMEDLLNIIHQ QPTKTSRGTMPYITTLAIVADRFDCVAAVARVLNTDLKFRWPLTSNKPLRSEDGRATE TEQVLRQKILVAWLLGQPMRLQQATREIIMRGSSLWSEFHDQDTTMTAAWWNLPDGLE EELRHRRECILNTVSSVQRHFLALFSSRERQCKLGYDSSAACDSYQLGQMLKFFVNKN LLFLVDYSPASLNMVPDTAMIEIEELLSTLQQCPSYQVDKHHTNCGLRTRLEPILIYM RSMLSANVISIPYADWKKRPTDTSWLAQKEHEADDRDSAPKKFQFTRAIANDQRLRYE GALYVDKMAKAMFLADEWDWTPET FPSE_06561 MAPFTRAAVDSTLASAIQLLRITARPSVTPNRLASHLRSDTRNG TLNMSHCLHQSGCSTRYLTCASLSDKSRQSRLTRPNSQPSQLSLSTLETYNRREFHTY FVTHLPSSSVHPDSRPIGPGHKLPRDAATPHTPSAGPVPAVAPPYIPSTRDLTVVRIP MRRAKHHLGVATDRGSRPYNEDREQAGTISLPAFAKRAPRSVKHNPGEATAADSAWGD PQIFYFGVFDGHGGSECADFIREELPGYIEKASEEFGLQSSLRKKKPSREGIHNHHHD HKHKQSRTPQSGQPASTPSTKREALDAVHMKGPEEVRQEMNVLDSNSSAISEKPVHGE RIHSATSPPAEVSDLAKAIRMEKNLVKEYRDTVGGYFRRFHPEHFVLSRDEFAVEGRK ITIESVLAYAFLRADLDFVSAQARKVDPEDMKGSDDAPVNNDEVFGHPSTPSGHGIGG PARFKGGSTASVALISTPTPAPFWHPAAQSTLLCAHVGDSRILLCDTATGLAQPLTSD HHPSSPTESRRLRRYAPAGSMVSGDSFGEERIAGLANSRAFGDMKSKRIGVSAEPELT RVEMGPAQYSFLVLMTDGISGTLSDQEIVDVVKEARTPEDGARNIVKYATEVSNDGDN ATCQVVRLGGWERRSEGGLGSLGTKEVRDARIAEAQDPRRGKR FPSE_06562 MPSFSMPRGSWLLLIAVCLILLPGKAEAFGAGNIPSIAQVEGHN WRHGDIEDMLETIAFLHGKKWTSMLIKRVYFGNWLRDYSQAVDIGSLKGVNGETIRIL VWVLSFMAHGYATGEFEVTADRLGVYRPEEHIDNPLGYGDGLDARTFDKRLRGPVEPV ETEIDPRTGMKNYIANENGGWATSAAYLRYSLARSVHYGRLYTSGSSKGNEDDLCEAL RCLGQALHTLEDFSAHSNYCELALRELGYHSVFPHCGDHTEIKLHGKRVYPLVTGTFG AVDFLHSVIGEASDHFTQSEVDEVDVALKNAEGGSANRSSGGGERSLLGGFLGIKSTP GDFIGLVSKLPGVGDGFASQARDLKASSEAQEQRNRSYQQSRGDMTRDNANQVPGMSP DFDPVETARKIYPILEFRDKIVKAISRGIAKVPGLEKLLEKISETLTAFILGLLAPFI RPIIQQVSKVLKDGSSSVITASAKSQYEPWDNPSCSDPTHSMLSKDHFTNILNSCAGR IAATILQYTVPRVLYAWENTGVSVDEVVNDVLRAFHHPAARDNHIEIQRDMFKTMKNW ADEHPRRHELAHLLSSESVRNHKNHILNQVSGASRSVGGGGCNHGTFGDMSNAGHGKV AGSLWSQVKTRDLDSMEGKDGNPATGYMSNSPAPPSQTSFDYGQNPSYAGSNSGYSQQ GGYNAPPPQQSYGGGYGGPPQPQYGAPPPGQYGGPQYGAPPPQQYGGQGYGVPPPQYP PYGQQGYGGPPPGNQPPPGWGQYPGSRHGY FPSE_06563 MESLSYEYLKIYMLGHHLGTLYLKPEFSISFRFFQRNKQSML FPSE_06564 MPGLTLPTFTRAEVEEHNSEGSCYVTIGNKVYDITEFIEDHPGG PEYVLEYAGRDIEKILKDSDSHTHSDSAYEILDESLVGFLVSEKSVNGHANGTANGNG SAKLSNGDANGTVHPRTGMSCEEDLSKETDYNMDYKKNKFLDLNRPLFPQLWFGGFTK EFYLDQVHRPRHYKGGQSAPLFGNFLEPLSKTAWYIVPMIWLPCISYGTYIASQGYDN QLYTLGYWVFGVFFWTIIEYVLHRFLFHLDYYLPDNRVGITLHFVLHGIHHYLPMDRY RLVMPPTLFALLATPFWWIAHSLLCHNWYAAVAAYCGGVFGYVCYDLTHYFLHHQNLP LWYKDLKKYHLAHHFLDYELGFGVTSRFWDTIFGTELIYDTKKTK FPSE_06565 MATLDVEALLDATAKDTAEQKTKSPVEDRTRDEPERRERDRGGR DRDGSRHRDRDHGRRRDRRDSQNRSRKGTPDVGTPRSDAGSHKSRRRSRSRDSDRRHT RRNRDGDYYRGGRRSRSRSRSPYRHYRPRDDREPRERRDRGDRRNRDFGRGRDDDRRE TKREEGNPQLTEDERDRRTVFVQQLAARLRTRELKEFFERVGPVNEAQIVKDRISQRS KGVGYVEFKNEESVTQALQLTGQKLLGIPVIVQVTEAEKNRQARNPEASGPHPNSIPF HRLYVGNIHFNVTEQDLQAVFEPFGELEFVQLQKDENGRSRGYGFVQFRDAGQAREAL EKMNGFDLAGRPIRVGLGNDKFTPESTANMLQRFSGQNQQNPNFQGSAFSGSGGRGPQ NSTFDRAGGRDNEKTGGASALDDTDVAGVNFNNYSRDALMRKLARTDDSAPTNGHEER QVLKPKTETKPLPVNVNMASRCVVLHNMFDPEEEEGTDWVKELEDDVRQEAESKYGHV VHISVDPNSKGDIYLKFDKVQGGENAIKGLNGRYFGGRMIDASPVVDAVYSSLFSRTR AI FPSE_06566 MLSINFSTPLAGAARSERIKQMTNNHPAFEKSRQSQEILVKIQT SLRRMPGVSSKESNEIITQLQEVGQIVSTETSQLVDALMNLTLDQEDTDKAIARMSIE ANLSKAEADDQAHTLSTLRADLANERSKRQEAEAEVAKVMEELQKLSGEIKSLKGKSS DAGSDGSKSSPDRDVVVKQLGETIKHIEERVNSHRSLWLTKNPSLSSVARAVDTITES CSDKDAVQKSTMSRQLDSDEGTNSRDSKPPGPNEGAVQSQRPLTTTRFGSHIVPGPSR PTSTAPSERRFGQWGGPPTPSQTQSRFGTPSSSVTGPQSMYGRNAPPRRGFANGTYRP NAPEFYPQSYGHPEKSATSPSSNGGYSQPRQYYPPTPSGGRNKYSRFRDPVPAGPDYN GPPGALTTRPPFPGPPIHITDMTVNAWHDQFTDLYQSIRTFVARHADLATFVNPQELS DTRLWPVLIATYHPLAENEAVSYLDYHLKETSAKSCLVTRVIIDYVVNRVWVSRAWMG AETDATHGLVEVEKDLERTQGQPAARRQHILDRQASIIDSILKLDTFQEFSKVRCQEI TDVILSIIEPLLNPEADPDTVFKELESVATATWALSSRILSSRLTFDFRFPEIGSRYS YQSMLPIWPDLDPQDLQAEHWRVALVTTPVVTCRNDTGTNISAHSVCVADVVCMR FPSE_06567 MPHATSISSPPRMFSRIKDKFSTKKSTRELKTHYNEINMGNSNT TPGGYPSNNNPFLDVPNEAPPAYEPFTKSSNLDIPRRAASPAPSVSSVTSAEDKYAFL STFDTVFIIDDSGSMAGRSWREVREALSAIAPICTSHDPDGIDVYFLNHRSNAVGSGT QAPGGYYNIRDARQVEHLFRSVRPCGSTPTGARLHSILKPYVSHIARRAENIDSTKPV NIIMITDGCPTDDPESIIVHHARKLDQIEAPPHQVGIQFFQVGNELGATKALRELDDD LSGQGIRDMVDTATWNSTTVTNSQTLTAEGILKVVLGAVVRRLDRRSTHGTPQGRAH FPSE_06568 MTSFRSIFVPAFIALLAAIALFQTSFKDTATSTFEVLKTLTPLS YIYSDKITDQQKDNHHLYATEMSVHRAIRKVFLAVEQSEGAGARVRRSIGTPQLRNFS PFLMLDHFSVKPGAGFPDHPHRGQETITYLLEGGMDHEDFAGNRGTLSAGDLQFMTAG KGIVHAEMPQQNADGSANIGLQLWVDLPKELKACEPRYRDLKAPEIPIAKIDDDKVTV KVISGQSHGIDSVKDLAYTPVWFLDIEIQPGGKITQPLPPNWNAFAYTLDGQVIVGND DQKRVVEQYHNIVFEPEGDVVHFEVDAGATKSARVAIIAGTPLDQPVIQYGPFVLTSK EDVAKALFDYQTHSNGFERAEDWQSEIGKSMVG FPSE_06569 MSFSGRKFSINRHTGEPKPKMLARRFSTTEPSTNEFQSKVHRQF RSAHEGHMPHAGLDASRSSTGVIWCTERASEYGYLENPDSWANLGQGAPEVEDDIEGC FPRPETINISMAGREYGPTAGIKPLREAVAKLYNEAHRKGKESQYTWENVAIVPGGRA GLIRIAAVLGNSYLSFFLPDYTAYNEMLSLFKNFAAIPVPLSEEDGYHIHPDKIAEEI ARGTSVILTSNPRNPTGRVIQNPELAEIQDLCRERATFISDEFYSGYNYTSNCDGTTI SAAENVDDVDEDDVLIIDGLTKRFRLPGWRIAWILGPKEYINAIGSCGSYLDGGASHP FQEAAIPMLEPSLVQNEMIHLQSHFRDKRDYVVRRLREMGFIIKYVPDSTFYLWLNLE GLPETIADGLNFFQACLEEKVIVVPGIFFDLNPSRRRDLFDSPCHHFVRLSYGPRMDV LKMGMDAIERVVQKHRKMN FPSE_06570 MTMSPTVQPSYAVSRSINTVTTWNPSESSVGAIHLCGWSIAVKS RTYAGAYS FPSE_06571 MSKDDQQQPVQAETNGNKNPLPLPAPGSDTAASSSSSRGDGVTE LRVGESVALDSMGPLVVNRDGTMGRIGNWAGMTEHEKAQTLRLLGKRNKERLGVIKAK KAAEEEQK FPSE_06572 MSNFNGRRGPNVSQYLRDLNAINRQENAHDEPFNMEEDLALFTN TQFFDFETGQNTDYQAHPAKVDLEASQSTSPSDGMTPAPSVVGDIAAGNFDFMQGDFN FPDFTGPYPSTPMTAFADGAQNFAPLQPNAPANYQPVPQQQQAPQFAQPAAPQPSLEK RNSESAGSSGRGSLNFEEASRHAAEEDKRRRNTAASARFRIKKKQREQALEKSAKEMS EKVSVLESKVSQLETENKWLKNLLVDKNEGNDEIIALWKEFAASKTANKPESKAKSSV KDETR FPSE_06573 MARFSIPTTARLPSSIRVDASNPAVVKSLNRLSRESLISLALDW LDDESLPNAVPYIERRDKDEDEENDDLYPACQSVDDLQQLYVDMQHQKGSKRDVVSRI VEGDWRIGLTLYQLAMADMAYFEQNPTSQRWTAYSILPLKQPSQNAGEDQVLKVDQEN LTIPRFHPSTFLQNLQTHVLPDVKAHYHFHRPKDIPVLLLRIFVVDSPYNTDFALSSR DASGTATNFDSSRTVYIAFPDGSPSLYITKSQATGSVASGDLKSLQSLIVDGVPKALS RPRERYTLKSSSLSSKNLEVLLEKNGSGRTNAAGGGWSIYSSESTKVSPLDTVLPSPP LSRESSANNLKRGAPLTESQQASKKARLAAKARFGDSAIVTDGKGVEKVDIVIQDPFP TTGADFSEPEDEENEQGSTEHGSKNKRKSKITAVIQEANATTDEDSRNDTAPSQRWTP TVKIKFSGNHVWAGVRQLVEAGIIDGEQTPGWMTGEDGVTTGLVRHGRIRGYKGSGI FPSE_06574 MASSAADQPVNGSSYEPRYIDIGINLTDPIFRGKYHGKERHPDD LDAIVGRAREVGCTKLIVTGSDLANSRDALALAKDYPGTIFGTAGIHPCSSAVFSEAG PSHESEHTTPCDPDPSAPVSEEHPPCSAKTEKLIADLTSLVTEAQASGQKSLVAMGEF GLDYDRLHYCSKSIQLHSFAAQLKVAASISPQLPLFLHSRAAHEDFVRLLKEAFGEKL EKLEKGGVVHSFTGTAEEMRELMDLGLYMGINGCSFKTVENCAVVKEVHIDRLMIETD GPWCEVRPSHEGYKYLIEKKDAPAPENEQNGTAAAEPAKKPQKQSKKNQKKEPEVPER YKIVKKEKWEEGAMVKGRNEPCNIERVAKIIAGIKEVSIEEVCEAAWKNTVKVFGLEE K FPSE_06575 MFVRKRDGRQERVQFDKITARVSRLCYGLDMNHVDPVAITQKVI SGVYGGVTTIQLDDLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQWSAVVSDLY HWVNPKNDRASPMISKETYECVMRHKEELDSAIVYDRDFNYNYFGFRTLSRSYLLQID GKIAERPQHMIMRVAVGIWGDDIERVLETYNLMSSKFFTHASPTLFNAGTPQPQLSSC FLVDMKEDSIDGIYDTLKTCAMISKMAGGIGLNAHRIRATGSYIAGTNGTSNGIVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGKEEVRARDLFLALWI PDLFMKRVERNGEWTLMCPNECPGLADCYGDEFEALYEKYEREGKGRKSIKAQKLWYA ILEAQTETGNPFMLYKDHCNRKSNQKNLGTIRSSNLCTEIIEYCAPDEVAVCNLASLS LPSFINYEDACYDFKKLHEVTQVVVRNLNKIIDVNHYPVQEARNSNMRHRPIGLGVQG LADAFLALRMPFESPEARELNKQIFETIYHAALTTSVQLAKEEGPYSTFKGSPASEGI LQFDMWNVKPSDLWDWEPLREDVKTHGIRNSLLVAPMPTASTSQILGNNECFEPYTSN IYQRRVLAGEFQVVNPWLLKDLVDMGLWSDAMKNRIIADNGSIQNIPNIPAEIKALYK TVWEISQRQVVQMAADRGAFIDQSQSLNIHMKDPTMGKITSMHFAGWKLGLKTGMYYL RTQAAAAPIQFTVDQENLKIADSNSASIKPLGKRAPPAGSSYLMSSSTAMGQTNGNRT GSQGNGTNGSSANGVTASTSVPGRAPVIKADVAEGDSPKALPTEPAEKVQEEELGMKK NPQSEDQGADNEDRERDIYSEAVLQCSIEDPESCIMCSG FPSE_06576 MKSSLLTVFGLVAAAAAQSSGDLPQCGQTCAGNMVSAAKSKELG CDAGDVSCLCTNQDFIYGLRDCSAAICNSEQAAAVVAYGLALCRQAGVQITTGSAGSV SASATGTGAVRTVLSTLVESDTTITSAVSTISGTATGGNEDDLSVSTYTSVFTNSAGD EVTSTIKEILGGVALTTYTSGGSTIIEPIETASASASASDETESAQVTTFTTDGTAVV RTLTTVTTGSETDSADSAEVTTFTTDGTEVVRTLTTVTTGSESESGSVSETVTDASTV TEGSDTATGTEASASASSTETDNAAVAQMTGAPMGVIAAAGLAMLLL FPSE_06577 MKSYSLVALALIANPSYCLNKGENIHLQWSLCDRDAAAVLEKLG EEVRAPYKQNPITYFDTMPPTHAQQGVMFRTKVNKGDPFSVIKVRFDEKPARLPPGAD CVWDRYGDYIPYTCGARYLLSDKTKEIWSEDQVRFAEKYDDIDWDGLVPYGPFPDGKW KLKILGHKSKLDDVVAGKLHLMEIELSTPKIGSEEVYDKVTKYLEEHGVLLCDPQQSK TVRLFRDRGLIDGQASEEL FPSE_06578 MSHQVNGDVSPAQYSAFIQHLLNYPVISDGVHTFKSNELGQRSI RLSDAAYQTFAAPVVPYFSRGYQYVSPYVQKVDNLGDKTLDRIDEKFPVVKKPTDELY QDTRALIMFPLQKGLEGKDHVFQVYNSEIKKVEQGGLVAHGKAAVTTVLVVSNETLSW LSSFLHQKKADTTNTINEKINQ FPSE_06579 MTTIHLTAGRTFGIRTVEVPAVASPKGKVVIFNGASALTKAAVF GALESQLVDPRTQIIDSYIMGKPPSSDLIERELRSVYIEQVLKSADEGHPIVLAACLL NNSEGRRELENILSISRNKQVPLAWVDADFEPRDSGCCRPEIVYRESCVGSGAVGTIL PSRTKIDLEGIELVTRMFTPAHTTQQAVQELLYLMRSTR FPSE_10913 MPPSLNTHSSFTRPRTSDRDGRPSTRDQGPDQNLLIPSRTSSLH SRITQPIPSTLNMKPQQRTPKTLTHAYMVCGVGREPSQWVKAPTPAQGKIGHMKGAVG QFWLPEILGSSPRLEQDNEIARALHSAMRACFPHDVEICTGRSQPHCVHHSFVLQQDS SHTLYGICLRVWSRADEKRAETIRELRKRTESDYYDNPDETYWIPYCLSFLSRYPLYN LLGDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPT GFQNFAMWPLFNCLSIPNIVGVIEAAISPTRRIIFVSHYPAMLTMAAETVRYCVRVYE WSGLYVPVVHARHAKELVQEPGPYILGITVECRSLFTAPTDALVVDLDRNFVLTSSPP TALNPGQRNKFVTRLTQALNGDVTPSGVPQHLRSAYGGGKLVPAGQIIVMRGEVESIQ DPEWWNQDAVMAVMDHVCEKLGRNTGIKAVFGGSVKKPLMTKVSMRHLNELVRERNQY SRDALEAWQDFINLKGRMDTELSKVNKRNNYLVEELESWKQQFLKFQAFAETLTKETQ DLKVKIDTHKRENRRLAGLIDQQKDDNARLSVRLTGTEKQRDDALEALVLQQEIAEEL ERERKRNKKELSQLQHTNVTIMRQRDEARRVVLHLRSLIGGQSHHMEHLIQSLTKPDD LAQEIEEGYDETEEETQSSEPSRLTPSPSPTTTSSTSRSKRYSSASFSDVADRHLKDK TDAIAHIVRNIAEQCQAAVEGLQLAHDAELGSSSRRNSRHSTVQSDDGHSAATSETGD ESLLAPRSGRASSIPPTPDLIPNRSSTAMSFASTATTPERSSQQYSLRDEIPTKIVED DEEDFEENRSDNGTVSHETSVVSKHQLQHQQSLMHRPSGARISALGGTR FPSE_10912 MSDPLLTSLCGICHISVPKYKCPRCGARTCSLGCIKKHKAWSEC SGERDATAYVAPSKLRTPAGVDHDYNFLHGIELSVERAEKLLVEERGIVQEEELRPMT IQEVKWKPGRDGRKRKVLVTRVLREAKGRSFERHLTARLKKLNITIMCVPTGMVRQRA NNTTLNRRTMRVNWQVEWMTFEDNTDTESKKIRALSKVMDDMPLYQAYHTFLEEEQRV KGKQSKKIPRAGLDGQLQDSTNSTWSFGSFALQDPFSQTWMNHHDTEPSMWPSEKDQA QKRQFQYLLVNHSSPSDKPVVTKLDPEDCLRDVLKNTRVLEFPTIWVLDHGQSLPSNC TLGPKDTVLETRKRKNPPGKGKKGPTKPNKRRNTGKDRDRDIEEGEVNSDDEESDDNA GVGLEVGDVIAEQSLGEDDDDDSETSSSGSDSD FPSE_10911 MDDTPAPKLSELLRHPDDLDKIPALKLEFSRKKGAVDGQLRSGL REQLETTQSGMTGLNDGQKTVQMIKEEMIKIDKLCSESQNMIKDFASINLVSQAHRNF GAVETMRRNLETFNDRISRVEGMLREDDEDNDNMPNLLPCHYELTQLRNIRDDAMEQI QRADDDSLEPTLVDYFARLDDTIDWFDEHVGIIALNLINLVVQDNNGLVVRFAVVMEA EETSDQRVLALQEALKDHREMATRFQSITDGAKKVRGYKDKFIQAIRLSAEQQFEGAK EEFLDDPSKLDKIMKWYFNDLNVVKVGMSHLMPKKWNIVKTYAGVYHQLMHDFLVGMV DGNEASSAHTLEIVGFPEKYYRKMAKIGLKQEELVPQVIDNREAELVRDFRELIIKFL DEWIDRIFAQEKRDLAERNVEGSNLDQDEYGYFRTKNFVALWRMLREQVDAAANSQRA DVVEGVIDAMFARLRTRQQSWQAMIEDEAIPYEEGKIPELEGFQALQDWLVATANDQI ASIDDNEDEGRLAYLSSFRRLVEQHVSPAYLERIDSEVNMLRDGYVDFSTWCITRFAQ LVFTVDFSTVMPDFFTPRWYTGNAMKQMVVTFEEYVNDYRQVLHHSLVDIFIEIFAEE LLVRYLSAVRNKGAKFRRTDPFQDKLFNDISTAFECFSTLPSPDVGASIKETWRVTEH FLRLLSADRDAIVDAYVAFKMAYWDLSVQWVEVVLRTRDDFERSMIAAVKKEAANIDI ERGPETIMSRVK FPSE_10910 MAADEAPNLNLSPDEKRTYGQLFRQADSESVGVVVGEIAVRFFH KTGLDSRILGEIWQIADKENRGFLTPAGFGIALRLIGHAQAGREPTPEIALQQAPLPR FDGIAPQPTGGIPPPPPVPVSSPPPPAALQAQSTGGPIRIPPLTPEKVTQYTGLFERQ PLQNGQLPGDQARGIFEKSGLPNEALGRIWQLADVEQRGALVLTEFIIAMHLLTSMKT GALRSLPSVLPPGLYEAASRRGPVPRQSSTGPGISAIPRQLSGTAQVRTNSPLGRPPM SPQQSGASDWAVTPADKARFDQIYADLDKGNKGYITGEEAVPFFSQSNLPEDSLAQIW DLADTNSQGQLSREQFAVAMYLIRQQRTGRSVTLPTTLPANLIPPSLRSQVRPHTATS AFDPPPAPVIQAPPPQPKSALDDLFGLDSGSSTPAPPPPAQAPMSTGGSNANDPFAGG SSFTPTSPGKPSLTGNSGSSFKPFVPSSSFGRGLTQHPTGGSTGSGQKLSAPSASEDL LSDNDEASKNISEETTELANLSNQISSLSKQTQDVQAKRTTTQNELGQATSQKQNFEQ RLAQLRSLYEKEAQDTRALEEQLSSARKETSKLQTECMTLEGTYRDVQAQHQKTLEAL QSDKQENTNLRERIRVVNGEIAQLKPQIEKLKSEARQQKGLVAINKKQLSTTEGERDK LKMEAEDLGKSIDDLRQANTSSPVSVSAQIASPAASVSSANNPFFRRTASTDIMGAFA SPPPTKNASDKSFDDLFGPAFPPSSSATPPPAAQSGFFKPQHTGASNASAGSYNTPPV QGSPIMSRQATLAADPPAPPESRQISSSFLPFPDQTDSLSSSRQVSPPASRNDDPLHG SITPVPGDFKSSDSVGSVPGAFPGDDLDKSESKDTLSAPNDAPREATKDDESKPADSA DPFGGHDEAKAKADFENAFAAFTTAKTQSKPSPEANKSSAFDSEFPPISELERDEEED SDSSSDNGGFDDNFTPASPPAKPAAEGSPELTHAAPASTSPQPAQEANSPQEPKAASA EQPSSPATITETNAQKSSVDDIFGAAATGAAPASQQAAPSNPPQNKGGFEDLDSDFEG LEDAKEGSADEDFANISRSGLDDFNPVFDSSPPGSQAKTESTAFGNESFDFVSASSTG PTQPAAGSQQQKAPEAHDWDAIFSGFDSPSAAAAQPAPADKPEGPEPHPGQQALNRTF STESEHDDPILKSLTSMGYKRSDALEALEKYDYDLDKAANFLASRS FPSE_10909 MAPVTKETDYLVIGGGSGGLASARMASNKFGIKATIVENKRLGG TCVNVGCVPKKVTYNAAALAEAIHDSKAYGFSVQETAPFDWSTFKTKRDAYIKRLNGI YERNLNNDKVDYVHGWARLTSKNQAEVTLDDNSKVLINAKKILVAVGGKPTIPPEIPG SEYGTNSDGFFDISTQPKKVAIVGAGYIAVEFAGMFNALGTETHLFIRHDTFLRNFDP MIQEAVTKEYGRLGVKLHKRSQASKIEKDANGKLTVTYKDDQGNESVVSDVDNLIWAI GRTPETKGIGLEEAGVKLAKSGHIIVDEYQNTDVDSIYALGDVTGEVELTPVAIAAGR RLAHRLFGGAEFSTLKLDYSNIPSVVFSHPEVGSIGLTEPEAIEKYGKDNIKVYKTSF TAMYYAMMEPEQKGPTSYKLITTGPEEKVVGLHIMGIGSGEMLQGFGVAIKMGATKAD FDSCVAIHPTSAEEIVTLK FPSE_10908 MSLSKRAHDSEKAIEGMDLWEVIPNLFDQETNPDGIVSLGVAEN TLMHDVLRKHIHDNLALTNPAFTYGDGTTGTKQVKKSVSRFLTKHLKPFKAIEPAHIT MTNGCSAAIEHLSWAVANPGDGILLGQPYYGTFVPDLTARFGAKLLPVAFGEVDPLDE AAVAEYEKAILEAQAQGTRVASLVISHPHNPLGRCYSRSVLIAFMKLCQKYEMHFISD EIYALSVWTNTVDQHPFSVPFESALSIDTAGIIDADRVHVLWGMSKDFGANGIRVGTI VSQANMSLHASIVAVGLYSSVSSISDHVTVNILEDDAFVESYIAENQRKLSAQYTRVV SWARKNEIEYAPGVNAAFFLWIDLGKYYTSRHPDLETDDITDLIMSKLMAKRIFLASG KGFGSEKPGWFRIVFSHDDAYLDLGLERVIDALL FPSE_10907 MDFDSWAHSFCLACDKQVQSSSDAYCSESCRLADFEKTSTTSSQ ASSPGLTSPSCQWSSSKSSSSGFYLSPAYDFSNAKPYGSRHMSQPTFKPYGAESTANH RSLTPSSSHSSLCSMQSTSTTGEQSQLSDKARKELRAYAVSFDSVRTQRRRSY FPSE_10906 MASLAVAMSVSSVSQTSIARLLRQKGQQPIAHLRCRYPLPRLRK ISADASAVGSGDPLKVPIS FPSE_07306 MTIKVILGAQWGDEGKGKLTDILAPEAQLCARAAGGHNAGHSIV ANGVSYSFHLLPSGLINPNCLNFIGSGVVFHVPSFFSEMAALIEKGLPSVEDRLLVSD RVHIILQQHIAVDGLEEKELGGIGPTYSKASAREGVRLVDVFNKELFDSKLRRLEAGY RKRFGDLFVYDVEEEIARFDEYRPKLAKYAVDGVSFMKAAQEDNKNIIVEGANALMLD IKYGSYPFVTSSNTTIGGIIGGLTLNPKNITETIGVVKAYTTRVGQGAFKTEDTGEIG TKLQEIGREWGTSTGRRRRCGWLDLVVVKYSASINYYSALNLTKLDVLDTFETIKIAI AYKVDGEELDHYPADLDLLERAEVVYHEMPGWQKPTTGAKTFYDLPKQAREYIEYIEK FIGVKVKWIGTGPDREAMIARA FPSE_07305 MMDSQSLQAGSNESQDSQVIIEAYRAEFGVGRFSSSLSKFTSSS RAGTEAPVLIPSPTSEVVVSSSDLTINENVASEVAASHNALTDTARSVRPASESGDPS HWDETGVALTHSKKQCTLETSIQRGVTQGREDRVNLITDRSKTLDHDILPNPQDLSNL PARHDSAKPQAVQNDAPKPLTRSTKPPNSAAVGALQKMDFSQQTPTQANFDRDYSEFC DIVPSSPVEADTQSGSLEPRPLQDDDTGAVNFANLSEFGRPSSQVSEDAGFENTRGDW RRPDDTSQLNNGQTPHRPNAPTFETPAVPKNPFAAKPNIVAPLAGSQLFGQTQFSSAV KRISPTSSRPSPKLFDSKSANLIETSPLKNRANVSSPTDIRTSSPLRLHEIPDTLLTD NHKDPTRAQTPLNSRSASGDMIPESPPSAESSPRAQAPRSSGTTEPMSHYEPMQKSQE RKILGSIVISPMNSDDDSDDAIRRMERRKRIERKKAKAAEELGRVSFTPKIWQDSAGQ PSRKKRKLLSENNPEASHAKPKVDTDLNKRQELPAIVDDSQKGLVASNELPVASTKAT PGNSASENQQVDHDGDTVLVDADKVAMDEDMIPATSPAPSLPPTAPVEHPEPSEPELP RLRMDDEDVPEGSNNHSEPSSLPPPRRRTARTYGRTVRQKRRNPFLSSSNSDGLIGEI EPRPMSMSSPLHNATGVSMIEEESEQELQPPQETIKVETARPKKTARNVYADLPPPMT TRLRRSDRAGTTPVTPLASRSAGQILPTSSSLSVLSTTPTCSAKTTPGTQDSPGSERT EPVALPSPGGKRSLRNENIRSGAKSESPQQTGKSRRISKRYPRLGSESTDELHHSPAA SVLERSIVHKSSRSFKQSFASVPRTGRLFDGMVFALSFSTQFKAQERKKIESKITQSG GAILTDGFQDMFEHSSIMSTMSPVMDEEEALRLTKTSCESGFTALIADNHSRKVKYMQ ALALGLPCLAPQWITACLNKGAIVDWEPYLLCAGASTVLGNALRSRILAPYSAAEARL AEVIEQRPRLLDGQRVLVVVNAKKSRNEAKEPYIFLASVLGPSISRVFSTQQARELLL EHQKAGNPFDWLYLDKGTGTVEAVLAPSETTGNKRRRKSAPAQSKIVDIRVLDDELVI QSLILGRMVEDNEMYT FPSE_07304 MASCPPELVSESARERESFKYGASILNDITDSPSEGASPPGANT PTDNTFNEASRQQKAPRDTVLASLAQLGLWKTGTDRALISLFDRNHQYIVAETTQKSS LAPGPIQATDDHGEFWLYPGTFPRQVSVCDRALMAQKNDPSASQTELPLTLIPDLKAD ARLSQLPHPLSRFPARFYAAVPIRSPAGVDIGVYCVIDPQPREAETWSNSDTQALREV SSNIMDYLQSKSVGDAYRRSVRMTRGIGSFVERESTMAGWRARADSPAFHDDITREGA LNHEQQALQRFRGLSLQKTLPVHVPVQANTGRETTAVRSGDAGRAKMTSVSSEGPSAR EPEANLKEKATAKIFSRAANLIREGIEIEGVLFLDANLEASAVDGVVHDGSHSSPESS SSSDESTSQIADEEERRCCGILGFATSTSSSINSDPGSKLHTSLPMKLLAALLRRYPT GKIFNFDEDGGLLSSGTSEEDIWSSVSKQDEIGESSAISVSSKEHRPWSRASEGQSLS KVFPGARSVAFVPVWDPKRDRWLAGGFAYTLTPTRSFTIEGELSYLRGFGMLAMSEAQ RLEALLINKAQSDVLGSLSHELRTPLHGVMLSAELLADTQLDVFQGNLLRTLETCGRT LTETIDHLLYFSKVNQAAVHQKRERRARKTSIAPEPAAIFAANISTSEVRLDALVEEV MDSVFAGHVFGRNEAHKVWQNSSRSGHLDTGEVQPPNSNDLTGGRADGTIKHEVPLGH KSQVAIFLDIDPYVPYRFLVAAGALRRVVMNLFGNALKYTQRGTITVSLSQKPLYPTE PDNDRRVVRISVIDTGQGISPDFLQNDLFKPFSQENHLSHGTGLGLSLVKKIVGSMGG KISIESAVNVGTTATITFPLTRSNDPCALQGQEKNEADKEAKRLKGLRVRLIGFPSEE EIQSQTASGIPMSAMQTMCHRWLEMDVIHESSSQHVAPDIVLCNEIAVDDPVLTSPEL ARSPIVVICATAITAHKRSSRRDTSEEQRVYEFVSQPIGPHKLARILHIAFRRWGDLQ ALSPMTPKEAERGLLCNSPDRSERPSRRHLGGGDAGVDVSNDEPAPSDSKPKSAKRPK PPTRAPSAQVFPESTQESTLADETKSFLLVDDNPINLSILCAYMKKLKSKYATAADGL EAVERFQENPDLFSCILMDISMPRMDGIEATKQIRAFEHSKEREPVVILALTGLASAS AQQDAYASGVDVFLSKPVKLKELSTILRDRNLV FPSE_07303 MGVGSFLEPLVVVTLLFGGAYFNRSKDYNFWTNKSGFASLKSYK RSDDLPKRDSTESLMSGWSGSRSPTLDSHKNAQSSLRRRKLQVFGYKRIVSTPNTQVF EDRLLSRLLKKLPFLVECWYWFLIYFVYQVGRAITALTLDEGSVDVARRHALQIIHLE QRLHIFWEVDFQKWFLARPTILHWINRIYSFIHIPGTIMFLVVLYYFTTTRHRRFAVG SVRYDNVTAGPALYEARRRTMAMCNLIAFVVFTSWPCMPPRLLSDPEYKGPDANESKS FGFIDTVHSGTGESSVWTTNKFCNQYAAMPSLHFGYSLLVGLTVVTIPITGLRPSSWK RIILVAVGMSYPALILTAIVATANHFILDAVAGAMVCAIAWNCNDFLLNFCIIEDYFL ALLRLHKPVNWTDPETAVEPEFQSALMSEDV FPSE_07302 MSQQNSGAADAPPQQSAQRSASPSNSFYALSDDEEGEYNTIRNE ETGRGVKLLFSKSKVYVHPTPSSKDNIPGYVALLQQRGHHEERPSSSSSHDSQKIAAS DLLLAWIPESSLGDSASIYVKVDLCDGDSPPKQSYLVPPPPTVTSHVGSVGGYAFAIP VNAVYSLLVRPPSLGWWYGSVIINSRAGDSFPALFFHDNECQSTMLQKKKIARDTFDP FGESGQMFWGGDEVVKWLRRYVKIERSGAEPNIYLIEPSKEDSEAFGHKLTSNASQIG NQDTSTGAQQRSAGGPSSKDAEMDPFVKLIKETGWNLMEKFSKVTTFTRRAAQDIVEN PNLPPQVRRLLRNPEVQTLQDEFDSARIYLARWAMGIQEQSDRDRRQRIWSANDVMEL EDTDVGEFELLEGASNLSLEERRKVVTMKEWNTFFDPTTGRLSVTIDEVKERVFHGGL DPDDGVRKEAWLFLLGVYEWYSTADERKAQIASLRDHYYKLKLSWWERLAGDGGEGET GEWWREQKSRIEKDVHRTDRHVPIFMGEDTPHPDPSSPFAEVGTNVHLEQMKEMLLTY NEYNKDLGYVQGMSDLLAPIYAVIQDDAVAFWGFQKFMERMERNFLRDQSGMRNQLLT LDQLVQFMDPVLWNHLQKADSTNFFFFFRMILVWYKREFEWLDVLRLWEGLWTDYMSA NFHLFIALAILERHRDVIMEHLQHFDEVLKYINELSTTIDLEATLIRAESLFKRFQRL VDAVDKKQNFPAPRFNPKNSSGSSESAESGPSKDGKTKDGKTKDGKTPAKGKAKEPEA PPQPKTITPELRKLLSKEVEVLPRTAVAQNGDGMPNK FPSE_07301 MASWYSNLVQKTSSQISSLRQNLLSGEQDGDTEDDTHVCRVLRG YYTEKGRPFPSWLPPDPKAPAQQPVQPMMTPQAGQRFGAQGQQQHAGLSSLWDNGPQQ PQQQAPQSLRAGGGRTPASLQPGGGDVSRRPLPSQQRGGSYQSTGGQLGRPETASVPP GGAATGGGSAQDRLRQRLWGGGSRTTSPQAGSQGPFQPPAGNNGGGGGGGAYEDRFGP GGMYDQASSGGGGGGSAGLRRGLPSGPRGYR FPSE_07300 MASDEIVWNIINGNGGFCSYRLKTPHVKAQNAFCRNEYNHSGLC NRQSCPLANSRYATIREENGRIYLAMKTVERSHLPSKLWEKRLLSRSYSEALKQLDEL LIYWPKYMIHRCKQRLTRLTQVQIRMRRIAAEDERLGEKLVPKMAPKIKHRERARERK AEAAAKLERTIERELVERLRQGAYGDQPLNVSEHIWKKVLNAMEREGGGERDTDMDKG LDENGEEIEWSEDEEEDNLEKEVEYVSDIGESDDELGDLEEWLGSDDDEENEEEEDSE ESESEKSGNKRKRGRAIKMKNKKPRQEEKEKLMLTNDLSW FPSE_07299 MSAGLKTIIALSFVLAVGFLLVILSCALWKVYYPLLVVATYVIA PIPNWICGHCANPDDFVESSGAAVLDLGRFFTGFFVVMGIALPVVLAHSGLIEVQAMV MSIIGGLLIYGTIVSFGMFFHEEQDF FPSE_07298 MATGPEPSAKQPKRILFIDAYDSFTNNIVSLLRTLLGAEIFVIR IDLSVIDRLDENDVPARWTEQEFLDNLSQFDAVVCGPGPGSPLNPEDVGAFNLLWSLP EHRELPVLGICLGFQSLLAAHGGAVRRLKRGLHGMVREIEHQGEDIFREVPPFKATLY HSLCVDIGQYSDEWQEKNRWNPTSEFAPLAWATEFRDDGRREQILQGVRLLRKPFWGL QYHPESVCTEKNAHGVLINWFKAALQWNKNHGRHVQGPLLEIQTLSPPNHLESAAAHK ERLSGDWWSTSHGETTSLRDFAKSAEYTHNAIPLPQGVGVPEIVEALELADGESVILD SSSSKNGDALALNSIIALEVEEALRVEYKVLDDFVTVRLPCTAEGKDATETVSLENGA VKVWEIISNFWERRSYPSGFDQSTSAFKGGFMGFITYEMGLHGLEKKMVPEDRGHARP DICLAWVTKSIVLDHRAGVAYVQNLKTRGSDDQWLERMTERIQRCLSQRPSNTSNGHS NGNPVQHKRVNITTPQPDRYEEQVRVCQDFIAAGESYELCLTSQTTMERPRSRNNGRS PWHIYQTLRSRQPAPFGSFIRLGGATMLSCSPERFMRHDSNGLCSMRPMKGTVRKSEA VSTLAQAEKILHVPKEVAENLMIVDLVRHDLHGVCGVGHVTVPDLMKVEEYATVFQMI TVVNGQLPGRNGNKPRGARRSSFDSHCPYTGLDALAAALPPGSMTGAPKKRSCELLQV IEGHHERSLYSGVVGYMDVTGAGDWSVTIRTMFRWDDEEAPAEEGETEPREVWRIGAG GAVTILSTPEGERDEMFTKLAGPMGVFRDAA FPSE_07297 MQFVANHTPIPVPKVHYAFIHKGTSYIVMQHIKGQTAANGWTSR SDESKERILEQLRGMITELRSVTPPEGTKVSSVEGGPFYDCRLPSQLYWGPYASVREF HEALVNNIPWDADYTKYPDLIELFDFYRQAENKLVLTHGDLSSLNILVRGDRVVGIID WETAGWFPKYWEYTTAKCVNPNNLFWADVVDQFVTPMPDEWKMDFIRRRYFGDLP FPSE_07296 MAAHISNGNGALSSASGHEGATLEDLPKSWHFTESLPADSMFPT PADSHKTPRDQIGPRQVRNAAFTWVRPEEQKDPELLAVSPAALHDLGIKSGEETTENF KQMVAGNKLYGWDEEKLEGGYPWAQCYGGFQFGQWAGQLGDGRAISLFESTNPASGER YELQLKGAGLTPYSRFADGKAVLRSSIREFVVSEALNALNIPTTRALSLTLLPDSKVR RERIEPGAIVLRFAQSWIRLGNFDILRARGDRKLIRQLATYIAEDVFGGWDKLPGRLE DPDKPVVSPAPNRGVAADTIEGTDGSEENRFTRFYREVVRRNAKVVAHWQAYGFMNGV LNTDNTSIYGLSIDFGPFAFMDNFDPAYTPNHDDYALRYSYRNQPTIIWWNLVRFGEA IGELMGAGAGVDHETFVTEGVKKEQEEGIVARAEKLITQAGEEYKAVFLAEYKRLMTT RLGLKTQKDKDFEVLFSEALDTMEALELDFHHFFRRLSNIKLQDLATEEARKETASVF FHKEGPPTITTEEDARARLAIWLESWRERIIEDWKDEGDNVSDAKDLERIDAMKRVNP NFVPRGWILDELIQRVEKDGERDVLNRVMQMALNPFEDRWDGETFDGKTYTGNKDEEL RWTDDPPQSERAMQCSCSS FPSE_07295 MLRNTLARSAWRTSRRAVNVSRTFATTSQRPAEVELTIDGKKVS IEAGSALIQACEKAGSTVPRYCYHEKLMIAGNCRMCLVEVERAPKPVASCAWPVQPGM VVKTNSPLTHKAREGVMEFLLANHPLDCPVCDQGGECDLQDQSMRYGADRGRFHEVGG KRAVEDKNIGPLIKTSMNRCIHCTRCIRFSNDIAGAPEMGSTGRGNDIQIGTYLEQNL DTELSGNVIDLCPVGALTSKPYAFRARPWELKHTESIDVLNGLGSNIRVDSRGLEVMR ILPRLNDDVNEEWIDDKTRFACDGLKTQRLTMPLVRREGRFEPSDWEEALTEIGRAYQ IKNPQGNEFKVIAGALTEVESLVVAKDMANKLGSDNLALDTPTGSQPLAHGVDVRSNF LFNSQIWGIEEADAILIVGSNPRHEAAVLNARIRKQWLRSDLEIGLVGETFDSTFEFE HFGADHAALKTALSGPFGETLKNAKRPMIIVGSGVTDHADAKAYYETIGTFVDKHASN FRTEEWQGYNVLQREASRAGAFEVGFTTPSAEVAQTKPKFVWLLGADDVNEADIPKDA FVVYQGHHGDKGAQIADIVLPGAAYTEKAGTYINTEGRVQMTRAATSLPGASRTDWKI LRAASEFLGAPLPYDDVAMVRDRMVEISPALAAYDVVEPVALPALSKVQLVDQNKGAK VSGAPLKKVIDNFYFTDVISRSSPTMARCSAAKATGDARTNFMAPGMEEDKPMGQVEY GV FPSE_07294 MAQPPDRPVSGPGRLEYPKHIPDPDGLAIIPPLLSVAPAIFRPI SKDETLCDITSTTKLDLLQAELEGLDAHAISTNENFLALCMREHERILQDGRRYEALD KIEGTTAPDIPRGVEPEHLSNMIANMEAKLPQDSHHDTPSNPQSERQNRSLREFQANT MMDFVARSAQMAGTREAELAAHRARIEAEIKKEQLAQEQARKAN FPSE_07293 MADSVDRVFVHALNTVKKIPKTGASRPPPTDRLRLYGLYKQAME GDVDGVMERPTAASGLASDELQREKDKWDAWNLQKGLSRTESKRRYIEALIDTMHRYA TTPDAEELVSELEFVWNQIKNNSPSSSLSSPRPNQSTGAGAQQPPQEPEQASDGEGPL KELRPMSEYDEAELRSQRQVDLEDDEVDVPTSDRSSGRWQRKVERALTTMSAEVAALR EQITTGREWRTKKERSVPAWVKWFAWLLVKHIFADLVILSVVLLWLRKRKDQRLEDIV RAGVRLMREYVRNVLPSRG FPSE_07292 MSKRPAEESLDEPRKRVQVATVASVAPGISRWSSTEISDSLPPL PPINPDLEAQVFRHPGLGRPNWEKLEWYGDATLEMISTEFIFETFPHLPSGRCSQIRE QLVRNVTLAGYYREYGMENKTQLPIDIGKMTVLLQTQKNNKDVIKLQGDVFEAYVGAV VKSHPQGNANAVAWLKMLWGRTIKGQIQQAESYQEIIAQAQPIVTQQSPTTQEQSTQP EAKAVEPLTERNAKARLSTAIAVPGVKIRYEDMPCKRKDRDTGLPLFAIGVYLDGWGE TNKHLAFGVAKRKAEAGGKAALQVLKNEKLMKVYEAKKKQWLEEKAQSRKAESGS FPSE_07291 MSVPRARILDLMKAQCQVFATSYNPEGVRMGTKILRQRLRGPAT AAYYPRKTATIQDLKREFGPTLATWDEGEEDRFEYIEELKLRGKSAPKKKKGPPAPTG KKR FPSE_07290 MSSPGYSQASPEKNSPIFTAVAQGDTSGSSPRASVLKMKISHDY SATEQSYPVDKLLAKIEEQNMDQQADSLKANHEAVYYTRVFDHASSSNSLPVTPATDA FPSTAPTTRPASATLDDARSESEEVVRLKLQLAQAESKISKLDQELADTRVSKTVPGT SAIGSNNSLYPTREGVWGSPDDAHSDTSDAMSASTFNRTREIWGAQPGPFTNTLQAPV AEPAPGKWLGGRGFNLPGPEPIGPPYPIMEGFRNERMTPDAEMMRPGYGRRGNRIESR FNSPQPFGSGYGGGYNSPANQSDYMGSPVPGAPMNAPQGLGPMGVYPPYPPPAGTPLS PHASEFTAGSGWKNEVGPHAMYPRRGLDPREVLAPEDQTYLPPTEPLNYRRLLDRNVN CNWKYIVDKIVCNNDQQASIFLQQKLKVGTPEQKFEIVDAIVAQAYPLMINRFGNFLV QRCFEHGTPDQVIHIAEAIRGNTLSLSMDPFGCHVVQKAFDSVPEKYKAIMVSELLRR IPETVIHRYACHVWQKLFELRWTESPPQIMKYVNEALSGMWHEVALGETGSLVVQNIF ENCLEEDKRPCIEEVLANINIVAHGQFGNWCIQHICEHGAPPDRSRAVDHVIRYAAEY STDQFASKVVEKCLKIGGSDFLGRYLDRVCEGRRDRTRIPLIDIASDQYGNYLIQWIL NNASPQHREIVAAHIRKHMVSLRGSKFGSRVGMLCTNHAAATRPGPGAGPGMSGRMGP GPRYSNGYR FPSE_07289 MSQQQPSQSLAGADRIRALKDDDAIFTAFDTYPWTKDKNFMSGL CAILGEPGQQDPQASLLDMAIHARIFYYAQRIGVDIDFGSYKSWLASKTNHQPPDVLP EEYRQRAEANATSTAVLDWQKAAPKTDLYIDRKAAAAQSGSEDQPNYPMGFAEMIKLI QEGKPVPGIRQIPNTIVRDPAVKPVGARTAPRKPWEKDVSPDSSTLADLPKALDTEFP PLHDDPVASSAAETS FPSE_07288 MNRVAVKSLRTTSLSTVRALPRTPRRGFSVSSTVLAHQSRSKAS AHARYAHRKSTKHIPLKSSMYFRRLPTALLSSIVVGYGAWYSYNSTDFAPTSTFSANS LTSTQTADAVPTRTVLVVGADELRQGTIVGEGPISKATSDDGRRIVEMLTPDQATQKL RRLEQSYSVSRGQGVTRYDLVQLPSNDPIEDDHAEKIVQVPSRSSAETDNSDWMFWGV FDGHSGWTTSATLRESLINYVARELNATYKQASGELPSEDEVTLAIKTGFNNLDNEIV HKSVEKVFKGGSKTVAAELLQPALSGSCALLSFYDSRSNLLRVACTGDSRAVLGRRAA NGKWTATALSDDQTGSNPSEVDRMRKEHPGEEHVIRNGRVLGGLEPSRAFGDAVYKWS RDVAYKLRENFFGRSPSPLLKTPPYVTAEPVVTTTKVSPENGDFLVLATDGLWEMLTN EEVVGLVGKWIETEGQSGTNSQFDAAWDRIFGSSKTPLPVEESKTAGPDGNKTPIRVQ QWGIDPDAKDRFTVKDKNVATHLIRNALGGNNDEQVCALLTLPSPFSRRYRDDLTVQV IFFGHGEKTGEITVNLEATAGEGVKAKL FPSE_07287 MAPSGYPVVAGLPSPASASGLTGLTTGYESPSEEHPPFFSAVAS APAAHDGKTNQNPRRLAVPRPVLTQRPSYIAAVAFEDANGNNQSRPRLVNQSSTITAH SLNHVMATVEKTPQSRKVGLRDRIACYQWTYFTLISSSPGEFHTFFYRSDRITIYLSI CPWLLNAMEWVFWVYAALSVSVSAFLYLILWSTLIFPVHTMTPTWVFPAYPLLLNAPF AANLIAAAESSGHKMTVNTVAMALGATAIQGTGCLIAFMISSAFIYRLMTQKLPRDNQ RPGIFMSIGPYGFTAAGIAQLGSQADLLVPEDFLGASHVADIIKVISILVSLWLWGLA LWFFLVCVGALWKYTRSGHHMPFQMTWWSFVFPNTALVTATSVMGKVFDNNGLHIFAS VMTVAIIIVWIIIFARMCWSLKTKKLLWPRDEK FPSE_07286 MSFTNTPVTRLGVVGLVAGSILASVLDVKHYFYILIDTHIWRYR QFWRLLAYQLCYVNSTEVLFAAMSLYNLRIVERMWGSRKFVSFLAVTYFITSIIPPIV SIVLRPLTAGYLNYIPAGPTPIIFAILAQYHAMVPHMYKYRIATSEAPPTDRPFVGLT FSDKSYKYAIALHLALLQWPGSVLGAITGWVIGYSWREGLLPATLVRWRVPGWVVGLS SQRRSAEFEGLRRRLEDENSTAATTGAQGQAQGQAERRRTMGQQIMDQVREVL FPSE_07285 MSAYAREGSPYGFDSSRMSPTATSPRGKTFVEGYRNEIINGFEK DNGLYNPMNPERPQSSALVDLRDPIQVHLLTETALSDSKEYEILSQEEVDDLKKQCQS LSQRVESTRQNLTIQSKYRDAAISMARLYNPGKLEGKRRSLLGRNSGDQARAKEAETE RQASERKCEELAAELFNLEKRLMEPQRRLLEHTAGILQLTHKASNKKKDMTPTLHPMN GMPGSPESLYTYTRNSMDRAGDEHFFNDDNHFDQANGISTRGPPRQQPIEIPLKSPIR EQHSQLKELEKIKDENLLLKGQSDSLVTSITEMEARLENLNGSLRDAIVRFNPSKNED YYDPPFGVPGMKPGELLNNQMDYLEMGLNAIEVEQEESSGEQGKVDGSIEKRIESLNQ QVRDLLMTVDPEYPAAPQSSEDTVESKLAYLEDALRAADSELERAIQVARSGPPEKQD DEQVERILMNLWNMMQTGFTKIKKEKEERRRTRIERGYEDEEVSDDEFDIDEAFSLPG FSNRVQWLYSQTTTLANQKSILKRQIKQQRELNNKSDAEKDEELARKQEELDETQVLL ARAERDASTAHAMLEQTLEQLEGTGVARNIGAQKAASEESTKKIDELESELKRLQSDL AGAAGAAGAAHAQVEDREDKIDSLEKELDNVRSDLANATAAAAAAQAQIDDRESKITA LESELAYLETAQSVVEERNRKIAALESELEQANAAHTQIEERDAKLATLRTEIQEANT FKDQVEVHSATIATLKAELEKTNDIEARLEERNARVARLESDMELLQTDLAGAAGAAG AAQGQLEERNSRIAALEADVKQLEEKLADAESSSNNNRNQLTGVDSVIDALSAQLDEV NRSKQMAENNARSLQQRVDGQKDELAAKKKQLKEKEDELELLNMNFVETKTELTIAQA ELDGAYGSRAERAADVAAIKTSGEVMKLQNQLTRLKNELAGTVQELEDVTKETLSAER EKIELENNLHDVQVVKRQLEGEVVTLRKRLEADMEKAREHITKLQEDLDSERLRAIPP NGGVGRGASVLSEQFRATMREERKKFQDNIKEERARTRQLEEELARLKRVPTPTPTKA PLSPQ FPSE_07284 MAADQGFGRGPLEGSRRSLSTSGPGPNGEGETRPEKETTRKPSA DEKDEDTQSSQVLPRGYDNISNAPATAAAPLSRNPSEMSTTSATSSPGPDSRRSPAPS QPLEPPVTKSSLGELEVSKIINNPKLRHDINFDPELHFRPNVEGDKGRRKHDRASIFW TTLKEELLEFIVDRDSFYAKHGTGDDWCLPKLLKSVKEIIQTLVPQRDRQFLDEGLNV ELLMQQFHRGIADLEKLASWLSRVLKSHCAPMRDEWVDSMYKQLSNGNSNNDVEELVN GMRSLLSVLEAMKLDVANHQIRCLRPALIEETTAFEQKFLMKKLRNRKMDIGDAKPWY QDAARTYPSSIGKMSSHFGDMGVFFEGLSRSMLPSAGEKPLPGTFFFDEDRLGRVRSD ILDAINLDVCMRMYEDLERVSRLQSPPACLSAVPDDHEFNFNTPPSANSRPSSVALSS SGSNFSSPRSSLVLPAYLTSDTNESKSRARNLRDTLVALLQQAPHDLHYHERWRAMAP SLALQIFRFTNAPMDMLTRFEEKLTENVCRISSPIYQEMEEAYRNRLVTELATRVRYF KSLSGVCLFSIATGNRVPASSRSLDAGRDRDLDAAIRMGQQEGGIEDIATRIAHVGVV HWRIWARMAYVDEDDMSLD FPSE_07283 MEPRARAGKNVGKMNFSHAELAQLLYAHGDVQNPLPETVRVLDE ILTDFMQSIAFEATRAANYSGRQKIKYEDFEFAFRKNPAFLGKVQEVFEKQREIKKAR EILRDGEDEIMKDAADEEKKREKTDTRPGGSGGGSAAAASAASRAEEELGEADDDAEA ELDALGKKR FPSE_07282 MDKTSPSYDDVPQTPSAKLMPGGSYESHQHLLPPSASPNLIPSK ADNTSFDDFRRISSSPSPCPSPIPLRQLSHNAADFSTEAPPSKWKQFWIKNKPAAYVA LSQFFAALMNLSARLVELEGDGMHPVQALLMRHSITALCCSTYMWWNKTPDFPFGKKE IRWLLWLRGASGFWGIYGVWYSMMYIPLADATVITFLAPGVAGIICWFALREPFTRTE QLATFVALLGVVLIARPTTLFSNSDNDDSSTTEPPESGGIPGADHEATPEERLIAVAV ALLGVLGAAGAYTTLRTIGKRAHPLISVNYFGVISTFIALAMLIFGPILDIQQPGLRW VTPTTVKQWALLLPLGVLGFIMQYLLTSGLGADKSNRANSMVYTHMLFAASFDRWVFG HRMGLMSGAGCTLILGSAIGVVMLKKQPPPKVPSDDVERHGNVEGELEGSPMLVADTG ASEEFRLSR FPSE_07281 MSSTNSLQSSQNTSPNSDPTRQSPAQSSTSTPYGHLAQITADSP VIEGESSLAAHGEFANEFLKNAVGTESLQGASLELRETLDSLHHIVSALKQQTAATEM SYPYARTIPRPTLKSNNLPPIQTAVALIRECETENSEISAWIREFFSMESFSGMCLHV YFSEDITDSDFILVNIGLMYMFQDRQQRVTDKKEHDENEEYTKALRQNAETALANLPF HLPATSNMISALLLGAFYAIEISKPSLSWTLSCKASELCQTLGYHRISTMKNDKPREA QRKQFLFWNTYFIDKSLSLRLGRASTIQDWDVTVPMLSENPTNATPLSPFISLWITTA RCQGQIYELLYSPGSMEESDEVRRLHSQKLVFDLQDIGRRSRELATTREKEVKTKLGE YFIEFIIISDDVLRLSLLTLVYRATPAPPGSRVTFIPECIEAARATLQRHQDCMDLLG RDNALYFPSYVHWTLLFAPFIPFIVVFCQAIETQDQNDLARLHNFCTSVETTITLSDA AAKMHRLFQVLYTVALRYIEFRTTTPTADQTQASAELNTYLAALGFPPAGLNNGGQQP SSMDAAQVGTFSQTLGDMGMFDGAEGQRGANTMMWMGNTAQLGEWFNSNQQMMELLEE PSFTFPQ FPSE_07280 MTSSINEKENEKVVDSSQTTSAPEDVVVNTEGGDAATPGEEGEI EGSWSDYKRILTYAGPTEYLMQAIALVAAIGSGAGIALQNLIFGQFITIITDFTSGKS APSKFRKDVADLALYFVYLGIGRFVLSYIWNVFLTYSSYRIVRNIRKEYLRAALRQEI AYYDFGTGGSVATQATSNGRLIQTGIAEKLGLFFQGIAAFLTAFIVAFVVQWKLTLIC LCIAPATIIVMTVVATMEAVHEMKILEIHGQANSLAEGILASVRTVHAFEMRARLVDK FDKHLEEAHTVGKKISILFGLMFSADYTIIYLGFGLAFWQGVRMLASGEITDSGVIFT VLLSVVIAAVQLTQLTPYTIDFSRAMSGAAQLFTLIDRESAIDPLSKTGETPSETIGH VELENITFAYPTRPGINVLDNFSLNIPAGKVTALVGQSGSGKSTIVGLIERWYNPKSG TIKLDGRPIDKLNLNWLRRNVRLVQQEPVLFEGSVFDNIKHGLVGTEWENVLVEEQMK RIEEAAKMAFAHNFIMELPDGYNTQIGQRGGLLSGGQKQRVAIARSVVSQPKVLLLDE ATSALDPHAESVVQKALDKAAEGRTTIVIAHKLATIRKADNIVVMSKGSIIEQGSHES LILQDGAYANLVKIQSLAVDNESAHSVTEEEEEAVPEAEKEDLNMTKTVTQYETHVQE RLEAGKEIGNYDNHKQLGIFHVVYRLIVESPEVAWSYFFVLAGCLGAVAAFPGQAILL AHVVDVFTLTGDRMRDRGDFYASMFIVLAAGLLVSYFVLGYATNTVAQFLSHKLRKQS LQDMLRQDLQFFDREENSTGALASRIDSNPQSILELMGFNVALILIAILNLVACSLMA IIHSWKLGLVVVCAGLPPLVSSGYFKIRLDAKLDRDTSKRYSTSASVASEAITAIRTV SSLAIEESVLDKYVNELNGAVAGSKNDLFKIMIFFALTQSIEYWFQALGFWYGCRLLS QGDISMYNFFVAFLGVFYSGQASGQLFQFSTSITKGVNAANYIFWLSQLQPNVQETSE NHDNGPKSGGPIVLDDVRFSYPLRPHAPVLRGIDLNVKPGQFVALVGASGCGKSTMIA MLERFYDPSSGTISIDNSALPSLNPRLYRRIVGLVQQEPTLFQGTIRENIALGVDDPE TEKKDPATSVSNERIEAALRAANAWDFVSSLPEGVDTQAGSNGAQLSGGQRQRIAIAR ALIRDPKVLLLDEATSALDTESEKIVQGALAEAAKKGDRITIAVAHRLSTIKDADKIC VFLGGKITEAGTHQELLAQGGLYRKMCEAQALDS FPSE_07279 MASELPKATDLATMPDDSDTSTSSTESPAPATSSTTTKDTLVQP DQSTTQNTPSQHVEESPEQAGASNAWLSQENEDDGANDWLASDDAVPEQTQQEETPSE AIPEQVDTKQEDAKQANTENVDTKKAGAEQAHAEQPETEKADIEQVETEAVSKPRGPV AQHSSSRSFARTVSHEISFGDDDEGEWTLSRTDTDPFKFMPPSDRTNSFPTVPPTHST AEVDEQHPLPSNQAMDVLEETEREVDEEEELYQQEETSLGLHTPRRGHSSSISIGGDL KSPEGQDSDERYEEGIPLIPHAAQETNEEPVAQENKATSDPFEEEGDEDADFFSQVQG SEFVSEEQHAPALERKSTMQVLDSMNAGSVSKKSALEGTPEEDEEEEEDDSDSDSDDS EDKGKEEEAASVEPTPAASDLSPVKQEDIASKWEQAFADDEEDDDFLLDDNAGEEKQV DPAAFFGSDDEGFLEDEELAADPVPAPAPVPFPTSRQASGSNPYMPQAPAVHPAPSPY APVSQVPGVAAPPIPAYNAPVPGMTPFGAAPQYGQPAPPRPDMPKAQSFADKSKGGYH SPYDLPTDLVTNLVKPRKRASMQQLSQTEQLHAPVQPPPRSASVGIPAPPTKLTPPTS SHGPPAQQAGPPKPAAPGAQHKENFFEELPMTSRPRPSSRTSQRAASPARNAPPVRAP HVPSPLAPSFPNQTAPPSAPAMAPPPSEPYGEPSIQAPVVPGAGLVAPERVSPYAALT TSANHMPQPPSTSASRYSPAPGTSHTAPPAPLNSRYSPAPPPVKTHGSYGAVANSGPG PMLPHQPRTSSPLTHSETAHFEPRLSRVSSLPPTREVDEEDEQQTQTRSVSAALSPPP QETRFNPTSPPPPSYAGQLNLSPPKQAGPYAPLAASTAHPGFVPPPRAQTQSPSASFG HKQAQGSSDSTRRPSSAHSHSYPSPVGAKPAPSPYAPVAPTAPAAGVSTVRTRGQSIT MNMIAPTDGRENDELQRWKGVPIISWGVGGTVVTSFPKSVPRYGMNQAVPMIVRTPGE VKVQSVKDLEPLHEHVAKFPGPLRGKSKKKETISWLNAGIELLEKDLPDVSFHSQLSL EAKRAVERLLLWKIMRIFVENDGILEGNPTVEAAVRDVLSPGETAPAAGDDALYPAAS NFAAGIASVTSMQADGVDVSTMDQVRHHLLRGDRDKAVWALVDKRLWGHAMLISHTVE GDLYKRVAQEFVRKEVNYPGHANESMAALYKILSGNFEDCVDELVPVHARAGLQLVST EGGSGPSKDTLDGLDKWRETLSLVLSNRSTDDIQGLNALGSLLSSYGRAEAAHICFIF GRHSSIFGGLDDPNANFVLIGADHRQQSDQFAKETEALQLSEVYEYGLSLAGGALGTA GTPHLAAYKLEHAMTLAEYGHRDKAMQYCDAILTAVSAQTKRSPYHHHVLEAAVEDFM SRLKSAPKEESGSWMSKPSMNKVSDSMWNRFNKFVAGDDTEENSGAAGDAGPFTRPSG EFSRSPSVSNFDIYGQQSPGFGMTPAQTLAGAAASSKYAPANMTPVTNLNPYSPTSQY TPGRSSMEQTPATTYGQNPYEPAYPGAASANQGGSYTPSVPQPESNDAGLGGSGLAPA AQITQGYSPAGYQPYGMPVSTPMSGDEKPADSSAQGFQPLSYGYEPPRMSFKSSEQAS EENKNEGSSGGYEPPSFQPYGYEPPSYEPQSTADDDGEVHQPKKKSIMDDDDDDFPSM KPAAKSKTDKDRENEEMFRKAAEEDAKRAAAQQSSKKGWGFGGWFGSSKKAAALEPSS SGESSPGKPIRAKLGEASSFVYDPDLKRWVNKKPGAENTPAKTATPPPPRAGPRSVSG TPPPPAGTPPPPLVSSNSAPPPLMPPKLRAGTPELSKQASTESLGLAPPVMMRSVSNT SNASAPPSRPTTSMSNASSIDDLLSVAPRKAGDKKKPRKGRYVDVMAK FPSE_07278 MRFRTELKNIRTFAKLVAALGSLEKIAWLRLSDDTARFTVIPDM GSQVWASLAMDFIFDGYHIQSAEAGNTINLELPLQPLQRALKSALNSMSASLRLTKKD GLPVLSMTITTTTSANNPPGAAKPSGTAGGDDPFDDDEMFQPEHLETNLRREHEKIIT QDIPVRVLHPETVETIMQPRVREPDVHIQLPPLLQLKAISDRFTKLAITSNSSSNNNS KSPKLEISANMHGGLRLRIATETTDICSVWSNLENPELDPAQLDCPVEEHPSAKFRED GPERWATVRVDGKDWSRVLSVGRLEGRVIACFADDHALILYVYVPQYDDATADDSVVT YYVQSYSV FPSE_07277 MGATMSVIKTLIVPAVISLLLFILLTYVLIPLWRRYARYSQYLP LDTLSSHTSSLRERITARVAAWRSNRDVAFASDDGSDDGIGDDGEELGNVDEATWRQM EADTRAARPDNARRLSRDLEEGFRDDSDDEPDRLARNNFRS FPSE_07276 MSDKTRQSSGGRSLFSRSKHKEKRLNEDLRYPADDAASFRSSRH KRESSAISFDGRPESSDGGINQMAGVITSIPYDTIGGGSRSPVPVEYLPKGEQMPVRR GEPLPHHLNQNGQDYHQYPSWDGSGQSGARSPGRQPAGFGYPNANVTMASTGRQTQYQ QWGPARGSSSHSNNAPNPRYDSLMSSTARGSADNLSIQSGNSRRAHTMISRSPRTAMP SASSQSSYAASQYSNRDSHRFTKFPSGPPPGQSDPQGGFYFPKPDDDNVVEQMFLQLM QKRGWHNLPEQARRQMMAYPAQKKWTLLYQDRLTEWQGEQKRRQTARPTQYTATPDIT TYSDEEGTPEWYVRRVMEDRLDTKGMGSLEVNLRTQQIGWVKRFVECQGQVALMTLLL KINRKTAQGPAQDSGRIDRGLDREYDIIKCVKALMNNKFGADDALIHQKVMVALASSL ISPRLTTRKLVSEIITFLCTWGENSEGHLKVIQALDEVKVASGENGRFDAWMRLVEVT IDGRGKMGSLVGASEELRTGGIGMENLLMEYAVATLMLVNMIIDSPERDLELRIHIRA QFTACGIKRILTKMEEFQYELLDKQIERFRTNEAIDYEDMLERENSSIKDDVEGEVKD LTDPVQIADAIQQRLQGTKTQDYFISALQHLMLIRANDGEERLRMFQLVDSMLSYVAM DRRLPNMDLKQSLNFTVQSLLDKLHTDSEARQAQDEALESRQIAEAAMAERDEVKAQL ELGADGLVAKLQRQLEEQARFIDAQRRQADGLKAELDSMQTMRAKEAQRYELETRELY LMLRDAQDVAASKAIKSANVAASKTAPPEDPARMQGILDRNRLMERLQMQIERQKTQY KLEGRVWGDAVGPSDRLRALREEMDDRPGTPPGGGTPPRDFTNSVLGSINRNTKIPRK PLKRRSDGEVIEEDDETEGEDGVVYEKPRIVEMKRPTIDAKQQAGLLGEIGSKVKKYD GSDSEDDTTGPSHPSMETSSPITPPGDGETPKIEVTAAGAAPPPPPPPPPPPPPPMPG QLSGVPPPPPPPPPPPMPGQIPGGPPPPPPPPPMPGMLGGPPPPPPPPPMPGVGGMPP PPPPPMPGGAMSGHYLSRQPAFGATPGIGLPVVRPKKKLKALHWEKVDAPETSHWAAH TPSAEAREEKYQELSKKGILDEVEKLFMAKEIKKIGMGGSSKKDDKKQIISSDLRKAY EIAFAKFSQHSVEKVVQSIIQCDSEILDNVVVMDFLQKDDLCNIPDNTSKQMAPYSKD WTGPEAKSQTRELDPTELTRQDQLYLYTAFELHHYWKSRMRALALTRSFEQEYEEIND KIRQVVTVSESLRDSVSLMNVLGLILDIGNYMNDANKQARGFKLSSLARLGMVKDDKN ESTLADLVERIVRNQYPEWETFADDINGVMTVQKINIEQLQADAKRYIDNIRNIQMSL DSGNLSDPKKFHPQDRVSQVVQRIMKDARRKSEQMELYLEEMMRTYKDIMVFYGEDPA DDGARRDFFAKLALFVGEWKKSRDKNVQVEETRRRNEASMKRKHTAQLKLTSNSAEAG PISPSNTGAMDSLLEKLRAAAPQARDQRDRRRRARLKDRHQVRVASGQKIPDIDEIPE AEATIKAAEAPSEDDSTVLSPGLSSPRSGGDDLADRAAALLQGMRSGDGADDENPERR ETLRKARRQTAEEERRLRRRRRDRTATSQSEENAEEHKEEPRPESKGGEDEAAKEQHS EAEPPTEDDVPTPKADAAGDGAVEEDHGEKA FPSE_07275 MSDSRRSRRPDSRQMWDNSDRRDRRGGGGRDRDNNDRDRRGYRS RSRERRGYRDRSRSLDRRNNGRDGDRSRQNNRGPRHHDDRDKRDRRRDDGDDAPPRPR RDDRRDDDKDRRAKPRRSASPQSRSPSSNETTLPTRTRPEAKRPAPHMSFNVGSRASR SPPPASRPEHEEETAHSEDGQASEAEDDPMEAEDDDLAAMQAMMGFGGFGTTKNKKVS GNNAGGVRKEKKTEYRQYMNRNGGFNRPLSPSR FPSE_07274 MVKIAQWVSTAALILGASAVDEASSVLTTEIGRQNNQSLFWGPY KSNLYFGVRPRTPDGLWTGLMWAKVDDYQDIQNGFRYTCEQGEDIHGYGWDEYDARIG GVQSIHDKGNNIDLTTTFVKIPGGNHGGSWAARIKGELREGAAKDTKTMLFYYIAQDG EGELDVEGEGTQFGFEDDVDFSGNSKTLGDYKLAITKGTGKHPTSNNKLLEDRHGDKT IVSSPQDVPEDHTWQGKPVVFKKLQEAVSPVQENADQTDPPPPWQVYRIAHAPAKANI QIVEKTFEGPFEFDVIFSSASSGKEFNSEDVTEQIKKTSEIFNERFANTFKLKEPFNL EKYKKFGKSMFSNLVGGIGYFHGHQIIDRSYAPEYEEEDEEFWVEAANARSRTKPDLE GPYELFTSVPSRPFFPRGFLWDEGFHLVPIADWDIDLTLEIVKSWYNTMDDNGWIARE QILGHEARSKVPPEFQVQYPHYANPPTLFLIIEGFMERLRAANGTEPQKLERLIGADL LQTAHLDNIELGETYLRKLYPLLRRQYDWFRKTQRGDIKSYDREAYSTKEAYRWRGRT ETHILTSGLDDYPRPQPPSPSELHVDLMSWVGLMTKSLMNIADALGMAEDVDEYKTNL EGIEHNLNDLHWSEKEGCYCDAMVDDYEEHALVCHKGYVSLFPFLVGLMKADDPKLGK ILDLIGDEDHLWSPHGIRSLSKQDEFYNTGENYWRSPVWMPMNYLAVSQLQNVASQEG PFQAKAKDLFSRLRKNLVDTVYKSWEETGFAWEQYNPDTGAGQRTQHFTGWTSLVVKI MAMDDPSKEDHVRDEL FPSE_07273 MAAFTLTFAPSTRSFGRSGYNKDGDDSDTKPTNSRGRSGYNEPE EGDSGRSGYNGPDDDESSQGNKGRSGYNGPSDDDDE FPSE_07272 MLIQFVFEQSKLFSQQSWATHESEAVSRLTAVDDTIYALSTAQG RGGIAVIRISGPSCLEIYKAFCPSKPLPKPRFATVRSLYDPCSAKNHPLILDSEALIL YFPNPKTVTGDDVLELHVHGGSATVKAVLAAIPKCATAHRIRYAEPGEFTKRAFFNNR LDLAQIESLSDTLAAETEQQRRAAVRGNSGSLGRQYEAWREQLLLARGEIEALIDFSE DQHFDESQAELLQNVTTQVARMLHSIELHEQGSQRSELLRNGIRIALLGPPNVGKSSL MNLIVGREASIVSGEAGTTRDIVEASLDIRGYLCSFADTAGFRSQSSQINSEAESGAI GAVEEEGIRRAKQRALESDLVIVLASVEKGPRGFFLQYDEETLDLAAGAEDCLVVVNK QDAVDKVEFGKLVQEFRQNAQLRAPKLAAAELVSISCKEAQTGSWESKDPGGIQAVIT RLVESFERMTSMPVDLQDLLGVTERQRQLLIKCRQHLEDFMVEATPEEGMDADTVLAA EFLRYAADCLARITGRGEFGDVEDVLGVIFEKFCVGK FPSE_07271 MPSRSDITYFGAGPALLPTDVLETSAQALVNFQDTGLGIAEHSH RSELATNIINEAKADLASYIDIPEDYEVLFMQGGGTGEFSATMYNLVGAWVTKKKAQI VANLKAPEDDPRVEQELRNAVERELKTDYIVTGGWSQKASEEAKRLLGPEHVNIVADA RKTNDGKYGKIPEESTWNLSKDAALVYYCDNETVDGVEFPAFPQSLAPGPDSEGPIVV ADMSSNILSRRIPVQNFSVIFFGAQKNLGSTGITVVIIKKSLLPPKTPQPSASLLRKL GLPIAPIIFSYETIAKNNSLYNTLSIFDVYIAGQVLKKSIKTYTKVEGQEAVSAKKAE AIYSALEAHPDVYRIVPDKSARSRMNICFRVTKNGDIDATEKAFLKEATAQGLTGLKG HRSVGGIRASSYNSISLEGAEKLAKFIETFATS FPSE_07270 MFRNNYDNDSVTFSPQGRIFQIEYAAEAVKQGSVVVGIASKTHA VLCAVKRNAEELSSYQKKLFTVDEHAGIAIAGLTSDARVLSNFMKQQCLGHRLTYGRA IPLRSLVDMIGEKAQMNTQMYGKRPYGVGLLVAGVDERGPHLFEFQPSGMTEEMLAFA IGARSQMARTYLERNIDAFADCSKEELIQHGLKALKESLVQDKELSVENTSVGVVGIN IVDGKKKVEPFKLYDGFSVQPWIESVGENQGGAEEGEGEGMDVDS FPSE_07269 MTADSTIPSQAAVASQNTSNGQPRTKICVYCGSAPGASPAHIEA ARALGKAMAENNIDLVYGGGTVGLMGEVAKSLCAINGPDSVHGIIPEALVKYERDGTY GTVNEHNHIVPEESVYGRTTVVKDMHTRKKLMAEEVFAGGPGSGFIGLSGGFGTMEEI FETTTWNQLGIHNRGIVLLNIEGYWDGIVQWMDRAAEQGFVKPANKDILVNADTPEGA IQALRDYKVSDAIYQLQWGSQ FPSE_07268 MAESQVLPRGQGEQREGGGGGGGFSFNKLILGGAIYFGLNAAMN FAMKKDQKGVTVTNPDTGEAIVVPGNVEGIPPFELRPKEIFDYLTYREIPKNVAPIWP QDAHVDITVTLSQSFNPVPISSVPEEYLVLQEKEFHLSNKTDKRTIETKFTVPPAVQH NGTLWGHFYIGLPGSKLDPKEPGYDTGKAYYFTWPLTQYLPKKKVAKTRNLLEDMPKH DDEPEDEEPTGPIIANYYHPNASFSFIPDMGVKDFASVAGQMRQYMRLEATGARDRSG QHGWYYPVLFVNTFWQLTNQMTLLNDTVKELPLRIDLNNLASWQFQLMSTMETNSKEA ARQAAFGGSLPGGGDGTEIEMIKEIFLDSNPYLLGVTIVVSILHMILETLAFGSDIAH YRKKKDNVGISVRSILANVFMQAVIFLYLLDNSQNTSWMILGSQVVGIVIEFWKITTV VNVRVRPGAPGSLLPYTITFEDKQKLTETEEKTKEYDEIAFKYMYIAGVPLLIAYGIY SLYYDSHKSWYSYIITTLVGSVYAYGFLMMIPSLYINYRLKSVAHMPAKAMMYKFLNT FIDDLFAFTIKMPFLHRLATLRDDVIFFIYLYQRWAYRIDYTRVNEFGQGGEDEAVDD KKAKDEAKDKLVEDVKAEAKTTGSDTGTAKKRK FPSE_07267 MSSLDTVGSLLAAIANLLAQGLRILSLADKSHWGPDEYEQVHAL ASALDEAKKDFQELAPLVNGQVYYETDRKHESLEELRALKTQFTSHIEQIKDWSRSGG PINPVWIRETQTLQRKLHRAQCRATRRIYTSEKESSSRCLGAFLVYRQQRKWAMDKTV PDELEYSRRYREELRLCNAIGSFKRFGDRDIAFVCDYCDGHIIWEDIENMPSIRTFQE AAASPILTLSPTPDNPHWQATGFTKSGHQEKQMVFAPVAIANHVAPQHRDWLAGLLCP YCETESTVPQEQYDDEDAYRPDLGYEDMDAYQEHLEWQHTVTAPTSQAQATSDNCIVM FPSE_07266 MISSTQISRLDGLMLCASVDDEQTESTLAETKQNVRQVLRKLTR NSESQASIETPNHTLHYLIDSDIVFLAICAPSYPRKLAFTYLADLAREFTTNYPASQV HNPALRPYAFMEFDTFISKTKTTYADSRATANLDKLNDELRDVTKVMTKNIEDLLYRG DNLERMGEISSRLRDDSKKYRRAAVRINWELLLKQYGPFGALGFIIIFFLYWRFF FPSE_07265 MAPTSNIHARSHSLLLLQKLLNLRDGASPLTLVIDNLEQPARPV LHEFVSRAKIAKTQVIFLSMVTLKKPQDVDVFIKATGRDLQAVRKDLLNHYPAFNPLV DKGKPTQRAVVIIDSLNALASAAPQSLASFLSSIITPAVSIVATYHDDVPIALPRSFS EYEPHPLTVLCHLATAILRLSSLYQEIQRQKARNRSIQEPEWGLNEDREGLLVGLGEK GKDRSEDSTGVVIFMELRRRSGRTVSEKFILSPKGSAALPGKVCLLTDHPMFTTPADS GETGDGEEEPESTFNLGLTEKQRKDREGIVLPYFDAQTDIGAGEGGRILYEMGREDDF DDEEDEI FPSE_07264 MNEPKYLRPMPTVPSLPSMPENVFSSPLPSGGTTPRPINRQSVE SSGFGVDPPRPPRDGFDESDVRDLSLGSPLASLSAPPNFQGFESPQQRPPSSPFVSES AHVFSLQHPSANIFSDNIQDFSSVSQSVDMDSTDSISPMHNWVPSRTTDTLSSTPRSS GSLYGKAKKSIGSKLKFRIRKEATPYSAMPEHEHQCSTMGDTRNYTAMHQITKTGSYF KDDVSRIKLRRRFFGRPPWGRKESGDSYSSVTSSVREILKGETPPPSLGSSSASLRVN CVDSPYPGGEARRIKTPPLNDGAVNDRPRSFFTETITSTDLDEMDIQGSSSRRHSLQT VCHRSYSPDTAEWWERIPKQPVRRSPHEGPAPFEFQLPEHLPSSPMCPANEKHVGGGK GVCVYHGRRKGASKMKG FPSE_07263 MPKATTPTAMRQTRRHNPLEDDITATGILKNKPSKKRSKGNDDE AENFVDDRASRTILRIGRELAEEENAGKPVAAKPTIDNFGYDSRFGDEAEDENKVYDD DEAWGEDDEVVEEIEVDPNDLDMYRKFMPDEEDDDLLKHGWDLKPTGEEQGDSVNLAD LILEKIAAHEAAQERRENNLGPPDEEEFELPEKVVDVYTKVGQILARYKSGPLPKPAK IMPTVPNWEALVNITQPESWSTNACYQMTRIFISSKPQVAQRFMEMVILDRVREEIYE TKKLNVHLFNSLKKALYKPAAFFKGFLFPLVGSGTCTLREAHIISAALVRVSIPVLHS AAALKGLCDIAAQEASHGTEGGGATNIFIKALLEKKYALPFQVIDALVFHFLRFRSVD PASVKAGDTMSGINEGDAKTKLPVIWHQCLLAFAQRYKGDVTEDQREALLDLLLTHGH AAIGPEVRRELLAGRGRGVPIEQTGPALDGDDTMLID FPSE_07262 MPTELEELVGFIANPNAQIRLLAAENLVPYSLSEPSIFKNDKLQ PVKHLSFLVRDHPKIAEHALTMLVNLSGDEEVLKFLASDEKFLGIVFDLMVNPEEPNA NLLAMLAANLSKWDGLKDFLKRKQEPPKELGSDEIVLNQLLDLFVKGQDGTYNKNADF DYLAYVFADLSKHDDIRKHFLQEQAYDKVIPLTKLKVFTEHKSDIRRKGVASTIKNVA FEVKSHPSFLAEDEIDILPYILLPIMGNEEYDVDETMDMLPDLQLLPPDKKRDSDNQN IQTHVETLTLLTTSREGRDLMRRVKVYPVIRETHQRVNDEGVQEACERLVQVLARDEE GEGEDEVKENGLKAIEGKPEVANGQVEEVEDEDDQLVEV FPSE_07261 MMLRSTASWAFLAAAVRPAVSQFDNWQDGQISSGICTWEQPRAI MLRDMVYLDGGDISWWAEMNDEKISTAPGLGNNAGIILNFNMSTPFNATTNVTAILLH DPAMSKARGGSGNSNSAAPNFIDGALLGNDDEFFLYGGDLLQTSEKDDSPAKDEILGY EAYQYGPDKPTWNPGFVDPKLDENVTRYVAYGGAVNVPSEDLAFYFSGLRSPTKGEFF TNDPDKKATNISDTLITLNMEEQKYETWTNKTLKGVKGRANAEVVWVPVGKKGILVVL GGVVYPQWAGRSQKSANEEESKKQSPKFMQTIDVYDIDKDKWYQQETKDGPGARTRGC AVVATASDRSSFNIFYYGGFDGINVKDQFSDDVWVLSLPSFAWIKINEGKSIHARAGH KCFMPYPDQMLVFGGYTPLAGTQITCLDKGPVVNFNVTSGEWLDSYDPDEYSDYGVHK KIQSEVGGDAAGGATVTAPAGGWTTSALKDIFDTKYDTKKIKEYWPYNKASSTGRPRL DNPSDDDKDQDGGGGSSGLPKWVAPVLGVVLGLMLVTGILVVFCLWRRRKIFKNSNSD YGTEDPGSRIRSWIRGQHNEKAPTVTTDETPVSPGTEMASTTQVVGLSPSPVGTPANI ASPAEVADTQIHEMADTSRPTELHDTGLSPIDIIQKYSHFASDNKTNSMSNPSSNSYS VGEHASTVSRSTEPQMSQPDSPTAGHGGHTRMTSDVSGVTENSGRPPRIASDVSGVSE GDASALRHIASPISPRTDDGNMPSPPFSSMTGDILPSPPADLTPSSPHLNTAVSPIPV SPPSANEAPGRDYLTAKASSSPIRKSMFKESEEDMGQMK FPSE_07260 MRFSLLLNVLGVAAVKIPARNGENLLHRRSESASIQPTATVNNE VPAQDGYAANPAEAQTYGADTKPETSLAQAPQVTQSVSIEEDDKKEEETSAAFSEQGD AATETSPKMVYNLACSCNQPAPAPTITGGYTVNPPPPPPPTTTSQLTVVPYPTYTPPE PVTTSTTEQAPVSEPTTSSTYQAPESSTTLSEIVTPYPPPPIPTTTTSVYEPPTTVSD TPLPPPTTTSPPPDTTKSCAPGEPVTVTSKIYVPTTITSKVYVPTTVTEKVTETVTDK VTVTETPTAETVTKTVTSTVFEGTTVVQTQSKETVTVPGPTVTEDADTVTVPGPTVTE DGDTITVSGPTVTAPGPTVTAPGPTVTAPGPTVTEDGSTVTVPGPTVTEEGDTVTVPG PTITEAGTTITEPRTTVTLPQVTVTAAGTTVTLPEKTFTKPGSTVTEAGTTVTLPEVT ITRPGSTLTEAGTTITEPGLTVTGTVTVPGSRITVTETGEDETVTIHRTVIQPGEDKT ILRTVTLPGQESVVTVSGEERTVKVPGERTVVTVPGEQATVTVESVVTERLPAETVTL TKDGETIVTVVPGPTTVYTTTLVIGQTVQLPPTTVTATPGRITLCPKPTGRSAPLDPK SDLTFGCEPGYVCNPPKPSWCNFWAEPPSDDYLCEPQYCIKAPKLKKPHWRKNETSYY PRSPGYFDLNPEAFGLSYDIFEKQVYKKIVSSEIKTFTTGNWASQTTLSDWPDATTSV AENYDYAPSSNERRGLHMMEARDVTPAVCFGDCNGAYKIAQSVGKSDKLCKDGSPFQN SQDLCLQCIEDNIEGTKQTKRDYVEPKFQQFNDFCKGKDTTSATTAAPGPESQVTATP DVETKSQVEATSGGFAAITTEEESEPELSSAAEPQSTDDAPEPTSVQEENTTNEPEPT SNVPVTTDDSEAGSTEVVSTNDSEPTLTTKASEQSAKATESPEATETEAAAEETSTDG SENSEAGESTTLPFTTKRVFVTVTSSLEDSSETDVVSTITETGSRTAQTKGVETDSFE TDSEAATETEGSESASETDSSGAATETTVKATRSDKADATETETESGAAATETTETDA SGAATASGDSDSESETRSRLETRTSTSTSDETAAPSTVEASASRLTASFATFIALLSM LVIMI FPSE_07259 MSFDDITDQFITYESRLASFQKNSKKRGSAASGRGTKALNWPHK SITPDSLARAGLFFNPTLENPDNAQCFLCHKGLDGWEANDDPLVEHLTHAPECGWAVV AAIESNVGDYAQQAPDQPYMKEARKATFAGRWPHDTKKGWKCKTKQLVDAGWKYTPTE ESDDMATCTYCQLALDGWEPTDKPLDEHYNRSPNCPFFILLEAKKSSKTKTARASKAS RLSVQSVATIASEAPSMNDSTAAIDDSVMTTASTATGGKKTKARKATTKGRKTKAKKE TSIVEDTEASLQYEEPSKLSRGRKRDSSAVEDVSMAISDVPPGKKRAMRAVNESTVVQ DEDSDMAEASVSKKGSKKKTTRKPVAKSSHDVSIVSVTEEVAPAGYEATPGTFPDDDE IERQLEADLENQLTEDEELSFDSDSERRQKKEKGSRTSQAKAEQHEYSRDFAMLNPEP IEPDEDEIDDDLKALQAEMEVNNTEPEQEHELQQESDAEPEAEPQQLQIPKKGRKADT KKATKQTKSKKTKAAPEVDEEHDAEPEEQTHVDETGHDDSLASTDTVVKKSAAARLST GKRGRGRPSKASLASRASANEIELVEAPEEPPVKRGRGRPSKASLASRPSVGANESQL SDAPPKRGRGRPSKKSLEARKSMEAAASQESTQPFSQPVEDRMQEDVEIYASEGPVPR AASVESAQQSPVPSSPPPSAAHLANPPSTPGRVISPAPSARQAAISPSQSPQASDAEN EPPSSRPTSGANPKRVALAPVITTPTRTSPSKLSPSKRNVIAGLRSTAPWTELDLDTV FGTPVKDSDKENDTERYLKQGQALTSPEKQMTVQEWIYHNASEAEKQLKYECEAIVSR FESEGTRAMHVLEGLVVE FPSE_07258 MTTEAAVRKFFTSSAYAVAGASSNPAKFGHRVFVWYLHHDLPVT PINPGSQTINALNKDHATVPNVTALPNPTETSLSVITPPAATLQVLQEAKEKGIPSIW LQPGSFDDAVLKFANSPGTFEAVIAGDGGRGHEGWCILVDGERGMKAAGKL FPSE_07257 MSNNKFESQASTNYKEAFALFDKRGNGRVTVDSLGDLLRACGQN PTLSEIQDLEKNVGGEFDFETFQRVLNRPGGFRDPGEPEEYCRGFQVFDKDMTGFIGV GQLKYILTNLGEKMTDEEVDELLKAVDTSSGQVNYTDLVRTILAN FPSE_07256 MAPDKYRNPPQAPPLFTATPESIAADTKKLCDATKNVLDSVAAN VTADKASFANVLEPILIDENLAATQRRILTFYHHVSTNKELRDASTESERVLNDFGIE CNMREDIFNAVDAAFANRESQDLTKEQAHVLEKERNKFIRNGLRLPAGPKRDRFKEIQ KRLSELEIQGQTNLNEEKGAIWFTPEELKGVPSDDIDVDSLEKGTGENEGKVKLSFKY NHYFPLIKYAIDADTRRKYTVAESNKANVNVPLFQEIITLRDEAARLLGYDNHAALRI EEKMAKSPAAVRSFLDDLRTRLTEGGTKEIGALKEYKKKDYEERGLSFDDSFYMWDTS FYSRIQKEKEYSVDEAKISQYFPVDSTFAGMLKIFEEIFGFVFVELTPEERARLSPTG KAEDIVWHEDVLIYSVWDDEASGSSFNGYLYLDLHPRDNKYGHNANFNLEPGYVTEDG KKHYPVTALVCNFSKPTPKKPSLLKHHEVVTLFHELGHGIHDLAGRTRYSYFHGTSTV LDFVEAPSQMLENWCWTPSVLKSLSKHWETKEQIPDELIEKLVSTKRLNSAIGALGQL VIGLFDMTVHTPESHEAVKKLNAGRTWNELRHEISGTKGPEDLGEGLEWGNRHAGIGH FIGGYDAGYYGYLYSEVFSLDMFHSFFAKNPMDGKEGRRYRHTVLERGGSIPEMEFLN EFLGREPSSEAFYKELGLSSSAS FPSE_07255 MSDYGRKEPFDEKALSSEVDLAVIDPDAGLSEAEKKEAEKKLLR KIDMHLVPWLCLLYLICFLDRTNIGNAKIAGLLDDIHMNTSQFNATLTIFYISYAIFE PLANVLLKWSKPSIFIPAIMVLWGAAMLGMGFVKNWDGLMAARWFLGVTEAGLFPGIN YYLSCWYKRSEFGARAAWFFSAAALAGSFGGLLAAAIQKMDGVSGIAGWAWIFIIEGL LTMIIGVVSFWTVHDFPTQAKFLDEKERARVIYRLTADNQSSAKDEKFKMKYFWQSMT DWKTYVGMLIYMGPLMPLYSFSVFLPTIIQNMAFTDKRSVVKNQLLSVPPYALAAVAT TFVGIYSDRINKRGIFNLCAAPVGMAGFIMLIASTNPAVQYTGCFLGALGIYPVIPIT ISWVANNVEGVYKRGITLGFVIGWGNLNGVVSSNVFFNNPRFYEGHGTVLGYMFGAIF CGSLLMYALLARENKKRLAGERDHLVEGKSAEEIHEMGDKRPDFIYTL FPSE_07254 MSHKYIAGRDPDVLDEGERSILVLFERIGGSTSLLAVLLIFIAY ALVPKVRNVQNTFIVFASVANVGASCASIIAMDGLELGVASPLCQAQSFMFHMFMQSD PWWSLAMAFNVFLVFFFRASPDSFRKWWWVYCLICYGGPFAIAIALLLVRNPNRGLVF GQATIWCWVNRDWEGIRIYTYYMLIWVCIAGSLLFYFMVGYHVFRSRNRLKSLSASKS REPTAADPSQAQGLPRVDLLTVPQDCFYGTIVTDIEVVHSTASTNHLPEPPRPAYTAR SSSSPQVSFDEPSTGFQNSNSHYFSTSISPGVIAQTSSESHLRRMTSATSRTVSKFKV DDPIKRAYLRTSFLFALSVLVTWIPSSLNRIHSWLFGRSPFEFHVATAAVLPLQGLWN AVIFFVTSWKPLKEWYRNIGEDPTQQDREMIQTRDEHDEQVGRSGESFLDDTDSGSDV ELRRMGEAPGKRSSSL FPSE_07253 MTSHDASIDGLPNEILTSIISPFPTKELLSLATINRRFCSLITR LIHHRLLQAAPLPDNKLILECYLPSDQLFVPSLACRYQGLVTRGDSPVSEVDPTLSDL RCLYSSFKPFEPESQSPRRGRRRPVEAHPSEDTHDDTATQDIFLDDGELFSQLCASIH LVKEGPRSGLFISHVTIVDGVVRVFRKWLAEAASKQDQSQPGPESILWVDENNDVGIR FSVVPAPSERMPLISGPNDDPPVLYKLVYEELLVRAHNILKALELSKVQEVESSGKTL VIHVDSM FPSE_07252 MRVNVLLGLGLLAETAVAVPYPASSKVSTEVVTEIVTASPVMLA DMSHTLVANSSSAKNSTNLEQVLVDPEGQTIEDVDESETTTTPGKVVFSTSVVVDTET IYFTDMLSDTTTSTITATESSTVWATATSTMNATSTIFETEAVSTTATSVINATETVL FTNSFSTTSTALTTSTVLTTDEVSTTETALTTSTILTTDEVSTTETALVTSTVAATST VLLTDVDSTTSTDIVTSNVTETVFFMDRIATTVLTTVTSTVNATQTILSTDIDSTTST ETATSTLFVTASTATTETDVTTSTNVVTSTFSATETVLVTAPVTTTSTAVVTSTSVVS STSTVFITDSVDTTQTETVTSTDVVESTSTIFTTAVASSTVTETATVTSTSAVNSTST ILNTVPQTVTTTETAVTTVASTISTTQTLVSEITSTTLTTDTTLSTDIVSTTAVSSIT VTGTVFVVGTEVDSSTTTSTVLTTLTATATDTVVVSDTSTLSTLETETTSSTETATTL TTTTVIDTVSNAETTPITVNFVTATVTQLSTTTITSEDTTSTPALAKRVYTHDLVGKK LEIAARQSLASESAAAAAASASSSPQGAKVADETTTGVAPASESVTSNSTVLSSTNVA VSVQDSPASSSVTPLVDVATTSNCTNPSTSTSMTTVVVLVKASSQPAKPVVDAKSPDA TANTVTALATAISTVTVKVEATSASAPESESVSSETAAVNSSTESFTSVVSTSVDAPA SESTSETTSESTTESAADSTTVSSSTTTPDSTSESTVESTTASSAQSTSESSTTSQVQ APAATTFTTTVTEISTTTERLSATPSSSAAESSSTDNSSVEDDAVTPAPAEQSATSIS TSAAARSTVDAAPEDGAPVPDDPVPLNWKDKMTRFLMW FPSE_07251 MEHKGSQTYSCLRCQNFETLEDQLHSIQNDLSDLTSLVRGGNSV RSSSLAAEEWASDNLAGTDSGPVAHTNCHIVRDASQSLDRYHGPCSLYALCKEFHDDP IFGVPDNNTLTHTAEHAMLQEMLYEASNEPHLDIPSQPTGICLPPRQFLNLVIGPFFK NTDYATDVFVRSAFQPQIDRIYSQPMVPSDEGWAICFNVIVLLGIKREPTTQGNSHFI QSLLQTMRMAINNPRVFLTPRLVNVQALALLSYVAEQYSTTSLAELVFAQACLLARTM GLHRGCTSSNNLPPEAILERHKVFQSLYTRDKNIAILRGSTSWLPGYESGIPSSLESH SESPGAMARLELAKLQDEIYQIFHGSSAPSSKYSRNQALGQSQQKLEQWASTYNIMQT PFTSTESFALMLSFLATRICLLKGYDGTKGIHIFRDAKACCLVFLSATAAKNDRHLSE ALNETLGYQNKREKTSTKKAKRSPQVEGALPEHDENRVSVLPRLAATFPLAAAFIIGK TVIQQPMTDENDTPSQPEEEISLLEALRDQFASVADQAHVDNLALNFSKMLGLLVRIV RQRQSPGQSSTPSIAYNDLPNLHSARSSSSLHGSAVSSFRDTPPCPENHSTVSSISEV VPHSSLLLPFTQPLESPTGGSPWFANAGHGISVNHAPVHAAWPGQSKRQSEEAELPVK RPRIACHDDFLDIGAGYADHGSRPDDDVLFTFDFLNTGNDISAFGMDE FPSE_07250 MAPGTVPQAQQQRQFVHPAASHAKKKVPSAYSMQPISAFYWFLA AALVSAWFAPIQDCDETFNYWEPTHYLSHNYGLQTWEYSPDYAIRSWLYIAFHAIVGN VRRIFPHSNKVAEFYFVRFGLAFVCALTQTILFMVTSTTLNSRIGLFFLMATIASPGN FHASTAFLPSSFAMYLVTLGAAAFMNWRGGLKTSQGMFWFAAAGILGWPFAAALCAPF MLEELILLVFGDKTALWEAFVRIGRGVVSAILLLAGDYFVNLFFYKKQVSVTWNIVKY NIFSSDHGPELYGTEPWTFYFKNLALNFNLWFVLALAALPLFILQKIVSPSGQGFQTG LRTVVFLSPFYMWLAIFSSQPHKEERFMYPAYPFLALNAAISTHMILTAVGNSDSKTL VGRIPAKLKLLLVTITMLLSIDIAVFRVYGIWSAYSAPMKIYTPLWEGKDGSAPVGRE EDTVCFGKEWYRFPSSYFLPRDMHAKFIRSEFRGLLPGQFSEAGTGFGFFSGTWLPTH GMNDRNEEDLSKYTELSACKFLVDTQYPLRTDPLPPNEPDYIEDYTNWEVVKCEFFLD AANTHLLARALWVPDLDIVPDKFKRRWGRHCLLQRKKLNIEPSASG FPSE_07249 MSGFEIPGLGQAKPNETLPPLPPADVLVAAASFQDTEIPDAAPS ETKNEPAPVTTESKPSEGQPALAADADAMAIDRPESPPSLTGALEAALGGLAPVQSAQ TAQPAPAANTETVPLQNDQGDNPEWEVDSSPYESSSESSSSDSSDDDSDNEGYELLGV EETARLLMQADGGASDDEGNQGAKGSTAAQIRTKNEIPEEVIPTPDITITPEMKVEEL GVIEHIVENIMLVKAFTPGEYQVLDSGSVLCTSERVVIGAIAETIGKVLQPMYTVRFT TDQDIKDLGLEVGQKVFYPVDHASYVFTEPLKNLKGSDASNLHDEEVGDDEMEFSDDE KEAEYKRALKQKKKDKWKKDPSKAGKEPHPLRQESRPDVTLNYDDEDDGPYKPLARPP GYGSGPSTTETYEPPSGRNFNQRGGRRGDSRGRGGRGRGNGRGGRGGFNQPRDGYSLP PQGAHQPVQQTAPPSAWPGAQPPAQGAAPAPAMPNFGFQMPGWPQAPPQGNAGAVPPP PPGWPNAQGQQGANGGAFVNPAFFAALMSTMQAQQQNGQSPWGQQQPPNNGNGNGQGQ FPSE_07248 MKFSQASLLAACLPAVSARFIEIAEVDNVVLQPDELFLIETAPG KTQWITEEEKWEMRRNGQNFMDITETTTLGSKGINAESTVTFPKKCVKQDEVAKLSKK LEKKNMQANLEKLTSFHTRYFKSDYGLQSSDWVLEKVNQIIKDAGAEDTVFAESFPHT WKQHSVIATIPGQSNSTVVIGAHQDSINLFLPSILAAPGADDDGSGSVTIMEVFRALL NSKDVVKGKAPNTIEFHWYSAEEGGLLGSQAIFKSYEETGRDVKAMLQQDMTGYVQKT LDAGQPESVGVITDFVDSGLTTFIKTVVEEYCDIPWVETKCGYACSDHASASKAGYPS AFVIESAFEYSDPHIHGTDDSIKYLSFDHMLQHARMTLGLVYELGFYGFDGKTEDKWS DL FPSE_07247 MPRKSTTQADPAPDTPDTLLRQTRARSKLTDASPLMQGLDHRGR PQGPLIEKPKAKPKTKATDEVAPKSKGSLKPALKKANNKLRRKQKVAFRAQVSHVSDD ESENSPVEEDDDAAQDAADNDDDNSNDAPPAQNSDDQVPDEEDSEMSDAPDSPGSDDS EPPSPAQNPEDGTNDDSPESPTSPQNNNNSGLPDAPDAPTPTPTANQGDDGGNAPVEH VSDPEDSDFSDNSLSASEPAAHAKVLTPISVPSSGNLSPLIMPHLDPEIPGLYGSGVR ARPHYGWYEQYHLTGLDCAKVHGCTHNPGRVCHSCHADWHKAWSVYFKAHELLDEAVA NAEQYLTDTGVDVRKDYLGHLLEGSGWEVLALELQPPAGNSIRLHPTRIAKHRLALAQ VATDMEE FPSE_07246 MALPLPSGLTPSEVAFLCEMELVTVVPRQRLESIELLTGTTPAL RPPHRSNLPLWLAILLKKQRRANIVPPPWLHPDSLRDIVHQETMVDRKGWAPPPPPPA RADSRGNARNPFMDDETVLSPPFLPSCTSDAPTGALPYHWFEVAEMLLAHASDDISSS SEVRSLLRDLQEVRAAKMRSSTAQLEGGVDGVMSLRGVGAMELAESRGFVIGVVEGVR KLGASTETTRREEEEEGGGQEEDEQSDEDMGL FPSE_07245 MATQENLPSVTSPSLILTNPTTSERERVWKSTHLQWGAALKLED YIAREYNNINAPLGRNGGVTSWILTDGNLKPDERPILSCCETYKKRALVSDTDDNGKV RDGTAHGVASVFTSPEYRKRGYGKKMMSLLADEFRSRQEKNKGDADFSVLWSDVGPDF YGGIGWKAFRSTHLEFPVKDTLPPADPAVKPLTLDDILELTARDEEIIRNKVASSTSA ASAAIIPDKETIGWHMSRVDFMCNRIFSRTPTIRGVLYTPPGAPNSRIWASWTCSFYG GVDEPSKNIVRILRLTIEDESISDEALAKGIEAISGFAQKEAKEWLCSKVELWNPEER IKRVTESIQSLGVKFVVKDYEHLASLNWFGKDHNQEVDWIANERFGWC FPSE_07244 MEGAAEQSKSALKKAEKQARHAADKAAKAAKQTNLPVVGGKKAD DIIGITVSKADNFPQWYQEVVLKAEMVEYYTEISGFFVLRPLSMHIWNEIRKWFQGHI EEMGVDETNFPMFLSSKSLEKEKDHVEGFAPELAWVTKAGDKDLEVPVAVRPTSEAVM YPYYSKWIRSHRDLPLRLNQWNSVVRWEAKQTTPFLRTREFLWQEGHTAHLTEELAGA EVLEILELYAGVYEKLLAVPVVRGRKTENEKFAGGYYTTTVEGYIPSNGRGIQGATSH ALGQNFSKMFDITVEDPQKQGSHIHVWQNSWGLSTRVIGVMVMIHGDDKGLVLPPRVA KTQVVIVPVGINKKTTPEEKKKHEDQVEEIRANLKKAGVRVTADWREGYTPAWKFNDW ELKGVPLRVEFGPKDAAKGVISTARRDTGEKGEIAIADVATKVPELLETIQSDMYNKA EKSFREHRLQITKWEDVLPALDNKNVVLIPFCGAPKCEDRIKELTTREEQPNVPDNEK LPTMGMKSLCVPFEQPEGIAAGETKCLNPECEGKADKWTMFGRSY FPSE_07243 MASMFEQPRNGTLFLGGQKISGSDIRDQNVLATQAIANVVKSSF GPSGLDKMMVDDIGDVTVTNDGATILSLLDVEHPAGKILVDLAQQQDKEVGDGTTSVV LIAAELLRRGNELMRNRIHPTTIITGYRLALREAIKYLNENVSIKVEDLGRESLINIA KTSMSSKIIGADSEFFSNMVVDAMQAVKSTNNRNETKYPVKAVNILKAHGKSTLESVL VKGYALNCTVASQAMPTRIQDAKIAVLDMNLQKERMKLGVQITVDDPQQLEQIRAREA GMVLDRVEMILKAGANVILTTKGIDDLVLKTFVEKGAMGVRRCKKEDLRRIARATGAT MLSTLSDLNGDEKFEPSYLGYAEEVVQERISDDECILVKGTKAYSSASCILRGPNDFT LDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEFAGTVGSREQLAIGEFA QSLLVIPKTLAVNAAKDAAELVAQLRSRHALSQRIQEGDGSEDEKTIARKKGYKNYGL DLAKGKVVDEIKIGVLEPSMSKVRQLKSAVEACISIMRIDTLIKLDPEQREDDGHGH FPSE_07242 MATLATASRLCLRAAAKPVAPAVRALSTTAIRPDSASASGYSSP FKFQGESKGSQIPDFGKYVSTGSEGKNKLYSYFMVGALGAVSAAGAKSTVQEFLVNMS ASADVLAMAKVEVDLASIPEGKNVIIKWRGKPVFIRHRTQDEIDQANKVNISSLRDPE EDSARARQPEWLVMLGVCTHLGCVPIGEAGDYGGWFCPCHGSHYDISGRIRKGPAPLN LEIPEYDFPEEGKLIIG FPSE_07241 MAYVAPIHRATSIRHALRANVIDPEIDDLIVAKANRLEIWRLTE EGLLCQQTKLIHGTISMLQRLRPKGSETDLLFIGTDRLQYFNLIWNDATKQLETIERV IEDLSEPYMRQSQSQNKCLVDPTGRFLAMHLWEGVLNVFKLPTRKGSTNKLEVLDQVR LTELFMKASTFTYSYTNHPTIAFLYKTQMDQEETRLVIYRLTHDDKGNTVSKFDPHKD RELDIEIPDPYASMLIPVPLDEEKRYHVRNNQGAKPHLGGLVVVGETLLTYYDGQTHR SVSAGLKDPRIFVAWAEYDSTRYLLADDYGRLDLLTIRTNLEPTGVVVTGMTLEPFEF DKSPAITSRASSLVYLGDGNLFVASHHGDSQLYQINIDTKAVMLVQSFSNNAPILDFS IMDMGNREGDTQSGNVFSSGQSRIVAGCGAYRDGTLRSIRSGVGLEDRGVLDELEGTR GLFTLHSYGSKMVDTLVVSSITETRILSFDLEGGIEEIYSFQGMSLDSETLLASNLPS GQLLQITPKSVVLLDPEGGTTISKWDVPDGKTITRASANSKWALLSVDGTSLVSLNLL QNLAVNAQQINNDSTSQPDQISCIHAARDPPDLGVAGWWSSGQISLIDMASLKPLHGE SMRQTEDSATVPRDVALVQLHPPNISGPTLLVAMEDGNVVTFNVSTKGFSVSGRKSVT LGSNPARLHILPQEDGTSNVFVTTEHASLIYSSEGRIIFSATTADDATFVAPFSSHAF PDSVVLSTDQHIRICHVDRERLTHVKALPVNETVRRVAYSPGLKAFGLGSIKRELVNN EEVVTSSFRLVDEIVFKELGSPFALNGSSSLELVECVIRAELPDVGGNPTERFIVGTS FISDGGVEDPNGTLGRILVLGVDANRQVYQIVSHNLKGPCRCLGMIEDNIVAGLSKTV VVYSFSQETSSSGSLQKLAAYRPAAIPIDIDVSGNMIGVGDLMQSLSLVEFIPAQDGN KAQLQERARHFESLWTTAVCHIEGERWLEADSRGNLVVLQRNVDAPTEQDRRRLEITS EMGIGEQINRIRKLNVPAAENNIVHPRAFLASAEGSLYLYGDVAPQYQDLLMTFQSRM EECVLALGNVEFKLWRSFRNDNRESEGPYRFIDGEMIERFLDMDEEQQELVCDGLGPT VEDMRNLIEELRRMH FPSE_07240 MSTSTVSTIGNRPVINYGLEHDLLCDHRGAPYRFRDQIRQLQKT AESSQPGGIVEQADLTTAHLPRPKILFEPPAKHEDYQVPVDPVVSAHSVFSFLGRTKR LRFPPESLDLGYDTRGEFEQYLDDIQSARSCNIIKTWLPLAQVNNEKDEGLEFPSTVS RWQTLALREMEVDEKPYPTHDMESTYNHHILPKTFTLRQIREIFALRNCSNTYLKPVS PPLSPASEPYEPFMLKPDVAVIDLTSEPSSPIDMVIERLHSNADNDHLDPQPPGLSGI FSSPPTARAALLSPKSKKLSELKLDLPLLSSSPESSQKPNSMMATLMPTVIDSQATLQ PEVEQEGIFEEAFQTFLDSKHYEANRRLEQERLNPADALLRLPIPAADFDIPNPEWST HLSSSKEQFRWLVQQLSSAFHLPFLEDVSRLDSSLKWTPIPPGSGRISLTETMIQLGS LSRELLSLQPPQLCSQDYAVPRPKPMIFQLLSDEDIEQEAHANIIVSHQDFSKEIPTN KGDEPSNMSSLDDLLGSRRQTLGSQIDGNGKKRLLADTNSTTSSSLLFNFMQLRQPKR SKTRSDTPLPTVQFTPRPQSNKTNVCSNMQEVAPKALKDAPAPLVNMPGENCRYIISM DLSRNILSFIERSWPHVELIDRDFTQHNTVTWSPGSTQRIESISPLAFEADVSLCPAA GLIMTTILRVKQKPLPGSTTLSSFRERVKLVGEKYECLYILVSEANTQGEYVGSPSAS DIAGYADFVRFTTSLRAGISTYLVSGAEETLSKWALSIMSRYSSAALQLGQFLDFRDS QWDVFFRRAGLNICAAQVLTRLLMSEYGQYGLANFLNMSVSDRISKYGQIMGGKRILS NVSSILDQEWM FPSE_07239 MAIYSSVPPPEQQPTTTTPTPTTTNPPLAAIHVQSPPTPVKNSS IDIDAWTLSALQSLNVSPVARGTGIPLAIPIDEAVKVQPKSPERNVDFDEREAPTSIP KRPLSRRDSQRKRDLVLKGKEGSRQRRRWENDRLMHVPNVQPPLPSDYEVHPTHTIHR VPYQLAQFWDRGVRQRVEDKTAQLQAERKKQQLKSGSATGLGAGEVPRDLREATKRSP VVRSWVRSLEEPVRQYLASQQAVVTPSAEAAEEDSDSAADQMDSDDEEIVFVGRNGAM RELREKKATWKHAHREVSQETVDSGMLFDSFGNDESAAFKRWLTHTISDYYGIQSRSV NLTNPSRRVVYVGLKTSQGVLPPRTLPRPMWEVC FPSE_07238 MDVFTSENDQCDRLKTPSYLELVVSIVLLLGILVSYLPQHYRII SRGTSEGISPYFVLLGTTSATAGFANILTVPPSRAAIGCCKELGKFECTAGLLGVAQL GAQWVCFSLILVLFLIFFRYRQANVPPEDLRGDAPKWQTAVMVGLVCVLHGLIVIFLT GVFFIALPDHLVFWANFLGVMATVLATIQYVPQIWMTYHLKHVGSLSIPMMCIQTPGG FLFAGSLFARLGWEGWSTWFIYLITASMQGAVLFMGVYYEYMARQRGGHGNGHLDSAP HSPVEYSSAPRRPPPGSRTYSEGWERGLPGPFTGHPERYAETEEDLDDIQEREERAIQ RENQPLLKPGGIGNPHRTYDGTEQS FPSE_07237 MDELNPAIELALSNLELKSNDPREQEEKEQEEQEQEQQEPKAPS LNLVAESAFARPRNDIDNDKQSAASKKQDYYTAVQWTADGTSLLVSSALNSISTFVLP EDLLDPSASRPRRLESQSTITLPEPSQTVAAAPFYSLAEPNSQTVLVGCRDHPLQLYH LFPPDDHGIASRSAPLASYKLIRRETEEYITPSSLLWEGSGTHFLVGSTNRLDHFDMT RPGSDGPVLTIPTIPSKRHISKGNGVGMKGTVAALASSPVDGNGNSVVAAGTWTRWMG LYDVHRSDKVVANWSLPRPDDIEGGIGGQGIVQVRWSPCGRYLIISERHASGMIVYDI RGTGELLCSLRGRSAPTQQKMSVDVFAGDPYAEFPSFEVWAGNHDGGVAVWEGVGSQI GVVDPSWSWKPHDAPVGGTALHSSGSVVATCSGGWGFGDDSEAQTVFDESSVKIWSMG G FPSE_07236 MTPAHLMARLPPFLLVSSFVNLASGHVLPQPTKTVAFHEINAAS YPLLATTAPDDSFLQRRQFNTICGYIGGDPDLPATCSAGSHCVVDVDHDAVGCCPDGG SCTQGVFTGCVDAGSGPQTELNPYVFTCRGDDSCYKNSFEGGFFQFGCGSTSGLATNV VATASGKSAIRLTSLSVPLTDPVTSLSEPTTLGTRRKTSDADSSSTEASTTGSATTEP TGTSSVETSESTTEASSTESETSTASETETETDNASGTSGTADPSSTDSDESASSGAS APDTDGDNNSRNTGAIIGGTISGVAALVALVVLGVWLWKRKKGNTRQGPGVQPQVQRI GPPLENNHSFAPVPPMQEADKMAPPPPVPVTDQRSMNPIIDHDGPYSEPWDPQSNYGY SAGAVGGTQMEHDEVPLTRDDDFPHGYNSGLGRISEEEPRPGMAISTPGHVSSPVQPY PGPRRDGGGPLWQQNRGPGWF FPSE_07235 MGATWETIAFAFKTLGSHDQQNLTYLTVGQILFLLAPLWINAFV YMGVARMVYFGMPSQKLLGIKAVRMTLLFVWLDVILFLVQGTGGVMLSNTDADSDTID IGMKVYMAGVALQLAVVLVFSGITVFFYFKLRQLEGRSMGRMKWLIWTMVVVLMLIVT RIVYRLVEFGPGVNAHNTLLIHEGYPLGLDATPILIALVLLNIMHPGFVLRGPESEFP KLSRKEKKALKEQKKQAKKARKAGVQQLEELSIDKRSSSSRQVV FPSE_07234 MPIKVKSKKLPAPRDGSPISIRHDYENDLPDIGTTDSFREVVKK LSLYFVAVIELPSTFEQLRTTAAGTSLRILVDHLTATCTNRGIVNALLALKWHYTTGS EHRTLGESRADACEIVAWRFLTRLSEREAVNYCLYEIPDLDDDHQDEEAGNDHAGDEE AGEHSPLLSHIRTIDNRRRGPGPAGSSMKRNQLLSSLSRLTMTSDDSDDENEGDPTSS FKNLNALEIAAIADAKRFLSQHIVQKIITAIWSGDIVFWDSLSVHSTKKVRFYNPRTA DPFSRLRVPKYLKSWEVVFFCIFLALYYSVLIMRDESRITIPEVVLFLWIAAFFYDEL SEWLDAGSIFYATDIWNLFDMIMITIGFAFAVLRIIGIVQNKPEFSDLAFDILALEAL FMIPRIFSILSLSPAWGTLIPCLKEMGKDFFKYMVLVVVVYCGFLTTFSLVGRNVFAF KSMAWILTKIFYGSAPIGFEVMNQIDPFFGPPLMIMFITLSSFLLMGSLTGMLSNSFS RVITHAKEEYLYVYSVYVLEASTSNRLTHFYPPFNLLALVIFRPWRLIFTADEKFRAG RIILLKATHWPIVGIIKLYEMYRKPGALGDEFAGFKGPSHSSRRNGRQLITQKRSTSS VGRPTLASPRGRANGQSTEQREDDMDAPTAMEVQLSELNRKIDQLTAAVLAMQEKQAG GSGGGSSNASTAAA FPSE_07233 MSKSNIMKDSDAPALALAGATTTEQITTKNTWNTKNLAPRLGAD FLSAASAASMVAPLIAIIDRSIMENASGANTLINSVKSSLRTLITRPHTLLFSKPTAL IFCLYGGTYLTANAVDTSFSTVNNRPASSVTAGTTKFAASSAANIGVCIYKDQVFVRM FGPPGVVPRPVPVASYGLFALRDCMTIFASFNVPPLLGPILDEKFSAEMKKRVSGLYA AQFMAPAMVQFISTPMHLFGLDLYNRQATGPGGAVVSLRDRLDLVRRNWFISSIARIC RIVPAFGVGGVVNMKVRKNLMTKLE FPSE_07232 MRFVQALRRSIKGDKDKGPVTPKSAVAIVPPKKVIRALYDYEAR SSQELSFSRGDFFHVIGRENDDDWYEACNPALPDARGLVPVTFFQALGRTERDSAQSD GGQPPSPPKNPDHDSGYSESPAMHPAPAVMSPTTNSAPQGHQRNSKSVGKTGAMVYGI VMYDFAAERADELEAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFVEIRDMAS DKAIQNPGDAIKRAGVPKVEEWKKMAAEYKNSSITLGKFEGGGPGQPGQGIEQGMERM SIQQQQQQQHSRQPSQNGTQPGYASQPRTSQQPQPHQSQVQKPAAPLHAPLEARIPRY CFAEDKYWFVIEAVLEDGRQWELSRYYEDFYDFQIALLTEFPAEAGNTGTQKRTLPYM PGPVNYVTDAITEGRLHNLDAYVKNMLNQPPYISRCNLVRQFFAPREGDYEIDPNDNE NEYRLSQGSQLSSMESPAGGSQNNLNGSGYGLAPSHPDMQTQASSLSQPSQASLGGGM QAPSQPPSAMKIKMYFNGDLIAIRVPTDISFQALYDKICDRLKIPANEEIQLFYKDEP TGDKPSMISDNDLDFALQRNEKLLLYVEAV FPSE_07231 MVSSFDNLLQGLPTATVCVRLPTILENAKTCIASLQLFTTKNIN STLVAFSQLQSANYTTPFGASRRLH FPSE_07230 MMPTWSAQQAPSVTQQTVPASYAYPTSKSSSHSPANQFSVSTSL TPNADPAFIPVAVRQGFNQYGAPPPPYAGYAPPPPPVQPQMSSASPVNGVTATPEQAK SKVDWPESVRSYVQRSFLPQYDEPTVPRAEIEAKLKDTIGTAKANGTLYTLDWDSMPL PQALVKAERDASTKQSFQVPLNSKKRKSTDFASNDNSQPPWRTNSRSSLEDRISFSSP EKRASMDEPLPKSSKFQKEANKRKRRFENEYKSLRSPSPPPPSSGPIVGTCEVLEKKY LRLTAPPVPSKVRPESILRQTLDLLKKKWKRESNYSYICDQFKSMRQDLTVQHIKNDF TVSVYEIHARIALEKGDIGEYNQCQTQLRSLYGMGLKGNPIEFKAYRILYFIHTANRT GLNDTLADLTTAEKEEKAIKHALNVRSSLALGNYHRFFQLYLDTPNMGAYLMDMFVVR ERLAALCNICKAYKPDVKLRFITEELGFESDADAAQFVIDHQGQHLLEDRTDYIAFLT GKAGPLFEAARSTAFQKVDIKGQI FPSE_07229 MFLKHIDLTTALRPSYLPDEVLLFVQDNVGLYEGKFKLPNQQNG QVYLTSHRVCYVDKQEPRKHSVALDLKDVERHEFYAGFLKSSAKVTLIPKPPKRSSYH SRAISNVSSSQRNASGSPGHSESGYRAPPEPPVTSATWVCTICSFSNPVPSNFDPTSA NAHTPLPPCLACGIKPSLAHVLKAAISGASNRPAASTAIDSPLPLRPAQSGPRPLSEN LDQLPAPSFTDASNGSKSSASFQCPRCTFSNHPSLMTCEMCGGPLISNNVPSPIQQSS ASETVRTDSPGPVLDPSKINKNGSDAPESVKISFRRGGEKIFYERLKGSMTQRKWLLR DAPPAPNSRATDEGASDATNGGSGGRTKGVGIAGLEQLGLNMRKNNELLIGSAFEDLE ALMSSAKEVIALAERFARQTNNAQGNASAEENAILAESASQLGLVTTKDIVGGGSSES LYLSELARNLAEFLTDDSRGVLKKAGGIITLVDLWAMFNRARGGVELVSPADFEKAAR LWSKLKLPVRLRTFRSGVMVVQSRERTDGTTVRAILAWLKDLHEFPPDRDVPWDWQEF GRGVTAQEAAERFGWSLGVAEEELLMAEERGELCREEGLEGLKFWVNYINLGEHKKHR TQAQKDSDEVMKALKASGLL FPSE_07228 MSAATTDPALVAAPAPDLSPVDAVDQVGHDLSAVDQIGDHAGAS PKERHSLTLDQRRALRRWANSQPIRPSHKACIEWFYSQYGQQISQSTVSHSLSPKYSR LDSDNPQLSGSRLRYGNWPDVEKLVLIWFQQVQASGRQPTNEELGDKAKTIFNQLPRY KDENPPEFSPGWIHRFKKRYGLLIRRQRRHGDGGVNPAEDIGYLADCIPRFMNLAPDT SPGAIREEVLRVVGIEPSLGMCALVRDEVVRRLTGPQQPQPPSLPQPPPMMTHDSPAI PPPQPDQPIYADDDPEVVLQNALRQLQQEEQAAEEQAAAVREERERAERVGLQQNSIM TTPTARASSVQRFTATPAHDLGADLTLTPIASDTPVARDERPLRCPFCVNQRMLRTIK EAIEHMSTHVVV FPSE_07227 MAMTSALGDQDGSHYRAPGTARSSTAASTTSSSTRIALFNSLTP SSTALVSECADTPYRPLPRSLKISWQWNKLRTFFLGTEASSKMFAVTEHSGLFGRTSG APCLLLHNGPTGKDPILAAAGETLRDGLSDPGVLESIINVSAAPGTDNDTRVATEIVQ ALYDDIEQCVVFRWAIESKPEEAGQDGIFTREEFEWTMTPVQAATDAKYCHEFKLYRV GDMKVPNEYLAMASWKEAAWKTCKIDFSDNGVHKNFGDRWSLMVIMSALRMWQLGRSG QASLEYVSN FPSE_07226 MAGIAYYTIAGKQVAGHYLAMAWLGTLFAGVQYATSGSSAKPTA TATPPINASSSDEASFIQDFLNQQDKKN FPSE_07225 MWWGKSKDPKPEEKSEVAQIKDTVVEKLPSKEQISNKVQDSVNQ TGKNGNVMNDIAEGYGPDSTDSNLRYAAYATRIRTILLSAHRYVAYTSDIGESFRPVA HPNLVRGAYGVSWLYLIGDVSYEGYKSYWHNQRVLNPTLQLNPRQEKITGLPALETRA IQPGTVAPLDDYRTVMVQRAIFQSLASMGLPAFTIHSVVRYSGRAMKDMKNKVIRTWG PIGLGLAVVPFLPAMFDEPVENAVEWAFHKGFETFGGKSAVGNAPMTGREDLLAKKPA KEKEL FPSE_07224 MASSNTPEAQDTSYVEMDDKNEATLIGKHCEYDYCNQLDFLPFF CQSCRKTFCLDHRTETAHVCANPGAWAERKRLKELSQPSIGQGRVLRDKVSQKPCSSP ECKTTIGTSLIPGVHCQTCNRDYCLKHRLKEDHDCSNLVPIGARPAQFDVGQKTRSAF DKLRAWGTAKKEQAGRALPKPKPTSASARIVAVNNLKKTAKGDAKTPIEKRVYIYVEA EAETTKAKFPKGEFFYNKDWVVGRVLDAAARSLQVQNINNQSSDEKDKLRVFHVEGGR VLEYNEKVGTALQSGNTVVLLRGVGPATPDLIEA FPSE_07223 MPPLVPRKRLRESPPPGQGRATKAAKENPDASRRKATLYDDLDA SATPNSNSVLHGFENDDDDGSSLTSLSDDEFEDVVPPKKPQGNDDDSEDDEDIEFEDV AAPLASLPDAPVTSGDLELTLTRDTRISLTNAFDRKGPSKRERKVRHASHCVHVMLLL WHNATRNSWLCDPEVQATVISHLTPRLWDEVDRWRRNSGLEKKPPPKKPTKDNTKPKG KGKKVPDRRSRDWGVEAERLEQGAVDMSHGDPLFRLMQSLSAWWKQRFRITAPGLRKW GYMSLERLDRLTKAQKAEPHNPEQFGERISDLEGLRHCARICEGSRDVGAQLFTALLR GLGLEARMVANLPCLGFGWNKLEEAEPEKSDSTNQTKATPYKKTETTKKTAAATKKQP NKRTAQAAKNTTRKAKSKPKVASDSEDLELEYKDTDDESVVDMEVTPRKISTKKYDAD MDFPHYWTEVLSPVTNKYLPVDAIIKNVVGTNRDLIESLEPRGAKADKARQIMAYIIG YSQDGTAKDITVRYLKRNMLPGRTKGVRMMPEKVPVYNRHGKIKRYDQFDWFKTAISG YRRGSKNHPITEIDEAEEATDLKPAKPEKKEVKEGQETLQYYKQSKEFVLERHLKREE ALNPEAKPVKVFKNKGKGGKVEEEDVFLRSDVLNVKSAETWHKQGRAPKPGEQPLKRV PYRAATLNRKREIMEAEAATGAKVLQGLYSWDQTEWIIPPPIKDGVIPKNDYGNIDLF AEHMCPKGAVHVPFRGAIRVCKKLQIDYAEAVVDFEFGHRMAVPVIQGVVIAEENHDM VMVELEKDEAERARKEDEKRRKKALAQWRRFLMGMRIAERIRQEYGEITDDISVFGHA RDSAHLKKPPSVPDEDMAGGFLPEGYEEELEEDQEAPTHHTSSFFPAVDEDDEGDDGL VMEHDGVDQQRVMVQMDIDAEEAAPFKSQAEAGQDPESKAELEREMDQDTEQEEPKLE LESDAESEQPRTSARSRKAAPKKQTKKERQPPLRATRRSTRSGRKVVSYGEDVVHNDD EIADSYAESEDDE FPSE_07222 MVANTEDTKKNTDTDEVSQDIKDMCIQEVTALFPDICLQSLQSI AVPLHFCFLAVINHIIDLLDSGQTYKKREQPKQSIGKRKRDATEQDDGLENKLLKDNH LYNSSDREPLHLSKRDPPLVKNMIAGDFQFVPVRTVQKFLAENNYLLYPTYLALNKSI QQTHKPLSWKYKKTATVQSSRYKEENLENSIRSADTTSEEGQQEVNLMEELKAARLAR RHANLERQKELEALGADKQNFDEAQSKGEIKECECCFADTPFNRLVHCNAENAHSFCI QCLRRNAEDQVGLSKYELACLSTEGCNAGFSYKERQKFLPESLASALDRIEQDENIRL AELPDLAQCPFCSYAEEYPPVAINKEFRCRKPECMATSCRLCKLVTHVPKTCKEAAVE RGLDKRRHVEEAMSEALIRKCNKCNTPFIKDSGCNKMTCSKPNCRNVQCYVCHESCEY NHFDQPERGGKLGNCPLFEDSEMRHHEEVEEAEKNAKQKALQDNPDLEPEALDFNVSS NVKKDDDRRMKKSAAAAGDYARRYRPRHHHGNHGRRQENDGQARALPRFANEPIQHNL PRQRNEPVQPPQIPAHRQQMQAGQGQANQNLEQGRAMPHNARPQAQAQDLVLLDQQIM QLQALLEAEQQAEQQKRQQTQAQYRMVQQLNQAQQTLQQKRLDNTRNHRSLSSINAQQ NLAKGLGLFPIPSQGAPAPQMQIAAALQPVPAGLHSQQGSNPYILPDLDFMPDELFPF DLFPPNGQ FPSE_07221 MSSQSRGIPVQHNPSSTNYRLIELPPDLQNILEAEDAPVLSIES SDTSAVIKTPNKTYALRQKNTSNALMLISPMTSSSEDEPIGQGISIVSTIKETIELDV VPEKATSAPGKGKWHERFGRNR FPSE_07220 MTLIDSKKPETIDVVVVGAGLSGLRAAVKIHEAGHSVIVLEAGD RVGGKTLSVNASHLGGKVDLGAAWINDTNQSDMYKLAQEFGFDLIKQRATGLNLHQQL DGKVDKIPHGDGIPLPSGAAELVQEFIEKLNRWVEEIDPENPSDHPEAKMLDSLTFGQ LGAKHLGPELGPAVADSATSPLLGVGPDEVSALFMIDYFRSGTGVANMASDGKDGGQY LRNRQGNQTFSIRLAAKLPKAAVRLRSPVKTIIQGSDGVIVETANPGQKFRAKRVVVS VPTCLYSSLAFDPPLPLTKKTLSDSTALGYYSKTIFVFDKPWWREAGLSGIIESDAGP IYFSRDTCSEEDGQYSITCFVVGDRGREWSKWSSAEQKRMISDQFKRIFSAVGIEAPD PVNVIAHEWAKQPWIWGAPSPVMMPGTLTSDSGKALREAYGRVHFVGTETSLVWKGYM EGAVRSGVRGAKEVIKAFEDE FPSE_07219 MVRVTEELALSSDSVTLYHAADPLLGHLPLLLFHGPSTTANYTL NSSRVQVHVFTPAGFQSFPRITISPNSPFYSVVHHLPREFQGDEVYRALAFGLFKYFT ELPDCVKLYLKNLYPTRGRRPGSAPTLFSEQHAADIVKDMVQSDHTADIIETLQDALQ TQHISHVDLDFVLPPGAIVPLQSVDLEDVPDDEDDILDPTLRQYGGYTPLIKLFGEPV FLPTSRLRRAPSKPTALNRSKSFLKDQKMELRMKLTELVETEERYVAKVRELVTNVAA DFREGAQARAPGSLSPSEEELEKLFPNSADGILQVNSAFMEEMRRILDETEEEALRDM ETPTMNFMGSKVGRTRDPSGALAIARLFLEWFPKFTECYQDYIKASQHFPTLLNSFLD QQSSFRQRVAQAGEQAIRSILIEPVQRLPRYSLLIDQIVGCIPMTHPALQPMLKARDI ITNICSMDEPLPDKPHVTNRLRNMVEAWPLNLEPQGRLIATADFTELAPPFQPLLNQS DRSGIFLLFSDCVVILKKMSGNMTGRDLLREIEKPSAAGLLISMTNAAGGPAAYEFVF TGWHDLAEVRFTEADDGTLFWMTSTEEMRGAHPGEHRISKAVTSRCFLLQETYEARAA KWGEDVVKARIEARFSEKEREDPTWTLRSARMPDSNLGLHAAIFQEGADQLIEGRKEP APIRVVVDHDMGTKGAPVGHYGVEIVVNVSTNDMKRVSMLTVGLNGRQFQDDVALEDV LPTMSRRVIQLLSTQHSVSNMQLTAPLVSYYSKTLHGLLLNTRAEKTRSFLASSPVKL LSSFWGGSSVHISDTASVSSKHKQVPSIHRNNSQASVVSSIKGGKDGVSQEETRTDNP LVRLEQTFTSYVAALQNRKGLIIGRTLLQRSVVDELTVNELYNRLIEIPYDFDATADL GTEVIFVAFEKFLRIAWADQISPVMSMKSLDTLQARVNKRVPGDFADFVNFLFGDMAP QNRRAFTSLIKLLADLLDGCGNDGDRGALTLAFAELLVYDGTAANYINLLDRLVEDCD RIFEEPSLNHSFGLDSSTYESINSAIRGKGYTPSLASNTSSLRRKFGFDTLLRQNSKD DRSSVWRQLSRSRSIDDNTLPKKLARRPGSRDRPPIAGAFDEGQRPGSSHRLLETIGE PETERPPTRSSTKKKRRSSLSDLKNYMEAVTLDDDESPQPLQDAKETSEKLNASPKTG SSRIPVSPGVAQTMRVSRQKENVLDMLQPSLLDPSPLDPSDETMRRPAPLKTHRHSKT LSSSNIPTLRPYRSAPPGADSPPRPNSSPSRRGTQRLRLQSPQKLRERLNTEKQAVDD VDASLKSELFKIGEEMARLSDAQSPGSQSVDMRQVTAAVRQLEDRVPAAIQEIQEKHT AIQHDMETTVKAAEAKVRAIDQLYKEAVAENELLYEKFNGELGKIVKALKGKGKEEKE ELMVRLRDQSDETARMKKENARLKREMVSLRAALKGTTE FPSE_07218 MDPGPSVPAAQASHLLSSFTTFLTLTLHTLLYHRGLYPATTFLT ARALNLPVHQSRHPGLCTWINDAVSSVAAQLRKGTVRRIVTVMHAAKTFDVLERWVFD VETFPIGWGDREERNYNPVLVEGVDEDGGVNWTDVNEALRGALRRISHAAEMMSALPE GSTFTLAVELRDEASAPIGHPQHWIPSQPNLQPPTDTSLNQGSAIGSQNTTPIRSVQA GPLFFECWIEQSDLE FPSE_07217 MSEPLSIAAILGGMAEALPTHSPDDESSDLASSYEVIALLIHSY LSALGFKLLGFDEDKKLGQFTRVSKHYPAHTRRPIAECESLAPRLPSQWNSGFKSYSF VYSHKQSAMTFSIRVDRMGKKVEVRGLAVGDDNIRRFERTISEVVDTKKLPIRITIKD KQEDRSDLVEKLRGVFTSEQAIADILHDLKTNIIQKLIPKLQSEGYVETAEAEDNARS ERRAQEAQNPNRPFRGDPVPNPNMPPPPANPLPEMARPRPHPVGDFPPPGFEDEYEMN RPPRGGLQMPGRSPYNIGHDDLNPSGLGPHDPLRGSFVGGGLPRPGGYSGMHPTFDDP LFGGQGGDGQGGYDPQAPPGARWDPTGPGGNPRFPGPGSGRGNNPFGGGFGGGFGGGD II FPSE_07216 MEDQLVQLLSNTQLPDQGPRQQAEIELKRARTNPAFPLSLANIA AHTSIETNIRQSALSNLRLFIENNWSNDELDDEPQIPISDEVRGQLKQVLLDLVLSQE EDRKVKISASYAVGKIAVHDFPDQWPNMLPAVLSVVPAGTDAQLHGALRLLNDIIEES LSEDQFFSMAQDIAKALSEVAFNESRKPMQRALAISILRGCFDLLNMIREDHAKEVRA FADGLIQQWNPFFITVMKSPLPEANLDNGTQPESWSNIIALKLQVVKTLIRIRRVFPN LLLPQSTVFFSAVWEELSTLQAPHEQLYIKQDAQGRLEDSDNLPYTLDFLILEELDFL NQCFRAPPVQAELEGHLNAHPSASQVPWMKEIMNMLIGYSRVTREEEDLWDIDCSLYL AEETSVTANYTARTAAGDLLIKMGEWFDQKAIEGLFGQTQPLFANGGSDWRNQEAALY LFVMLVSDFQDMEKPIPEEVAHAYLVLVDYAINRADEPLLRARGYLVAGILCRSYQTP AELLDRMITSITQEESEVVQVACIKALEGLINAGQVSSNRQVPIINAIQSYMNGKDPS DMEDADELLVTLSESLRAAITLDTRIALSNEVQSVDLLFMLAKLGASNFQVTMLISEG LEDIVTALSDTESYTALCGKLLPTLTGAFDVANLTEDNPLVTVAIELLAILAENGPEP LPAGFVAATLPKLNRLLMESNEGEVLRPGSEVVKWMLQHDHQQVLSWQDANGRSGLEV CLHIIDRLLGPSIEDNSASEVGGLAAELVEKAGQERLGPFLPQLLQAVANRLASAQAA AFIQSLILVFARLTLSGAHEVVDFLSQIQIHGESGLQVVVAKWLENSVNFAGYDEIRQ NVIALSKLYSLNDPRLAQTQVKGDLIVNQDDGLIKTRSRAKKSMYSTTHDPQASTTST TYLETLYTQFIEAQDANQPAMAPDPDQYTVVPASLKIIKVLIEELLSASGQRAAANAA AAAVASASFEDENDEEGWEDDDDTLDLSLGATKHDLMSFVEGGQRQRDDETQAYLTEF FIRCGQENTANFQEWYNMLTEEEKMKLNEVASSAGQ FPSE_07215 METRSRFKTFADKVAVESEPGLTSTQLMLTNHDLKPVEKERRQW GPWNFVGFWIADSFNINTWMISSAMIVNGLSWWQAWLCVWIGYSITGIFVAINARPGA VYHISFPVINRSSFGIWGALWPVLNRAAMACVWYGVQAYIGGNCVYLMIRSIWKSWEN VPNSFSKDAGTDTAHFASFIIFWICSLPALWFPVHQLRHLFTAKAYVVPVAGITFLAW TISRAGGIGPIIKQPNTVHGGDLAWEIVKGIMSSISNFATLIVNASDFSRFAQKPKDA LWSQLITIPFGFAITSFIGIIVSSASTTLYGKAIWNPLDLLDEFINDGNSAERFGVFF IASSFTLAQLGTNLAANSVSAGNDLTALLPRWINIRRGSYICACLGLVICPWQLLKDS NQFTTYLSAYSVFLSSIAGVMICDYYIVRKGYLDAKELYDARKEGPYYYTAGFNWRGY AAYICGIVINVVGFADAVGAKNVPIGAVHVYQLNYFCGFGVSMLVYYALCKLSPVPAT SDRWMEVGDEIDDLRLAYNSRSPSYDEENATGEPSKAAEDTKHF FPSE_07214 MCGVSGILLGDQEATSAAIDLHESLYYLQHRGQDAAGIAVCQGG RVSQCKGLGMASKVFSDGRRLEHLPGYMGLGHVRYPTMGTASASEAQPFYVNAPFGIS MSVNGNLVNTEYLRKFLDEEAHRHVNSDSDSELLLNIFAHGLQQLGKTRANSEDIFTA LSDVYSKCQGAFACTAMIAGFGILAFRDANGIRPLCIGSRPSATLSGTKDYMVASESV VLKQLGFEDIIDILPGQACFLQKGCAPKFRQIINDRPYTPDCFEFVYVARPDSTMDGI SVYRSRQNMGEKLAKKIRAVLGDKAVEEIDAVIPVPETSNIAAATLAEKLGKPYVTAL IKNRYVQRTFILPNQALRMKSVRRKLSPIDSEFRGKNLIIVDDSVVRGTTSRQIVQMA RDAGAVKVIFVSASPECMHPHIYGIDLADPIDLVAHGRTTQEIADYIGADEVIFQDLD GKDGLKAACMEAAETESKVEDFEVGVFCGRYVTDVPEGYFEHLSDLRNGKRKAKAALT EIKAGGDEGANVVVSSGPTNGPPDSDEREDISLHNMASEQMTTS FPSE_07213 MPPSNTKPCHNCRRRRLRCDRSWPTCHKCAVSGQECLGYGKVFV WTQGIDSHGNVNPPPGRRLPDDNDSSASTSPPGQYHQPGQGHHHDRPRPQPQPDYSDQ HPLARLVQQAQQAAQEAEELKRHQQQPTPRNDESASTSDGNMPWPSPAALTDPLFQDL DRTSRFYLAHFSESVCKDLVVRDTPESNPFRELIPLTRKHPLLFQVLIATSAIHWSNV FRRVTKIPTGIPNPGDYLSVLRSKDLVTHQALIDALTAKQKAMSHLREVLDTLDPAGS EVALAAMHFFIKFDLIDLDKSDNQSWRAHLEGATSIMALLNPDSNPDASSRMLRDRVI TDSFIYNILGSTLTSGGLAARVARQAFQFLPVMKRVELTSYLSCPPEILNIILSASEL SHEVPWTDQSVGAADKALALIDEALAVDIPAWADYLRQHNLIQDLNSRVRLAAAHRSA ACLYILQALPLVRSLRSIDTDFLLQDVLSNLALIDENDPYFKASSWPTFVAGAETRDP ERRTWTLSRLLSIWQICPWGYLFTAIEMLKATWAMQDTRSTDNVNWLHDLRGMGFENL IV FPSE_07212 MARLQTMDLRLRHIQEDLPLTLLIILLTEAQHLVMHLDTRPQAI LTRILHLPMVVLPQVYRRILIDHRALIIHPRHLNLRDHLRTHALTDILRLIKIMHRTP INLINLIILLTLRLLQHHMGIMLRRRLTHLRSPLTVHHLHMDIRHLLGLLSHLQRPLK HGQTEHLDHLLCRVKVLPQHCLCPLRYLRSLLCPPNLHSLTSMDNLIEAVETFLITLA ITGINKKNQHPRQEQKPQDGNSRRPDPKAANLPKPPPPQKQEQKISSISPAKQKSSTP SGTPEIKKAQVESPIIPDSSQRQEPKPKPKPKPELETIPEPEPEAEPELEPVPVPVEA PEKATEDEWEWEKKTILKEAEPHHPPDEVGKPLPAEYNEEVLLPRKWDAQCIESVYVQ ADNIEEYVKPIHETPYWSDVEFDPAFVRDGKLPSGDPVSELPPRVNQRARSERSESGE VIEQHPKRGHSDDDTPVERPLKRQRSRSSSNARRRGSHDAYSGSYDTYEPKRHKPRRE SSEYRSTNFHNVDDHRRLDRHHRDRDRGRDRGRSRSRSRSRSPSRSRGRNRSRSRTRT PASRDSSVVSAASSGLDSLEAELLGRDSKAKTPEESPRRKSSLSGLKPKRRQTKLDSA YS FPSE_07211 MPGLPSSVDLDECISRLYNKELLAESVIEAVCAKTKELLMRESN VVHVRAPITVVGDIHGQFFDLIEIFRIGGYCPDTNYLFLGDYVDRGMFSVETISLLVC LKLRYPNRVHLIRGNHESRGVTQSYGFYTECSRKYGNANVWHYFTDMFDFLTLSVVIN DQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDPERDEFSLSPRGAG YTFGAQVVKKFLAVNNMSHILRAHQLCQEGFQVLYDDRLSTVWSAPNYCYRCGNMASV LEVSDTGERFFNVFAAAPENDVHKDLQPGNEKSADGNALPDYFL FPSE_07210 MASHDDDTMPEETQGYKLSQPKQSLAEYQQMDAGDESLQRYKES LGLGGGTDISDPNDPRVCIILSLTMDSPGRPPVTIDLSTPGSETTLKDKPFNIKEGAK FTMSAKFKVQHEILSGLHYVQVVKRKGIRVSKDSEMIGSYAPSTDKQPTYIKKFQEEE APSGMLARGHYNAISSFVDDDKKKHLEFEWSFDIAKDW FPSE_07209 MADRKLPVQHNTLLIEGVPDHTDLISRRRLGQTKLTPKMTASSD SDLGVFDYAHLRAPLPKGIVSGIFKSSPNSYFLMRRSYDGFVSATGMFKASFPYAEAS DEDAERKYIKSLPTTSHEETAGNVWIPPEQALALAEEYKIIPWIRALLDPTPISTITP SGSPAKNITAPPKFDVFKASQPTLAPPTPSVAPRSTRARRSASPTKVTRRAPPSPRKR STRAKAETVETITEKAGLLNGVPELEAKVEETKREDIVLQSTEFEPAIVLEPREEDPK VKVHIEEDVITDDAGVETKRTITEVELPLPTAGEPPTAEEIAEMMNAAKEMVQKSKAH EAEDEEQDETNAEEGSASAKKSKRKAGDISMGDEEGDKTPSEEQPRSKKVKTETQLRK EKVKNRALLGLGATVAVGAIVPWLMNFL FPSE_07208 MRPSTRLGSLMLRRGFASSARRLDNYGFIGLGQMGYQMAKNLQS KLKPSDKVSIFDINPESMRGLETEMKAAPSGAQVELAASAFDASKDADTIITVLPEPQ HVKGVYKSIITSSLPKKDRVFIDCSTIDPSTSRQVAETVSSAGQGTFVDAPMSGGVVG ATAGTLTFMLGASPSLVPRLEPVLMLMGKKVLHCGEQGAGLSAKLANNYLLALNNIAT AEAMNLGMQWGLDPKKLAGVINVSTGRCWPSEVNNPVAGVVETAPANRDYRGGFGISL MKKDLRLAMVAAEEAGARMELAKTAFAVYEAAEKEEKCQGRDFSVVYRYLGGKGEQS FPSE_07207 MYELYARRPATFDQCKDITDICPVEATVLGYVPNKGSSYFFTIC FAVLFLSAVGIGVWKRTWTYAATLGAGLLLETVGYIGRLQMNPNPWKSSAFQTQICCI IIAPTFICAAIYLTLKHVALALNPALSRFHPRWYPRVFLPADLSCLIIQAIGGGIAAA AKRDQPDLATNGNRTIIAGVVLQVVVLLAFGTMGTDYYLRVKKYMHGSDVAPESLRVW RDKKFRLFGFAVTVAYFAILTRCIYRLVPSFFKLRCSGIPMFLTSADARKCRIAEMAG GWGNHIMQDEPSFLVLDSTLVLVTGFCLTAFHPGIFFPQMAANMRRSKTTEGETPAES SSEERKVEHSRNA FPSE_07206 MESIARISALMETARELTLDAAQATRGARTSSKPLDRNQMKKLL DSRNEREVLDGLRRVIAMMYRNHKTLPFFSSVVKNVASPNIEIKKLVYIYLIHHAEQE PDLALLSINTIQKSLSDQNPQVRALALKTMSGIRVPVISQIVSLAIKKGVADMSPYVR KAAALAIPKCHRLDPSQAPQLIENLSTLLGDKQYYVAGAAVSAFLEICPDQIDLIHKH YRALIKQVVDMDEWSQLATLRLMTYYARKCFPRKSKSPDEPTETAQEQKVDDFYGESR QGNRGQGSSSVLDPDLALLLNGIRPLLQSRNAGVVVAVTRCYVDIGTPEYVKQAIGPL IALLRGAQDIQQTALFNIVSVCLIRPADFVKYASHFLVRATDTAPIWELKLEILTIIF PHSPSHVKSLILKELEHFSQGTNKALVREAVRAIGRCAQTDTTTAPRCLKLLLGQITS LDGTLAAESLTVIRHLIQQDVQGHVGTVVRLAKNLDSATDPQARATIIWLVGEFSGLH GEDNIAPDVLRILLKEFTNESPVAKQQILLLAAKVYLHHINRKSEAEKEQDAEEDSPM ETDTHPIVRLWEYALLLVRYDTSFDLRDRARMYRSLLAVPQLATLMLLAEKPAPQAPS PSESRKEFLLGSSTLVLAGGGGIHGLRGYEPLPDWVEEGQQPDRRLREPEGGQSSRYD VERSTLPASDRLDEAAKTVMPTKANGTGEAVGTKTLDDWLGEEKETEESSEETEEESS EEDDDEEDDDDDDDDDDDEEEEEDEETDSDDDGEADRLVKS FPSE_07205 MTSQPVVLAPATPSELLSYITSYYRYPATLIIGSSKAEFHTALV EDITHHLTVQDEQLQQDNPENSATEPSHVLLKATLYQIAISRHIRILFAPTVTHLRAH LSVFTPKNSLVPAPPNHAPDSRTPVLLVYGLLALHRDASEWSAQGIGNTAALLVDAAS RNGFKAAVIEPKGVGGHEDLGQLGGEMIPLLNGTAKRDDGTWSGRTVSIKQVLNRWFK FEVEDH FPSE_07204 MAYNPRMSIIPTSQQNSRTRKKEEEADAFMRLPDREIVGCITDI GITFTVADLQKPNAAHVQQIFEWFAELLLNATRETVEPAMRAAAEDIAGEYADVIPSD TRNLMGFYVSLRRLLFECGITDFSFNDLYKPTYDRLVKIFSYLINFVRFRESQTAVID QHYNKAESTKTRIETLYGENQENESRLEDMKRNRKAMEAQVQQKTMRNEELKKRLLEL RRNQERVAARLEEAKQKKGELTTALEQKTHEKVTLKQESTKLRPYVLQSASDLQENLA ELRDILNNDKSHIDALDRRARALQTSTDSFSVVSTDVASCIKILDEIASELAKEEEEL ARNAKQRDALSERSNNAREVERTETMLKRQLSKWMERTEKLREQSNHKAHEAKEKMHE LRAIHRQLTEEHTEKGKEMEVRRVRIEQTEKKMLDLKENIENEVHSAHEEYRKMEAHI KLYIAEMEQAIA FPSE_07203 MALWPFRRRSSRKRSRSGAALSDVEGTPLPHNEGAKVGLKKRRT EPPKPQQVTRKYSFSPGRQDDIRNERVRRGSPAPERTGRTMTTGNLEEHTGLWDRTPT LRPTRQATRKQRSKRRKEERNREAEIKAMSNFVPLRPATEQWNSGRPMKRDSKRSKTS RFGKQRAGRDSDISLPVPGSIHSSFSSDSELGSYRVSALAALAPRPTLRYTPNARWTV PHLPTPHRQGSLRKKLLEQESIPEENPRSHRRIDSFADDLDARDLRELMELDNRRREF KKQKERQRMERRITRRAEKQQEDDIQARKAGTPPPQNLERGVLGRELVGLGIEPASAV VVDTKPEDPEPMDITDDEKDLESSTRAEEALHHTDTVTSEQPATMEEVVTKDTASVRP PSEEVGSVSPGNRFSDLLRSAKSRSRSTIRSDRDRMVSPPPETIDEEDVHVPRRPSYH SDSSKNGFASIKAFLRIGSKRQRREGPSSFANTSREEMQAAAAQSRAQAQAYALAKLE GDDSTNSSGANYLSRKPSTGAPKRTRSRFREDLPEMPLSPPASRVQSPEAEPPMPVLT EQKIREMEQLRSIGVRYDTPTSGHRSIETNRLTPSTMERVHVSPSPEAGMSMSLASID SEGSWLSGNVNSRRAAIRDSIRRANEREQNENNIDSPTNSTDEDLGIVEDDYMTRLTP RRSSNPDMMANHSGEGRPSSDEEEIVDDGEMKWGAVGSQPKVVHRWTMKSHEGLLEIE SEEEESPTSPVSPSQELANVQDARSVNLSRGHVRNFSAGSAKLLDITPRASVDAKGSS ERRGSHVLPAI FPSE_07202 MLSRFFVLFPMVLSMVAFILSMLCLFAGHKEGFMEDYSVARLNT SMIGHNVLDTDNSASTNDNKDDDGIFGAVKGKWNEVKDDIKGKINDVTGDIADELADT IGISEWYSIHVMATCDGQYKPNATSPGAGFNVTNCTNSAPEKRFNLTEMLDKQLSVGP FDLSLADINWPDDIQDSLDLLNTALFATFILYVIAVGLSGLAMLTSLAAFFLFSRRGV NAVNLVIAGLAALVLLISSILVTVAGKKGVNKLNDVGDDVGISASIGKKFLGLTWAAA ALMIAATIYWFVHLCLMRRERKREWKPRKGSY FPSE_07201 MPSINSLLTVAAVAGSASAAFQGFNYGSTFTDGSAKAQSDFENE FNTAAGLQGTDGAFTSARLYTMIQGGTPNQPISAIPAAIKTKTSLLFGLWASGNGFAN EIAALKNTVDQYCDQLDGLVAGISVGSEDLYRISPTGLKNDENPGADPAVLVDYIKQT RSAIKGTCLESVPIGHVDTWTAYANASNNAVIEACDWLGMDAYPYFEDTKNNPISEGA TLFKAAWNEVKAAANGKAIWVTETGWPVSGKTVGKGVPSLENARTFYEDVGCPMFGDI NVWWYTLQDSAPQTPNPSFGVIGSELTEKPLYDLSCSSASKKGTLVSRSDDQSSNVEH RFVSPSFAVNSPNGTIPVVPAASGTSTTLMATPSSSSSTGGGAGSATTPVPGSGAQQL NSMGAAAVAVILAAALL FPSE_07200 MALTRKSVVSTAVCLALLVGLAYAGHGHDHHHAEAVAGVNTDNM SLEELDTQLQTCPIVQQLNSVKHAHHAAAPSSLTARAFAVLFPSTPAVNALLATLYIS GPPNFLLALCPTNIDPASLSVMVAFAVGGLLGDTLFHLLPEIFVGEDHDAAVKFVLVE PNRNLILGLGILVGFMTFVAMDKGLRIATGGAGHDHSHGHGDAHSHSHSEDKAISSGV DGTDGAVKSRKKANEDKGAVVTNAVDKPEKEINPSVKLGGYLNLIADFTHNITDGLAM SASFYASPTIGATTTVAVFFHEIPHEVGDFALLIQSGFSKKAAMGSQFITAIGALIGT LIGIAIQEFGSPDSDVPMGRHDGIWGTSLTWGDMLLPFTAGTFLYVGTVAVIPELLET GPNKAKEFKNMVVQFSAVAVGAGIMLYISWHD FPSE_07199 MSTPASDNGPRSNRPRPDPVKTGIDIKLDQHWTSKSYTSGSKIT GSVIINSQRDVAYDGFDIFFIGTGYTRVDFLSQYSSANYASRPFMKLRMPLNPEDFPR NQVFEAGHTYTIPFHFVVPHQLTLSACNHTVEHEGIRDHHLQLPPTMGFWERNDQSPD MSHIEYGIRAVATKTSAEEGRSAPLDAFHMIKVLPAVPEDAPLEIGSDDERYCLSKTK PIRKNLFTAKQGELTTTASQPSAIMLSGNFLNASSTNLRVNFEFNSTSKDVTPPKVNS VSAKLHSTTFFSSTPMNHLPNLGARIKHGSTPSLTYSTTTPVPMRPDTKLAWENEAPA SRRDSGYDSAFWNEGESSESDDAQRTKKASKYNVRRYATLDVPFNLPTSGNKLFLPTF YSCIAARAYIIHLTLSVGPMNTNINLSIPVQVAVENTYDQADDEELPSFDAAIAEAEQ GEIDLHLQPRLMQIPSDHFMGNSILPGYDDVRMRPVAVA FPSE_07198 MEAQEFREAAKAAIDEITDYHENVADHRVVSNVKPGYLRPLLPS APPKDPEPWSAIHHDIQSKIFPGITHWSSPRFMAFFPCSTSYPSALAEMYSNAFNGAH FNWICSPAVTELETIVMDWLAQALGLPECYLSGGSTHGGGVIHGSASEAILTNMCAAR EKYLAAVTAHLPDDSEEKEDALWNHRSKLVALGSSGTHSSTKKAAQVLGIRFATVPVT EADGFALKGKALAATIENLRSRGLEPFFLTATLGTTDVCAVDDFEGIVQALMPTFDTP QEIWVHVDAAYAGSALVLEENHHLPKAFSSFHSFSFNPHKWLLTTFDCSAVWVRRRAW LIQALSIKPPYLRNQFSDDELVTDYRDWQIPLGRRFRSLKLWFVMRSFGISGLQKHIR HGVELGDSLETKLASRRDIFTIFTPARFGLITVRVNGADEREMNDRTEAVYEAINAAG EFYLTATVVNDKFAIRVCTGVTKVEEEHVQRMFDILVQTAEAEIAKKA FPSE_07197 MGRRDTYSEPPRGHRQSRRQHPEARREHKQFQKERGFDWTPGLV LALIGAVTFFSKDFDRYKHKHEWRDEDNQDNKSRDDGRGRRRYRSSSRRPDYYEDDDD YYYDRRDRGYSR FPSE_07196 MASDPSNAAQMAGDVAETVAHALSFSSSPPSAMSTMPAVIVHEP YTWFGLFGWLILSILHITSTILYWVIRIATINVPSFLFHLFSTSWTVTMNATTLMFIM AAVVSAVSWVVRYRILNMYSRLPPEPQRKEPEIDLFPDTHEGGSKSGLANYLDEFLSA IKIFGYLERPVFHELTRSMQTRKLIAGETINLEEEKGFCIVVDGLVEIFVKSNRSPRA AAASRGHLDLDSSDEDDDAPGQQTYQLLTEVRNGAPMSSLFSIMSLFTEDVRLQPNEE NEDPSLHPAKSSGLRHPTFEKPNLSMPGTPHNHHEFEADEKEPEGLGVSGIETEHTAI PPISLDGSTPPPRPTRPPMPSRATSGSAHPDIIARATVDTTIAIIPASAFRRLIKIYP KATAHIVHVILSRFQRVTLAQAFNYLGLTSEVLQTEKSMIKYTMCQLPNILRGDALER LKEKFKRERERSEEEDDTKGIALHNSASTHRRRSSTGLRKEAMLQSMTKLRGTSVVTS TIAPPRERASPHTPSPGDLLSNTQLAGRGSSRVSPADQRAVSGASMRRQGANPKDDRF FNDPFDSRRLGRMTLDPRETVDEDNLFRASILECMFKSLGLDTNGSVSREPESMEGSP KLVSVDHRRSRFGNQSNAFGFMPPYDGSVDGDTESVTSNGTALHAPVSAHNLANDMKD EVEIVFFPKGSVLVEQGERNPGLYYVVDGFLDIGTSSEGDAHNILKSSGFGKSQQDPS SPDPSDMGSFPTLAKTEAARDQAEVETQQSTSKKPRRSIALVKPGGLAGYIGSVSSYR SFIDVVAKTDVYVGFLPRASLERIVDRYPIVLLTMAKRLTNILPRLILHIDFALEWVQ VNAGQVLFHEADESEAIYIVLNGRLRMVQERKGGSGGVTALAEYGQGDSVGELEVLTE TVRPGTLHAIRDTELVKFPRTLFNSLAQEHPNITIKISKIIASRMRAIVDDTSKFSSK DASTSPKTLMRKSNMNLRTVAILPVTAGVPVIEFGNRLMNALAQVGPANGATSLNQAA ILNHLGKHAFNKMGKLRLSQYLADLEEKYGLVVYVADTNVNSPWTQTCITQADSILLV GLAEGSPAIGEYERFMLGMKSTARKVLVLLHAERYSRPGLTRAWLQNRMWINGGHCHI QMAFRTNQIPVHAPKKLGQALKERVQVLQAEIQKYTSRKVHHTPYYSPDSPFKGDFHR LARRLCGKSIGLVLGGGGARGITQIGIIRAMEEAGIPIDLVGGTSIGAFVGALYARHA DVVPMFGFAKKFAGRMASLWRFALDLTYPSASYTTGHEFNRGIFKALGDTQMEDFWLE YYCNTTNISKSRAEFHTSGYAWRYIRASMSLAGLLPPLCDEGSMLLDGGYIDNLTVSH MKGLGVDIIFAIDVGALDDDTPQTYGDSLSGAWAFVNRWNPFSSHPNPPTLAEIQGRL AYVSSVDALERAKTMAGCIYMRPPIDDYGTLDFHKFDELYQLGYKYGQEFFNKMKEQG VLPLVEETEAKKALRRTMAPRRASI FPSE_07195 MPFAQLVLGSPGCGKSTYCDGMHQFLGAIGRACSVVNLDPANDH TNYPAALDIRSLIKLEEIMKDDKLGPNGGILYALEELEHNFEWLEEGLKEFSEDYILF DCPGQVELYTHHNSLRNIFYKLQKIGFRLVSVHLSDSFCLTQPSLYVSNVLLSLRAMI QMDMPHINILSKIDKVADYDELPFNLDYYTDVDDLTYLTPHLETESPALRSEKFGKLN EAIANLIESYGLVRYEVLAVENKKSMMHILRVIDRAGGYVFGSAEGANDTVWSVAMRN ESSMLGVQDIQERWIDQKVEYDQMEREAEEEQARIQEEQAMEMEQSQPPPAPTGGMDP DFGDMTVPKDSGIKVVRK FPSE_07194 MVRIKERYLLVNIVYPPDPATAAKSNLPDSVLHHQPTIEKLSPG ALIKGIRAEVASLYGDYGSGALMNCSVKYLSLATSTFILKCSRAHYQMLWSTLTFMDH VPVKDGRSCIFRVVRVSGTIRKAEDEAIRQAKRLILAAQEETDPKSSFSSLRNQDEMV LDINDASSDEEMDDADG FPSE_07193 MASGPLKAALLIVSTTAAKDASADASGPILTQVLKDEGEGKWEV HDTKIVTDDVLAIQRQITAWTDGSDSINLVVTTGGTGFATGDSTPEAVTPLLHKQAPG LVHGMLAASLTVTPFAMMSRPAAGVRNSTIIVTLPGSPKGAKENLQAIIKTLPHACIQ AAGGNSRAIHSGGVKKLEAEAGISSKNEQPPASGHGCGHHHHSHNHGSGHGHSHGNLV RHTKPGTTLSNDPALGPSRRYRESPYPMLLVEEALALIEQHTPGPEVVTASVDQSIVN SVLAEGVEAKENVPAFRASIVDGYAVVAPKDGQLKGVFPVTAISHAAPGEIGALKEGE LARITTGAPLPPGATTVVMVEDTVLKTMSDDGKEEKEVEIQVEGVKEGENIREVGSDV KEGTVILNKGETISGVGGEIGLLASVGVSEAKIYRRPVVAILSTGDEIVEYNRPGDLK LGEVRDTNRITLMSAAREWGYEVVDLGIASDKPGTLEETLRDGLRRADLLITTGGVSM GELDLLKPTIERSLGGTIHFGRVAMKPGKPTTFATVPVKDNSGQRVTKAIFSLPGNPA SALVTFHLFVLPSLHKLSGASTVGLPRVPAVISHDFPLDPRPEYHRAVVTVGKDGLLS ATSTGGQRSSKVGSMRSANALVILPSGKGKLEKGSKVEVLLLNAVQAA FPSE_07192 MSLYYGVGGIGSFLTIVGGYMLFTGSGESFDVGAFLEAVSPYTW ATLGIAFCIGLSVVGAAWGIFITGSSILGGGVKAPRIRTKNLISIIFCEVVAIYGVIM AIVFSQKVENVSGADLYAAESYYTGYALFWSGITVGMCNLICGVAVGINGSGAALADA ADPSLFVKILVIEIFSSVLGLFGLIIGLLVSSKAPAFGEKK FPSE_07191 MATGAQSFYELYRRSSIGLALTDTLDDLISEERINPQLAMKILG NFDQAITEALQKNVKARLQFKGSLDTYRFCDEVWTFLIKNVTFKMDSGSQSITANKVK IVSCNAKKPGEGQ FPSE_07190 MVRKRQTAATAAASEPGTSPPSSLKSESASTMPMPSNWSLRSQW MFFAVASGACAAFNGVFAKLTTTQLTTNLSNGIASLIGLSSHKDIVEYLVRGSFFVLN LTFNGVMWTLFTKALARGTSTTQVSILNTSTNFMVTAVLGALIFSEVLPPLWWAGAAL LVAGNVIVGRKDETKDAGAAAVAERPSYVPIPTEDEEEQRRDEDEDEDIIDLGRVSDE RTR FPSE_07189 MNVRQSSFSLAPPGPERRPSVVSRLSSVFSNADREAGTATSTGA GLIEEEIAEIKRYEDFTTIDWVQDAAREQAKRKVRRKQAAGLYDKGQPGWRYQLWKSY DAAQAWIVVTIIGVAIGLNAALLNIITEWLSDVKMGYCETGFYLNENFCCWGEGNGCD QWHRWTGFEPLNYFVYLVFATIFACVAGTLVKSFAPYAAGSGISEIKCIIAGFVMKGF LGWWTLIIKSICLPLAIASGLSVGKEGPSVHYAVCTGNVISRLFDKYKRNASKTREFL SASAAAGVAVAFGSPIGGVLFSLEEMSNQFPLKTLWRSYFCALVATAVLAAMNPFRTG QLVMFQVEYKNDWHFFELLFYVLIGIFGGLYGAFVIKWNLRVQSFRKRYLKDYAVLEA TLLAAGTAIIAYPNAFLRIDMTESMEMLFSECGHGESYHGLCEPGKRWWNITSLFLAT FLRLFLVILSYGCKVPAGIFVPSMAVGASFGRMVGIIVQAIHEANPTSVFFSACKPDE PCITPGTYALLGAAAALSGIMHITISVVVIMFELTGVLNYILPTMIVVGVTKAVSELF GKGGIADRMIWFSGMPFLDSKEEHNFGVPVSAVMRTSVVSMPVHGLTLGEVQRLLADD RYQGFPVVEDKHTKVLIGYIGSIELRYAIDKMSRTSPLSETSKCTFAPSSSNLSTTSL HGDSSHSSTLDFSRYVDSTPVTAHPRLPLETVMELFQKIGPRVILIEYHGKLTGLVTV KDCLRYQFKVEAAENPKDNHRMEEGQEQLWNLLLRAGNWFSCRVSRYSGGRIRLGSTS GDERPLARGQILDGDEEVLDEGVELESRR FPSE_07188 MPYNTTAIPPRKEPTGQNQLPLSRVKKIIAQDPEIALCSNNAAF VITLAAEMFVQHLAEESHKQAKLDRKPRRNIQYKDVASAVAHHDNLEFLEDTVPKTVP YKHIKAAAKATQARLQGDNTNNQTINFPVANGAKKPHVNGSSMGASAHLNDDQNNLNS QMELEVRQASGVNRDGDVTMSG FPSE_07187 MRLTADLIRDSLSYLNPLKERELDLRGHRIPAIENLGVAGPHDA IDFTDNDIQVLGNFPLSPRITTLLLARNRVSSIQPSLAKAIPNLANLVLSSNNLVELA DLDALASFPRLTHLVLSDNPVSKKENYRYWVLWKCPSVRFLDFVKVKESEREQARELF GTEEEPTALAAKIQGIKTTNFSTSADGSEAPSKLSRIKLTDAEKKRLQERIKKATSLQ EIIALEKELNEGRLPSGIHGDAMEE FPSE_07186 MGQGFSLATPSVGSAGIDISELSDVQYEKSIGNARFMKTIRGRH ENGLVLVKLLVKPYPEVKLEKYKKQIIEQHRAIADVPNALGFQRIIETESNGYLVRQF LYSSLYDRLSTRPFLEDIEKKWLAFQLLCALRDCHARDVYHGDIKAQNVLVTSWNWLY LSDFSSAYKPVMLPDDNPADFSYFFDTSGRRTCYIAPERFYASGEAPPQKAKMTWAMD IFSAGCVIAQMFLESEMFSLAQLYKYRRGEYDPVITHLSVIADKDIADMIAHMIQLDP EKRYSAEQYLDFWKGKVFPHYFYNFLHQYMGLITDPSSGNNPMSGASKNLGEADERID RVFYDFDKISYFLGYQLEKRTSQEFKPSSRLSLSHFPVRLNIPNHNHTVSAALEPPED DGTLIFLTLIVSQLRNTARASSRIRACDVLLAFSERLTDEAKLDRVLPYLMTLLVPYE TDLVLIAAIRTITQLLELVKMVTPINSHVLVEYVLPRMEIALSSRVRTPSPLVRAAYA SCLGSLATTAQRFLNMASSLRPDGSIPISDPEVEPGADAKANFESVFDNAGRQLFEVL ESHTKQLVEDSDNSVRRAFLASVPELCYFFDDHSNDVLLTHLNTYLNDRDWTLKCAFF DTIVGIAIFVGSTSLEEFMLPLMIQALADTEENVVQAALHSLAQLARLGLLSKPKIWE LVDLISRFTMHPNLWIRESAAEFLSESARFLEPADIRCNLMPLVKPFLKIEVLSDFQE LTILDALKRPLTRNVFDQAITWALKTERGVYWKPLLFGYKGSASSRSSRELAQGSMGR VARNDEDEQWLAKLRNLGLKHEDELKLDALREFIWRLSKMKARDASTADGSTSNGVVS LKTLGVNPQTVFFNDAPLRDPKAVVDLERPEEPYTIADALLDASMTIDDSTGGKRKAN TNRRVQSVGPRSSGRFLSPTTAARTHSRTASASHAGYTGDDGSTQESSSSRSAIRHQA SALSLLDRKDKNKSIAQTGTTDTNAFGEVEGPFAQASAEQLTSPDGKEGDTVRPRVSK HSYEGNDPNIQRMLDNMYVDNFPRDVIEFGPIVTPIKKSKASRVSIQPREEPWKPEGQ LVATFAEHKSAVNRVLPSPDHVFFITGGDDGTVRVWDTARLERNITHRSRQVHKHGDN TQVVALCFVENSHCFVSCASDGSVHVVKVDTASASGVVRYGKLRVLREYQLPDGEFAV WCEHFRQESNSVLIVATNRSRILGLDLRTMDLLYTLENPVHHGTPTCFCVDRKRNWLC VGTSHGVVDLWDLRFKMRLKGWGLPGKGSIYRICIHPTKGRGKWVCISGGTGQGEVTV WDLEKTVCREIYRAGGSKDGLKGYEAWDVDEDKREGMLGRYATDIEPSETANADRGVR AMVVGTATVDDSRDVRHAFIVTGGSDKRLRFWDLSRMESSFIYSGLTPDQAKPTYTTS HPTTALALNMERFPRQAPTAPNAGSGSKAKSASARPPRSTVISLQQQQLLQSHMDSIL DVALLEFPYTMSVSVDRMGVVFVFQ FPSE_07185 MAMDALKNIVNNVPDWLQRLDDLSGQIDRRQAELAAVAAAEGKS PKAKSLRNKGSTESLKPKDDPPVVHADASADEDLPQDATAQTPTKTSDPPKVHTPSPA SIRQQQEIIKTAQARARAQVRKKPKSPSMMSNEDTPAAYRTRSMIIVYYDSYVQGFFD ELVRFVSSSRNLMRKAKMAARVAQIKKLAEQDVSEDGSNDDALPSLRYMSSRRFGPMS IARPGAGDQPPDAYDNLDKGLEFVQSLCEHGAHQFLRDGDCNDEISKVQKRLAEVLEM AKTEMERVQREEPELAKETGEMGKIRTRRPISMRREMSAGLKEGSPTPTKEENKLESA KLEAADPTPAPDPMAPMAVDPNIMEADEGIDVEMEIPKLQYRSTRAMRSRGP FPSE_07184 MKRLGCSAGRISSRRQARGVHQTSQNNIGIKKNQAEAGELPVGY SSLTLWMIDRVQPTLDLNASANVPFAASWPGSSLVRDSIGLEYCQDIDKLTSIVKSYG AATFIVVAYAYCQKQSRLS FPSE_07183 MSWKASDTFKLKPGRFRNGNRSKPSPPNMPYDFENTYGARDATI PPA FPSE_07182 MSASQPTSAAPWRTAFLSHIKKMDSPTFVLSTLHHHDSSSVTPR SRTVVYRGTWAEIPVNPKNQAPLNPPLYESDLLTITTDARMEKVPELSPDGKNVPQTG GGGPVEAVFWVTETQTQWRMRGHAYLLGQDIDDPSASHVREEIEKHMRKKSDDNSSPW NWGKEITAHFGNLSPGMRGSFRNPPPGTKRDEKPDAGLGLGQKVEDLDDEIARKNFRV AVIIPDEVDQVDLSDPADGKRWNYKLTNGSWEKTELWP FPSE_07181 MDLSQRALPRHTHHQPPPPLANPSPSHLPAQHSNYSPGTVASHP TLPLPGTHPPPSALTPASASTSPASVSHRLPSASASNTSRHPAGDYHPDAEDHDASVT PVDGEEPPKKKQKRNKPTLSCHECVERKTKCDRGRPHCLACIKRQTECRYAHVANLLE ETTRSAANGRRMTKPPKKKSGSSGKSPIPNIADRGMSNDPRATSRGAVALSIGLLSNV PYSLPSASNIFGIGSEHPFANYWTCEGGLSEVISVLPDKIQADILLSRYFECVDPVYP MIHRQTFYADYEHFWQMSQQDKSDTDPSFIALIFVMLALGTQFVTSTTSSQERKQTAE FYASASNQALRIASYLSSASLRSIQAMVLIVYFLINDNHASDGWAFAGILIRQAYAMG LHRDPNIVTPHATLFEKQQRRKVWQAVLLQDTFLTVLLSLPPSATHTDVSVEDLLDDG SSIANSDPTDTAYIRGSWTLANLVQETICSPRSLDLPICSTARHKSKLIADFRAVYRS FPDVFRSWDPDSITALAKTNKRVVRQTLFLTSNYFHNLMLVHASESPDVPVNVRGTLE AAHDAITAFFLLFTLLELEARVWWVFNHRAFLEALCIGNVLKEATREPGGADMMARDP LLVRAKSDITRMIQIMQIMGKDSEVARTRVQVLSDFLT FPSE_07180 MRGLTQAAAAVLTPALVLAHGPHHPPPPPPPPPHMGFLPSALDV TPSAFVTLNVPWSDTEAYPLTLRFDVEESEEVCGPASIKLNGEPLNQDAKGHGVGSFL VNNETTISAEWAFECIGPKEFPFGQSMRFNVKALDDMAVNDESSFWMTFKQTAPIRIS DVGNAAYVWSLSVPFSKENNTSTGDKPTADHPPPIWESTHRDFQDPEEELQVELMELE ALHKQILQLEQLVEEREASVAKKLGKKYPPPPPSTYKKIKDCDGVQCVLHTVKDGVRH TAHRFYDHVFGHPPPPPHHGPPHGPHHGNGTHPGPHPPHHGPPHHGPPPPPPPHHGHP FPFPGGHPPPPHCPPCACDPHHGNPPPPPHHHEDGPHEPHHGGPHHDKPKHGHGPEGF RAEGHEGPPPPPPPHHPIIVILGVLAIALALFSGIAIAYIHRRIARLSPESRRAIRRA FRQSPEERRKGSALKAAYRAFVSRCVENDEDEKEAMLHGERRRRSSSASSVTMEEEIA SFREAANMVDGIVAAEEGHSAHARSYSYISGSSVSSRPTHHSAPAAYRNFPDDESLPA YDDDERDSSVVSDGCRYTPGSSDYTPSATGSNASDVLGDTKN FPSE_07179 MCQYTHNYDEQPVPVVDVGDESPFPWHLPICDAHCHPTDTMDSV QDIPSMRAATLTIMATRAQDQDLVAEVANKHSNDNPKLLFKGNSNQSNAWVIPSFGWH PWFSHLLYDDSADAPTYRPTSGSDTDNAAKQAHYNAVLQPEPSSDFVASLPTPIAVST FLKETESRLSANPHALVGEIGVDKAFRLPEPWNPSEQAERDSTLTPGGREGRHLSPHR VRIEHQRAILAAQLRLAAKTRRAVSVHGVQAHGVLHDTLADTWKGHEREVITRRKRRL VAKGAEDFSDEEDDDGSEKPYPPRICLHSFSASVEVLKQYLNPTIPARIFASLSVAVN LSTDASCTKTDEVLRALPDDSVLIESDLHIAGEHMEKALEDMYRHVCEVKGWELEEGV KKIAKNYEEFIFGRRE FPSE_07178 MALFNPVYAFVVPFLFVVTVPLAVFAGITTTIAFSVLILRVLAV YLDVALSLVPHYLGGRKIRPYRPNNHYIRQPHLETPGPSALYTNPAASSAGSTAFESS LRRRHLRRSSSALSTAGTTTPISEKAFGLLPSIGPERDFEGIGGWRLSGDDETWTTIN SRLELPDKQHHGRNHHRSPSGPTTPGEGGYLMMKGRNRSPPTMRTTASPNSARTRTPS GPRIAFTNKSFIDSYFPSLKTSPPKGLKKLPSQGVVDA FPSE_07177 MLLSEEPATLIHHTIENFNIAPDKTAVARVTESLATLQQARDLR VREAESSLKKLSRQLATHTSRHDDLVTSHSSVDHASNIARLDTLKFRTAKAAADAETD AERLALTAADLKARLRELELQGVEGDTAANARRRDPVDDEVLLRLKVYRSLGIDIERD ERDGEWSKAVIRNDRKGDVHVVNMDKKFSRFFYANYFWQTL FPSE_07176 MVTELPYALDAETPLNPSELNVLKSQYDREGEMVGVQTKFNYAW GLVKSNQRNDQQLGVRLLSDIFRVSPERRRECLYYLALGNYKLGNYGEARRYNDLLLD KEPANLQASNLRSLIDDKVAREGLMGVAILSGVGVAAGVVGAFLLRNARKR FPSE_07175 MRTIPASEEFEYGYLDNDNNDCKNHRFTITTAFVLDHLQFVGTV LAPFWPPPHSSLRTSTHPALNSNMSTDLGKHAMARTRSVNLGTSLLFLNPSPIAEPTP RTSRDSRRSTSLPLNHSPAIQEDQGLLRRVSTQHSTVRRHRSMLDVDGHDRLTLHAIL PMSFDEQLIDSQCDDGAADSGGQASETSDNGQQPPRSNRRFTSSEYSWTSPGSESPAL APSASPTNVPSQPPPAADNRNIVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGE SGLGKSTLVNTLFNTSLYPPKERKGPSLDIIPKTVTIQSISADIEEAGVRLRLTVVDT PGFGDFVNNDESWRPIVDNIEQRFDSYLDAENKVNRMNIVDNRIHACVFFIQPTGHSL KPLDIEVMRRLHTKVNLIPVIAKADTLTDEEIAAFKSRILADIKHHGIQIFEGPRYEL DDEETIAENNEIMSKVPFAVVGANNEITSADGRKIRGRAYPWGIIEVDNEEHCDFVKL RQMLIRTHMEELKEHTNNQLYENYRTDKLLAMGVSQDPSVFKEVNPAVKQEEERALHE QKLAKMEAEMKMVFQQKVAEKESKLKQSEEELYARHKEMKEQLDRQRLELEDKKQRVE SGRPLEKEGKRKGFSLR FPSE_07174 MASRISTGQLCRSISQASSHRLALPQIAAQRRYLATTVHPVTQD ATGSKGPTAMVFLNMGGPSTTDEVGSFLSRLFADGDLIPLGPLQNYLGPLISKRRTPK IIKQYSAIGGGSPIRKWSEYQNAEMCKILDKISPETAPHKPYVAFRYADPLTEEMYEQ LLKDGFGNGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRHRLEGKTNKESGDGTITWS VIDRWPNHSGLVEAFAQNIEAKLKEYPEERRKDVVLLFSAHSLPMSVVNRGDPYPAEV AATVYAVMQRLGFSNPYRLCWQSQVGPSAWLGPQTSDSVEHYVAKGQKDLVLIPIAFT SDHIETLYELDQEVIADSGSPETVKRVESLNGSPVFIEALADIAKKHLAENKACSKQM GLRCPGCKSEKCAESKRFFASQQAGLA FPSE_07173 MADKQDWRREDEDEGEQEVDENSYKAQKDAILLAIDVSKSMLEP PPPSDSKKADRDSPVQAALKCAYHLMEQRIISNPKDMMGILLFGTEKSKFQDSGDGRG GLGYPHCYLFTDLDVPAAEDVKALKALVEEGEDEDEVLTPSDEPVSMSNVLFCANQIF TTKAANFGSRRLFIVTDNANPHASDRQAKSAAAVRAKDLYDLGIMIDLFPITRGDNTF DVHKFYDDIIYRDPVGEANMSEVRTSKSGDGLTLLSSLISNVNSKQTAKRALFSNLPF EIAPGLRISVKGYNIVHRQVPARTCYIWLDGEKAQIASGETTRIAEDNAKVIEKGDIK KAYKFGGEYVYFTPDEQKSLKDFGSPIIRIIGFKPRSSLPVWASTKKSTFIFPSEEDY IGSSRVFTALWQKLLKDDKMGIAWCITRANAQPMLAAIIPSRERSDDDSGTPYLPAGL WIYPLPFQDDLRNINPPSEVLRTSDELTTQMRTIVQQLQLPKAMYNPSKYPNPALQWH YRILQALALEEEVPEKADDATEPKYKAISKRAGGYLEDWSECLAQEAGKVANSKATKR ETDDEDAERPAKKSRGSSEKASGSSFSMEQLKAAINGGGINKMTVVQLKDLLATKGMS TAGRKMELIERVEQWVEENSGNSQGILPGAGVRAQSPTSLVGWPSHPTSSIKSVPFSS SASAQLFARPQTPDTGVECRNRQNLPSPAYTDSSPQKEPWADDYISRLLRRVRHHPNR GQCANTSPVMADFLASLGFGGAQTTAVSNNSQCDSVEQLHNQLRGVLDAKVTPTRAEH VAITTELRATVRFQLTASELENGNHENPNNLDPALGGAQSTGVNGDQDAGQPSRVVFA NDTIMNQPQDDPALQRSVAKHIISTISSADGSIWTVRQVSRGAQGWTFTYLCKDSFQH WSRQTAKNPLKTIVGEFSQREPDPTLHTRPAFDCRGSIVIAFNRSSRSITIKYDHTPL HKTVAELAAHFKPPPRQLGPGAQKLQEQQQKAKEKTPKKPRGEKKDKKERKKRDSVKA QDENGNPRKRKKKTNGASQPEVTDGSMIPLDYPGAPAVDGQTDPSYMNGGQAPDNTGH QGFNDYPQGLMGDASGATNGTGSQQAGGQPGSVTFPVNVSAAEAARRREAATTMLSNA GVDPTTLSPEQFGIFSNQAPELQRESLNMLVKYGAERLRIVHPSNREGSAQANASTAQ SSQSSTGPRTTKELVPQSGAHDSPNTDSEAQAASQTADDTSSPKRKARKMGKSRTACF PCKAQKTKCPRERPTCTECSNNGTACEYAPQKPRNRGKKTKKSEEIVIDDDEEEEQQE DAEDAEDAEAEGDEEEDDDDEQAASQDYSHPQMNIGNMVTNTDTTTQDSHATQNNYYQ YTSSLAVPQANPYPNNPQPLTTAASQRNNYSHALPEVTQPVHHVPPPIPAPAGTIAPS ETRRWTAFGSSGLNGGSDSGKARRGLPSEPPRPTAQSPSTTNVQPSSWGQSHNTTMPA VPATTMATVSPQMSYDSRSSQRSRQKSQSLSNDTAQQAAAMTSTAMQQAQTQAQAHAQ AQARQSPVAAAAMMAQARKSPYQQVAVPRTTSRTSQRNQSRTPVTDQARGYQPPPPVD MSQQQSARPGGHYDTSSHMPSGSGYNDYGRYGGTNTAANHQAAMPAASATPSTMGTSY QSKPATANQWSGSSSRNDRSYGSNSSYQTPNIYMQTPATKAVSASGQNFKMRPGTQQQ QQQPQHQQQPQHAHGSSNSYSQQQQANYPAYSGSTHQNQQGQTSNQQQQQQPPSWYFQ NSHNPSMHPGGQSSGYNYESWSGV FPSE_07172 MSDIIRDAPLGQLIRFVTRNRYFQYPEEKPDFKLPDAWDTVINN PNVIIDESPANNNNALLTGTALASSASSTVAATEDPKIKSETDKETEDVERADSVPVR LHRSRSPQETQAYTIDRLEADEEHDVEKVKSIPVVPKRTKDGHILVDWYYSDDNENPH NWTNNRRLGVALIICLYTFVVYTSSAIYTSSTEGVMRAFGVSQLKATLGLSLYVLGYG TGPLIFSPLSEIPRIGRNPVYIVTMFLFVIISIPTALVKNYPGLMVLRFLQGFFGSPC LASGGASLGDIYSFMALPYAMMAWVAAAYCGPALGPLLSGFAVPAKGWRWSLYESIWA SAPIFILMFLLLPETSGANILLRRAERLRKLTGNERFMSQSEIDQRHMKVSAIALDAL IKPMEITIKDPAVLFVQIYTAIIYGIYYSFFEVFPRVYPVYYNMNLGEIGLVFLCVLV SCMIGVGVYLSYLYFYMDPRIAKRGWPIQESRLVPALPASIGPTIGLFLFAWTARASI HWIVPTIGITIYGATVFVVMQCIFVYIPLSYPMYAASLFAANDFFRSALACGSVLFAQ PLFDNLGVDKGTSLLGGLSVIGIIGIWLLYFYGAKLRSLSKFAVSDHVE FPSE_07171 MPCLQLAQNGLRRCLWTASHKCVLPRAFNASIQGQAPNQGLQST GLTQRRIFGTSNAEDSIPEDSAQALSSFHEKFEERRGYEEVKREKEPQPQTDLKANKN TAPKSPNPALDQMLPDLGHVSTQVLVDALMRLRASPSSEYAPFVKNKFQRTIHIVKYL LKYRQYPLNPLIYESMMSAMAYPDGSSQGVRRLLEDMREQSVPLTAEMCYLALEALTV HPEHLLRQKVVQLLDNYWFELTHSAKQNIALAMLREGQHELAMDKLDELLDGPNQVGL WIYDIFILELGRVGFEDEMLRLLKKRKHARGTDAPFRNIQLMALDMFSQVFHYVGTLY LWDEVVKTSIHNPSNGVLENILATAAKHGDTDLASQVLAKLSSRGKLSQHHHDAVIEG YANAEDIADAFSALNVLQKSGWLEDQGTTRPLYRALLKNRDLIDTAASTIETMQKEGL VPLDAVMVTVEAMAKTRTSEAAMPLFRNANLLSGRSPRFSNIGHLVKHSVKTETKYEL AKMCYVELSKTKIPTTSTTESDQAVAPSEPVSEKIVSENETNVEPAAPKTWLERENAM AALNVIIPVCAEVGDFELAFKLIGYAKAAVPQYIQLRDGGRRPDSTLWRSSEWVEPFI KLALDAEDSRVWDIIDELDQGTDAPALMIHKELQRRRIKKRAGQRGSW FPSE_07170 MVRSHIDLSTELKRYLLPLGLLNAAQGHPMLVELKNGETLNGHL VSCDTWMNLTLKEVVQTSPEGDRFVRLPEVYVKGNNIKYLRVPDEIIDQVSESQKGQQ GGFRGGRGGGSRGDHSGRGGDRGRGRGGRGRGRGRGQ FPSE_07169 MRPEVEQELAHTLLVELLAYQFASPVRWIETQDVFLGERTAERV VEIGPADTLGVMAKRTLKSKYEAYDAAKSVQRNILCYNKDAKEIYYDVDPVEEEPEPA AASSSEASASAPAASAAAPAAAAAPAPSSGPVAQVADEPVQAVDIVRALIAQKLKKPL LEVPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGASMQATFDGNL GKQSLSLIARLISSKMPGGFNITAARKYLESRWGLGPGRQDGALLLALTMEPPARLGS EGDAKGFFDSVANKYATNAGISLSTAAATGPAGGSSGGMMMDPAAIDALTKDQRALFK QQLELLARYLKIDLREGEKAHINSQKSEKVLQAQIDLWTAEHGDFYASGIEPVFTPLK ARTYDSSWNWARQDALSMYFDIIFGRLQAIDREIVSQCIRLMNRSNPKLLEFMQYHID NCPTERGETYKLAKELGQQLIENIQDVLEIAPVYKDVAVPTGPRTTVDARGNLNYEEV PRASCRKLEHYVQQMAEGGKISEYGNRTKVQSDLSRIYRLIKQQHKLSKTSQLEIKSL YGDVLRSLAMNESQILPKDNKGRKVLKNSQSKGKVETIPFLHLKKKGLHGWDYSKKLT GVYLNCLEDAAKSGVTFQNKHVLMTGAGAGSIGAEVLQGLVSGGAKVIVTTSRFSREV TEYYQAMYTRYGSRGSQIVVVPFNQGSKQDVEALVEYIYDTKEGLGWDLDFIIPFAAI PENGRQIDDIDSKSELAHRIMLTNLIRLLGCVKSQKTERGFETRPAQVVLPLSPNHGT FGSDGLYSESKLGLETLFNRWHSESWANYLTICGAVIGWTRGTGLMSGNNIVAEGVEA FGVRTFSQQEMAFNLLGLMSPTLVDLCQNEPVFADLNGGLQFIPNLNETMTKLRKDIM ETSEVRRAVAKESAIENTIVNGAASEVLYKKKTIDPRANIKFDFPKRPDWKTEVEPLN DSLKGMVDLEKVVVVTGFAEVGPWGNSRTRWEMEAYGEFSLEGCVEMAWIMGLIKNHN GPIKGKPYSGWVDSKTGEPVDDKDVKQKYEKFVLEHSGIRLIEPELFDGYDPNKKQLL HEVVIEEDLEPFESSKETAEEFKREHGDKVEVFEIPDSGEYIIRLRKGASLWIPKALR FDRLVAGQIPTGWDPKRYGVPDDIVSQVDPVTLFLLVSTAEALLSSGITDPYEFYKYV HVSEVGNCVGSGMGGAAALRDMHRTRFLDQPVQNDILQESFINTMAAWVNMLLMSSSG PIKTPVGACATAVESIDTGYETIMEGKARVCFVGGFDDLGEEGSYEFANMKATSNTVD EFAHGRTPKEMSRPTTTTRNGFMESQGCGIQIIMTAKLALEMGVPIHGIIALTTTASD KIGRSVPAPGQGVLTTARENPGKFPSPLLDINYRRRQIERRKKTIKQWQESELEYVHD EIDAMKSQGATFDEKEYAQDRFAHIEREAARQEKELLRSMGNNFWKSDPSIAPLRGAL ATWGLTVDDIGVASFHGTSTKANDKNESNVICQQLRHLGRKKGNAVLGIFQKYLTGHP KGAAGAWMMNGCLQVLDTGLVPGNRNADNVDPIMEQYDLIVYPSRSIQTDGVKAFSVT SFGFGQKGAQAIGIHPKYLFATLDEKTYNDYCAKVDSRQKKAYRYFHDGFINNKLFVA KNNSPYADDQLSKVLLNPDARVTEDKKSSELKYGADFMKQSEKVVSSTKAKETEQVME ALALKVANKNSQVGVDVEDISAVNIDNETFVERNFTANEISYCRQAPSPQSSFAGRWS AKEAVFKSLGVASQGAGAAMKDIEIVKGENGAPTVSLHGDAAAAAQKAGVKDITLSIS HSDSQAIAVAVANF FPSE_07168 MYGAGQGAQTGISTPRSSASLRPLTLSHGSLETSFLIPTNLHFH ASQLKDKFVATLPEATDELAQDDEPSSVPDLVARYLDLIAHEVDEGEDDDAGSYEEVL KLVLNEFERNFLRGNEAHAVAANLPGIESKKLDFIRSYYTARSVCNRPIKPHASALFR AAEDGDAEIYTIFGGQGNIEEYFEELREIFKTYSSFVGDLITRSAELLQTLSKDPKAE KMFPKGLDIMNWLHHEDSTPDIDYLISAPVSFPLIGLVQLAHYEVTCKVLGVHPGMLR ERITGSTGHSQGIVMAAATAAADSWDSWQDISSSVLTMLFWIGTRSQQAFPTTSMTPT MLRESSEHGEGSPTPMLSIRDLPQAEVQKHIDATNQYLPEDRHISISLINSPRNLVVT GPPTSLYGLNTQLRKVKAPVGLDQNRIPFTERKVRFANRFLPITAPFHSKYLSEATAM IDEDLKDINIDSSDLGIAVFDTNTGKDLREEVKGNIIPALVRLITRDPVNWEKATVFP DATHILDFGPGGVSGLGVLTSRNKEGTGVRVILAGTVDGGMNDLGYKAELFDRDEENA VKYAIDWVKEFGPKLVNNKSGRTYLDTKMSRLLGLPPVLVAGMTPCTVPWDFVAATMN AGYHIELAGGGYFVGPMMTDAITKIEKAIPAGRGISINLIYVNPRAMAWQIPLIQKLR SEGVPIEGLTIGAGVPSIEVAQEYIETLGLKHISFKPGSLDAIQSVINIAKANPHFPV MLQWTGGRGGGHHSFEDFHHPILQMYGRIRRQENIILVAGSGFGGADDTYPYITGDWS KKYGYPPMPFDGCLFGSRMMVAKEAHTSKAAKEAIVAAPGLEDSEWEQTYKGPAGGVL TVRSEMGEPIHKLATRGVRFWAEMDQKIFSLPKEKRVAELKKNKDYYIKKLNDDCQKV WFGRNKEGKAVDLDDMTYAEVLRRLVELLYVKHQSRWIDRSYIVLVGDYIHRLEERLT ATPGQASLLQSYSELSDPFEVIDRILAAYPDAETQIINAQDVQYFLIMCMRPTQKPVT FIPVFDDNFEFYFKKDSLWQSEDLDAVVDQDVGRTCILQGPAAAKFSTEIDQPIKSIL DDIHETHVKYLTRDQYNDNTASIPYIEYFGGKLVDPEIPLDVEGLTVSYDTYKNTYRL SSSAAATLPELDSWLSLLAGPNRNWRHALLMADVVAQGQKFQTNPIRRIFAPSRGLFV EISYPNDPSKTTIVVREQPRHNQYVDVIEVKLVGENKIQVNMIKETTALGKPAALPLE FTYHPEAGYSPIREVMEGRNDRIKEFYWKAWFGDEALDLDADVTAKFDGGKTTITGEA INDFVHAVGNTGEAFVDRPGKTVYAPMDFAIVIGWKAITKPIFPRTIDGDLLKLVHLS NQFRMIPGAEPLKKGDEVSTTAQINAVINQEAGKMVEVCGTLVRDGKPVMEVTSQFLY RGTYTDYENTFQRKVETPIQVHLATTRDVAILRSKDWFSVDELPQNIELLGQTLTFRL QSLVRYKNKTVFSSIETRGQVLLELPTKEIIQVGSVDYETGESHGNPVIDYLERNGQP IDQPINFENAIPLSGRSPLALRAPASNENYARVSGDYNPIHVSRVFSSYANLPGTITH GMYSSASVRSLVETWAAENNIGRVRSFHASLVGMVLPNDDLEVKLQHSGMVSGRKIIK VEVRNKETEDKVLEGEAEVEQPATAYVFTGQGSQEQGMGMELYASSPVAKEVWDRADK YLLDAYGFSITNIVKNNPKELTIHFGGPRGKAIRQNYIAMTFETVAADGSIKSEKIFK EIDETTTSYTYRSPTGLLSATQFTQPALTLMEKASFEDMKAKGLVPNNSTFAGHSLGE YSALAALAEVMPIESLVSVVFYRGLTMQVAVERDAAGRSNYSMCAVNPSRISKTFNEA ALQFVVDNIAEETGWLLEIVNYNIANQQYVCAGDLRALDTLAGVTNFIKIQKIDIEEV KDNIEEVKGHLREIIRGCAEKTLAKPTPLELERGFATIPLRGIDVPFHSTFLRSGVKP FRSFLLKKINKTSIDPSKLVGKYIPNVTAKPFALTKEYFEDVYKLTNSPKIGAVLANW DKYNQDEVAANGVEGSESSSGEYEGSGRAA FPSE_07167 MRLLSDQNTGTPRMANSKKKVFFGTFISSKDPKELNYHHDSIVC VDGEGKIIKVDNEGCDRGQLGLRLRELLGWELSEVDVHIAKPGEFFFPGFVDSHVHAS QYPNVGIFGKTTLLDWLEKYTFPLESSLKDLNKARRVYGSCVRRTLSHGTTTAAYYAT IDVAATNLLADLCLEIGQRALVGRVCMDNPDMCPSYYRDESVEEGLEKTRQTIQHVQK IDPGFKLVSPVLTPRFAPTCSSESMKGLAKIQKELDLPVQTHVSENEGEIELVAELFP ESESYTQLYDECGLLTSRTILAHAVHLTDEEAKLIAKRGSKISHCPCSNSSLTSGSAR VRWLWDQDITVGLGTDMSGGYSPSVLEAARQAALVSRHVAMGLQEEKERNKLTVEEVL YLATRGGAQVVGLEDRVGGFEEGMEWDAQLIRLGGVDMDGIAEEDGNVNIFGWETWEE KIAKWLFNGDDRNVKQVWVRGRMVHARK FPSE_07166 MATTTPSMGLTAKGVPKMPMWLTIVRGATIAMSLGALIAAAYNI SLISSWARYYGGSGPAGLIIFSAIFTWIILGCMLAAEFFAPQLYIRSIFFGTLVISSI LWLAAWTWAAAWTADFYHLYNSYYISRVSELDAWGGSMAAAVALGAVTWILTIVTTVF FVKACVADPTGSTFVQRPRNDPETAEPKPETTVTA FPSE_07165 MSTTNAPTKPARRRPGRPRKQQHPQASVTPPSAPDQAYPSTATQ LSDAPPQRTTEPLNSPYPQIYPNFPFSFYTDSSGSGDPFTDSNTGPGFDYTGDLENTN LDLTQGFPALGLSTALRSLSSALDTTPIDPQLSAVGTQFSTSTETAPSQPQANPTTDA ALSSTSQATTQEHRQPTIEEEVLALSAAIVGESSQSTTPAQSTAIPEGPSEQAPPATS KRAPKAPPKQPRKRKADQFDGQSTSGPATKKQATEAAKEKSNEAPKAQPKNPRKRKAD QVDGQSVTAPAAKKTATEKSKEASKEQSKETSEEQSQDTSKEQSKETSQETPKEQSQA DSSDNPEPQFRTSFEEDRSNRLSRWAHSFAETVAKNQFDALDYLEIFQPDTAAQQAAS QEAASQQPAEDQPAVDQPAGDNATGKKPARKKSAGKKSAENQSAENQPSGDQPAGEPA ANMQANEQPQGAPNPNEQPVDPAIKSPGHYAEKEARRCIKVGGINFQRAGLGLPHGLG ADENNRRVSFLEAELKLQKGRAPILPPSQLAQPDPKPFTPKAYQVPDNVTDPRVIEFF SQKNIDLCTKNKQVDLERNNQAAKGTRSRREEALEAHRELANNMAIELNWWRLKAIAN GADFREWDVVPPTVKKCMLNEMDERVKKLEEAAAKTAKKNKSAAHSARTKKNSRLSKE DEARKKQEVQEVIAAFAAKDWAAIKKMSDPEYKAKIPRAPRNTAASRRNRASVADEDT ETSTAANATEADTTENVAETANTGVATTMATDDMPPGTLPSDDMATGNMPSDNMPVDN MELGGTEFGSMDFDNPSYNMPTNMPEIPEMPSTDMTSDNTLGFGNVSSFNAGVAPAQF NATPDPMYGGVPVEHPTYINPQNNAFGNAHTQHQQSSDLPRGTNDYHELRRQASNVTM LDAQSDPFQSIDPNMGGTMNETSPYWETPNAPPDHGALPPNIDPGLLGPMGDESTLPP NNMSHNIHGPLGDPSLHLPNDIGQSSEGLTNSVPWYSEEDALVRAFLPSLSRNANQNK SATNDKETTDQVDTNANTSSKYPDPDEDFNFSRYINFSP FPSE_07164 MLSNPLQRFSPYQNAASSNISPDGNVQQGTMQNGGLDSLQNHQY PIQPLSQAVPLSNGHFERAAAQVKNRQHPYGIHPRSSSTSGPIRRRISRACDQCNQLR TKCDGQHPCAHCIEFGLGCEYIRERKKRGKASRKELAQQAAAQAAAGGTGQNMDDSLS ENGQTSSKGLDSSNMGLEQQSNERHPSTSSKSSRDPGEDVMRHTQGLEGLDHLGNISE QPHLGRSSLDGEHMENNGGLDLNGFNSMPHAYDSQSLDGPVLNGQSYAPNGRGNMPGY AEFPYTMQAQSPPNFNNNSAFRMGNSPLGYAMGKGTSPGWGISMTSPPGQYQTQAPPP NFNNSKLRYPVLEPIIPYLNNIIPIPLACDLVDLYFASSSSAQMHPMSPYVLGFVLRK RYFLDQTRPRPCQPALLASMLWVAAQTSDAPFLASTPSARAKTCQKLLELTVYLLRPL IHTAPSDAPSPVADGVALGGLGVAMPGSISMEATSGESGPFGAAGSLDDVITYIHLAV VVSASEYKGASMRWWTAAWGLARELKLGRELPPGPSPAAQEAMDTDGAEDAEGGISGN GYVGEEEREERRRIWWLLYIVDRHLALCYNRPLFLLDIECQGLLQPMDDAYWQSGEFL NNTNSTTDPNLLGTSPEGYRTDHPHPHGPQYECRGHSIFGYFLPLMTILGEIVDLHHA KNHPRFGTSFRQSHEWNAQTAEITRHLEIYEQSLQSFERKNLPRQVEDRADVANEGNG VPETNTPSVHSVHTNASNRLTESNIQTRIVIAYGTHVMHVLHILLSGKWDPINLLDDE DLWISSQGFITSTSHAVAAAEAINLILEFDPGLEFMPFFFGIYLLQGSFLLLLIADKL QSEASPSVAKACETIVRAHEACVVTLSTEYQRKFSKVMRSALAQVRGRVPEDLGEQQQ RRRELLAVYRWTKDGTGLAL FPSE_07163 MEEPRSETTAQTGPPTSTPSATRKKSRRGADSASQKRRCVSTAC IACRKRKSKCDGALPSCAACSSVYGTECLYDPNSDHRRKGVYREKVDSMKARNSTLQI LIEAILNAEEEDVSSIVQRIRTCNSLDAVAEDIINQQQHQQHQRQAPQLAAHSAAEDS DDYDQSNENYTTDQPVQGERDLARKMGELRLENGNVRFIGGTSHLIYLSDPTHEGDFE PSFEDYNTGEDPITSWTRVTQDSQLITHLINMYFNWHYPYFTTLSKKVFFRDFLKGKA GIGRGTAYCSSLLVNAMLALGCHFTSVAGAHSIPGDSRTKGDHFFAEAKRLIVENDEY EKPRLVTVQALALMSVREAGCAREAKGWVYSGMSFRMAEDIGLNLDVGQLDKERMSDY EIDARRITFWGCYLFDKCWSNYLGRLPQIPKSSFNVSKFDVFPDEDAETWSPYTDNGF DQSSKQPARTRAIALQMSKLSEISSDLLTFFYHPSNIGRSSGKAVELKKLSELHRRLE EWRKEIPKEFEPKDGQLPNVLLMHMFFHLQYIHLFRPFLKYSPTTSPLPPHVSPRRIC TANAGAISKLLRLYKKLWNLRQICNIAVYMVHSACTIHLLNLPEKTARRDITHGVKHL EEIAEDWLCARRTLSILSVLSRKWNCELPEDAAFVLHRSDEKYGTYSTSDVPSPHSQA GASPLSDGGLGLKSMGEYSPFPQYARTQLARPMQQLQQQQPQPQPQRSVTETMMTSSP LPNNILAQQQGLPMNRGNGGFGSESVGGWDSVPVTSSMPSYQPAFAPINRNSMASAGT GVPPVSNPSTSNRGMRMDGQEWFLNDSARWHQSFEAWQMANNGQDNSVFMFGDSGNQD TPNTNADGNASMQADTNDQIAALDGLGASLSRGGWLPGLD FPSE_07162 MLSASSPPTTKRSKPHDHDHASPKLKPRGPFVVEDDDSDSNSDD SEDPTDSTRTTKRLRTEEPPESSNTTRQIQEPVNLSHRPMFSNSIFSSFVPQTFTAKT CNGKAKTIKERKTTSAPTYEAMVAARSKTKEGRAERSYYGIDVHNLMSAAAAEIKNKE KAPKERNVPVRSIEPQVPGGKRPKRTLLWTEKYRARNFMDLCGDDATNRFVLRWLKKW DPVVFPGESKSQPAARRPGTKQQEEEEKPHRKILMLTGPPGLGKTTLAHVCARQAGYE VMEINASDDRSRDVVKNRIRTSLGTESVKTVSNRTDGNGPQKLAKPACVIVDEVDGVV SGSGGSGEGGFVKALIDLVLLDQKNESGNASSNNYGNKKKKKGDDFRLLRPLILICND VYAPALRPLRQSNLAEIIHVGKPTMESVVARLKSVFEKEGIPCDKDASRKLCEVAWGM TSGIDAKRGAESTVEGDLRGVMVVGEWVAGRFRASALNGTARLTRQWLESNVLQDLTS GAGGARGLGRGGVKDIVSRLFQEGGGFPKQAMDFSQSKTQHEQPQAELGFGEFQKKHA MERLRQMIDTSGEISHIMTEVFAEYPNRDYNDDLYLTKPCQAYEWLHFHDTCQSRLYA SQEWELAQYLSQPVLACHHLFASPKRYIPSTGYDRRWGGDAEDEGPPLPFSGPRADYQ AHEAERQNRAQLQAMQAQLPPTLMRSFRSAEEVSAEFLPYLARIVSPDVKPVVVGGSQ GSIASVRKDTERAMVKRAAEVLAEVGIELQKGRIESDSPVDRNPQYVYRMEPDIDMLA TFETGAALLLPSAAPTRYAVRQVLDQELKRTLIEREALARQARFQAGNLPGHVEMMNT YKLINAKKLALAGAEDATLIKRDFFGRVIEARPLAEITGSSPQQKAKQDEKERKVWVT YHEGLNNAVRKPMSLQEFSRGL FPSE_07161 MRRPTKYYSLTRPKLRQSWNKYNLFNIARATGREPPVKGRATFF QQKWAAKSKTRGYHGEHVSEKKWVRLFSRRLLSAVDLPPKYLAANDGSEQAAGRGSGL STSNVTAESYSQVPKGTDAVRPPSHPAQRSRGFGDVNEMLSEHFQDMTPYMQMTFAPL ERRLDTAVFRAMFASSVRQARQFVIHGAVKVNGKKMVHPSYALNPGDMFQVDIEKVLY GTGEQKKPNAKQESRDKELLDKQEEAVRQQGLKKRSKILDAVAAKEGEAAGAKLGKGS LKRVEREVQLHRIKNLRVTAREILKGDMRQLSAKQKKDLRLFRDTAQRLLSLREDREL DAYELINQIQSQIQKLSTVDAFRKADPTPAEASSEGESSADDKATKKNEVQVKRSEFK NQVLERGLEGITNREDRDRARNILATHDLTNEEIRKLVYILKNDAENPIDHSKPYVTP WRPRPFMTAFAFIPRYLEVNPYICAAVYLRHPVARKGMAEVPTPFSYLTNQLAHNWYL GRG FPSE_07160 MVLKGGEVAEHNSADSCWVIVHGKAYDVTEFLPEHPGGKKIILK YAGKDATDAYEPIHPPDTLDKFLEASKHLGPVDMSTVQQETKQVDPEEEERLQRMEQK PLLSQCYNLFDFEAVARRVMSKIAWGYYSSAADDEITMRENHSAFHRIWFRPQILVDV EKIDFSTTMLGTKTDIPVYVTATALGKLGNPEGEVVLTRAAAKHNVIQMIPTLASCSF DEIVDAKAGDQVQWLQLYVNKDRAITKKIVQHAEKRGCKGLFITVDAPQLGRREKDMR SKFTDPGSHVQEGTDTDNSQGAARAISTFIDPALSWKDIAWFQSITSMPIILKGVQRV EDVLKAIDYGCQGVVLSNHGGRQLEFARSAIEVLAETMPILRERGLENKIEIFIDGGI RRGTDILKALCLGARGVGIGRPFLYAMSTYGEAGVVRAMQLLKDELEMNMRLIGASKI EDLHPGMLDLRSLFQHGAVPSDNLSSTVYDPLAVPAQRPKAGPEQGAPKAKL FPSE_07159 MAQSPMISVPLKATNEIDWVEPLKRYIRDTYGDDPERYAEECAT LNRLRQDVRGAGKDSTSGRDMLYRYYGQLELLDLRFPVDEQHIKISFTWFDAFTHKST AQYSLAFEKASIIFNISAVLSCHAAAQDRGEESALKTAYHNFQASAGMFTYINENFLH APSSDLSRETVKALIHVMLAQAQEVFLEKQVADKKKPALLAKLASQAGYLYSQALEGV QENVTKAIFEKVWLLMTQIKSNLLNSMAQYYQALADEEQDKHGIAVGRLQAAETQAKE AERVARSFPNSVPMSSNLSADCGGFLQELTKRHLSTVQSQLQSALKDNDYIYHKEVPA EASLEAVAKLPAAKPIPVSELYAGQDIQRITGPDLFAKIVPFAVTESASLYDEEKAKL VRAEAERVDTANGEMAASLDYLRLPGALQVLKGGFDQDILPDEDFRQWCEDVSDQENP VTLFDSLRTEKDSILSILDKSTKQLDMEEGVCEKMRSKYENEWTQQPSSRLTTTLRGD IRHYREALDEASRSDNQLAGKLRQNEMDFDEMRRAAKSGEADQLFQRAVAQARARGSN ATSPAGLEPNLLDDDFDEGPSVIDQINRVEDILKKLNSIKRERNQVLKDLKEKAHNDD ISQILILNKKSISNYEAQLFEQELEKFRPHQNRLLQANHKQSALMKELTSAFNRLLQD KRVQSEQSKYETIQRQRSSVINRYKRAYQEFLDLVAGLQSAKNWYAEMRETVESLEKN VDSFVNNRRSEGAQLLNQIEQERSSNKNSQAEMERERLRGLMDRMSMDPNKSLPQPQQ NRPTPPSQYQQSQAPRYPQTNYQGQYQQPNSPPPQQAQQQAYQNFSPPPTTTTTQSFG PPPINTFVQPTYNPSQYGRTPGPTSPPPNQTSFNIGGYRGPASPPPNQTTFGQSQSFG GYGSSSTPQTQGGYVPPGFVPPPPPPGPPPLGPQQTFHYGNQPNSAHPNSAYPQSAMP PQQQQQQQQQQNDPWAGLNAWK FPSE_07158 MSTPAAPFYQLRCGCNQYPWGKQGSDSLSARLCEKTPGWDGDDK RNFKIEEDKPYAEMWMGTYPVLPSYVVSTGEDLQDILDRYPKELLGEKVTTKFGHSKL PYLPKVLSIAKALPLQVHPNKEFSSKKHKEDPGSFTDGNHKPEIALALSEFEAFCGFK PVEAIVDILRQKPLRHLLVAGGLTVTDEKLSPEGLKQVVRTILTSSDEDIKKTFQAIR ELPDSAFTGPNSHIPQVAKKLENQFPMNDPGNLVGLLCMNYMLLHPGEVIYIPAGGIH AYIQGDIIECMARSDNVLNTGFCPKAERDNVDEFCSVLNWESTTKTQTTLQPETYPGS KEGKTQLFKPPTSEFNVLATDLNSGEHETLSEGGPKIILASRGRATVKANGQSFELGE GHVYFIAQGVKLDITAGSGGLLLHSAVSE FPSE_07157 MFLVEGDGKAILYTGDIRSEPWFVNAIERNPNLIEYTSGLKTLD KIYLDTSFTEDVPFETKAQGITELLKKISKYPKDTVFHFQAWTYGYEDVWIALSKALK SKIHVDDYKLRVYGSLKSRNSRSQFDADVHLAPESPALTGHMCGNTPHPGCLTSDVNV RLHSCERGNICEVASKDTTVSIQPIIAHLSEGKDLVEVGVGGGGDDFKQEAELEFVDQ ANLTALFDVALSSSATSSQKSDMLREGLEQLVSTGRNIPLDWDVDTLSTNSAKEVIAI LVQKLRKYSKTQKSVHEEALPKTIYFPYSRHSSLPELRHFVDAFRPKDIWPCTINTSE WLRNRTTIGSLFGQFCSSKEFEHDRVMQAIAAKNALCSQDQQHASQTTVDSDPAPSSL NQEPQDPQEQRPGNFEPMFVSLLVDTQVSGYQEETNLNPEQPDDLNALSENLPARSAS DGPVEQSEVIPQDLDTAPEAPAGDVSDARTHSSSTRKRGFSNVSQNVPEDGYRETANT SDKPCKLGIPEDYPLACQEAYWQMIRNVEGDTPWTPLQLISTSSEYNCVEKEL FPSE_07156 MASRRTHTKSRTGCLNCKRRKVKCDEARPSCFHCTRHGVVCSLS SSSSANGTDETYSPSLPNLTSSTPKSLDSHHVDSPHYFQDYSHPSPTDQIAPFPPHEL WARDCELMHHYCTVTAESLSIRKDLKYVWSVAIPRLGYQDPFVMHGILAIAAAHKAYM LPASRKTYLPLADYHQTLGSEGYRRYLQHFDLSNWMPVFGFASVVVLHMMTLPMRMEN RVLESPITNIIEVAGLIRGIKTTLEPVLGRVVKTEFAPVIFGIWNLDSDKEAERYPNL DNSALPTDTWTSLRRLRAFQEADIPATGLQHYAEALDELETSVKLFAAAGVQSESGAV QFWLYSVHDSILLDLAAHRPHALLLFAYYLVHWAVIERKFWYMRGWSRQLIAKIEEGL IGQPMFLEMLNWPKQKIAEALAYT FPSE_07155 MQSVLILIAPALFAASIYMILGRIILLTDGEHHSLIPLKWLTKL FVFGDVASFMLQSSGGGLMAIQDLNKMGEKIIVGGLFVQLFFFGCFIIVSAVFHIRML RAPTPNSSQTKIRWQTYLATLYVTGVLIWVRSLFRVIEFIEGNDGQLMRSEVWVFVFD GMLMLLVLVWMNWFHPGEIGLLIRGEESITNGLELMKLGGSGRRSRVDTMESLGSGRH LGENMER FPSE_07154 MNFLGDILAQITFARVVLYSILFLLISFIVDLLTQPQYPSDIPV LGHDSKKWFSRIRNSFAYFTQHQAWIGEGYEKYGKNGLPFIAPSPISRPPDVILPRSQ IPWMMNQPDHILSAAHAHDKILHTEYNFLGKELLKDTFAQRVMHKYLARHLSSLVPAV QDEVKSSVKDAVEAKIKAAAEKDHSNVDNQGYTKVNIWDLWLAIVPRVTNRLLVGDTI CRDPIFIDSMVRFTDDVVRNSFLLHAFPQVLHPIVGRLITIPNYLHWRAATRRVLPTI EKRIEDMRRKEVGDPEMKSWTPPEDYITWHVRLAMAEGNTFELNPYVISKRLLPINFA AIHTTVLTGQSWMLDLWSLPASERALDTIRDELETNKPVEGPWTKQNLASLMRLDSSF RESQRLSNFAANLVERQVIAPEGLHNPDYGWTLPRGAFVTVNLQGTHHDEGIYKDAMK YFPWRYSNPRETWEAKSADEKKENEEEGKRIRGLGMVTTSDIHLAFGHGRHAW FPSE_07153 MPSLVETPESLFTPKVQSKLHASREKASRDFRSDVVTVPIEEMM TAILEASVNDDIYDPEGDPSVKALEARLVEMTGMEAALWAISGTQGNQICLRTHLTQP PHSVLLDHRAHVHCWESGALPVISQASATTIHAKNGVHLTLEDVKKNIIADGNIHFPP TRVVSLENTLSGTILPLADAQAISNYVRSFPVPEGQKPIAMHLDGARIFDGVIGEGVD LKAYAACFDSISICLAKGIGAPMGSVILGKKPFIERAKWFRKMLGGGTRQPGMMAAAA LAALEYSIPRFSLVHTMTKEAAARLEAVGYKFVLPVQTNMILPDIEAAGIPPAAFVEY CAKENIAVFPMARLVFHHQTSEAAVESLVKALTRLMEDKRNGVALNDGEAHGGYS FPSE_07152 MVPPSKKRKLPAATGPATKRSKNIPKPSSSKKKSGKESRSTVEA GALSWASVGEDFGGLEVIEGVDVVKDGNRVQFLVSGDKNKSNIIDPQQEVVDGDRPFE GFGDDAVEVGDIDSGEVGSDQAGAESKKPQGKNKGKNEPKEGQEKADQETNKKQKQKQ NKNKLDGKNGAGNKQDEQLVKAAQGVQKSSTRGGNTFGALADGNDYKDQEDVDMAAWV SLNLSPQIISAIAKLKFMKPTKIQKRTIPEIVAGHDVIGKAQTGSGKTLAFGIPMVER WLEMQEQGVKRTGPMSLVLSPTRELAKQLGDHLKALCDGLPSAPYVCVVTGGLSILKQ QRQLEKADIVIGTPGRLWEVLSGDRALQSKFAKIRFLVVDEADRLFKVGQFKEAEDII GALDGKSPGDDAESEEESEDEDEDDEGAARQTLVFSATFDKDLQTKLAGKGKSSGNDD EKMAYLMKCLKFRGEPKFIDVNPVSQMAEGLREGLIECGAMEKDLYLYTVLILNPGRR TLVFTNSISAVRRLTPLLTNLNLTALPLHSQMAQKARHRSLERFTASRNSILIATDVA ARGLDIKEVDQVLHYHVPRSADTYIHRSGRTARGESSGISVILCAPEEVLPTRRLASK VHAERSAGVKREHFIQTLMIDRKAASRLKPRVDLAKKIADTILAKEKAHSDDTWLRNA ADELGVEYDSDDLEEINAGGGKGGRGGGRKRKEQTAKQLTKAEMGALKAQLREELSRR VNLGVSERYITGGRVDVSALLREGQQGGMFLGNTDGLGFDL FPSE_07151 MAAADVDIGYVAGHLGLDQPVIASLTTEPTVELVNIILQAVAAR AHEFDTLYAEKLETDISLENAVRSNETRSQTSKATVDKALKDVEEARQQLKNEETKRQ SVENELQVFKAKKSDYDAEIKALNDKIETLQSSNRTNLSIIESNNKRDQTITEELTKQ HQRNVELSREITTLQQSEQNARGQLSSAKYREESLQQQLDLARKNSEWLENELKTKSE ESLKYRKEKGARIAELQRQNEDIQSQMDALKRTEQQLRERLDAMQSKADDALVKLQKQ EGTHAQTIESYKHELEDQRRLVEMSDQLSKKHQERVRDLEAEKERLRDNYENELRRVR VELEKERENSSQMEERINQLQAEIDELQVRAEQHVPPPAESTPQTPRANGTFMRPSSP FATPGSIRAKGAITATQALDQLYQVKGQLATERRRNQQLSEELDNMMSALEAKAPEMQ ELHAEADQLREEITRMSELSQDSYKERDDAKKAARKAESALATAQSESKILRTQLRDL GTQINMLLFQIHAMEKGMDQLTDEETYRLQQLQKGEISEEAISDMSDTHQFITQKLVV FKDVQSLQSKNEELLRITRELADQLESEEALAEKHQAKQDHDMVEKLQQELVHMTDET RSIKKTMDSYKTERDMFRRLLQHRGVNGDEASMMRNSIAGGERLPLASIEATEQTEAL NEAIRKLQSEYDNYRDAQDGVRKDLRDQIDSLSAERNALQTDKVKLQAESRLESERRE MLHTNFVALQGENHELQKRSQILSETAAKQDIRTQQVAEELIEAKGLLDSVRNETANL KAEKKLWKDIQDRLSKDNENLIEEKNRLNNLLATQQSLENERNMSDSEARRKAQSKIE SLEQQLSDTQRKLNYESEETKKLQLRKEYESKESQKRIDELMTSLSQIREEHVAVKTS RDHLQARVDELTVELRNAEERAGRLQPRPTPRPGTMEVGEQQQQLENEIQDLNVEISD LKRDLDMANTHLENAKAQAEQFKELSQANEEALEDLRGSQEQYRQELEALIEEKDAKI KEITQRAEDTSAELSRSNTELSSLRDSQGEIARRYEDEKAILQEEVSRLKEESARHLE ATRYHQQDLRSQAEIASKAQQDYEQELVRHAEAAKLVQQLRADYNALKSEAASLKSEA DSAKVTLAQSESSWEDRRQQLEREMAEVKTRREDVNSQNKILHQQLEALTAQVTALQQ KRGGDDEEDERMSPVPLGDATEGLRELNSYLRREKEILEVQYDLKAQESKRLQQQLEY THSQLEEARLKLDQERTQAAQSGRTSMTHQDLMEKLNELNIYRESSMTLRNENQQLKD QIGEKNQRIEEMEARIHPLEAEIDTLKTQKSFLEDEIKQIQEDRDRWQKRTEGILTKY GRVDPAEMEQLKEKITELEAERDTLKQGEEPLKAKLAEVEANFETEQANWAATRAKII EQAKERSRKLTGEKNEAIQRSTQAQESLDKANAELEGIKKEAEESRNQRSELEQQIRN FQKEIEQLRQQAQTTQPAPSTAQSNEVSGSSEIVAQLEQQLANLRNELDSINGQKQSA EQQLETLRGELQSAISERDEVAKKLEEAASTTAPAAQTAPEVVAQANGQPGAGITDEE RKALEERAAAAEAKATEFEQKANEVEAKIQTTIKERSDRMRDTLNAKLKESRQRMEDE FKKRNADLDLQFKQEKQIWLAENPNAKPNTDAPKTEATEQQPPATPSKAEPPAVPPTP TSAGPTDMSQLDDAGIRQFLATNPTVKNIVAANIKKKLEIESSKVKAELESSLKNEYE SRISSAREQAQLMESKKSTLRINMAENKLRLAAAKINVVEKAASETPQKPVVEVWEVA KTAQAPPPAPAVAKPAPAKQGPAPGLPPTPATPAAKGAQAAPTMTPAGAPPTTEVKPA QAAAPAASGVPPPKANPFAASTNASSASVANPFATKNGGGAAPATKEGQPQAQAHQGQ QQTQQQGQQQGQQQGQQPVRSGIPMPRGGGGGRGRGGAYVPPGQRGASGTQEGGHGHA GRGGRGGRGGGRGGMNPGANDFQPGNKRPRGDSEVGGGAKRARGAH FPSE_09251 MKHLNAISVIALSGLAIARDVSYVTDLAVFEALAPCVSSAISYN IARHTHSSICNDSKTGLQECICSTRMHQVTSAISDDIKHGCGSSATEDIYSASKVMQK YCNQDKHITFPSPTTNIVDAYITDLPELAYMPPCAQSALSYAVMRVGSERCPEDAKLN APCVCNKKDVVRDINLTLKGAAKRSCSNDADVTSAENFYSHFCLMNEGKTSFPSPKSP PGDMSYYITALPQFKSLDECARKGLSTVVMNQSSWLCRGGPQELASCVCMKSGMYNII SSSLTERVRDHCDDTRSGNATAAVNAFRYYCSAAKDLVVATVTESISQSHSTASSSTH SATAISRTTSSAGATATSTTVTNTAKESGSGDEDEKQDNLNNNVIPIVGGVVGAAVFT ALGLALFFFIRRERRRKTRGERLLDGPPEYSRHMGNSVSIQGYKPTPAVSQLPTAMLS KSRFGGGRDFPGLTSDHAPTAELQAHLARMNPPPTYSRQPGTQSGASESYEMSPSFQI SKIDT FPSE_09252 MLRGTTSTGPKVPLFGNPKRRKQKQQQEQEQKDKEEKLRQQALE EAEFATKAETSDFLLYYLERSPFKDQCDQISIAWENLPSLSELESFARLDKTAGTQAC RRLFKAVVIWDDLGLDLWETLNSTFRPESDEEDSDDDFLDQIREEPCGWDSPRRSRSR ASSRTSASDTQASERSRQVSADRQDKRSGSRDSRKTTATRDQSLNGRAPPRRPMGLQG GMISEYYDSPSRYRVPKIVRVL FPSE_09253 MLWLRKPLGYDPKDFPNYKLYQDQDTGFQRLVRQWPAIFTFIAW SFTFLASILTIINEWFCMIVRDPLHYNKATEWIIKQYQACPTVFQMSYGALITFWFFH VCYRIGLVICDVLVDAYNDPVWAAHQVLATSYYIEHLFFRGGTFITCAIFNFACSFIV LIYNMSVHSLSGVIVLLIAVALFQLHYYLFIYDTKVTKQPPKPLKGILKHSSSDTTQS PKTNKGRYQVSTPPTGSSLQRIPFNPPWRSSPGRCFRAPTPSTTSSSSSSSTDLTPCR RRPSPKSNKPPGASYVAVSPKSAEKLRADGVGVPEPTRETKYSFTSPDSNERNAYVRR RMQLRFQPRSPKFKEVEEHKAEADDEAKFIHSIVPTKTQWVYSALDAIERRIANITAE VTELRTQVGSFKEAGIVLPIPEPSDEPSDGPSMSPRTQKRNATLTERDRIKAAMAQYI PAIENAAVAILKQQHGVEELLARIENLINKAAKSGCSISRAMSGEADDYRIKIKNIFG DARQALIKARSIEEVCEDRRVKLAEEAKRLKRLDRAHLLLLKINAKKREIEMNRR FPSE_09254 MRFVNLITVFVGLAAAAPAPAVAPVATPTKDDMIAVTTAAPTAS GDPVTYWSASPESVKVCDEDTYVGRESPKEMKRANYRDCAALLSSFGSRNGTFFVPRA CEDDGEYGLKDGHVDIVKSGSCAFSVRADAGLMVGDEDIVWIMRKAVLNNSDGMEMAA RGSVQCKPEEGRRMGGLYWEIHGIESTGY FPSE_09255 MKTASQPSAPHNVGSKIKHLVGKIRRHSNPPPPESSSASPSSTA NPSRESSSCPLPHIGRHSQRNSRRGSASEEDERVHDLELWNAAYDVLRRDHTSSSLVL AYENIISHALPDSLRPGHNGNGDGLPTDGDRRAELMMMIAKSGLEREVKEVSQTDSGD GEAREYVIQTRSIIASLLDDQPSAAIAWAGFCSLTPLLLDPLLRHEDIRQGFVHITNA IPHYMTLHRALHPSSWTSLTEFQRLQPHLHQTLLNLYRRILEYEMNIVCAAASAWNMA ARNVVDWHGWKARSDAVRDTDAELMSQVDKSGTDEAKALMEVQRKLDPEGGGRGEPTD DTLNHNI FPSE_09256 MSALDSICVGIELEFMVALQIADTNPAWNETRWACPSSPEAYMG LVMGDYTIIKPPVIHKVCDTIASTGVAVSCDFCQPEPSDPVQLTGTSVLPLTGNSGDI RVWNQGVATDMAGPVQKTDTWFVVPEIHITKDCVSKSGKTPSDKYDWFGTELNSPILI RPEEFNQGLPTLRKCLKAVQANMIVGLNSDCGFHLHVNDAGNMKLETALRVASLVWLL EDTLLYPLCHPFRSSSPFSARISVESKIAHEDGEPSLMSDGEAFIHVLQEAMTQLSWR KKVDKRLLGAMRRLWSEPNLVSLGVALRKFDEGDKHTTTRCALVVTKYDTLEFRYPES MFDVDFIAGWADLVRHLYAVAMKPQAEFHQIICRVYELVTRDQVPGWSAMMGAIGFQT DVSIWQRRLNEYQGSLSDLDMQGVLPNSGIVGL FPSE_09257 MHIIKPSWLSHCGEQKDFEVYSCHVSPDGKRLATAGGDGHVRVW STESIYNANTPDYNKPRQLCHMSHHLGTIHSVRFSPNGRYLASGADDKIICVYHLDKN PPAATFGTNEPPPIENWKTYKRLIGHDNDVQDLAWSYDSSILVSVGLDSKVVVWSGHT FEKLKTLPAHQSHVKGITFDPANKFFATASDDRTIKIFRFTSPAPNATQHDMVNNFVL ETTISSPFKSSPLTTYFRRCSWSPDGNHIAAANAVNGPVSSVAIIERTRWDSEINLIG HEAPTEVCMFSPRLFHTSKPDPSVDDKSPSLVTVIASAGQDKTLSIWNTNTSRPVVIL QDLAGKSVSDLAWTPDGQTLFASSLDGSIVVAKFSEGELGWVAQPEENDKALQKYGAS RKGMGIAEDVDGLMLENQSKAGESRAVESRMGALMGDLPDSTKESTPVTNGTKTTAPT SKPATNGQSEAEKDKEPEKEQEKQPEEAADKTAQRVKELKSRVTVGKDGKKRVAPLLV SSSGTGTSSLPQTQLVGTTSNNKAAQNDAPQTTLDLAKPFDGLPKGGIAAMLLGNKRR LNLGEAEEEEEPVSKRAATGPTTIVTNGHDGVEPAALVSVQHGVIPTPEFLRPAVMNP SIAFAQVRLAVPKIRSHVLRPLDRGILQGESSLEDASKTPENIILEAKNDPNPRDPSH VLVSKRGALIWEEWLPRAIILVTATKQFWAVACEDGSIHTWTPAGRRLLAPIILESQP VILEARGHWLLCITAVGLAHVWDLKTQSSPSPPVSLGPILDIATTSLNQHSATPGPGV TSAHLNSTGHIIVTLTNGDGYYYAREMFTWQRLSEAWWAVGSQYWNSNDSSISALQST AVGPASKEGKGKESTKATVSSGIIPFLERHTTNEFLLKGRAYGLQRIIKMVVQRKEAE NLESSVSIAHLETRIAGALQLGAREEFRLYLFTYAKRLGAEGARVKVEELLNSLLGGI LEENEEEEEDDDGHGWFSKEGDLCGWDRKELLKDVVMILGKYRELQRLTLQYAKVLDI SLEDGPVDVEQMDVEA FPSE_09258 MAKAPCSTLWWAGTLALFYFTLIAFGSALSLSADSPIKAALVAL VKDNDINATLFSIKQFDDKFNNDYLHDWVFFSIQDLPEAFKDSVSNATNATCIFEVIP EENWNDPRWVDSFQHSMSQDASLDKSSATLKTIANIHQMNRWISAPFAKEQRLQDYEW FLKVDPGAQLAQNIPFDVFRFMRDNGIAYGSSRAFFGQANLSRLSPRIKSFFEKHPEL LHREADVAWLIENVTGLLMKSGPLDDGFEDLVDEGNDKLRSRASQVPGAQDEGERSSW LSECFASWLADIHGNSLSPSFEIGSLAFFRSLNQVAFFDHLDNAGDFQFQRVGDAPLH SLSASMLLPRESVWNFRTKETKLSSQHDGEHTQAERQYSEMCMNNFDTSNLNGSAKSK GDAYEAMTALLKGWDLMAQDVGAQSKSPKLISGNTWMGKMNGGAGCSFFWFWRKTLSA DLGFGWEVDLSCLHDGMKDQVKIKV FPSE_09259 MAILEAFPKGSPVSQAVFLGLSKKQWALTTLTFQNSALILIMHY SRVMPPSGDHRYFTSTAVFLNEIIKLAVSLSLAIYDTSKTLAPTTPATVLFEQIYNSV FAGDGWKLALTAAFYTLQNMLQYVAIGNLDAVHFQVLYQLKILITAIFSVVLLRRHLG PKRWLALIILTLGVCVVSLPQADSSASSPSVPLRHMTDHFFPRSLHELGHVPIDNGQT GQFAKRSATYEGIDHDLPPLDPLMNYSVGLVSVLVAATVSGLTGVYFEKLLKESPTQA SVWIRNVQLSFYSIFAAGLGGVIWQDGEGISEHGFFEGYNWVVWSAVVLQAAGGMLAS VVIRDTDNIVKNFATSISIVISFIISMMLFEFEVSATFVFGTFLVLLSTWIYNGSDRT IRRPPPIQIHSFEKPAIEPTQTPRNLAIDKNTPTVGMEKSNGRLSQRT FPSE_09260 MSLHVPMAHRSRDQTGATKAVILVGGPSRGTRFRPLSLDLPKPL FEVAGHPIIWHCLSSVARVPNKQIQEVYIIGYYDESVFRDFIKDSAKEFPGITIKYLR EYEALGTAGGLYHFRDAILKGRPERLFVLNADVCCSFPLDEMLKLFNDKDAEAVILGT RVSDEAATNFGCIVSDSHTRRVLHYVEKPESRISNLINCGVYLFSTEAIFPSIRSAIK RRLDRPSRLVSYPSSDNLENSYIAHNDDDEDEEKKVIRLEQDILSDMADSKQFYVYET KDFWRQIKTAGSAVPANALYLQKAAQSESSSELATPSANIVPPVFIHPTAQVHPTAKL GPNVSIGPRVVVGAGARVKESIVLEDSEIKHDACVLYSIIGWGSRVGAWARVEGTPTP VGSHSTSIIKNGVKVQSITILGKDCGVGDEVRVQNCVCLPYKELKRDVVNEVIISRMR GVGYLQAEAIKT FPSE_09261 MSPLVSRVVRSTVAFRPLPAAQRSLRTSSILRDTEPYQSKPPQQ SRDHNKLLGAGAVLIGATFFWFYRGGKPAAFDASGESTGMKSATK FPSE_09262 MTLQTPTIAEVRASSEVLSAPGASATVVKVGEHFAVKFGRTVTL QEAENLRFMSENSKVPVPKFYKTMAEPETGINFIVMEYIDSKTLAEQWPSLEASEKLE IADHLRVILQDLRSLKPPSYLGSLNRKPFQDGIFWTPEQNAATSGPFDTEDDLNEGIL KRLSVNESQEHVTFLRKLMTETLHDHQVRFTHGDLQAKNILVKKIELDESKRFEIKLI DWKISGWYPEYWEFCNSTIASRFRSEWLEIAQNIMQVYVPEYLMLQIIRSHLLH FPSE_09263 MASQTPKTPSSGVSENAHRTTHPAVSGRQIGVATIPYDRPVALM TSGAPLLNPRSCVTCRRRRVRCDKTMPCSNCRRAQSDCMYPAPGRAPRQMQMQTQTHM QTRPVPASGHSEREADLMERLRKLEGLVYEMSGQGAASENDLQASTKELPKTQGNATG GQSIVEAAQEKVEKDTHNLCFSQVNKQLGRLVLHDGDSTPRYVHSGFFVKLNDELSEI RNEMEILSSQDEENEFEDDTTPEQSPPQNPGQADHHSFIFGYNSSDVDLRGLHPLPVQ GSFLWQIFLENIEPLVKVLHIPTMSKLMAQVRRGEHDLRPGDEALVFTIYYAAVVSAE KQEIETNLGGSQAHFISQFRFALEQALAKSNLLNTTDMAVLQAFVIYLTVVKCHDDSK FCWTLTSLCVRMAQALGLYRDGQQLGLPPFEVEMRRRLWWAIVSLDIRSAEEMGSDLI ISDKTYDTQLPSNINDTDIDPSLTEMPIPRQGRTDSSICLTRYETTALTRGLFAAVAH MQPVDPKNVEKSLEERERMLVEVYERMEDKFIKNTIREDDPVFWVASLISRIMMAKVG LLVYQPVLFPGTGPEASHEVRSRLWQSCIEIVEYTHILNVDPACRQWRWLFLTYRQWH AIAYMLLELAKRPWGINSERAWEAAQILEYDHPIDGASHSDHTAVWMPIKRLFTKAKR HREAELVRLRADPEAARQLDREDKLKPVLERIGPAPGMETRLSELRLRWRKAFRLGAF SNDAEYQNILPRPEETFMSSGPTNSVPPNLQRPQTDPAQLINPLNFDPNAWQQLQAGN PGIEIYFEGGNSDITQNIGGLYPSGNSLGSISSIASPAVSGVASTHRADNDHQTIGGN LSPWPSSDVFHHTQDPGSLGDMDSIFQTNNLPSTGLDLNMDPGEIDDIDWKNWDEALR SLSRPAMGQAGAAGQGSWGGM FPSE_09264 MHHPTRRRGPRSNNHFIKPDPDSSANNSYPESAASNFGAPPAPS AASSYGGSTTHIPPDQAFYRHGRSDAPTPSERTTEPEPLLRELRMRPNAAAESPTSIP ADGSFFQTSFQDIGKKLKACNDTLGELQQLGVSHDVPLPELVLVGDQSAGKSSLMSGL ANLELPRSEGTCTRCPLHIRVSRSHDPSCRVWLRKEYSYQPPLSGRISESDVTNNDPF FPWRKQVTTIHEFKTMVDHGEIEDVLRWAQIAILNDDKSPELFVPGSGSIAVNTPIET ASETVAAKFSPNIVALEIKGPELPDLSFYDMPGIFQNPADASDDYLVSVVRNLSKEYI LHPSAIIMCSMPMNSDAENSSTFGLVRRLGALNRTIGVLTKADLIPEGGNHEQWLAIM RGQAHITGLGYFITSRPQGKDLDELKQWEELVFVEHSMERWPATFHDFSDRCGVEKLK VFLSEKLGQEFAKSLPRIKQTLRQHLDNIVEHLSKLPELPSNVELEVQTSVMEFGELA RSQLKPSEFSKYFVPLPENFRDCLLEMKPKFTLKDSSDVPVVDISDDESDTGSVVTVT NPNTPSKRRAMAPPITPSKRMRMDQVPAGTPSRPSLKPEDSRASVSSVSPAPRRQGFP APFTPFSNVGRGFRTLRQVRDEIKAKTRAGVPDIITEEVYNDMCREAIEPWSGPMKAF LEHVMSLLHDMLEKALAASFARLNKRLIYQECQKILADYLEDRRRETIGALDVVYRLE TYGLFTVNQEAFRRYQKDEQILLTRYRHQMRMQAAGYGDGRPPVPWDSLTEEKRVLDE KRRENEMTKLGPDSFERELEVVAYVRGYYRLAALRFADAIALHITNGMIPHIQRQLPY HLDRKLGLCGADSQSIYQRLMEEDPNTAAKRGALRGEREKFVRALDSIEELERGAGSS TMSEEDLDDHMVDVPLMSGALPVEEV FPSE_09265 MALPKFMQPIGSKAPVHTNRVPLDVAQAEAPRFERVTWYKEPHL RNLYFLIIFLLIASATTGYDGMMVNTSQQMDRWKEYFPEHTNESKLGILINMYNIGSI ISFFIVPYLADNWGRKPTIMTGCVIMIVGAFISAFCNGYGMYMGGRFILGFGNSLAQM SSPMLLTEICHPQHRGPLTAVYNCLWNLGSLIVSVVGWGTASINSDWSWRSITFIQAV PSIIQLCGIWWVPESPRFLINKDRPEEALAVLAKHHAGGDENNATVQFQYREIKETIS ADSSTKSTRYIDFLKTRGNRWRLAIIISLGIISQYSGNAIFSNYMDIIYEGAGIKEQN QKLALSAGKTILDLAISITAAFTVDKVGRRPLFLTAISGMVFGFFLWTITGGVYENSE YATPPTKDAPDGGVAYSNKGAGYAQIAAVWIFGIFYDIGFSGLLVAYALEVLPFHLRA KGMMIMNITVQAILAIGNQTNKLAWNNFPNHWNFMLFYTLWDFCELIFVWYFYIETKG PTLEEIARIFDGDDAVAHIDLAQVEKETAIVRHESITDYPSKTAA FPSE_09266 MKSSKPPAAAPANVSGVLNCTRSYVGVCAVVVMLMMSTMVWRQT DLVAVRLHTFEPQPPIEVPASKEAKSPETSATLPRFWSANGENMDDLAHLEKPKGVEK IMGLVFYGRRQSISILDCYLKRNLVKNGGLLDGVIFVERTKDPQDLNMLGKLLESEDT YQKWQVEMSDEDNFSSGFGNSYDQVEDNVMYVKIDDDIVFMEDSVIPSIVKKKIDHPE YYIVSANVVNQPLLSWVHWNLGAIRPYLPEVDTSGKAMPFTTIGMTEEEWNPLDLPPW NGSESFSIQDWDPEGTKHRWLPLNKDGNDHILDTTPIEVTEYSPMGRGWTEWTIGAQE HFSLFENLGKNKLSAYKFDTWDFQYERMGIQFVALLGRDINSAKPIEADDENHFSCTM PRSLGRHAIADGRGVVAHYSFGSQRSGMDQTDILDRYRSFAHNHICIEPMLWTPDEG FPSE_09267 MVRFTPLAALALVPLAQARSDWLYARDTIAQCPPCTVEGEAQEC SHIVTVTEPAGPYETVTVSDPKGSYHTVTFTEYGEPGKTITITQNYQEPVTRVVYVSQ GETLDPPKQTVTVTAPGKVVTVTKTETSEHVVTKQVKVYPDPGNSNGNGYNPGPKTVT ISNGHPAPSADSPGYDGAVVTRIVGDGDNVKYPNDAHTVTVIDDGKVKTLTYTDGHYN YVITKTTHEDGKYHTVIVKPEPTVSTITNDNDDYVTTIVETPHTYTLTAPIRYESPSS TDDDCETITRTATYTGQPEVEIIVYDPESGDSTCTKEDGQPCRPDYENDKTGYDDDGK DQEGDDSKGQHEVPAYTKVQSGSDGYNSNVPVYTKIRTYGNGDTYTEVHGADPTGCES FAVSTSIATVYNTVVVTVEPSSTLAADGRVPKKARSPLSVRW FPSE_09268 MGACASCLGRADGNSYDEEEESRLLYDEANGMQYGSFGDQAING ENDTLEAQRENEALQRVIAKTSDNMVDIFDIAPQENGNRGTTTPFAYAGQGARLARYQ HLVAKLSNQGDNTPVSGVKVDWLPEDETIEMQKNGPASIKTIDSDDGPLVGTFADAAA AMQ FPSE_09269 MFLAAQAQDRIIKEVGHFRDAVQSGQCSTTKGEPDLSWVLLYAE GRPVLFWRPLRSVQRATSRLIKACFCLVNVYNDACAVWVKFAERRPQCGLPRYGPTEQ YRV FPSE_09270 MCYFDQTRWTCGFWRWGHFRQQCNKEYRMGETCGLKLVYETKDQ PEVCKLCSDVEKKQRRYDKMYRDVQRWQREGNRNATIERTCGEMDEVLGQIYRMQQEH IHRLQTLGQ FPSE_09271 MNAVATSPGQVTSQVHQMSLASPHDEKPGCLALDEHGRAPSPAT SLITDAQLDVAPMNPSFCPEVPPASSVVGTSPVSLFLRSTGGKVRLSETMVQYQSLED LPNEVLFHIMGFLDVNDLLSTSRTSHLLRDISQAPILHHYRLLRVRTDLTSRLWSPSR PSLADLIARSIFRTNTSIVSRRLARSLVSIRLSRRLAARPSAASLVERSVLPKECVPG MTSVHVAPAIVAKKKAIEKEKVKDGLRQWIASRWRREVRERQDGVRKWEESRGVGRVW RLRRFWESVSRGED FPSE_09272 MSDVRSLLRQQRAARRIEHPYASYSEAGKLLCILCHEQIKTETL WDSHVRGEAHKTRLIKAQTAASSNGRNPQQSAQKRKHDDTEEAIDDGDGDIDMDDSRR KRNKTEDLEGDDSREQNLTPPRLTRRTSATPSQGIELQIPSRPATPAHRDGSSSSTPG GQSNNLTTQNGTTTLPSRRPSSLATDERQAAASVSVDETEWAAFEADIAATTAPYDED AVISAPAMTTEEVAAAEALKEAEAEKQRAQVDADLEDEKEEATRALEEEFEEMEELEA RVRRLKEKREALRKRGESFSQDGQPEKPTSLSKENSETPVIKEKDEEDEEDDDEDEDD DWDGFRFRKA FPSE_09273 MAEQQQEAQALSSMFPNPPPFWQEFTPERISRIQELRTPYTAEN GGDPLTVRVPDVPEDLINLQPPAEPADGRWRVFGDQYMLDDKLPTLEDQGITNLPSSS QSESKDAKRFDRAFELKRLAKSLLLNFLELSGTLSRNPSHAEAKVQDLRTLFINFHHI LNEYRPHQARESVIALMQEHLDRTRTETMAIRTQVDKARRVLDGLGSLSVPDVPKALG QQADEQNRDALANQREADVWATTDALFT FPSE_09274 MAFYWRLLPPEIRLLTLRCIVPQYGLKETTVERGFPRASLLATV SREWQDFFERETFRRMDLEAADLCTFSRMVLEENVIRLNYITNVLLTIKLATYDLSVA DKPESETTVTQNNMTFTIAMEGHRGGLTLEIRAYSPSDQQFHGNIIQLYDDYPLRFED DPQPTTTLLEFCRANRARYTSSRDIRRTLDTLGLTKRLHGTPLELKLALGEKAQFFSN TTRKLPKNPIVKGLILRSDSRKSIAVKTIATLFRKCFGTLESFHFVRWKGFTKEQETA FLDVSSTLSSVYTEIMVNITILLIQYVYAWVFDALIYKRREDSKLQNLAFMTRYLGHG SNQKAVTGFLSLVTEAVSHLPALRTLELWNASDEFGCLFRCTLDRHRIIVKWRCIDER FTLEDEVIEKWTELAKASGRSLVVRVISLSKDQELNRTFKFLHILPFLQLRRVNFDPI YEANIVVAQKMKWEDVLI FPSE_09275 MSKFTPIPDSLTGLACFTLTLHIPRRTRRPTTTTTTTPTTTMTT MTTPTPAPSSPPHAAWNTEEGKEYLLAEVWRLLPPAGDAEAKAKDKAEEKKEKEEEEK EREEVEDEENAP FPSE_09276 MSGKRQRLANSPKPSQSFADPNRPNSSYTKSSEASTNSNDPGEA EPRRSVRATKGQHTKSFDELEPATVPKKRQTKKTKKAKEQEQEQEQEHEQEQEQQQEQ EEEEEDELIRCVCGATEQDEDSGEAWIACETCGAWQHNVCVGVSSFDDEIPDHYWCEQ CRPDDHKELLDGIAKGEKPWEARRKAHEEESKKKKRGGRKGKGKRHSETKEEDKSKVK SSPAPDTSKDKKDAKAGKRKNREDSQDADGKSAKIRRVSENGTAPVPVSYTPPDDLAT TIADLPGSRTGPVKALSKSTSYVLASMLKHGDLHLEEESSVESMSETFALQIERSVYD AYPTPKGQKEYNQQIKSLSFNLKNNPELCQGLVYKTLSPTMLATMTSEQLASSEMQKQ TAEMKARAEKQSILYTSETGPRVRRTHKGEEVVDDETFVNDSAVPLPPGPRRPDPQHV KKEPTANDQPDLASHPQRSDDKQRSPSQADFDIAKVFSSVKSPTASQNRRPSALVTGA NGPGVDPDVDRMLQDENESPPYSPTEETQDPDVVWRGSLAMSSIADFQATAKYIGGAN FASVGPWSDLIPQRLAVAGRITEQAAIEYLCGLRYSKSTDIIVVSLTPVSPVAHAEFL ALLKYFTDKKRYGVIGDKSKANVRDTYLVPVPPGEDNYPEFMLNLVDNKIPRVRDEPM LLAVFVYHTEPEQLKHLKDGLAIQQDATGVGSPTPAAPAQRSNSTASAGPAFSPATPQ APQGGFPQQSSPAQWQSTTQTPVPIPQPPNYAKPQPPPPPPPVVAPPKVTDAQKHQAQ QAGMLAAQEMLGPLINVPTVQFILPQAYQMARKEWEVVRRVLERDPKARDDLQHLGIL LERETGEKNGGAVAP FPSE_09277 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTAIGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGWDPRRQFQLYLSNPSGNYGGWK ATSAGANNASAQSLLKQDYKEDCTLKEACGMAVKVLSKTMDSTKLSSEKIEFATVGQT EDGKIYHRLWSADEITALLKEHDLAKNEETEEK FPSE_09278 MAPTQNYGSSGGPISFLRRYWKTTHAPDYVGFLFLLAGWILTVL FVDPFHRMFFINDLQISYPYAVHERVPVFMNFVYALFIPLGVLIAFNIATRAPAAKHE VTYLSFLISIVLTSFITDIIKNAVGRPRPDLLDRCQPAVGTKANTLVTIDVCTREDGH ILQEGWRSFPSGHSSFSFAGLGFLSLFLAGQLHVFRYSAGGRDLSRALVCLLPLIGAG MVAISRCEDYRHDVYDVCVGSALGMSIAYWSYRRHWPRLSSQKCDEPYPRPGVDTQPG WQRFADDEEAARGTDVGFEMDNLRGSRR FPSE_09279 MLKSTHTPSAAALAPLPPGWSEHTAPTGHTYYYNEGTKESTYKR PGVQPPPQPAQASLPAYPAYGSIPSLADPNVANAYMAQFNQDNNGQRQHGSRGGHGGG RGGFEGRPRPQPVDKPRRKEPIPGHEPWILVYTKYSRRFVYNPVKNTSYWRIPEKLMP AILELDKARLRKKAAGEPQQETEAKQDHKVEETPEKTAIAQKADVLMTGLDDDSEYEE VEVTDDEGEGQGDDGDGEHPSKRQRTADPDMDDDAPLEFTEADFAAQLQAMGEDYGLE PGDYDDGNADEWPEGAEGVPLSEDDAKYLFKDLLNDFNINPYSPWEKLLEEGKIIDDL RYTALSTTKARRDCWDEWTREKIAELKEQRARQEKRDPRIAYMAFLQEKATPKLYWPE FKRKYKKEDVMKDHKITDKDREKAYREHIGRLKMPQSKLKSDLTALLKAQPVHLLNNK SLSTGLPDPVLTDIRYISLEPKIRDPLIEAYVSNLPPPPEDLDAAMEDEEQRKLRDAR EKREKALESRNRTVEEQRRKREREVAASKARLRDEEREIEMAMRVGKQGLQSQLVVMK DTETES FPSE_09280 MTDQNVFQSTESSKTQSSHATTASMDDTKERSRALNQKLDFALL PLLSLLYLFNGLDRGNVGNAETQGFTTDIGAEPDDLNEAFGWGAVTIGQAFIRGRRAL IATRLLIGAFEAGFYPTAVAYLSFFYPRYDFCVRLALFYGQYAIAGAFSGSISYGIFH LRGGGLKNWQYLFLIEGALTCFFAIVAWLWLPRGPGSAWFLRPDEREFAVERMKQDNA EFVEHEYSEDGIEKNRLSKRDFVETLKDWKLWTVLVLNICASVPSSAFSVFLPLVVQG LGYESILANLMTVPPFVCGALGLYIFALSSDHHKERGYHIVGGLVIGIVGLILTVTIT SRTGQYISLCVLLSGVYISAPLTMAWLSGNTPEPGKRALVLGVNGFGNLGGVIGAQLY RQRYKPHYKLPFYITLGFLAIALVGYVSYRFMLAAVNKRKKAILDTMTPEEVESERLN DRRYADKKFTFMYGL FPSE_09281 MKPLGPQDSEPESGLTGTEAAGAQSPGLRATATAATANANANVA SVPRRLPVNPRRHKVAPEHRKRVATACNACNVRRVRCSGEHPCRQCQSSSRDCVYPLP VEKVSVTRSELQELKHKVDLYERVLRDTIPAAIFNAVPDANSRQELSALLGWTVSGSG HSVGHGVGPTVPIHTIPTIDGHTHIARGAHELPQYQYPSVHRQFQQIPQSQSQSQSQS QSQSQIQFPDARIQELNLGLPRSHLPQPSHSIPTQNQRQDEDENLTATEGRLLHDPDG YARFLGETSGATFLDYLKEFMTTVLPLAYQNLRPGSDGSAFLSSLGRYQTYDSRPLHD RDVDPTWFPTHPEMDSMLSELRYFIQDGNGNWPSGGIYWWGNLSVTPVIPSLERDSPV ARLKKCRPFALYQTAFAVVSQAIIMPPQDISIDSHLSESYFSRARILLGNPLDITRFT PNEVAALTLMGFYLIEMNRRDAAYMCVSTAMHISIMHGSHRGWLDESGKRVFWTLYVL DRYLSCLMGRPPTIMDDAIRLEPPRDAPSMPPADGLKAHVELSRISSHIVCNTYRISP SEDIARPVKSLEGEIKMLDAWSLNLPPTLRLSSNGLSDDPATCLLHMHYNQLIILTIR PVFFALVKKSFAEKLVSRQCSLRTHPQLPHLKRCIASAEHNIRLARQILIVNHPRKLL QAGLHFIFNAAIVLMLQQLVEDLCPSSRTEKARSLDLDFVIGRFEDESRVGSNYGRDC ATVLRDLRVLVQRLPIPVDISSATRSINMSQASTTYDPIANRTTVDTGQSWPDKLTSE ALQQPILVDQGHMLYNELVSWIDVDWQSYNGTKQNEVAPTWHKYAGIADSKPFSLIGD SIGRAWTVNPLVFILQSGTNSGRAEAGIKIDWEHEGYEWFDPDAIDDSENFERVPRIL ESLQKVWFNVDLSEAAGNTLDQGLIALHNNHESGAHQLASVALHTYNDVIISKIDISD KDRWWRNHGRESMGASMLNNVLACLSTIEGKLPSSVDNNIMALGNSTIVSAITHVLGK VSQPIHVHVLESRPLFEGFSMAQEIASFANENRPMLDLTVHTDASVGVAARGIDIVLI GADLIDKTAAVSNKVSSLSTILTARYIAPQGKFVALSKKEKALPFSPPG FPSE_09282 MSPHSEISFSGSDAPVSNGTSNGINGHSNGTNGFSNGHMNGHGN GNDAPTAVRHGPRVHKERSRHLETASADSEFDLICAGFGPASLAVAVAMHDAIAEGRN LRPDGTAPKVLFLEKQPKFAWHAGMLLPGAKMQISFIKDMATLRNPRSEFTFLNYLHS QGRLVDFTNLGTFLPARTEYEDYLRWCSSWFDHVVNYNNEVLSISPESKEAGAVKSFT VQARNGKTGQIQSFRSRHVLVAAGGQPSLPKSLPAKHPRVLHSSQFANYAPQILAKQN APYRVAVIGAGQSAAEIFNNVQNLYPNSKTYLIMRQEFLRPSDDSPFVNSIFNPEYID NLWPRSVKARETLLTEARATNYGVVRLELIEHLFEKMYDQKREISDDETQWPHRILSG REIASVDTKGDVLEIKVQRVNDGPLDGFVDQETFDVDLIIAATGYKRSAHIDMLKDAW TMLPKTVSGRNESPKGANGWNVETQDGERKLAVARDYRVKFSPGTVADDSGVWLQGCC EGTHGLSDTLLSVLSTRSGEIVQSIFKQ FPSE_09283 MDITGLSIMNAQASRQPGPHLLHQLVKPPSQNVALDYMGSNQRV NLTYHQLHEAASSLASRITKTSGSAQGQFVVPVLIHQSPSLYISLLAILKAGGAFCPL NIDAPPERVKFILGDVAATVVLVSKELASAIPNGISAAVIIVDEEEDQSSTLQSLSTE VNSRVPEPEDLAYVMYTSGSTGTPKGVGISHDAATQALIAHDRHIPSFSRFLQFAAPT FDVSVFEIFFPFFRGATLVSVRRIEMLDDLPGVLRAMEVDACELTPTVAGSLLRTRAN APDLKVLLTIGEMLNAPVVEEFGGDENRPSMLWAMYGPTEATIHCTLQAEFSSDSSTG NIGVPLDTVSCFIIETPDSDSEQSEIRILPQGEVGELAVGGYQLATGYINRPEQTNSV FIDSPFGRIYRTGDKARLLPNGKLECLGRLSDGQVKLRGQRLELGEVEQAVLRTSGCH SAVAAVARSILVVFCAVDAGVTEDAVLKHCGDWLPQYMVPGEVVLMSEFPRLPSGKVD RKRLKAEYEEHKEAMLEDIADSEPMDEFESNLLVVVSRVMNFKVTKSTSLAAIGMDSL SAIKLASGLRNAGYSIDTTDLLTAKTVFDIVFAARRQIQSQTVSSPPASTNLSLDFSQ VLQQNATLADMSGLVEEIIPCTPLQAAMLAETSHSSTAYCNQVELTIPLSYSAYQISE SFAHLSQKNPILRTGFAIVDGRFVTLVYGELRPEQIKVVDQVQGDFSLSSPEDFGSPM RLQIQRDSVDEKSRVLLQIHHSLYDGWSMDVLLSDWSKLLLQEPVSEHSSFREVVNFY QQLQTSDDARMFWTENLVGWKQTPLPKLRDKLVHSSEVLSFRRPMSLSRSRVAAEAQR NGFSPQVLFQASLALLWTSVTGVRDITIGSVTSGRTIPVIDIEQIIGPCIAALPVRID FDKVSIGLDLLKNLHSSNRKIMQHCTVSLSEVKKLVGLQLGESLYDVLFVYQESLASS ERTQCIVKEATHLDRLETPILFEVEPTEDGFTLQVTYHEAIVPPATVQHMVDQFEALA HSILERPTQEIKCALSEIKCTPSVDNINASPPERVPDLARLFEDVVHKHPEENALLFL HSLEVVNSVVWSFRELNNEANQIAHYLHSCGIQVGQVVAVIMEKSPALYASILAIIKC GCGYLPILPSTPLARTREILLQAEIKYCLLDSSSDQLASMPGLSTIAVNTNLFNEFST ANLDNEVDGSRLAYVIYTSGTTGTPKGVAVQQQSIAANIEHLEATYPRPSSSQGRLLQ ACSQAFDVSVFEIFYTWCAGMCLCAGTNDTILEDIERSIRDLEITHLSMTPTVAALVD PSNVPSVQFLVTAGEPMTQAVHNKWCHQLWQGYGPSETTNICTVKKMAADDHIEHLGH VFPNTSVVVLSPETLDTVPLNWVGEFCFGGAQVAQGYLNMPELTAQKFIHHPQYGKLY RSGDMGRMLPDGSLVILGRIDDQVKLRGQRIEIGEINSTVTMAGFATSAATVLVQHEE STIKQLALFFVPRHEPTEFRMLEIDNEVQQSLAAHMQSRLPGYMVPSYLVPISSMPMT SSGKVDKRRLHDCFNKLDRHYLEEVSRSSSDNPDDGDWSQMDLVISEVIKESVAASVG GFGRWTPFTILGVDSISAIDLARALSAKLGARVAVSDILRNPTIAQLAKHLEGKPSYE ATAFEDTQREFFPAAFTAAIKELFLGESKAIKDVLPCTPLQEAMLSRGQRGYYNKVLL RLKAEPGAIRSYWEVMSKRHDILRTCFATTTDSKHAIAQVVLEDWEIPWRKFDISEPS FDGAIEEHLKSLPDPVDSRTPPVSLALLRYRGSAFLSFICHHALYDGVAMERLLKEVE ALAGGDALLPPVSYKEFLKISTNLPNDTEEFWQQHLRGHKALSIFTQSSSSKIDQSTC TTSLDMPLADLQGRLRDFGTTLLSVCQASWATVLAMTYRQPDVCFGNVMSGRTLDIDG LERLVAPCFNTIPIRVDLPTTSSNIDMVKHLQKLNTELLTYQFTPLRLIQRSINRTGK HIFDTLLLLQKPLQDIDQTVWELEADSGDMDIPLVFINLHRDMSIVTEDVASAMADAF KVILKAILTAPHSTPMTIEDLPDSLRSILQQLEPQHEKKDNTGQMPDEEEQWSEVELD VRQVLAKLSGVSDHQIKRRTTIFQLGLDSINAVQVASILRQRGFTVSASDVIECPSCS KIAAKLLENRSRTKSEDLKRYDIGRFSHQVYSEVAGRIPQTATIEAVLPCTPLQSAML ASFMQSGGENYLNAMEYIATDEISLESLEKAWQLLHDRHPMLRTGFVPVQHPDATFAM VRYAPGSMKTPLSIAESEGDEASELLHLKGNTSERVLAALHQPPWKVVLAQTSQQTSM KFVAHHVLYDAPALQMMLDDLSRLVKSERLPPVSRIEPAVSAILSNSLGEQGSEKAFW EAKASSTVVNKFPLMAPLRVESRCMLADTTVASLSFTKLKRATQASNVTVQAVIQAAW TRVLASYLGENSVVFGVALSGRTTDETKDAPFPCLNTVPVVANNVTSNAELVSYMMEY NQRLHKHQFSPLGKVQRWLGHPAGPVFDTLIAYQKMPDAGSSSLPWKLVKDEARVEYS VSLEIEPTEDDHVRLCITYYNDILPREQAQLLMKQFDSSLNHIACNNLAAEDEAFHLS PDLYSVLPPSHPVLDAPVQFLHQFVELGAVVHPNKLALEFVSAFDGDTCLKQQWDYRQ LNIMGNRVANMLQENLTPGSIVAIHFDKCPEAYFSILGILKAGCSFVALDPSAPKARK QFIVEDSKAPCLLTRSLEDLDFEVKTAILEVRIESLSALEQEELVFQPVISPSDTCYC LYTSGTTGTPKGCEITHDNAVQAMMAFQELFKGHWDADSRWLQFAALHFDVSVLEQYW SWSVGMAVVAAPKDLILDDLTASINKLEITHIDLTPSLARLTHPDEIPSLCRGVFITG GEQLKQEILDVWGPKAVIYNAYGPTEATIGVTMFQRVPVNGRPSNIGKQFPNVGSFIF KQNTNTPVLRGAVGELCVSGKLVGKGYLNRPQLTEERFPTLEEFGERVYRTGDLVRVL YDGCFDFLGRADDQVKLRGQRLEIAEINHIIRTDVTEVHDAATIVARHGTSGKDVLVS FIVGEHLTTGSLRVVSDEEGLANKAKEACRAKLPGYMVPTYILRLPYIPLSSNNKAEI KDLKKLFSELAPEQLMELSHAATAPVSQGARDILALLYDALTQFSNINKDDLSSTTSI FDVGVDSITALRLASLLKSRGLHAVSPAMLLKNPVIGDLANYLAKAASSQRQKLARET KQSIQAYAHRHRGLVYSSLNIGPADIEYIAPCSPLQQGIISRSLTSTKPGAYFNTFQL NLNQSTTTTKLQQAWKDLVFSESILRTVFVPSTDGFLQVALRNPLFPWESTAFGSNEL AEDYLAEQKENWIQRNKSLITQPLLLTYVETPTSRLLTVHIFHALYDGNSFDLMMDRV AVNYAGNSIKKAPSFFEALTSGPLTRHDNCKGFWEKHLEGWTPSSITSHESSAHNSVV VAEREMLISNFEAIRSSHNVTLQAVIMALWTSVLQNLVQSQITIGVVVSGRAIDLPGV ENTIGPLFNTVPFFRQAVQQDDWRSLVRRCHDFNASVLDFQHVPLKNIQKWCSHNKAL FDTLLTYQIEEAKTGDNELPFEIQNSEVTPDYPLALEVVYTKKGKLRFTLIAQGHVVS QSVLDDLLNEIERFADLVAESPQSEVPVPQFKIPLIDNFHGVNAENDSQNSFEWTSEA RTIQNEISLLAGINPVDIAEDASILELGLDSIDVIKLAAKLKKKSINLAPSQIMRQQT IAKMITELASLINDSSHPTRDSLLSLIGDRLCEHLEASGVDMSNVESVLPPTHLQESM VAGMIHSGFEAYFNHDILRVSDHVDTAQLIQAWKHLIHQTPVLRTGFYQVESQDFDMT FCQVVSKSLDIDFEVTRIEDLSELYQITDAAKFVAKNGRGQKQLFQLKLAVIGQERYM VLSIAHALYDGWSLSLLFQDLQTLLEERSITRPPVEQFLARVMDSTTHKGKDFWMQYL QDAPPSTIPTKARLPTVEEKVQRFESVSKVSLLEIEAACKRLSVSLQVLCQACWAVTL ARQIRSTDVTFGTVLSGRDFDGADSLVFPTMNTVALRCILHGSAAEFLRYLEENMTDI RDFQHYPLRKAQSAAKVDGQDLFNTLFILQRSPVSSDPADRPLLTSVEASSSTEYPLC VEAEAVSDSLVWRLALQPQCSWDGGPQSLLETLDSVMSFLLKSKDPEILSFGERGVSI CGTPPVALLESIIHEDASDNYSSRDGKIEWNQNEVGIREVLHQVSNVPISSIKLSDNL YHLGLDSISAIKVSSLLRKAGIYLRPQDLIKSSSISEMARKADTKLKKPLQTLETAKE WVPPADIDVNKLLADNGINKDNAEVLPALPMQVYMLTAWENSDGSVFFPEFPCRIKTS ASLGEIDQAWGKLVSETPLLRTCFASTQSPATPFVQIILKEPDIPLSGLQSKERSNCC IRPLVEANIEQEDKDTWLLRLKLHHALYDGVSLPALLQRLSELLNGSGTMANKGLSQW KQFAMRHTVDEARIARREFWTSYLKGSSSNPIITNSDADVKMRTSHLNRSAISDISLI QALATQSGVSFQSLFLSAYARALAKQNNVSDVVFGLYLANRAAGEDLPQTYPTLNLVP LRVSLPVNRPLAAVTADIQRDIHLITSEGRAEVGLWEIAQWTGIGITSFVNFLTLPGD TDPTGNSITVLPETNTDIAVKDSLPDRPRTPYLESIVRNDIPMAIDIEASVDGKNLAI GVFGSLQQISPEEASTLVSNIAEILDKDI FPSE_09284 MATPTVDLPPTCEEEVSAPMLDHAQGLVSAIGVAAVAAVAVGDG LTSGLGYTKSKAFQGHMGQEDGQIYQVEHQDAREAKQQRSLREAQEKWDAATMGAATR VETVIAAEGVGGGGGGGEPEDGGGPEGVHLPPRKGADAAGQQVRAALSRTRLGRSNPS ISSSGSSSNSNSSSGASSYNTNATTTSAAAASQNNALNFTQTGRITKSRHRASLSAPI AASLVTAAITESARLSYEAKLTAALAASIPGKMVGSHSSTTTTALPSLKANGLSSMSP TPRTNSSTPTISNSSIDKDQPVISFWGPEPIALPSRFARIKQNLIRGHEAELEASWAR LITALRKEVSHIEDLGAHLIPSIEFGDLDDSVQTARFGHDLRRYGVGVVRKVVPRADT DTAVRETVDYLDSKRHVKAKALQQHDPACFDFFWTPAQVRSRAHPNVLSAQRFMMGLW ETSPDDQLVTRLPITYVDRIRVHGNSENQSNGLNVPPNEPPQSANDWIQALQSSAGIT AQVDNGSLERWEPDGYQHAGTYNHIFHGKWEDYDPWKCTSRTSVTTDLYNGYGACTIF RMFQGILALSTVEPGMVRLLPSPKLATAYYLLRPFFTTKNPPPENRSGPEWEEYLAPE NWKLQTEPDSIIHGAVPGHAQRITETWHPHLHLRNSMITLPTLQPGDYIFWHPDLPYY LSSNNYGLKTPSGSKSERKAFQRGHPGPDFDSTGRGIVEEDAETRPGEKEIAEVGGPS SLQAMGLAPWEVAGTRSGTPPPEKSKPKSEVDSEMEIDTDTKSSTSTPPNSRAEAEVV RLANIILFPERSMLGYSV FPSE_09285 MTTEFLPASASSAYDYIIVGGGTAGCVLASRLSAYLPERKVLVI EGGPSDFGLNNVLNLREWLSLLGGDLDYDYPTTEQPNGNSHIRHSRAKVLGGCSSHNT LISFRPFRHDMDRWVAKGCKGWDFETVMRSVDNLRNQLNPVHPRHRNQLTKDWVKACS EAMGIPIIHDFNHEISEKGQLTQGAGFFSVSYNPDTGHRSSASVAYIHPILRGDERRP NLTVLTEAHVSKVLVENDVATGINITLKSGEKHTLHARKETILCAGAVDTPRLLLHSG IGPKAQLESLNIPVVKDIPGVGENLLDHPETIIMWELNKAVPANQTTMDSDAGIFLRR EPKNAAGNDGDAADVMMHCYQIPFHLNTERLGYPKVKDGYAFCMTPNIPRPRSRGRIY LTSADPTVKPALDFRYFTDPEGYDAATLVHGIKAARKIAQQSPFKEWLKQEVAPGPKI ETDEEISEYARRVAHTVYHPAGTTKMGDTERDEMAVVNPELKVRGINKLRIVDAGIFP EMPTINPMVTVLACGERAAELIAAEDGWKPKHSRL FPSE_09286 MTFPSDIHTFHSGRPQPDSSSKANTFVSVDPSNAKPLATIYTTT PKQLNQTVAAAQAAFPAWSQTPAPQRAAILLKAASILRKRNDELALTETLDTGKPWSE TSTVDVVTGADVLEYYAHMAAGSFPGQNTRLRPDAFVLTTHEPLGVCAGIGAWNYPIQ IALWKSAPCLAAGNCMVYKPSEVTPLHAETLAQIYIEAGVPPGVFNVVYGDGIAVGAP LVAHSGIAKVSFTGQVSTGSKVASQAVTDMKGVTMELGGKSPLVILPDADVEDAADTA MVANFFSTGQVCTNGTRVFVPDTLLSQVEEAIVQRCREGIRMGLPRKTETNFGPMVSA AQQEKVKMYIQHGRTVDKAKVLFDGSQEASMPQPTSDGFWVHPVVFTNCTDDMRVTRE EIFGPVMCIMPYKTQGRPRQEWLSDLIARANDTPMGLAAGVVSSDVGLAHEVVQKLDA GITWINTWGESPAEMPVGGWKMSGIGLENGHEGISAYMRTKSTLIQLGRGACKGVFSK L FPSE_09287 MDMMERQHENTSDADSDLEELEDDIAKFDQTVRNFINEQRDEVV PPSANRSGLRGKGTRGPRKAAKPRGDITARLSKVNQAFLSGDYSLALDLVFEVIRINA ETHQAWTTLASIFGEQGDMSKSLSAMVYAAHLRPKDVNGWLRCASFALENTSDDEAGN LNTARLCYSAALRADHTNLDARLGKGLVCHRQGHLAAAISDYKVVLKHRPYDLEIVRK LAEACVDNKQAEAAVPSAIAAYKRFFDHEKKETSLERIESPWTDIGIYVELFASTGRY QDAIQEAKALARWVLGRESEEYWNNWHSDDREWDMDDERRAGVPEFRAGLWSTDMYGR SFPLDLRARLAIYRFRLGDEEEAMKHLWWFDPESVITRDFANEFPFLTFDLAEELAHR GHTPLAISYYHVLRDLPGDVDATILLQLGRCHSAIGENATAEEYFLAAIDADEDNIDA RIELANMYEKAREEEEALILAAEAMALREIRDRDHNNESGQDSSTATEQPSRRAPRRT QAVPRRTDAFGKRLITRRYRPKRLAGAEKRRQEEQARAVKLAEQYEIVQDLRQKIKGG CQDLVPEWMASSKELVDDFRSIKKFYTWDKYLHFLGPKNHTQQQEAGQPQNELSQMYE RLARTLAPQPDNGNGEAGSSDLESHRGISFNNWLDLFLDYAIGLAIAHRRDEAYQVCE AARDSTAFQAPEHGFIIHIAWSVCAIYTSDEEKCIATARQLMRDGATSDSYRMFALVS NLCQSPVSWYTSGPAQKYILRQIKAMDEAYGESESNEDLTKKKEPSLDACVLMLYGHI LFTSTSYTYALGYFLRSRALDPDNPMVNLSLGLAYVHYGLKRQSTNRQYLLLQGQSFL SRYAELGSSGSVQDSSQNKAEAYYNLGRLYQLLGINYLALEYYSRAKKVLQSHRGHAD ALGGLENNKALPLLKGRIKL FPSE_09288 MSGNHVIGNRNSTPEANNTSTLRPPSSRAIGSGHSLRASADMAS LTGPSPSSRIRPSSDFYGQAQQNLGPNNAESDSQDKIAQQWIADIDQYETTLEEMAAA TLDQDFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMGKNHPLS GVLSPASFDKDPMSNRLSDAMNKLNVDSARNSMARSSVIAPSTKRHSGLDPTTISAMF PDAAAAIATEKAKFTQQTGNPPSSNRNSIALDHRSSMAAPSISAPQDGRDAGPAGSSP WNSGGNGDTSAKASSSQTPMGQFVQPTPSGGLRSPRPQLSSNNTIQQTTLNAPDKTPA DLPLLSPYNAGSGNWASMVNTPMTSTFNAAATGGNQADMVANATAMKLAALSTVNNRF ALDDVRKYRRARSNDGTPGQNPVPTGPQPGINMPNANVVMINEHGQVLSREQIMALQA QQSLGMGGQRSRPSSPGLAMQPGLAHMAPFTSPQNNGFLSAYDVSSPLLSAGMQSVNM GSLGMGGHEGYLSDHSDMVRGRSPRGRRGSSKPPEDPTDPTLLQDIPSWLRSLRLHKY TDNLKDMKWTDLIELDDKALEERGVNALGARRKMLKVFEQVKEAKADGKLG FPSE_09289 MAKSKNSSQHNQSRKAHRNGIKKPKTSRYPSLKGTDPKFRRNHR HALHGNMKALKEAKEGKREVV FPSE_09290 MAAQSKPEISPWGRAVAGATGAVLANALVYPLDIVKTRLQVQIK PDPSKEPSPTDEPHYTSTWDAISRIVADDGIKGLYAGMNGSLIGVASTNFAYFYWYTI VRTLYLKSRKTDANPSTVVELGLGAIAGAIAQVFTIPVAVVTTRQQTASKCDRKGLMA TAREVIDGPDGVSGLWRGLKASLVLVVNPAITYGAYERLKEVMYPGKASLRPGEAFLL GAMSKALATIATQPLIVAKVGLQSKPPPARNGKPFTSFVEVMKFIIEHEGMLGLFKGI GPQILKGLLVQGILMTAKERVELMFILLIRYIKSIQLRKISRAAEKTAVAAAAHSVAA QPVTST FPSE_09291 MEKRAQATESLIRTSSGQAALDYTVQAAELYMRAAGEAPTKKDA TRLRQKCQQLIAQAETLKAQLTQTPSVLLRTSRLHGNLFPPWSNEPSSKDFGLPPGEE PFTDNATFTLSPRQDATFGGWKRPRDLHPDLDLDDDALMNSSRGCDLVQDVTTDCSVV ASLCAAMRILTGRHSVLSSILYPFDKARGIPKVSSSGKYVLKLYFNGCFRRVTIDERL PSSITYRTLYVVDRLNPQLIWPALLEKAYLKIRGGYDFPGSNSGTDLWVLTGWIPEQM FLQREDLEIDKLWTRIKNGHDSENVVVTLGTGRISDEEEDLLGLIGEHDYAIMDLDVV DGSRRLLVKNPWCDGPVWKGGISKRPETELAPQTIDSRNRVTPTAAGSFWMTLEDVLQ HFESMYLNWNPSLFPHRQDHHFTWHIPNPELSSSLVRNPQYSLQSPTGGPVWILVSRH FVDAELDISRNRTDTMAAVSGQLGYMSILIFDNNGHRVQVSDGDIYRGPYVDSPQTLA RFDTSLKKRYTVVIDQHEFPLPDYTLTLSFLSQSQLAVKEAEDAMTLVKEVTGSWTRR SAGGSAACTTYALNPQYKLSLAQAGPLSILLSTNMQDVHVHIDLVWSQGKRVQTLKVR DLAGSSGEYRRGCAVANIPHVDAGVYTLVCSTFEAGQLADFFLRISSMTDVAVQPVPA DAAGRLRKTLTPFRLSDGAEVRRAQISASWLTRISITARSVSSTGLDLSNRSSSTLMV RVSVAHGWDPERNTIATSGEGEYEELKSIVRTPELDMEPARIQREGMWLVVESMGISQ LGECIEIEIHSDGPVNVGSWALL FPSE_09292 MTTATQQQQHQPPQPHLGFIVDTYDPDDVVPRGSPLMTPLRPKL EPSPSPPPEIPATQVSLSTSCIGGRGKSNRHKVRPTSGDAVLVAYLDNGRDPEIARAA GRESLPCDEESPDEDAQDRPANDNLMSGPSLRHLAAGALQAASIATAPSTLAAAVPKT IPDISIPTGQLSIHDEPPVNALPTSRYVPTNRVTSPLIPPSGPLPPLHGVRAPVGESK ETIPSQSLPSLRSTFGELRDLAPEHLPEQELGRVPSGPSSTFPASPAGNIGHRFSVST LSSSPCSPPEGYRTLSPHSAPSASIHYYATNGTHPRAPTEYSSSSNAGETPNTDHSVS TPATSTSINSASITDRMSIDGITHPQHMTGSYTCTVPGCNAAPFQTQYLLNSHMNDMC AHTVLTGNINIHDRIIYSGTSPRKLNYDLIAFPTIDSNIDSGGHRHVRVHHPDKDKDD PQLRDVLAQRPDGPNRGRRRRGPPT FPSE_09293 MSLPSTMKAVVFDGLYKISVQDRPVPQIRDGRDIIVKVHAAGLC GSELHIYRGHQPSGTGFIMGHEFTGTVVQVGSDVKTINIGDKVVAPFTASCGNCFFCN NGCSARCVESQLFGCESLDGGQAEYVRIPMADGTAVKAPETISDEALLLMADIFPTGF YGVKSAMELVPSQNIQEATLVVVGCGPVGLCAILAATHFKPRHLVAIDSVESRLEQAR KLGAEPLNFETDRAGLEARIREVTEGRGADMVVEVVGQAPALRTAFDIVRPFGAISSI GVHNKEIPWTGDDAYTKNIRVQMGRCPVRSVFNEALHLLEQKQDKIGFLFDHKMPLAQ APEGYTLFEQRKTQKVVFTL FPSE_09294 MDSRRNPVAEVTSTVLYNLQYQHDWASLDVHETTTHRPLIRGLP PRRLYIHPDDQIAALEREKTTGEPLDQTPELEWVLAVHPEEKWTIKAFSNVFDSIEHK GPREKRLVLATVHNDSTVVYYLMHEGMVKPRQN FPSE_09295 MATSGQPSSNGRDTEPFPSLDNASPTNADTDSSNIHNRPAASSS LSGHLRRVSQSFEVSGPPEGFMAATGTIASSIFSRQTVTRRTSESSIPMQTGQSFGNP SRQNTFPTVNEEAPAEKATTSEASPRRNFTGEPADAAPFDNGYHFPPKHSAGQSTKLG LIAFGHYVLTPTGFLVTLYGLNVVAWGGMLFLLLCNASPAMCVPTCNDINSPRRKWIE WDSQILNALFCVTGFGLAPWRFRDLYFLLQYRVQKKEVALRRLAGIHRGWFRLPGSDQ LDPQIGPHNISSSPHLSSSLACPFPPDKIPDAPLTGVRAPPTAITRMDAVIWLMVWNT FLQCVLSGFMWGLNRYDRPSWSTGLFVALACIVAAIGGIVMFIEGKKVKGIEGVPISQ EDQKMLQEDRERGVWHYNNIKDKDLAAEEAKKKKKDKK FPSE_09296 MGLAYNTYLSSSKIYGCKTCKAHLANHEDIISRNFRGQHGKAYL FHSVVNIETGPPNERNMTTGRHVVRDITCRQCKETVGWKYDKAYESSEKYKEGKFILE AELLCTVT FPSE_09297 MASRSLIPFLVAMMLLTGVCNTLLTKYQDNQCVRNCGSDDNPRK RAFFNQPVLQTAQMFIGEMGCWLVVGLMALWRRFKGSAPEQRGYQAVNTEEGADSTAE PEANDRTKLLHTGPSVLRGYRVTLLALPAICDICGTTLMNAGLLMVAASIYQMTRGAL VLFVGLFSVIFLKRHLHLFQWLSLVGVVLGVAVVGLAGAIWPDEKPEGSIGTPEEDSL ADGGLSDATRAIVGVLLIAGAQIFTATQFVLEEWLLERSSIEPLRVVGWEGIFGFSFT LLGMLILHAAIGSTDAGRYGYFDIVEGWRQMTENKQVLFSSFLIMISIGGFNFFGLSV TRSVSATSRSTIDTCRTLFIWVVSLGLGWETFKWLQILGFALLVYFTFLFNGIVQPPF EFLKVPDEEIEELLPEDPIEHR FPSE_09298 MSSGNSLLPRGGYELTPEQQALKDIAETTNGNLAKYLMIVCGSV SLVVVIWKVCERIIRLTRHVSCLNNDKQRYFAIPSQRFASLKRNLLYAPVFSKRHNRE IQISKAINVGTLPTRFQLFFLIAYFASNIVWCVIDIDYSADMSAACGVIRNRTGVLST VNMVPLFLLAGRNNPLIPLLNISFDTYNLLHRWFGRIVVLEALAHTISHYGKNGWVFT PPAGNFILPGFIATCSFVFLMIQASSPLRHGFYEVFKALHILAACAAVVGLYYHLSAS PQLYKWLCYVYGVIVLWSFDRTSRMWRILRSHVGGSRSRTIVEALPGNAVRLTMTLAR PWKAAPGQHAYLYLPAISYWQSHPFSVAWYDGVEDPKNERLASTNQDLLAMQQQRISF VIRGRTGMTDSLYKKAVSAPGGRFETSCFAEGPYGGHHSFDSYGTVVLFAGGVGITHP VPYIKHLVEGFSEGTVATRRILLVWTIQTPEHLEWIRPWMTEILGMDKRRDVLRIMLF VSQPRSTKEIHSPSSTVQMFPGRPNINTLLGMEQEHQVGAMAVTVCGPGALSDEVRLA VRNRQDRSHIDFIEEAFTW FPSE_09299 MKRTNISQEPAKHSKRARHTYGRRRSTPVPVKPAESPTSTPPRS LRTLGSMKIERTPSRVGRIDFTTPALCSLELQDQNINSLPESSKPKATGSASLFTELQ SLRRIVPDSQYRIYEIIFSWLSGLLGSTEPLGQSAHPKSLLGMCLRKVPDALAVIEDW DRQTAAMEGKSLKWESSKASIELYEQLENFGSTNIGWKSLKLVVRAHAISLLATAVSE SLFEPPFVRLLADLCISLECRAEAARLVSSLKLPLTAPCGSASTLLESSAVQPLGAIL KSLYGQGTIGPSWYCLSTLIKDKKLPPSWLTSRAFQSVWTRGIEILLHRRTPVAPVID FMCTAIDQLLLEDGKALKTEEASEDETLTNVLAAVAAAIWTLGTEMNDKEPWKLHSVR RLLFTLESCALQQETHLGTTRNRGLLIVVLARFLAAGMIDKNVVSSTTKNHAIYDCLK LLTVRNGTPTQTQYRQTLLLACSVAQYRGQACHLPCHDILSEIRTLFNELGLPTWFQN GLVSDGAFVLAQRTRDLRDVAFAERLPAAGKGTLDTSTIFSGWCWEEGIGEWVLPSPG QKQRSELRHQNHLQNGTRYQAGGDQQPDSRARTRSLRGPRVVYSNNLRYGSDEDSSAG SERGSENHDGTDDSDREDENDTSATSITEFIVRGGQDSEDELGESFSLSPASKKKHSY GIDKRNSNSINTTMKTKAVKKLKRLDITRTWQATRLHSPGGSVAQQVDDDTDDELSIL L FPSE_09300 MASETTPPAQAPAAASEEPETNMEAEAQDVDVGAEALINLTIVL PDANASKMQIMVSSQEQVHEIRQSIIDLPSAFQYTCFHLEFNGEKINDFIPLTEIPEL GTTPEFHIVEDPYTEKEARIHFIRIRELIGASGDRCDTAQGLLPGLSLFETVTAEAQS SDNKEEESSEESPVKDYDFQAVPSLTGLIPPVSEPAPKTVKQISLSSWNPPPPHLRQR GHLLYLVVSTNEGEQYQVTSHVSGFYVNKSSNAKFDPFPRPAPKGQSAHSLLSLIELV SPTFAESFTKLQDYNNQKDPLATFQITNATPAAPWVVPSPNSSLCTHLPDPARSQETY LLNGVENTDTLRDWNEEFQSAKELPRESVQDRVFRERLISKLFADYNEAATRGAVMVA RGEIAPLNPTECRDAQIFVYNNIFFSFGADGVGTFTSEGGDEAARVATGKDVAGVKLV NQLDIEGLFTPATVVVDYMGSRIVGQSIVPGIFKQREPGENQIDYGAVDGKDTVAADE RFAPGFAQLSKALKVKKHPVWDKDGKRFDLEASVETKGLLGTDARKYVLDLYRISPLD IAWLDEDGLPEDGDAYPHRMTVLRPELVDSFSRYKLKQWVDENVARRAEEKKEKDGEK EGEKAEEEKKPEEGEKTEEGEKTEEGEASKEEEYQPNLADFKFALNPDAFSGQVPQTD EEKAEFAADEEEVRAAGTYLREQVIPDLLKELSESEISFPMDGHSLSRLLHKRGINVR YLGKVAELSNDGRLRCLREICVQDMVSRAFKHISGAYLRHLPVPAIPAAVSHLLNCLL GHKFNDKPVAELDPDIRSLYADADWSFEKVTPESLRENIEEQILQRFRYELDEEWYNT VRPVQLLREVSLKLGIQVQAKDYAFTADEAAAATATATATTSAKTEKIPVNGQANGES GSSKKKKKNKAREASPPETVATSNVHTFSPDDIVDLVPLIKDSCPRSSLAEEALEAGR ISLMQNQKKLGQELLLESLSLHEQIYGILHPEVARVYNSLSMLYYQLDEKEAAVDLAR KAIIVSERTVGVDSAETLLNYLNLSLFLHQIGDSKGALVYSKHALKMWKIIYGPDHPD TITTLNNAAVMLQHLKAYHESRLWFEESLRVCESVFGKQSVNTATLLFQLAQALALDR DSKAAVGRMRESYNVFLAELGPDDKNTKEAESWLEQLTQNAVNIAKNAKDVAARRLRT GIRFNTNASNPGVPSGVVPSHAGPGSQVDARSIDELIKFIEGGEHGQSKKRTGRGNPK RRGQAGAR FPSE_09301 MPPSAKRASRARREPRKAATATTATSPPVEIEESSPSRPAKRRK KVLEDEEPPAPNDDQLVSQITQHLRSQDVQASKDHSNVIHEAKGDGVKAYAKVAAQDW TFYITKLNVNIGRAPETSHNSQPTGSEEDESHIHIDLGPSKMVSREHATISFDSKDEK WFLRVKGRNGAKVDSQPVKAGQSHPLTSGEVIEIGNVEMMFVLPSEITPLTVHPVYLQ RAGVSTDTPQSRASRRQPLIAPAPPEYKRPGTPPSTQNVVKSPATSTPAVMVGANGVD LSQDENQHIKPQYSYAQMITQAILNAPDGKLNLNGIYNYIMSTYAYYRHQQAAGWQNS IRHNLSLNKSFDKVARSTDEPGKGMKWQIVPEAREEMVRNAYRVGRGGHRGSSAPSSP NQLAYITHGPRDMASREPPSARKRRGSPPASPPPKPSLLIAQSTPDRSFGRSATMTID GSPLPRPRKTPARDSSFSGYNPQSPTLTSSYLQEDGGSFVTPAPPRMHPKLAPPSTAQ RPSQHMPTSSPAPFWKYADIGSTPLKSRGGYDISPTKAGGVLPPQSSSPPRGDKSPLS SPSRPQKSSPQPLAEPTEAEEEQGFDLTKGFQSIGSYHAPVGGGASILQGHT FPSE_09302 MASDQAFGVTPPISVQLPTEAELRQSDALLEELKRQKTFESPAE TAKREEVLASIQIICDAFVRRVAEEKEPKNEVLVRNARGRVFTYGSYRLGVYGPGSDI DTLIVAPRYVTREDYFKYFPDLLVSMAPKDAITDMAVVTDAFVPIIKFEYFGISIDLI FSRIIQKQLAPDFKDLKDSGLLRGLDEAELRSLNGTRVTDEILTLVPEQSTFKNALRA IKLWAQRRAVYANIMGFPGGVAWAMLVARVCQLYPKATPAVIVNKFFLVISQWRWPQP VLLKPIEGGPLPVRVWNPKLYKGDTFHLMPVITPAYPSMCATFNITRSSMTIINRELK RALQISESIMVGMRPWSDLFAKHSFFTAGYKYYISVISAAKTKESHTIWSGYVESKVR MLVQKLEQHQSIALAHPFNKGYERQHRCQNEKEIEAVQEGSLDFLSKEDATEPTTIKI EPAVAELPIKTENGEDGKSIKAENGENGLTVKSETTEDNGPITAEPFNPETSGDTKPS ETPVVSGATNVYTTTHYIGLELAAGAKSLDLSYQVEEFKELCTSWQKYKDDLKDVVSI GIQHVRNFNLPDDVFEDGEKKPQKKSAAKGAANNKKRGPPEVFMHHHANSHCWRRCPA PNGTSSDLSQRTNAIFRKHHPLQRGNNPRLQLQAENICIPRVCHEMADSKDLDIYLDV QFAQHIKNRQVHKRLHDWSLTNYHTNLKT FPSE_09303 MREIVTLQLGQLSNYTATHFWNAQESYFTYSSDEKSLIDHNVHW RAGLGADGSETFLPRTVIYDLKGGFGSLRKINALYEAESESAPEALWSGQSVVHKQTP INPSEYQQSLDAGSEPAQLTTSNVRYWSDFSRVYFHPKSLNQLYDFELNSTTMPFERF SMGTELFSMLDREHDLVDRDFRPFAEECDRMQGIQVLTTIDDAWGGFTSSYLESLRDD FPKTTIWTWGLQSPLLDISRAKRQLRLVNTAHSIEQLCTQSTTVVPLALPEEDMTTSV SMDRRSPWHTSALMAAAIETATLPSRLTQGSSEQAGSLDVLAESLNINGNQPLASMRM SLAPAKDSPEDSRINVDFFQVGRVWSRQHVARLDTHKHVFGEILSYRDLDPLGHDDED APGHLAPGERPIIGNSVVRKYDSALRFPLLDAYPQIYPQLAGNSDASLQTTLSTNSSI VQRIRTLRTESARLVPVNEREDLGNGLADLADAYQEGWFSGSDEDDDDL FPSE_09304 MAQNTTGATASATGDADTNTGFWKELDSWKTPVTKEVGPTVEVG SKAPWSQYLRLPDGRPTLVVFLRHCGCPFAEKTFRSLTDLSDKVPNIHCIAVSHSSEE ATDNWLPQVGGTWNVDMVIDEQRDLYAKWGLGISSTWHAVNPFTMWSVFSLGKNEGIW NRPTESGSRWQTSGAFAVDRDGTIRWVQVARTADDMPDLKAAMAALGFPPPENKRRSR HDL FPSE_09305 MRPVLPTNHPLNTRAPDRPDSLVRGSTTVPTTCFITTEADLDTR RDRSRPRFRQQSDRRKCSRNSRCPSTPRQRRSPPRIANKPASPASESDESEDLSVGQD PFHLLSQPGTPSLIGLSHSGSVISMSSQGFSLAGPSIDAQSDLGQGHASLSVSDLAYN DETDQNTIPQFIMPSLTVPRRRPFSEVGKSLGKLKIMVAGQSGIGKTSLIKTLAECCE HIVHMDPIEDRNAVHATETYASSRPQPWWRSDSELTVTTRKRLSATGDVLDRNVCFVE SPGHQHGTSGPWRDLHYVESHLSSLMNKPMADSDLFTLVNSGGEPVVDTLLYLIPHSG LGQEDAEYIKRAQRMTNVIPILARADELDPEKIMHIKQQVAKYLVNKEVDFFSFEGPR ASKESECVYAVSTESRPDHDTMDASVLMNSDYIAPLVPTDLNRLVDNIFSLDGSARLR HSVAIKCIKWRRDHGDNLLQNALSSGALVPRSIPGRALRLSSFRRTPSWDRLELYNWA NNLRQSLESERLYHLMEERAISNAAARESSLVHVPKTHKKARSKKRKEPTPTHQDPLG LLDIGGTLKQKGMLALEMVSSVGLVGLVASKIVHTGLSDGMCSVMESKRGGFEVGRLS MILSF FPSE_09306 MDGKRHPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLD SEEGTPSTAIREISLMKELKHENIVGLHDVIHTENKLMLVFEYMDGDLKRYMDTNGER GALKPTTIKSFMYQLLKGIDFCHQNRVLHRDLKPQNLLINNKGILKLGDFGLARAFGI PVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMFTGRPLFPGTTNEDQI VRIFRIMGTPTERTWPGITQFPEYKPTFHMYATQDLRNILQTIDPTGIDLLQRMLQLR PELRISAHDALQHAWFNDLLVHPQQQNLQSQARAYPQAVPSQAFDTY FPSE_09307 MSEPEPPTQPSLPWRIASVAVMGAVGGLSRGFMNGFNNLEITGL DGLLGVLDRRRNEGRERGLLTVCNHVAVLDDPLIWGAILPLRYFFDAVNMRWGLGAHD ICFKTKFTSTFFSLGQVLPTHRLWYSQYGGLYQPTMTQAIKLLSGPSPASWSTASDSP LSAAPPSTQHPPVPQPLLFSTNGVDQIPAPSAYRDYRNAWVHVFPEACCHQSPDSGLR YFKWGVSRLILESDPAPEFIPMFVHGTQQIMAEDRGWPRWAPRVGKTVRIVIGEPTDV DQVFGHQRAAWRKLVAKGDPELLRNSPEAAELRISVAKRVRDEVEKLREGLGFPAEQG QAPALAETWARDPLETKFRSPVDGSLVNRHGPKK FPSE_09308 MVSAHSKRNTSRPVFTSHERALAKSHWSSSSARLHRDSFLPFGS CGLCLNIARDPVSCRRGDIFCRECALSNILTQKKDIKRAQKARAVTEEEAAKLKAHED EQEQARAIQDFELTQAGLDRKKKKGNTTETNDEKPNTKVDEPNALALVPATKRKFALD QDELDRIAQEDKIKARKSLNEEKAAKPHLPSFWTPSLTPDAQSSNLPPVTKKDKTVPT CPASSAGNPHPITMQNLITINFTEEETPKGKQRACPSCLKMLTNASNPMLAKQCGHVL CHSCVKQFMIPPSKKASSEDDPPLTCYVCDVPLTSKSQKQDAASGSSIPPGLVALQSE GTGFSAHGGNTVERSSVAFQC FPSE_09309 MSSQQALSALGHAISGSVGTAISTASLYPLDLVTTRLKAQRKSG GSTEDYDGVISALRGIPANEGGLKALYSGLGTDVAKSVVDSFLFFGFYNYLRPRHRKS TIVEELLVGAVAGGCARAFTTPISNVVTRKQMLGSEQSFLEILSEIRKESCLVGLWSG YSATLMLTLNPSITFFVNRRLAARIIPALEEEDVPIAWIAFFIAATSKASATAITYPL QTGKTRLQVGSGSGKSADAVSGVPKDGGVKPKHVLRRIVDFLNQSIYGVIIRIIKNEG AKALYAGLRGELLKSFFSHGLTMLTKGVIHRFVVRAWFIILLPRLRQRRLQAK FPSE_09310 MGSDARKGDDGTIKVASKNKNGKIKLKKPAPKHSKPGNWRDGSV IEGDKKKNGTSPVGAPSPGPVVNELDETSRETFATGRPLEDSPDLQQCKHCKKSVLKT AAKAHIAQCLRLKKEKAQRKKEAREARERAKEAARQEEQRKEDEENGIERGDDDSDDD GISAEKKPTGSKTAKKAAGKKPEDDRKGKKRKADGDPDKGPKNKKKKDEPKAKVPKPK GPVDVERQCGVLLANGQPCARSLTCKSHSMGAKRAVAGRSLPYDMLLAAYQKKNQAKQ QKAALDANAPIEDEDEANNGPVDSDEETAAVMGALSQWRPQPLIPQPTFAPIKRQYQL ARLHEQLQMATNSGRTNIFSVTGFGAQKLPEGHHGLQPAAEDAPGEVDLGVAVSGNTG WTTASFTPQRQPSVSSRA FPSE_09311 MFRDESSKVIQKAHAQWGVGDSASEGLSTQTSSSSLASSYASNA STHSSSSSASLHTHSAPSFTAGWPTSPDSTLNHQTLPPTPSSPSSSSSSSSIISKSSA VITTRPVFTQPPRLPTPIDTSIEDQGIQFYVNRYLIGHPDEPRHPGDLTNTEWLWDPA VQDIMAAVGLASLSNLKGDSSMMTVARQKYGLALRQTGRLIQTSSMPDFEVTMRSVVM LAMFEVCALTPDAVQDSSTLVKGANQGIAHVHAHVMGGVALLRRWLPMPAAAFLGVRA MIQMTYSLFIAAYISATPLPDPLYEWVSFAKTLLEPADHPATDLGPLVGHFIKVATYI KAHPFSDGQMDTAAALKQLFALDESFLEWEHRLGPTWRFRTEKAKHLPSSVVFEGVYH VYYDMFVARMWGHYRWARTLLNQHIIEFIKKYPKSSAPLMSLAEYGRRYEIVRGLARD TLVSTPTHWRHPLLTDKSAMPVERIGGAGSGSAGLPVVLFHLQVAACAPGVPDTYWDW ALAVMECIWSDMGILHAKSMMESMKAYRDSLLVKEEATELNVR FPSE_09312 MQQDRVKQGTTRGLSVCLCTKTGCSVVTVPADLMPLFNFRSDEF TNIHGSWKPARISKVAWLQGDGGNLWPVAYGLESLDNSAYAHRDSGLAAVDSRWLSRL LVSDYAAIGTAINTMYRLQFAADYMIIRRCDHYRS FPSE_09313 METINNLTATASKAIWGENQTGNKEPVSGVKGDTAHGEPYDAGN LETPQQEKVAENFNYGQENTSGLGREDPVSSTSATTSSAVPAPAAAPNHSKDTTAEQN DTRAPEQADKGNKDLHDVDDTSEGTDAKLGEGPGPRPIDKLAKEFGGDAGNVPVEGDS SKPTEVGSGAGESSSSENQPHDPKEDEYITASGFAADGGNFDATKPGAGREADRLMEQ KGVHLGESGSSEPTSHTESHSSSKHHGSSTEEKKDKPSLGERIKAKLHKH FPSE_09314 MLKIQTAAVPPSIHSSRTIHDPSGDSKSVSVRITSTPVQASEQQ YSTTRADPAWGLMNGIVGTAPMGAMYVRALYDYEADDRTSLSFHEGDVIQVITQLESG WWDGVINGVRGWFPSNYCQIITSPDDIPDSAHNGAFDVVEDDVEDPEIYDDQYDQDDD SELDGPIALPLEGTDGGDSSRADFWIPQATPDGRLFYYNMMTGDRSMELPLESPVSNN ETGPRDRMNVNIPDKTRPPPEMMARGLTQDEDDESLTSASEAEGDSSRLTTRRAKNRH RSSFGALSPSTSMDSINGASPVGRTRNGASSNGLLSAGQVPNIASATSFTSTTYNLPT TATVPRSFFDDGSTPSLSWTLLVSNMRRAIDRYREAIMNNNRSEYVAKAEDISDHLRL LLAAGSGTTDNHSGQPSIISTNKALYPHFRDMMSKFSKLVISSHIAAADWPNAESIQK CLQEADGVLMGVYSYVEVARQQRGEEIPRLFPGFVIGSTTGGSWQNNGLGPRDEITAN FLEDEEGVVEPTAILDNKMLERLDEQKRILVSSIRELDKSLVVMEKIVTPYRHEVIGN NVCFAGGRVLDTFRQWIAMIESIDLSSLGNSFQTPQLTDLGTNKQSLYDNISDLILGC QAVAGPLADEWSEVRGEALENRLDYVRQCARALETNSSHIGFSLQLLSEQVQINMQSH QIEPRPRENSFVRSTLQRGETMPYDRPHQRTESRTAPVRPPLITSQSFTEGEPAPGNM RRGDYSKVKKIFGEDPSPQVPVTEDTPEFLLLDYEHEVSWDSKTSPPTVKGGSLLALV EQLTRHDKLDSNFNNTFLLTYRSFTTARELFEMLIKRFNIQPPEGLNPIDFEIWRDRK QKLIRFRVVNILKNWFDNFWMEDHNDESKQLVRDVYSFAKDTVKSTETPGSAPLMAVL DQRLSGKDAGARRMIQTVNQNTPTPIMPKNMKKLKFLDIDVIEFARQLTIVESRLYGK VKATECLNKTWQKKIPEGDPDLAPNVKALILHSNQMTNWVAEMILAQMEVKKRVVVIK HFVSVADRCRVLNNFSTLTSIISALGTAPIARLKRTWDQVPQRTHATLESMRRVMAST KNFGEYREALHAANPPCIPFFGVYLTDLTFIEDGIPSIIKKTNLINFAKRAKTAEVIR DIQQYQNVGYSLQPVPELQDYILNNMQAAGDVHEMYDKSLQVEPREREDEKIVRVLAE SGFL FPSE_09315 MPGANVAVLPPPAASTASSRKASLAPERKYKCQFCNRAFSRSEH RSRHERSHTKERPFKCMKCRSTFVRRDLLLRHDRTVHAKDGGVPLHSDGKRRAGPKTR AVGAPSKSSIAIDTSTLEQMESGADAMFDVEAAAMLVADLHHKATAAMREDHSYEDSP SMAYSPHNASAMESTVTYPSGAIALPQVQWDGFMSQSVAEPKAHSITSSASGSFESQP SFTSGATMQPQSNQLPPINGQNCNGLVPALQSMINSLPNSAAAPPSPWVSESSKAGYK APEVSGDDERNAILDNIRNADSEHAIPEGFRLPGLGSLNRYLSTYFGLFHHHLPFLHP ASFHPTKVSPQLLLAVLSIGALYAFDQEQAYMLHIGSKVLVNQFLQSKENFSSRKCPL WTMQSNLLNMIFASWSGDPKGLEWTCSIKSLLANMVAGNRYELKLRQEARTNAKPTRA EWVEDEGCRRTYYAVYIFFGLLTLTYNHTPAISFSEFEDLQLPSTETLWNLQVADEAT WQEHLAASTTVTFMEAHDNLFQGETLRYSTFGTRVMINALFLEVWYHKRSPEALQDVV TEYKLRLALETWEKSVDMCETEAFPVPISAPHKGHPLIFNAKAMYRNARARLEVDLKA VQEALRYHEPYEVAAAMTHARDRVKRSSEMVKVIDECYNCLETVVSQGVRWIARVSPT NWSVEHALCGMDLMIILSLWLYRLEHDEEPATQEELAMYNKVRQLLVKEIDENYMSQL SAVVARLWGSILDEVVVWGMTRLMGDSFKLHSQALVGYVDDPEASSNVSTPSMISQGA DEDSVY FPSE_09316 MIVSLCALVIAVHLVSGTCDCGYSIQSPEGEGVVVFMDRLETDF GQLQNISQSHDWVAQEFTVSAEDGRGNYSKAFEPTNVAIQATHAQNNPSQGNGVELRV SSTISNDAIPGSEIDTTRLDLHWGSFRAGIKLTDIKGTCAAFFWYFNDTQEIDIEFLS QEFDHGRGVYPANLVVQSRASMEAGYDASKTGNFKRVDLDFDPTESFHEYRFDYIPGH VLFYADSKLLTQMNGSDMPTSGGHLILQHWSNGNPLWSGGPPTKDATVTVSYVKAYFN SSDHKRQSYLQQQCGLSAERASVCTIQNVTNATSHSGDMHDSDDNDQSDAAGTELSLL GGLGLGLLALFL FPSE_09317 MVYCGKPSKGCSVCRGRKIRCDQKEPSCTQCTKKGMTCPGYRNI VDLMFRDESSHVIKKAENRRAQHLVPNKKSSAGQGLPSPSPSPSTPTEPIGSSPTFII NPRPGGVLAPASKVENSNKATDRRSRKRAARCRAPVLSALNNDWSRSSSETSESPPDA NDDSRTSELDVPLDRALCPETQDKGISFFFSRYVTDNGGSHQNYVFIYDVWKPPDSAQ TQVDLVSVSMAAVGLAACSQSIQSPELMTRAQESYAIALGLTHRALRDPIEVVKDTTM LAVLILGTYEFVSGYSTHTMRAWQDHVNGAAALASIRGVAQFRTKAGARMFLMLCQTV LISCIQSGLPMPGTLIDIRRQIPPSEELMGPDFYVAYPVYKALQVRHDIKSGRLDSLD DIVNAISDVQEEISATLSGLPEDWRYHHVQLTQPDPRVLGQTCHVYAGLLQSTTWNMV RGIRMLLLETFVEKFCAIFESSSNITISEAHLQTLAISVKLLSMLGRSIAASIPQHLG VVSIRDILDRKDPAHTVSIAAKKQACRVLPTPPAHDPRFGTGDDASSSGSSPVMFDPT RSTAHTDDAARFMSLASTNSTIIWPLYMLGMSSVCSPETKQYAVEGLNAIHREAGLEQ ARVVAGLLQEQKAGHSIHNSSLLGKLPSVAPSALPSIV FPSE_09318 MGKGTDKLYITHSEWSSADAFSPSIGAGASRNQQATASFRRLPF NFCAASLQPFKNPVCTSDGTIFDVEVIGAWLEKHPNQNPVTGEPLQKKDLIRLNFARN SESDSLGAGLSDGKGDLIDPVTYKVFTDNTHIVAIRHGTYANVFAWDTVDRMNIKAKS WRDLVDDEEFTRADIITLQDPQNAASRDLNQFKFLKEGHEAQLTKEQEEERNAGNINA GALGSMGEKVSRAKAAVEKARKAREQGGDVNRSSTALTKPTGAGTVVRQSMINDKKLA VNSATYTTGKAAASFTSTGLTPETSGERALLSDEEYMLKPKRVKATGFARMETNMGDL TIELYPEFAPKAVWNFIRLSQTGYYKGVAFHRNIPNFMIQGGDPSGSGRGGQSVWGKY FDDEFDGPMTHNGRGTLSMANKGKNTNSSQFFFAYKPTPHLDRKHTVFGKVVENINVL AKMENVPTDGSNRPLNKILIKDIVILLDPFAEFQKQKQANEFQTKEREKIRLQGGTDD DKTTWTGKRIRSDGSMENTGAGESVGKYLKTATQQSTPTVNEADLEDVDTWEEPVRKK AKGGGFGNFDNW FPSE_09319 MRFLTGLLVLGMSLWTTQAYEIGLSNKEGYQEYCTGMYSKSSWG GPVDPFILVKFLNSSDSGVKDPTASLVIFEWKDRNLVGIPDPDVPGNRLGVCGDDFVK QGYCNKTDIGKFILNPDVDKKSSSMVLTKAVHLNTAAPINYAIKKTGYYCVLTDVVNT KKYDLVVEYRNAYGELEATQIPKLPFYGGMSILYALLAAYWGFLYYQHRHDILAVQNY ITAILIFLVVEMLITWGFYEYQNRHGSNIGSKVFLTIVGILNAGRNSFSFFLLLIVCM GYGVVKHTLGKSMIRVRWLAAAHFLFGLVYSLTFLSITPETAGPFVLLIVLPLAGTLT AFYVWTLNSLNWTLKDLRERKQHAKEAMYRKLWWAILISVMVIFGFFFFNSFTFASAS DPDFVPFHWKTRWFILDGWLNVVYFADVAWIAYLWRPTSNNRRFAMSDEIAQDDDGNF DLGDIGVPGEDSDDEEAEIAKPQNNPSQNANGLSFSSASRSTPQQPRNTRTGPRESLE NETIFAVGEDGDKFSDDDDSDEEDAKLVKAK FPSE_09320 MFRSLGIRPLTRLANNRCMSQPNLVNSCAARNFSSAARRYQKEI EFPDESEGERKWSTPLAKQLFAAISTTGPVPLASYMRMCLTGDIGGYYTGAIGEGRDQ FGTKGDFVTSPEISQIFGELIGIWFIAEWMSQGRPKQGVQIIEAGPGRGTLMDDMLRT IQRFPAMANSIDAVYMVEASRELRSAQKELLCGPDASSSESESGFRSASKYNGKQIVW TDNIKSIPYESDKMPFIIAHEFFDALPIHSFQSAPAPPPQPKPSSSTSAPQPPPENTK PTMEWREMMVSPTPPGVTHAQLGTPKSEQHEPPPEFQLTLSSTPTRHSRFLPETSTRY RKLKSMPNSNIEICPDASIFATDFATRVGGSDEHPKPKPSGAALILDYGTSDTVPINS LRGIRHHRRTSPFSAPGLVDLSADVDFTAIAEVAMLASEGVEVHGPVTQGDFLGVMGI RERAEQLTKAPGVEKDTVDKIDGAWKRLVDKGPDGMGKLYKVLAILPENDGRRRPVGF GGDVLG FPSE_09321 MSSKSRWADTEEDARLDAKLKEEKRRKKAEKARKLEEEKKSQDA AKQSNLEADDDRPSKRRRITPEPGAKQDEKLPPAKLLRFPTGSWGKSRSVENYEKLND IEEGTYGWVARATNKATGKVVALKRLKLEPQDRNGLPVTGLREIQILKDCQHRNIVAM EEVVVGDDVSRPDNSLFLVLEFVEHDLKSILEDMPEPFLSSEVKRLLLQLTSGIAYLH DNWILHRDLKTSNLLLNNRGQLKIADFGMARYVGDPPPKLTQLVVTLWYRAPELLLGA KTYDAAVDMWSVGCIFGELLTREPLLQGKNEVDQVSRIFELCGVPTEETWPGFRRLPN ARSLRLPKTQVATGSVVRARFPSLTSAGAGLLGDLLSLNPERRPSAQEMLQNEYFRQD PKPKPESMFPTFPSKANQERRRRVEPHAPVRGGQAASLGDADLSGIFQGRDKEEKGAG FQLRMI FPSE_09322 MRPTSSILQVAVCLTSLAPLASAWPSWFPNVDSVVVRRVAPEDL PLETPVLKPRQDTTTEEETTGTKKSPKQTNLNTAKVETGTETDTATEDSTKTAKETGN KKSGTKTGTSAPKRTTFSADVQPGGLSMTLPDTMYVPTPLIKIGDYATFGWNYTSLEG TPTAIDVLVSQSSAGETYTLTANMTFETNPTYVWDTSKQANDPDAPLPVGMYTLIIMD SDSAITDVPSPGYLAVQKTFQFGMYTPAAYTPYPQWNCDICDK FPSE_09323 MNLTQNQTGLESPANKSQCVYIPQEEYSNLLAAAHQYFYLRQNL NHCGFDDATLNALCLPTATQNTPSFADESVGDSTSTPFAPDISASLYQNQQGAPFGAS NEKRAFKGNNNLGGEVMHASPAYAGNSPDQQHRGLLQNKKRSESNRWVESAAQRSVQL LNLAPGVTHGEVATIVRGGPLLEIFVRAKDNSATVSFVRETDAVDFLDYTRTCGLYFK DRKIHAKWSDYQYVVKGQVAYHAARGASRNFVIRKRDPNTTAQSLREDLDHIHNLHVI DIEFNKDNCFVSTSSINSAIFARNCLLSRSEYKNSRIEWIADECAQPLDTLPCDAKAP VLCVKEDSSAAPAHPSRKNLMGNRFQLLDLSDTDTSNGDDSSDESL FPSE_09324 MAAQMTPSKQAASGIENLNMESPVKKLNFGTDTTELKTKIVEES KKEESKTVVSKAEEDEPILQENPQRFVLFPIKYHEIWQMYKKAEASFWTAEEIDLSKD LHDWNNRLTSDEQYFISHILAFFAASDGIVNENLVERFSGEVQIPEARCFYGFQIMME NIHSETYSLLIDTYIKDPAQRTYLFNAVDTIPCIRKKADWAIRWIQDKNSSFAQRLVA FAAVEGIFFSGAFASIFWLKKRGLMPGLSFSNELISRDEGLHTDFACLLHSHLKGRAS KQMIQDIITDAVSIEQEFLTEALPCALLGMNSNLMKQYIEFVADRLLVALGNEKVYKS TNPFDFMENISLGGKTNFFEKRVADYQKAGVLHSANKKDEEEAPKGENGGDFTFDDDF FPSE_07647 MTSIITKLVTKKILGETVSNKFGTEDPYFEHVPATRLDGKPNGK VKKRKKALPPGITDNDAKVLTKVKRRAYRLDLCLFSCFGVRFGWGSVIGLVPAIGDVL DMLLALIVMRTCMKVDGGLPTSVKGKMMFNILVDFVIGLVPFAGDLVDAAYKCNTRNA ALLEAHLREEGRKNLKKSGLPVPAVDPSDADEFDRLQTQDPPEYISNPPSRNASMSER RQRSRSRSRDRRRDDRPVEPVPARVRKSRGFFGRSRARPDDIETGEADRGSRRQRSPR RERR FPSE_07648 MSGTQMQLEDWLDDLCVRFIINLPQEDLSSVARICFQVEEAQWF YEDFIRPLDPTLPSMTLRTFCLRIFQHCPLLANFSVENHTKAFEEFLEYKTRVPVRGA IMLNEAMDSTVLVKGWKKGANWSFPRGKINKDEDDLDCAVREVYEETGLDLRAAGLVP TEHKPKYIEIAMREQHMRLYVFRDVPMDTVFEPKTRKEISKIQWYKLSELPAFRRKNG QSNDAIATPNANKFYMVAPFLVPLKKWVTSQKKMEARKASTVPHSYGPITEALYEDEA WKTDTGAETADQDPAIETLAGATQELQRLLKVQPPTQGLQGVSAPVQQDKGSALLSIL QAKDGPSGSHSQPVHQYPHTPLDLTAQAAPQPHTPHHHNQMPSINAQQPPPSFPYPPH RTAQWNAAPGQQASYHQSYVDQYPVQEGQYKSNQAPLVHPQPLPPQVERAVFNRSAFQ DVNQPNYSSIPGQFISQQQPQHGHAGQMPSQAHNPAEQSRQQPLNGQSMALLNAFRGG NSAPQQKQKPQQVPFPPGFPVQNQEPAYGNFGGNQAQQHNPLQVPQQAAAFAGGQDRT GPSPKELPGSKVGPAGNNHRSALLGMFRKDDQNQPPAQSPHATGQTAPGSMMSELVRS IGGDNARTQASPQSNAVINPSVSLEGLSLHSRTAQSATPGSQGRADYAQYGAHAQGAT ASQPTQPIRILQRGQAEQFLGVSGASASPQTSFASPSGLSAHLQPARASVSPGNAQAF PGLSQRRESGPAQKRELLSLFGKQPSPASLEAAKGKEVIAGTPGSRLASLASGVEEPA GPPSRRGSQTPISPAERTFLLDYLQSVTKNANH FPSE_07649 MVYLKTSQEWLDHSMALLEARPSTTRITTSYKLTPGPTRPDADG DTVTKPSRGALVLKTFDPISGVVIKYRTTKAQEVTRLIHASLGRLGRSQAAVPDVPEV TMADAEGAEEPQAATSTPQAAQGGGGGKKKKKGKK FPSE_07650 MEEVSLLPSPYKNLLNLAQTRKQLSSHAISQLYLNDVRDSLEVD PESNMPLTLQWACWFGALEAAKMSLEGLRRAGVDVKCKINQPFKNETLYKLRYKEAKK TGPSGPAYGYLHWGSQSGLLHLACLRGNIAIAELLIEKGADPDALDGKGLPPLAYSLN EDVVKFLIENGANVNLTDDGSGESMPSQILEWAIKCIQV FPSE_07651 MSSTPMDIDRSNTPNGASLNIHRTIGTTASVSNLSDVIGNFRPT KLFRRDDIKENRPQPHVLSLDYDDEGEFVMASASDETIQIYNVKEGRHDKSLVSKKYG VKLAKFTHTNSSIIYASTKQNDAIRYLATHDNSFIRYFDGHEGAVTSLAVHPGSDNFI SCSQDNTVLLWDTQTKNYHGKLFLRSPYLAAYDPSGTVFAVACVSSGTVLLYDARNYD KAPFATVDIVEQCRKVDSQCLNKGWTTLEFSNDGKSLLVGTRGNGHFLVDAFEGTLKA YLHKPNGGTRRLAVGEAAQAGGDANHIESSGECCFAPDGRYVLSGSKKDVLVWDTMAA PDDNKVLKPSWTLPDKREAAVLAFNPRYNFFATADQELLFWLPDPLA FPSE_07652 MSTTVGAFIAGGIAACGAVTATHPFETVKIRMQLQGELQNKGHQ PHHYRGPIHGVSVIVRNEGVRKIYRGIGAAYIYQILLNGCRLGFYDPMRNALAKFIFK DGNAQNLGINMFCGAASGVIGAAAGSPFFLVKTRLQSFSKFRPVGTQHHYTGAWHGFK SIYSTEGIGGLYRGVQAAMIRTAFGSSVQLPTYFFAKRRLVRHLGMEEGPGLHLASSA ISGFVVCCVMHPPDTVMSRMYNQNGNLYTSAADCFAKTIRSEGVFALYKGFFPHLARI LPHTILTLSLAEQTNKLVRKIEGRILPSRMDMAT FPSE_07653 MPASDVSRRPCKRCKAEDAPFRLRTDPTCRDCYIQFVTYKLNRR LGALHKDTRSSKALTTRRHLAGLSFGPSSSVLAQILSEQARHHSENKASSPYEPVIVH IDTNLSNIEGESPAQKLVGEYSRAFPHATFECVPLKEVLSVKSIDWSTLPLDAGTPDE DPTARLQRLFDALPTLTSRSDVLRLLIRHLLLQKAQDHACTALLLGHSTTALAALTLS EVANGRGFAVPIQVADGMTTVCTYEAVEGGAAQETSRLEFPVYYPLREIFRNEMKQYI DLVPSLKEVVPADPAGTKAGSSVVSHKDLSIEEVMARYFESVEEGYSGIVANVVRTAG KLERAPAETFCGSCGMSLDAEGDSRWAGEIGDDPGDGPGAAAAGRLCYGCKRSIHG FPSE_07654 MTSHEQPQDPTDAKQEIASKIKHILDGTCFAASDLRRLSGGTAN FMYHATLKQSSSRDKYQDGVVVKHGEGYVASYPSFKISTSRCAVEYESLIHLAALPPL QTPHCMISTPKTYYFNQDTNTQVQEYLPHALSLKEYALEHYSPSTQTHKPQCDQLGHA LGAWLRAFHGWSQEPEQAAMREAFAGNKDGQGLKSIINYQRLLQMVYQHPDVLGDARD VLQGVSDLAAGELLNETDLCPIHGDFWTGNVLISDVPVTDGGRIPVRVVDWEMSQLGV RPVDLGQFIAELWSLKLYRNIDAGEWLIRAFAAGYGLVDDTFAYRAIIHVGIHIICFG SYWSITPGELSEAEQRKIMIKIGKEVILKAWSRDREYFRGHLLGCLFG FPSE_07655 MAGTLVQKAAHPIHASSPDTKLDLSARPRSSNLEAVFSPVNNDG CFDFDRVLKSGYVQKRTQKTKKWKSVYIVLRPNTLSLYKNETEDRLRHQLYLSELTAV AELKDPKHKRENVFGLFSPSRNYHFQASSAQDAQEWMSLIRKDARIDEEEDEMFLANP RSAQQSSSGVKPVPIDSNQPNEHERFLSSSPEPMASSAPRYVTSAGGRRRSSILESSG MSGAELASHSDLSDSEAFRPQDSSFDSLTAKSPSNIPTGTVPRPGQGIRSTSQISVSN VEQDPDRVIWQGRLYLLRHRRGMRQWKDMWAVLRPRNLILYKDESEYTARWILPMSAV VNVVDLDPLSKSKTHCLQVITEEKSYRFCAHDEEALVRCIGAFKSLLAKRRELEARAA ATTT FPSE_07656 MASQSSPDPLDVLQAMVDDVLVHTGKALRASRKDIQGNAQPSQA TLKSKLPESIDGFREALHKLEWDIIDAKSVLMRDIKRIQAQKQQQSQQLPKQQHVPAP QPVESQSKSPMVIDLESSPPPPPQDQPMTELKANKPVAPFPDMGMGLPDIGQSDQVMK DEASQNMAQTTAPEQPKIKSSVSPAPAATAAMAPMAPMPVMEQKSLDNQNSDVMDLTA DGPHSELNFTNMQFTLAPTNNDSQDPSTTQETLFDSATFAPPEGADNILGLDDLIPTN NNSQTNMSQLPTSSGANAVKQEPAMNTDNQGSTQKTSNDNFGSVFDDDIFGSLGTGGS GLADGTGDATYEDLINGDDDTNLNYMESEFNDQYFFNPV FPSE_07657 MADAGAPSNPGQLPPPQAGAGAPGYEAGQNGQPMAPPPLHIPQN NNPIPTAITSPRSADNGGIMSPTSAGGFRRAAPEPNKRALYIGGLDQRVTEEVLRQIF ETTGHVQNVKIIPDKNARGYNYGFVEYDDPGAAERAMQTLNGRRVHQSVPYPDTTRNS KEIRVNWAYQSNTTNKEDTSNHFHIFVGDLSNEVNDEVLTQAFSAFGSVSEARVMWDM KTGRSRGYGFVAFRDRPEAEKALSSMDGEWLGSRAIRCNWANQKGQPSMAQQQAMQAM GMTPTTPYGHHQFPAHGVASYEVILTQTPSWQTTVYVGNLTPYTTPNDVVPLFQNFGF VVESRFQADRGFAFIKMDSHENAAMAICQMNGYNVNGRPLKCSWGKDKTPNAQGGFDP VQPFSPQSAQAPGFPGTPTGYYPQYGAQYGGQPGNYGGPQAGSPAGYAGSPMGYAAPQ SAGGYGRGQQPPNAEWTQPRPGQNFNNGFGGYQA FPSE_07658 MRGREDWAPQSATSGGPSQGLGVDMGMPGYGHVRLMRRKRWLRY AVQELETEKCRVLITHDVFKQPLLDNLPLHAIEFTALFPFINKHLYERNMQEFITDQV TSVLTRPEWDRQGGTPQWARHGTLYLGPSSDNPPPNSLCNTMVSFDVFSRDHS FPSE_07659 MDPQWQQYPAANSPGSSRRHNGSNQTSRDYTSQPPPQGGYKYDQ FHGGAPVHSAAAAPASAPVGNPNATASPISLSQMPDGNGDVAMHDAHDAHAGIKYPMR PHHQSHQSGSRPVNLHSPQESSAAQRYSPMETLSPTSPYAPKSASNSQFTSPTQRQSP TRQPDYSQSPYFPGARQHQPGQHLPPINPYASGGHQENYPSSAVSNLDAAFNLDPKSP RRPVPQQVIRGPVPEFKPLSSSNELQPKVNSQPPFRRANPEGGFISPLQALTVQLPAT YRICNPSFKYESSRNPRRVLTKPSKGTKNDGYDNEDSDYILYVNDILGSEEAGHKNRY LILDVLGQGTFGQVVKCQNLKTQEVVAVKVIKNRTAYFNQSMMEVSVLDLLNTKLDKN DDHHLLRLKDTFIHRQHLCLVFELLSVNLYELIKQNQFRGLSTTLVRVFAQQLLNGLA LLNKARLIHCDLKPENILLKNLESPIIKIIDFGSACDERQTVYTYIQSRFYRSPEVLL GLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVSRIVEMLGNPPNWMIEMGKQAG DFFEKRQDEFGRRTYQLKPMEQYARERNTKEQPSKKYFQANTLPEIIKTYPMPRKNMK QSEIDREMNNRIAFIDFVRGLLTINPLERWSPQQAKLHPFITQQKFTGPFIPPMNLKS SSLNRSPAPGTQQQQQAEALSKQRAQAAQQQAASAAPNPYPANANQYAQQGHAQPQMY GNNTMYPQGSSHANMPSPYGQQHGQYGQMPIPQQPQQMPQGQYAQMPQPNMYQHQQGG IRPVRQRASTMEQQQSGIPAAIQRVASHLDPSQPIRLQPSPAYYPPTGESMTGGNVDN RTAANRRGSRVQQGGRGNRDFIRNLEERTLEEGFMGNQNTWH FPSE_07660 MAKTKKVATDFEKIINEGRERKKNEALADRIFSKNRRQSAPSKL GFKSTSSPSLASRVGVRKVQQRADGRGARSNAPGNVNGEWTHDLHHSISGDLSARISA PNGPNKRANNRRTARLSAAVDRMDTSADAPQQVNIVKPKGNSAGNSSMGITIRGLAGP FTVMAQNFAPGTTPADIESAMTPIGGEIVSCRIVKSKPILIFELAFASREGGERVIET FNDKTADGRIIKVYPKLGAPTNITSPNPPRDAPSGPRGNRANRLTKDNIVDGSMGFPD LMQTAATNDSSRNNQLYSDNLAGGNRRGRGGPRGRGGR FPSE_07661 MADKLIYGGACLRRALQFFSRQRLATRPVLESQYRSFHKSQRTF DSTAVKPARATPTAGTTTTTVSKTRAPKQFNKDTPRSLEDGVENSASAEKEKLKMERA AKEEMKYLGDDPWKFSQYVRQALEKGRFEEAHYVVQTGSRRLQLVVPWTLLMDYMLQQ QQLTKAIRIFNDMKKRAQFPNALTYTTLFRGLARSEHPKLAVAEAVKQYNNLLKDTRL EPNTTHLNAVLNVCNRAGDLDSMFSIVDTINDSTRAATAYTYSIIISALRWNVHKDIK DLTDEQKDFNIRNALQRAKVIWGEAMGKWRQGRLVIDEEFVSSMGRLLLMSSDIADKK EILDIVEQTMNVPNLSKLQERSAADARKTDPKTGAVAKKDGGGVYATPGNNTLSMLLQ VVLQTKQSSIGIKYWNFLVREFNMVPDRDCWMRLFSILKQARASAHATEILSIVPQDI IGPRIYRMAMETCIRDNINLNVIKNADRALDNMMQRIKTPDPQTMRLYLTAVQNTHYH LRSRAIDGDVAGAKRAYGIQVTKALDRLWVPYRKLHDHFFRDAKAKTDQDGGILYNQQ REVIALARIMYGSFNKVIQQEMLPVEDLQKIRPIGGRINREIQAFFAQREEKEPNLRK TKGRGAAEEEMSEYYTIMEIESFWDTTQAGRPPRERRYEDRHGRERSDDRRRHNEDRR GNDSNRSGLAG FPSE_03586 MSHRARLAELKALRASGKKAFDNYKVADVDDLYDEVDEDGYKKV VRERLNQDDFVVDDNGEGYADDGREDWDRVQAYESDSEEEAGVRGRPSKAAKKSRQEE QTKRDANDRDISEYFKGANRTQPKPKAVKTKADDDFLSDLLGEVDSNIPEPVRHISKV ERSGGGRRKARALSPAPEPISKKKKIIDTRMSSPPPPALDDEDNFFPPADDDLLETAD VPMSDPAPSSPAAKVAQRKAQIKQEPKDDDDDYDDMMEVTHTGAIATTSVNLTSKRPI KKIIKAEIDPTPASSSPVKPNVGSVNATSWNALTERLNVVSSSPAEAKSIGKIDHKDA IEEDGSLNFFWTDYTELNGSLFLFGKVLNKKTKSYVSCFVKVDNILRKLYFLPREHRM QDGEETGDEVEMMQVYDEIDTMMTKMNVGMYKIKACTRKYAFELRDVPKEAQYMKLLY PYSKPEIDPNRPGETYSHVFGSNTSLFEQFVLWKNIMGPCWLKIEDADFDKLKNASHC KLEVVADHPNMVSVLSESDNLDAPPLTLMSVSLRTAFNEKDNKQEILSISARIYEKVS LADTTPAEKLPCRTFTVIRPHGQSFPLGFDQLAKKRNRGLIVLKKQEADILAFFLAQV DVADPDVILGHQLEGVDYSVLLNRLHEKKIPGWSRLGRLRRTQWPASIGKTGGNVFAE RQILSGRLMCDLANDAGKSVMLKCQSWSLTEMCSLYLSGDNRRREFDNEVALKTWAKE KQGLLDYITHMEADTHYIAALALGVQMLPLTKVLTNLAGNSWARTLTGTRAERNEYIL LHEFYRNKYICPDKQSFRSRQRAEEAQREGETAEGKKKDKYKGGLVFEPEKGLYDKFV LVMDFNSLYPSIIQEFNICFTTVDRPDTKEGDDEVPEVPTNQDQGILPRLIATLVSRR RQVKSLMKDKKATPEELATWDIKQLALKLTANSMYGCLGYTKSRFYARPLAVLTTFKG REILRSTKELAESNSLQVIYGDTDSVMINANVDNVADAFKVGNEFKKAVNEQYKLLEI DIDNVFRRILLQAKKKYAAINLVEKDGKFIEKMEVKGLDMKRREYCALSKEISQHLLN EILSGDDTEVSIARIHEYLNEIAGKMREQSIPVQKYIIHTQLGKAPQDYPDSNSMPQV QVALREMAKGKTVRKGDVIAYVITGDSNSSEPAPKRAYTPGDLKADSSLLPDVEWYIG KQIFPPVERLCANIVGTSTSQLAEQLGLDIKRYSSFQTQQNSSSNDLEIHPLDSQIPD EVRFGDCTRLSLRCRKCKALSTFEGLAATPERVSQSGILCSPCGTLISTLSVVAQMEH AIRTQTSRYYEGWLICDDTQCGNRTRQMSVYGSRCLGPKGLARDCLGRMRYEYTEKAI YTQLLYFASLWDVDKAKTKAAITEMSRPDRENILALAEHNRVRFGNIKGVVDKYLDKC GRQWVAMDTLFTKLGFKPLA FPSE_03585 MTPPVNQQNSTPANNTPATTTSYASAAGAPKKSAQAPVVATGSH PPAVVGDTASSQNAKDASSTPVNGKPTVTPAVPRSSANLNGFDHSRKSSVTMAANAPN NFVANGGPVGGAKSNIQFGFDSPGMANSTPQSTSAAPIPIPGGGNARVPSPAHSPSPI PQPSASGGRPPSGLQQASGTMTFGSLGSDGERHMRPGSVPNNPNAQSGAHFRRESGHS AQGDGAGRGNFQAQGGRGRGFNPHGNSFNPQMGYPPNNQYRNGPGQGRGMPAAFQPQP RYPNSPQPGRSPALVPSMPGTPNMQTASMQPNMAMATPPQYHYPPPMAQQHQQVQYPL SQVNKHPSKNNKKSKPTPGELEKLVQTARPQDCSRDLHGDELNQSRRFSKRLDQPWRE QIVSSTRGSSSGKPKNAFPSLCSSNPSSPDMSPETGFYDSLLTSKNQNYGYPPAPQQV DAYGRPLGAMQYNSYNMPYMAPPQANSPSFNQNFVTPYQQHSHSMSRTPSQPERPPSA SQQNTPLIVTSTPQSQGSALKATANSFKVPKKSAAIQIKNAAGEVIDTSSFKTPSSPA SSIQQSKTPPVVASSATPPPKQATPSHGRTDSHAAPKTAKQIQDELKEKIKQATQAEE KPKPAEQPEVKTEDKPAEQSEVKPTEDKAESAPPAKTEPESQAVSETKAPEPAPKVEE PKVEVPKVEEPKAEEPKKEDPKKEEPKKAEEPTKSDDPDEDELERMIREMEEEDARRE KAEEEHRKKREAEKAAAKEQEEKDRAKNTADADRKLREQEREMERLEEEKEKRRQQGE SSGTAPSLAELLSKRGSKEAEPAKVESVTDKLASMKIGGSTDAAGSKPEKRGVKPAAL NLSPLNTKPVEPAQPSAALQSLKSARFLKVIEQDIYPEGIKSPNPSLNAAVQSKGKSF KYDSNFLLQFQKVFTEQPSLEFHQQVKSLIGDERSRTNTPGGSGRGSRGANTPGPMGG FPGMGNFNAPSGSTLPPGSTSMSRFAMSNQRPGGPMGSMGRSNTGGFPSSSSRAGSQV PNSPRGSRRGGRGSNTNRGEAAKEAQAAKTMPLTAGMELKPIVQSAGGWKPTSIGKAA SSAAPPSGHMEPDMVQRKVKAALNKMTPEKFDRIADQILLIASQSKEEADGRTLRQVI QLTFEKATDEAHWASMYAKFCKRMLETMSPDVRDERIKDKNGQVVSGGNLFRKYLLNR CQEEFERGWTVNLPDKPDEEDDGKKTGEAALLSDEYYTAAAAKRRGLGLVQFIGELYK LGMLTERIMHECVHKLVDYKGMPDEAEVESLSKLLRTIGGNLDQTEKGRPMMDAYFQR IQSMMDIPELPSRLKFMLLDVIDLRRAKWVSKETNKGPKTLEEVRAEAEAAQAAKAQE AARTNQRGGGGRPPVGRGDARNFSGGYQQQAASNQVGMDDLRRLKGSANRTSSGNISL GPASMLSSRSNSGRRMGPGGFLGPRGEDSATSSRTGTPPTRENTSHSNAFSLLANMEN DHPASPPSTSASPAISKAVPADSDKKESE FPSE_03584 MPTVKRHKGSGAAKAQKQSDPKPKSTDGRPTPAEVEEEEHQFVQ LARKHWLKSGKKPAKQKVKNDVLKQNIWDVLEREGFQYKPLLLLESLQTLESYLWPGY TEESSNFHVLLIALITNVKHREHLATWTLFEDRPADFSSLFRRLLSMMIDRTLSVTLR TQLLCFFIYAFQSLDCTLVRKECAPLVSIGIWHNLSTEQSREASLEQLPHLRKAWKAA HKRYEAADEQNKARLRFERSWLYTLLLDFLGLLYTQNSKADQVLYCERFTEFLTDLQS QLPTRRYVNALVQDLHIIPAARLSPMFNDEENTLLRDLQTLLSHFTFFDINNQTGAQY SIKEAYDKHCESLAKLQRTALKHFREKLTVLALSNYGAVDQRQELQALLQPLTDEELM ELVSLLGFRTEYPDSLSLPVDRKLLLEVVLSNFERRKTFQEAARHMGLAPTEETLFDS SFQQAECYDGSHPMALPKLNLQYLSVGDFLWRALVLYRCESFYGVRKDIETAIRRLRP ESKRSDETNFAGFSKMAMPISKPAILEVVPPLVGDDKPSTVRAEVSFDVRRLGEGVRR EWDSLRQGDVVFLIAVEPSPTKSASNGEEHLSESERLGVITVRTAEIHQITDDKGRQV RDGAQSLDSKRRIQLKLDPHAYSGDAKRAAAGKPDVYGKINLLLRRGRRENNFKPVLE SIRNLVLSDVPLPEWLHEVFLGYGDPAGAYYKNLPNRERKVDFRDTFLDWQHLAESLP GKIIDPGDDVSGSFGPPYVLESVEKQSEPPSTKPSKKRRRDADPALIAEIETLKVSSY KPPSNGPYPIDNPKVNSVRFTPAQIEAISSGTQPGLTVIVGPPGTGKTDVATQVINNI YHNHPEQKTLLLAHSNQALNQLFAKIVALDIDERHLLRLGHGEEDLDTEGNFGKHGRV ESFLENRDRYLLEVRKLATSLGAPGAHENSAETAGYFNNVYVVPAWNRFQLVASDDAS TVSDIMEAFPFHAYFADAPQPIFPPEGDKEQVIEIAKGCYRHISKIFLELADALPFEI LRRDRDKANYLLTSEARIIAMTTTHAAIRRGEIASLGFQYDNVVMEEAAQVTEIETFL PLAMQKPRNGKMGLQRVVLCGDHFQNSPVIQSLAFRHYANLEQSLFSRLVRLGVPTVA LDQQGRARGSIASLYQWRYPKLDNLPSVQTSPEFVKANAGFKYDYQFINVPDYKGQGE AEPTPHFIQNLGEAEYAVAIFQYMRLLGYPAEKITILTTYAGQRALVKDVLSHRCARN PVFGLPKAVATVDKYQGEQNDYIILSLTRTSRVGYLRDVRRMTVALSRARLGLYILGR REVFEACPELRPAFDLLLQRPDKLMLVTGELWPTPREVTEELGAVEGEVPMEGVEHLG QYVFEMTKTRIKQLQEEQGEPIIEEEFEDGEGEGEGEYGNGDDGEDEEDDIEADILEV REGE FPSE_03583 MAVQDTDNPAAPAISAFTTLLEDLLSQAEKSKESATIEPALRKS DFDDLSGRITTTAAEVASPADEGAKPANDKGQQFAIIETAARNIFSHLIATTTIDSPD YVKVWNLLDILSILSDDGQCDPALLFWLAEELLDSQTIAGCRKIFDFLESRRERITAN HFKQKQLIILRTCNELLRRLSRAEDTAFCGRVFIFMFQSFPLGDKSSVNLRGEYHVEN ITTYEQGTADDDSRMALDGPDEQPKELSESKTTPKSTDAKKEDKEKPLPTDELYPLFW SLQEYFSQPKKLFETTSLSSFKESLAATMNVFQTVHNDSKRSLKRKRETGEEDESFNA FNPKYLTSKDLFDLEISDLSFRRHILVQALIIMDFLLALSKLSRDKLAETLPATANIN KAVMYGDQILSEEDAKWASDTKKTIADYLRQGPDGPYFYRMVETVLARDKNWVRWKIE GCQPIKRDPVAPPVFIEAKGNVQRLATSKRLRAVPMGSVSLDFLREEDAEAAMGRLKA KERYELPELDAFKRKIADDDFEIEMPTNDQTKAAAVSGKASKSWRALRIAARTKLAAF DKIEDPKKIDIVFEELTEADDEEDAAEPTASDEDMPTTREPIIVSGITGVGYSTIINK LMEERKGVFATVVRHTTREPLDGEVNGKTFHFVKQQEFNQLRDGDRLIESGTRDGVDY GTSSKAIDAVMETGKVPIIELDIEAAQYAKDMGFEARYVLIKASTPEALEQRLKALEK EDSTVQEILKRLPTELEPEKADELFNTTIVDDDEQAAVKILDDYVYAKSEDEPTAEPG SGEDTAMKEDDEAIADTETKEATMTDA FPSE_03582 MALVNVRRDVSDAFYRYKMERLQTKIEGKGNGIKTVVVNLSSVA ASLARPGSYVIKYFGFELGAQTNIDPKDDRWIINGAHDAPKLQDHLDGFINKFVLCKK CKNPETDVVIKDDHITLDCKACGKISDVDLRLKLSGFILKNQPKKGKKDKAERRAAKK ARQNGNAANGTNGGSDEASDNGSNENADEDAGSDDEFQKVQAEAAAAAQENEVKEHEW AVDMSEEAVKARQASLPGEFKAKLNIGDDDDEDGEGGPTIYDELGDWIQSQAEEKGGI DKVESIDIYVKAKELGIEGKHRTVLVLVQTIFDKNIVAQISKRASMLKQFVTSERHER ALLGGTERLVGTLGADHPEMFQSIVKILQLYYHHDLISEEVVTKWGSKASKKYTDIST SKKVRKAAEPFLTWLAEADSEEESSDEE FPSE_03581 MFILSPSLISGVAALLLVAYIITRLTSAIAKIPGPFVSNFTSLV LKWQELNANRTVYIHELHKRYGPVVRVAPNEVSFTSIAAVKEIYGSGGSGYDKTEFYN LFQVYGKRTMFSTLVKGDHAKRRRMIGDRYANSNVMKAAPLAGIKERSSKFLQHCVES PERTADVFDKQDEEMMHQVAADDSLQNRLVQYYSPVLHNLGSKVLASFAKPRSIPLAD NFVIENAQQNGAANFTVLNRLKEKDSVLDTMDMAAECLDHMAAGIDTTGDVLCFLMWE LSQPRSIKYQRLLREEFLRKADMPFDELPMLDAVLNEGLRCFPAIPMSLPRYVPQGGR VIDGFTLAEKTVVSCQAMSVHRINDDVFPEPDKFYPERWLAAEGDADRRRHQWAFSSG GRGCVGKHLAMVEMKTLLRDVYSRYETEPDESMKIESMVMDDQLISSRPLGKRCLLKF VPVKD FPSE_03580 MRNFLGKHSNRSSHALNEPRTPPKAPATATTTTNNKSNQPPPTG SAVAPQAQPTGHQRQPSHQPQPQLQQQQLQHQQLPQDQHYQVQQHQQLLDEADSASHS AHFGHPQSHSHSHNSASTNPSSANNPSTINPHSALSSSHNTAFSSSESFDSQQPSQPS HYLQNQQPPLHLQHPPQQQTQGALPLLPSPSSASASASAGAPPPPHLYSSPSAASSTT GANVTNNPPLLQQQQSSNLSNVAYDPHPPQGEFHDPGLGRSQSVRYSATAPYDNQNQS VDDLNRYSQILPQQLQQQQQAQTQAQTAPAEKRSTRKLIKGIFSGSNRGASDSQHHQG ISIGHSNNQSYDNTGGLARRPSKRVQQLPESSQRSHLPQLSSRKGLDDINEHYIAHQS NEQSLSQTSRISLHNTIRQVTGEVDHQQQQQHKEDAAYHQSQNQKQAPLQQPHVQLHT DDQQPQSTYETNAYDHQQTPLNQPQQPYQFPNAQQQPGYHQGGNQGGELRSVPAHLGP STQFQNPETVSQFSHESPIADSDQRSANLQSATNSPAVNHSLYIQQHGSTPSLPPAQQ ITPQPLQPGMAPPTGGPPPSRRPDSDKLRGQTDVPGGPPPTYRPANSMNNMNPLPPVP PQAAGQPQAYRGDRAPPFESQAVDQGRDSPQPVSAVPADSSDGDKFKDLLTKYKNVKR LYFDGKTQIEGLNGQIVHLQNAVANQRMSQSRTALDDSEYSTRFNRLNGAINNLSFNI RKDWRCVPQWLDKYVSAEALKTGKAEMTAVGRAVISRWLMEEVFNKCFHPGLDTQLSQ SLKEIELGIRSNSYTLTSQEEFDALTSKIVSWRMTSLDGLHRQLNSPAATENRNNFIA KTTTNLTACLYQFLNTPPPPGVDGSASMIVELAVGIAANLPLESRDVAITYPLPGEIV QPHVMEVEKAALPVLEAQKGEGDGGDKDADDEKKVKTGTLNKAAPPESGKDKDSSKST RMDADKDTFVAALPTDSNRVRFAGFMALEVRGRQVLMKAPIWTL FPSE_03579 MAVRAQFENSNEVGVFATLTNSYALVALGASENFYSVFEAELQD IVPTVRTTIAGTRIIGRLTAGNRKGLLVPTTTTDQELQHLRNSLPDSVRIQRIEERLS ALGNVIVTNDHIALVHPDLERETEEIIADVLGVEVFRQTVADNVLVGSYMNLSNQGGL VHPKTPIQDQDELSSLLQVPLVAGSVNRGSNVVGAGMVVNDWLAVTGLDTTATELSVI ESVFRLGEGQGPSNINTSMKDTMVESFY FPSE_03578 MATEEKPQHLEPSKLGTKQYWDDLYANEISNHSADPSDIGTVWF DDSDAEAKILEFLDGLLDPSDPDSPVLSHDKSTFLDLGCGNGSLLFSLRGEDWSARAL GVDYSPQSIALARQITATKDDLEEPVEFEEWDLIAGSYDPVLNGEQAQGWDAVLDKGT FDAISLSGEKDTQGRRLCECYRERVLPLVRKGGIFLVTSCNWTETELKGWFEKTDAEG FEVVGKVEYRSFSFGGHKGQTISTLCFRRV FPSE_03577 MADAPNSGDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALG AERTREELIPFLDESVEDEDEVLVALSEELGGFVEYVGGSQWGHVLLSPLENLAAIEE PVVRDKAVESLNKICSELSPQQVEEYFIPLTIRLAKADWFTSKVSGCGLFTTPYNKVS PPVQEQLRQQFGLLVHDETPMVRRQAATNLAKFVKEMPATIVVDEMIPLFQHLAQDDQ DSVRLLTVEVLISIAEAVPKEQQASHGVLLTALRNLIEDKSWRVRYMIADRFEKIAKA VDEEVVSRDLVPAFVKLLKDNEAEVRTAIAGQIPGFCALVDRNVLLNDIMGSIEDLVS DTSQHVRAALGTQISGLAPILGKQETIDHLLPMFLQMLKDEFPEVRLHIISKLELVNQ VIGIDLLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVQFFDEKLSNLCMGWL GDTVFSIREAATHNLKKLTEVFGVEWASEAIIPKVMAMGNHPNYLYRMTTCFAISTLA SVVSMDVIAKSILPMLDKLVSDDIPNIRFNVAKTYRVLISVLRRLPDEGTLYDLEKQG SEITPSPRGSELIQERVVPNLEKLQKDDDVDVRYFATTAAAEISGPIAGGEPMNTSP FPSE_03576 MPTISVDKYKLYEALGQKFTTEEFEDLCFEFGIELDEDTENDER PIVNGEQEPPQLKIEIPANRYDMLCFEGIVSNLNVFRGKTEPPKYRLVEPSSGKLESI TVKPEAEQIRPYVSGAILRNIKFDKSRYESFIALQDKLHQNLARNRTLVSIGTHDYDT IKGPFTYEALPPKDIKFTPLNQTKEMDSAELMSFYENDKHLGRFLHIIRDSPVYPAIY DSNRVVCSLPPIINGDHSKITLDTTNVFIEITATDLTKLDIVTDIMVTMFSMYCAEPF TVEPVQINSDHNNQTRVTPNLKPRVAEVEIDYLNSCTGLNESPESLCKLLSKMSYTST PSSKDANILEVAIPPTRADVLHQCDVMEDLAVCYGYNNLPRTAPSRSATVGAPLPVNK LSDIIRIETAVAGWSEVMPLILCSHDENFAWLNRKDDGNTVVRLANPKTAEYQVVRSS LLPGLLKTIRENKGHSVPMKIFEVSDVVFKDETQERKARNERHFAAAWYGRTSGFEVV HGLLDRILLMLRTSFLTHEEGLSGKSIDFEVKENPSKPDGYWIEELDDATFFAGHAAS VYLRLGGKERRVGEFGILHPSVLEKFDLKYPVSTLEINLEVFL FPSE_03575 MEARKIVESVQGIYGGQTTHGTLRLTDFHLVFCAPVDQSGNPPD PSQKPKVRERWIPFPMLCHCVFRPVPPGSRQAPSIRLRCRDFTFVTFNFTDSEIARDA FDFIKSRTCKLGTVEKLYAFNHKPLKHEREVGGWSFYDPKAEFRRQGISEKLPDRGWR ITHINKDYTFCDTYPAFLVVPTKISDNVLKYAKDFRSRNRVPALSYIHPINNCTITRS SQPLSGITRKTNVQDEKLVAASFNALFPPGSEDTTPLSSQADVSLVSSTTEPELSETE RYEDELISKSSAVYDASGKRQVYGAQQSNLIVDARPTINAMVNQVQGMGSENMDKYKF ARKIFLSIENIHVMRSSLNKVVDALKDADISPLPPNRELLHQSGWLRHIHNVLDGSAI IARQIGINHSHVLIHCSDGWDRTSQLSALAQIMLDPYYRTIDGFIVLIEKDWLSFGHM FRLRSGHLNHEDWFNVQKDAFAGSKVQPGENDGRNDAFQNVLSGAKRFFNQNKDDPDL ATVVETAPGKVVDDEATSPKMISPVFHQFLDCTYQLLRQNNNRFQFNERFLRRLLYHL YSCQYGTFLFNSEKQRMDARATERTSSVWDYFLCRRAEFTNPDFDASIDDHVKGQERI LLPRLKEIRWWHQAFGRTEDEMNGGLNAAAAAESDRQTAISNLQYPSVIQADADPRSS TSSSKSGPPSVLAGVETAHETLTPETRHAPIERSASAEPNGTTFAAIRDGIAGLNLGK GMLGQLTSTGESASSSNPAPAPTRSDQELSEMA FPSE_03574 MAHPGSQISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPAKDFIDHATRHVLLRQGVLGIKVKIMRGSDPEGKAGPQKTLPDAVTIIEPKE EQAVLQPVSQDYGAKAAQAAQAAQDARVAEEEGGEEVQAVEQ FPSE_03573 MIEDKYIGLALAMTSALAIGTSFVITKKGLIQAEERHGFEGDGF VYLKNPLWWAGIATLALGEICNFAAYAFAPAILVTPLGALSVLIGAVLGSYFLKEELG TLGKLGSAICLIGAVIIVLHAPPDEEIETVDEILHLAIQPGFLLYAFAVVGFAVFMIY RIAPRYGKKNALIYLSICSTVGSISVMSVKAFGIALKLTFAGHNQFSHPSTYVFMILT AVCILTQMNYFNKALANFPTNIVNPLYYVTFTTATLCASFILFTGFNTNDPVNTLSLL CGFLVTFTGVYLLNLSRGDPHGQRLSAGRGGSDATGTDMVSGLQTRLSMQARRSGDPS RHSISSQRGDREGLIRAYDEEEAAGFALTDLADDSDDSDDDLRRTNGNGNGNGKATYD NSIELDNRHKSGDR FPSE_03572 MTEIEMDIDMAKSGFDGQNEDDLIDFDTEMADSNQDQQKLDGNP EGVDREMEEDENVVNHDAYETNGMMGEDVEFDLQDVDDTAHTSEPVDYEVSEALELQT QESAVITQSSNEDEREYDSNTGDLNETTTQKDDILDDHASAHEIDYEFEDDVEPDKPQ QDVTTEPINQSAEFVEEQATDPVATSQKTEEAGDSTPVFEQDAAEQVLEERHDPSSHG DENEPPSPQETLAEYEKAAPKSVEAEDFGADEHAQVNTPKDNQEFHKEEHIASHEEVT TSGREQVHETIVEVSVAKDDSYDVGENEHDGDEAIDPEHAELSASDEDLNGKPNDDFP AITVQYKGDEFPMFSTTTNSFFADTSVLDQPLEELLAGLRTELENEVATDDDLVLQVD ELGLEISEATHGELMSNVTFRQVLEIFDVLVKNQDPDSSRTMYTYLFTKPNVERRLES LIESATAGKGLDEVIHLFEAPMTVDTSMLETTATIDGVHEELDEFDSPIDEKAGEVQN GNDFHNETEDAEVDDEHSVNEHTNLDADTLEVQEMASRKGDSQDNNEVATEAQVATPA GASTTAETPLEIIEEPDAAAEKADDEQNGKTTSPSTNFLCCYYPNFCLCKPCVSKYVE KHNREEMEYRHSVRGNDGPVHNPQTNRLALNSLPYKHAHSASDFSTTFSYNETDEFCP ARAESDVDPFANFELDDDAEVNDDVALEATEEVEETEEVEETEENGFGEPEVTSAQTN GTSTTTTLQEEEEAAFFSIDLGEVSTEAEATGKVDTEENGLDEIDWRDEPEADDQEPT TPSAAGKRSRGDDDEADAEVEQDAKRRRP FPSE_03571 MVVLAASICTRGGKAVLSRQFREMPRSRIEALLASFPKLADSGT QHTIVEQDNVRFVYQPLDELYMVLITNRQSNILQDIDSLHLFAQVVSSTCKSLDEREI VKNAYELLSAFDELVTLGYRENLTISQIKTFLDMESHEERIQEIIARNKELEATEERK RKAKQLEMQRKDAARSGRPAAGAMGGMGGGMGSSGGMPRSPSYPSYNPPSQSNTSTYD SYEAEKNKSFGKPLAPKAKGMQLGKKSKTTDMFERVRGDMGSEIDHSPLVTPAPQQQA ETPEPRISSTLDRDAIHVAISETISAKLSREGAVNSLAVSGDLVLRISDPSLTKIKLG LQAVASHGVQFRTHPNVDRNLFNSSKVIQMSNTSRGFPVNNAVGVLRWRASPKVDDPS ACPITFTVWINKDADKYNITVEYELTGGVALNDVSVVIPYAGSEPVISSYDATYDVSG DAIEWSIGNVDDENSNGSFEFEAESGDENDFFPMTVRFNKNTPYIDVDVNTASLLEED EEVTFSKEVKSHADNFLVE FPSE_03570 MTQQNQLSLFSVTELQDRAPDGYPVSCPTLDLSATWDAADRNLL VYRPPGQVVSKIHQVGPPGQKAPDAQAVTWRSDGQFLAVGWSDGFVRLMGLENNKAAH HLKVGDTPGNKITHIGWASSSITDNGSNVVNRALGNSLGDGLTLDGNSLPLDLPRELT FLEVDTALPKISPLPNSSAGSGEDALVFTLRTGIEFLFQPPKPEEYDQVSVMIVGTSD GQIQISIYDSFIIGNFECPRIAPSISSQLVLHASHPKVSTHALLFADKADGPTGVHLV PIDMPFIHSSPINLSLLASKLTTLQKLLRYLKQSQLHMQVEWRNTRELPTRFLRSIEG DIESLETGPRSVVSALYHLAVTGHAYEPVREWLVESLAERGHKRWDKAVVSGLEGLRN LVHENYLPALDRCAIILSRLRGLAQYHHTRDDIGFTLNQINGLMDIVQCLQLVGHKIL INVMDELENFTAFSTWLRFQIDRLASSSSMSEELTEKEATMDVGKVLTYIEHYLTDSP LKIFFDEMRNEEYEQTCEHIENGSSLLRVLDQQLAKWENGQPGGLQALSRVEFLVSYV TSQANRTFSGIAEAKKRSVRLGNPIRLSTGGAISHMDMRMNKTKNQKTSVMTALATKE TMNEVQIFCIGIDIVNGISSNRSPASCRIDLGTRTPIAFKFLNDETLVIMCNDQDNAP QVICVPIQPDKLSYSDYNPDNPREAYCVSVDDFTTYSFPENSITRPLRLEVNDRNSVR GDMPARVCVLESNRTTLKTFAFPSAKS FPSE_03569 MSLSPPVHNFSRSFDEADDTSTSGTSPAQLNLQRLFEQSLGGAS ASKKDAQNPATPAPSSAGAPPKGKPRLLLMGQRGSGKSSISSVVFHKLPPNETLFLES TARIQKDSMASFMDFQVWDFPGQIDVFENPGFDIEAIFSEIGALIWVIDAQDDYLEAV MRLNTTILFLQRTYPNINIEVFIHKVDGLSDDYKLDIQRDITIRIQDELSDHGFENAP VTFHLTSIYNHSIFEAFSKVIQKLIPRLGTLESMLTNLCRTCRFEKAYLFDVLTKIYI ATDSATADMASYEICSDYIDVIIDITEVYGTWQRSDEGRRRLEGEPWSTPIDKQIGCN TAESCLVLHDGNKPIMLREVDRYLALVAIMKEDSYDSMPLVNMNVEAVVEGLTEFFNI TKPRQ FPSE_03568 MHLSPVMTMPYIPSNITPRPPNSKPRQKQEPSSPTPAPILVLGE RRVKPRLSKPSLDPVLESDQRLSRDVEQMVSGDANRVTRKHSLPILSRIRGEISDEPV ILKQSDEVGDRIRCASVVVAEIKTNVIIEDEFTFITELSEYLSIRYNRPASCIVTTLQ HGICIHFGGSCDPSYTMKIGALDRDMQPAANKRNIALFQRHMEQALGIPASRGYLRFV PVPEDCAGWKSNTIAGEISEAKDRAQAVTERRGSIRAPRRRSSKAFRETISKAATSVL TETTQQNDITTRVPKGDPVADKLVVGDEAHSGKDGTKVVKRRKSFIQALFPRSSSRIT DRGTEVGK FPSE_03567 MEPTTTNMSIGTKTEISASNFETDVESVRAEPSFRLYRLVDSAR LGLTVLALAAGLTILGLSADTIAVYHATYVPEDWFLQLWPSNFDMGPSIALVVGGALV VVANFVSLIADKIQTVRGNATIKAVIKFTPPAIALIAAIISMSYFYGVNASTTNDSLQ SWTCRWKDVTMTVQPHFGTLCKQSKASVGLAVMLVPVEAIVLGLAAYQFVLEKRFSMT SHGSGRKAGSPALS FPSE_03566 MGKDMDPSGGPSRMNMDIDLEMGVRDEKHSLPKLITINIYCSTA IGIVKLTKRFATTTISISNSQIYNNSTSVMPAPSMSNPQSLDSAAFIWNYNLPPARLV PIQYGSSWAGRSGSPSWTGCTSSATIPSNLHACSESRDEALKYYGLGFGFARGPGQIF LDPEHDILYFGPRDGYMAADSQFQTIMSMCDPEELALVRRLAINDALFWVDDSYRSMT AASLTVELLRRIQARMPGVEEIIFVPRPEQSRGPETYIEPSMVYMRMAHQIQTALATL CEQTPGWTPPCWRILPLSAFQSVGAA FPSE_03565 MATNPSTEPLPRIQSTDLQEDEDSIICNQDEAEAILQPALSEAA RHLTSQNTPAIEKALALDKSRAGFFGVPTDRAGDTSPDLSSSPETSPQVPQFPASAKS PVPTSPEPPQYALPSPWQARPKPPVTKGHTSRPSGSILGNALAPARNRSKSAGQEALR RLQRALPSLSPPTHLLPSMSNSFFSSSQDKSSANHSTTLSYSSPTTRQHSPRIPSNAL GIAQPLSQQIRRPASNLEQLNTPSPPVRPKALRRVTSDDTRLYHSLSRTSSLGDDERF QDVREMVNIRFMALKDSLPDVPNFKMPSLPKLYSQARKSTISLGTSHTPDTPLNHSQV PKSLDIDSQDGSAVLDRVLESLTGDLVIMGGYRGSVLRSAEPPYHQVWAPVKLGLNMR KVNLEVGLEDEDEERMEETIKPDGMLKHIGPVDVSRKFIKKLRSCDNAKSGKLRIWDY GYDWRLSPRLLSRKLQEYLQKLPSNQPGTPAGSRGALVISHSLGGIITRHAVNQRPDL FSGVLYCGTPQRCVNILNPLRHGDVVLLNEKLLTASVNFSMRTSFVFLPEDGFCFVDK NTGEEYPIDFYDPYEWIRWHLSPCMQPALPPHNRPQSSSFSSFLPNSLRARAESRSEK GPPSPTAVAQDHNPMAPQLNGSGAKVEEQKPSDLERKRYLNYLGRTLAATRKFRSELA HSPAHQETNAYPPHAVLYSKSIPTVYAAQVTSREGICCSDAYDDLLFRPGDGVVLAKE AMLPDGYSIVRGGRVCTERGHITMLGDIPAMGKALEAVVRGRRKGIGMGVGGDEAK FPSE_03564 MTTLEPRPPYSQDEIKQLYPLQLRLQHVQILLRHGERTPVNARF ASAGLATYWPYCSAARRMTSAILDPSMPSSQLDSYSNPGITALEWKRRIEKMGPDDSP IIATGQNGELDAICEMGMLTDRGRETTYNLGQRLRHLYVNQLRFLPETLTTDTDTAAI YLRATPIPRALESLQQAFYGLYPPSTRGSYPGIHPPTILTRSPAHETLFPNDGNCRRF ATLARAFAERCAERWNDSEEMAYLTKRLGRWMPEDNPRVAVNSRPRLSGIMDTINSTK AHGPGTRLPKEFYDPEVKRILEKIGVEEWYAGYKESEEYRTLGIGALLGDVVSRMVSS AEHSTADGEYELATNKQGSITIHPSIKFAMSGCHDTTLAATLASLGAFNEEAWPPFTS HVAIELFRHIDAGTTAPKPGSALSFVGLGNKSTPVAGIPPPGIGRKKSSDLTEEEKER LKGYYVRLRYNDRPVTVPGCKYQGKHFDGDESFCTLEAFKEIVDKFVPTNWRNQCRAN MKESAFPSKPEPSGY FPSE_03563 MQDLNGPVGQGAPNGPANRFGHASKPSNSDTGFSHGAFNSNISG FADRHPRRGNIPSINTQPQPMAQQVSNNGADMATPGTAFDMQFTPLLPSQLLLGSPFQ PGTPAAFASPQFQSIPGFQQQQGGHHQQNGISSPVQQTISPQSYGGIVSPSTYGAPQF YPPQSPTGGYGMQAPLPPTSPVSMGSGVVTGTSRTVYLGNIPPDTVAEEILGHVRSGQ IESVRLLPDKNCAFISFLDASSATHFHSDAILKKLCIKGQDIKIGWGKPSQVPTSVAL AVQQSGASRNVYLGNLPEEVSEEELREDLGKFGAIDTIKIVREKNIAFVHYLSIANAI KAVSQLPQEPKWQAPRRVYYGKDRCAYVSKTQQQNAAQYLGIAPGYAHMLTGADRDLI SNALAQQSVAAAAVATTAGGINNLGNRTIYLGNIHPETTIEEICNVVRGGLLHHIRYI PDKHICFVTFIDPTAAASFYALSNIQGLMIHNRRLKIGWGKHSGALPPAIALAVSGGA SRNVYIGNLDETWTEERLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAIKAIEAIR GREDYRKFKVNFGKDRCGNPPRQMQQAQSPRGDGVSSPPPNGSQNSGSPTNGNTPQQS AALFNANSNPLTMYLSQVSHQAQQQQHHQQQQIAAQQNALFGTAQPSPSDLDLAMSQQ VPVSGHGQSASISNGYPANSAAPGSTTIGGLLAPGRGQHSRAVSLPVLAPGFENGGNS NGMPAENERRGHHYQASYGGMGSGFGLAIQGGLNGWVEEEVAN FPSE_03562 MAGTSVDEAQNYCLKRRKTDDTASAQKQREALNRVSEYPRREEE ETKVGVVSGNRAGPIQARPVQSRIIND FPSE_03561 MKLLNLLPLAGLAAACAHDHDDKQWTKEELNELEDKWGYEWPFA GINTFAHLKHVKCLTEPTEPFDIAIVGVPFDTAVSYRPGARFGPRAIRSASARQTSMR GFNPRAGVNPYQNWAKIVDCGDVSVTPIDNGIAREQMTQAFKQLGRRRTVSALAPKAR LVTLGGDHSLALPALRALNEIHGKPIQVLHFDAHLDTWNPAAYPSWWGATHFNHGSMF WMANQEGLLSNSSAERSVHAGLRTRLTGTDFSDHEDDSSQGWVRFTADDIDDLGTKGI IDGILKVLGTENPVYLSVDIDVLDPAFAPGTGTPEPGGWSTREFIRILRGLEGLNLVG ADVVEVSPAYQNGGEETALAAAQVVYEIISSMVKRGLQDGGKEGNGGNAAEAKDEL FPSE_03560 MAVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYA VTVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFP EVRHHCPGVPCLIVGTQVDLRDDPSVREKLSKQKMQPVRREDGERMAKDLGAVKYVEC SALTQYKLKDVFDEAIVAALEPPAPKKKSHKCLVL FPSE_03559 MNFPRWTRKDKRPAFLKVRSSAGLIVTTCSFAIFTDIFLYGVIV PILPFSFEERVGISPDRVQYWVSIALAVFGAALLAGSPVWGYLADRNHNRKIPMLIGL VVLCGATVFLCVGRTLALFMVGRALQGISAALTWTVGLALVVDTVDKEHIGKAMGWIS TACSLGILVAPLLGGVVYGKGGYYSVFAMCFGLLAVDIALRLAIIEVKDAKVWLDRTA TTPSADPESVVEGAIESKAEINEGAPVRTTDDQAKEVSDPSRSPIKTLVKLLKQPRFL AALWGTFVQALINTALESTLPLLTHEIFGWDSIGAGLIFLPLILPSFLGPVVGMIGDR YGPKWLASFGFLFATPFVVCLMFVDENTIQDKILLCGLLAGVGITMACIFGPLMAEIT WAVQGEDGTGGAGQIAQAYGLYNMAYSGGSLVGPIMGGMVKDSSGWGTVGWSLGIVVF VSGIPTVLYTGGPLKHNFNIKGRRRRNSAED FPSE_03558 MGQQHIDELLERYLGLLDEYTQLRQTLSQLQSGVYQNIARANFS GERGMRYGQDHYDERMQAIRVLSIGQNEHQSPIFSLLNATEEEEEQGKEKEEEQGKSS VAEELKQGPKPETEAEMPAEKKRKNKNPLHWFGLFAPMGLRTAQTQSIKAVEDVIPRL VSINAEMLDVEIEVRRARKRRAKAEMAEKRTGQATTDVQSQSPALEAL FPSE_03557 MATHYDAIIIGSGQSGNPVAKAFANAGHKTAVIERTALGGTCVN VGCTPTKTMIASGRAAYMARRGKDYGVHAGNGNFEIDMARVRQRKRAIVEQWSSGSVR GLNAAGVDVIMGEGSFIGDKKLKVVLNDGGEKEVSADKIFINVGERPSRPDISGLDGV EPARVLDSTSIMELDAVPEHLIVLGGGYIGLEFGQLFRRLGSEVTVIQRAKQLVPRED PDVAECLYDILQQDGLTVHLSSTVNSISTTTDSMMPFTVNVQTANGQTDVAGSHILLA AGRVPNTDRLNLSEVGIKTTSKGHVVVDDKLQTSASNVYALGDCHGGAAFTHMSYDDS RIIRTNLLPKTMASTTPAMPTTQSSISRVLTPYVMYTDPQLGHVGFHARDLLNSKREV KIAKMPMSYVARALETAEPRGMMKATVDAKTGEILGFTCLGLEGGEIMSIVQTAMMGN LKWWDLEAAVYAHPTLAESLNNLWGHLE FPSE_03556 MSGAPRNDTYPYAFASTSASSSSQTPNSDDRDELDFLDDNASND SGRGRSRDRDHVLGEDPLQGNLSAPMTFKRRQGPSLWSAPSRLLSAITGSRSNASSRG ASPAVFPGSNTSPRAEPVVFNEATKDGAPHDWYVEGPGRRVGYEDLTAIDWIFEYTKE RQRLRMLYSSASGVIGPLRRLIDASQVWIVLLLTGMLVGAVAAGINVTTDWLGDLKEG YCSSGPEGGHFYLNKAFCCYGYDHNSKCEGWKSWGDAVGVHSKGGKWFVEYLFFVSLA MLFAYVSAVLVQEYAIYAKHSGIPEIKTVLGGFVIRRFLGFWTLVTKSLGLSLAVASG MWLGKEGPLIHVACCCANVFTKLFRNINDNEARKREVLSAAAASGVSVAFGSPIGGVL FSLETLSYYFPDKTMWQSFVCAMTAAVVLQAFDPFRSGKLVLYQVHYSIGWHGFELLP YAILGVLGGIHGGLFIKLNMAIARWKKANRWIPGPFIQVLIVAFFTALINYPNFYMKL QTTELVSNLFSECSQVLDDPIGLCRTGAASAKTIVLLVFASVLGFFLAAVTFGLQIPA GIILPSMAIGALTGRAVGIIMEIWVTNHPGFFLFGSCEPDIPCVTPGTYAIVGAAASL AGVTRLTVSIVVIMFELTGALTYVLPIMIAVMISKWVGDAFSRRGIYESWIHFNEYPF LDNSENNDAIPDIPASQVMTRIEDLVVLTATGHTISSLTTILEMHPYRGFPVISDPRE AILLGYISRAELAYNLSAATQAPRSLPSETETFFSHQPMADPRTTLDLRPWMDQTPLT RFSHTSLHLVATYFQKLGLRYLLFSDRGVLQGLLTKKDVWYVLNGAEETRRTMGLAST GAGHETGVADRAPDDIGGGESSGLLRGDGADDGDSIQGEEPML FPSE_03555 MGETTPTTASQPADINKILSLLKAKDDTQRFVGLALLKSILDGS EKLRQDEQTVQSLWSSLSPKFLDRLLRTGSNPSTKNSKEMLDLVVSVLHIFAILLPDQ ATSDVKFINRIPLLVNAVLYSSDDTTKLILQLLHTLVSSQQGAQAFIKIEDFSSLTEI APNHAQVLDILCFAWLNSMTGVEDHTALAKQVDDTLQSLVSSFTGTDGVTLLEFLGYF LRHANSIILPRQPKWLKNVVNHIRKLVTSRPTPEARAAYTNATASILQAFPTEARKLI FTDDRKEEKAFSYLLINLLLIDIRASAPSLLEQLNKPEYPKASTRLTSAFDVISIFIG YLVECLEDESLETFFMTPDNLLQLRTGVTETMSLTAEYLRDRWDASVAGAMGLHPDAR TGTTDTSAGKHHTLAWDSMKDNADDDMLILSAVRTLALWLREEENDTLRKEATGLTDM FMDLYKSSSQDKLDFRSAVLVALEGLIAVPQGCELLLANDGWTILTHDLGSILQHPRA CREQDASRAIDIVRVLLPIVEQETSGVPESWMDLITSVAAWDIPDSGLSPLSQEALVA ALQFCCAILVEANSGMRQRYQHSIAAIHGIAAQLAKQAGKDGPEADTLEDVLDTLASL NQ FPSE_03554 MAPKQATLGYVKSGQSTIGKFFGAKNASAPQQTKLSFSTKPKKE AVKQEEEEEEEEEEADAKVQSSPGSDKETKKRTRSKKTEPEPTQIKKEEIDDDSDGPV TKRARRTRKRVEEDEDDEMIEEPVEKKESVSPKKSKSSSPPPKSPKKPKAKSKAKPKA KAVKEPIPEETPESASEEASIASASEAEGEEDVDEKPEVAAKAREKAQTKFKTKANDP YPDWQPGTPVPYAALCTTFSLVEMTTKRLIIMEHCSLFLRQVMRLTPDDLLPTVLLMI NKLAPDYAGIELGIGESLIMKAIGETTGRSLQVIKADQKDIGDLGLVAVKSRSTQRTM FKPKPLTVRGIHQGLMNIATVTGNGAQGRKVDGIKKLLAAADANSTGKVDITKDKGGP SEAKFIIRFLEGKLRLGLAERTVLVSLAQAIVAHEAYTKDKAPSATDLEKGEAILKTV YSELPSYDVIIPAILEHGIMKLRDTCKLRPGVPLKPMLAKPTKAITEVLDRFEGQKFT CEYKYDGERAQIHYVAKDAPQELNEASQGAAKEVAAGVASIFSRNSEDLSRKYPDILA KLHTWVKLDTKSFVLDCETVAWDVDEKKVLPFQQLMTRKKKDVKVEDVKVKVCVFAFD LLYLNGEAVVEKALRERRELLQTAFNPVEGEFAFATHMDGQELDEIQVFLDESVKASC EGLMVKMLDGDESGYEPSKRSRNWLKIKKDYLSGVGDSLDLVVLGAYYGKGKRTSVYG AFLLACYNPNSEKYETVCNIGTGFSEQVLEDLHKQLSEITIDRPKPFYSHSSGGQHQP DIWFEPKYVWEVKTADLTLSPRYKAGAQEGVDPSGNKGISLRFPRFIRVRDDKKADAA TTSRQVAEMYRKQESVTKNKGPSVDDDFEY FPSE_03553 MLSRALRFPRAVPMRTKLAAPAYTAVRSVTTDAASASLSHSVPK SDDEPFSVNLSDESFETYELDPPPYTLEVTKKELKDMYREMVVTRQMEMAADRLYKEK KIRGFCHLSTGQEAVAVGIEHAITKEDDIITAYRCHGYALLRGASVRSIIGELLGRRE GISYGKGGSMHMFAKGFYGGNGIVGAQVPVGAGLAFAHKYNNNKNASIILYGDGASNQ GQVFEAFNMAKLWNLPALFGCENNKYGMGTAAARSSALTDYYKRGQYIPGLKVNGMDV LAVKAAVKYGKEYTAADKGPLVLEYVTYRYGGHSMSDPGTTYRTREEIQRMRSTNDPI AGLKQKILDWEITTEEELKKIDKEARAHVNEEVAAAEAMAAPEAKPEILFEDIYVRGS EPEYIRGRIPEENHYFQ FPSE_03552 MAGYQRSMSFSERRGSALSDDLSLSTTTSPMINHRLEKLPEQQR HLRGPPTPSMSTPAADFDQQLTGSPPPPPTPAASPGPSHFQPDWSDAADDEDFFLAKV RQHFKNCSGPQRTRVLADLLNLCTSQQLSFVHQFVSPLLKKDPFTSLPDELCLRILSF IDDPKVLARASQVSKRWRDLLSDDMTWKNLCVKHDYGRRLSEVYTHAPNFSPRPSAQP LHGLDADLANMNLSSMRPYSYASGTRSFDGQSASGRPRLRTYKSHFKQRYLVEAAWRS GGTSTTRNITQEGGVVTSLHLTPKYIIVALDNAKIHVFDTEGDSQRTLQGHVMGVWAM VPWDDTLVSGGCDRDVRVWNLKTGACLHTLRGHTSTVRCLKMADANTAISGSRDTTLR IWDIRTGLCKNVLVGHQSSVRCLEIKGDIVVSGSYDTFARVWSISEGRCLQTLQGHFS QIYAIAFDGKRVVTGSLDTNVRIWDPTSGECLAILQGHTSLVGQLQMRGDTLVTGGSD GSVRVWSLEKMCPIHRLAAHDNSVTSLQFDDTRVVSGGSDGRVKIWDLKTGHLVRELI AQGEAVWRVAFEDEKCVALALRQGRTVMEVWSFSPPEEVLYDRPLTLQQRVLEDDPSR PLSAMAIDYRSSQPTLAGPSQDASAQDVDKHDAGPSTAPLQGVTFFHDD FPSE_03551 MGGILLLLGLCLVMALASFLAGALPLSMSLSPSQLRLLSSVGVG ILVGTSLIVIIPEGIEAATSPAEAAHMHRVRTLVRRSPWNHPVLARGIPESIATISTG PIQKRDEELNIEAIIRRVAKSATGNVRVERADVHAAVEAAADVPAIPTAADATEDNTP ESKGTEKTETEKEDGHSHNEDHEAEHDHEHEDEHEHAHAVPTFEIGFSLILGFLLMFL IDRLPRHATESLHSAPQTRHISLDNLNGDSASVDEEADGFLGSLAPSPRRARSLATTT GLVIHAAADGIAMGASSTTTDMKLGFIIFAAIMIHKAPAAFGLTSLLLKQGLSKRAAR GHLIVFSLAAPVGAITTWSLITLLGGGKVESDHWWTGMLLLFSGGTFLYVAMHAMQED SGSHQHDHSLNGYADSSVTTQRKPKGPQMRDTLATVGGMLVPLLTQFGHHH FPSE_03550 MGEAEQSPRRRHILSSINPEQHRSRRRSRSPKSRSPVDVSRESS QDAPLEKERASARRNDDEGDTTAPRRSRLRLKDHHRSRRSSRDRRRRRERDSEDDDTR RRSHRRHHRRHRRHRSPTPPNPHEPEPLDPEAAFRESLFDAMADDEGAAYWEGVYGQP VHVYPKERVGPTGHLEQMTDEEYAAYVREKMWEKTHAGLLEERARREEAKKRKAEEDR HAQKLQEDMDRSIRRGEERRERRRWEQRWEDYTTAWVEWNGTPAAIAWPVEGNRLEDI EEATVRKFFVNGLNLQEIGEKAFVAKLREERVRWHPDKIQQKLGGAVDDDTMKGVTAV FQIIDKLWTDSRPKA FPSE_03549 MKYSAVALLAALTAYAQAQSIDLPDPSSVIGDISSRVEGASSII DDVSSAVRDASTRIEDEVSSRLSDASTAVAGATTTLTDASTVTESAETGSETGSETGS DTETGSDTETGSKTVDTSSLKSVTEAFASASESVQEELDSLSSVAATATGAASSSIAD QIAEATSKIGAIGGSASAAVSSATDDNAGAMPTAAVAMGALMGGAAIFVNM FPSE_03548 MPQGSCFKPAQNSPRQQTSALAGPSAEQQRRRKCNQNYGVPVNK VWIFPDPTVTQIVSNDYVKGRQHSPLAEAGYLTQASAVPLHALPHSMPVFAYYNLVLP SLVLPWVALVCLESDTAYAQNRDG FPSE_03547 MSVIQLEELVSYQLRTSYLDEIADGVGERLLNVNDGFINSAPFK AAGWRPNSSHHKRTHSPPIPTAIASEYFQAPKQAGLTLEDGEEDGGMLTAAGSDTMGP GMATRRRRRREQMEEEDSSDLSDESDDDTDQRAAQQIKFAKMPVRNRAGSSPLQNTNL RQVGTVSPRAPRRGSQSALGTVQERARRDTVTSSEISSENETDIPTVQRYREAARAAT RAAKLQARIMEEPTPGIQRADTSLLPEEEEDSDEVSDMSDDYAESIDSASILDGVENA INASPTRQVVGTPPKNFVRQSTIRKSRPPTHPIVLGTLPPPRPMSMIRPLSVAQPRSL LSAALKAKDKKSSIPFQKFAHFSGQGTQGSIAVRIYAPFSKTPSKPFQVLIRPRVHDG QGAERVVTVADLIGLSLYRYNEEKLDPPLPKGKLNINWWTLRMVEEGGEVDDDFPPFE RTKPLTSFTTVNNAAARGGGRMRSNSTAYDDFALAEASEDGYLENKSLTPQEDEEEEP VTSQDSGGGVALDSALPDEDATPRGTPGPNVNSFLSDRPRQNPIVTTTYRSNAPPADM PQAPAAVPNTSRGQQKLLRVHIMSADVAPGQMVTLDVMTDTYLAEVLDVVCRKRQLDK ANHVLKLPSSGAVVMLDRPVSSIGNVTDLELYRRRFATDGPLTMAGSPSSSSPKTFPL AEQSMQKRKKSQTPMVGSHPLARESMKQDELSNASYKKYTVWRKQPMRIVGMSERILV IDGEYIHIMPASGGKALQDGSGKTTTVHFSNVVGCKVFRKHPTNVKLIVYKATESKRY DFEARSALEAAEIVEELKKGMFK FPSE_03546 MGLVDYSDSEGSGSEAEVQLPVKIAPKQTASSKKPFQKVVDRSN PGKIVVSLPQLTDDKAQSQEPTEKKIKTGGGGRFSGFNSFLPAPKKANIPKPAASSSA STRPAFQFKTSAAPGFSRDSEDDQKTSNDNASENDSGPPPKAAAQPHIPEGQKPADEV KLVGKPLMFKPLSVARNPQKKKKLNSGMAKPIPTPHTESKQAEAAAPAVTPAAAPKKV SLFSMHTEEPSEPTEKTSTGVYEPMFATTESSLAYDEGAYGDYASHAQAGPSATTLPG SESLDTVVEDLNLTPAQQRELFGRNGLGNQAAKKVINFNMDKEYRHNEEIRAAGEEQT HNPVRAIQGGGKHSLRQLVQNAQSQRDALEDSFAKGKSNRKEAAGKYGW FPSE_03545 MSLKDRISSPLEAGTSILDAHHLPPNMAPALEHASSCLARKSQH ITLVVARRDYQLPSVVPPKGLLTPITPTTLSPGFRLNLSQGPVSRLKSLMKKGSSASL RSMDSPRSGLSSPGQVPPLEISSPRFKWPLSPSTPLSPPPMTPCTASSTTTDTMSSMM NASSGFGMRLIHTNELNPKSEKILNTILTKTEKKFALGSEWLSPAVRPSSCGLTNQLI HSSIIQNEVLFCSEGLTVLSLDRLYSLKSALSSYSKTKSPLRLEDAVDELRRIILATN GTKVSKTAILRSYDWLSVSNSALVDLDRMYRRAYGGPEQHGGIAGLTSFAEPAIKPIS DSQERHIEEEASEPTIHITADKGKTPSPKPLLKLQTNFTPGSLLRPKPKKIEAPAQVP IQVDAVEEEDGDRTARPTDQLYFTMQQWEPPSTIDQILTAGPVNRSAFSPLTPTPIMS PGSPGFGPMTPHDYDDISPTTRGEWGFMLADNAFQSGRKVGVETF FPSE_03544 MDPASRSPDHPDRAASAEASSTRPNPFDDSDISSRKRRRTSLSG SPANSLDAENPIRDSSSSTTIDIDPVLPRPDTAADSLTDPVAPETPGSGSHMGDPPTE PPSSMVTLNLRNATQKDSSSLPSSPTTAAQPAVTENATDAVNDHVQESVEDSEVYMSQ APQDNDISRPSSSQSATPPIEVISIQSDEDMMSDYQSAGLSVVDSDLVAIDPVHEFPF NEPNESLEGIMTRLATYLGTQSSIDPAVIHRLQQWLDQYLDYTRNVSRQTSMGSCRLN IHFWATFPRIVPAMAYRRPPLYEDETLWEVSSAFFASFATLSAWFVSQDIIAIKEFMV DQESQNRQIPELFSPIYLHHLHSITQPPRPSDSQTDQVAPTASYFVRAYQKSANGSIG SLLQLARILAELIPSHPRLTNDLASICQVASDIMSDTLNPRIPSHDLMSKQELENGFA LYELICRIFDDMIEKKPSQLFADNILRGVSALSDMIKAILKCGLPIASRLLQEHQKAF PAVSEDYAIEAIALKRRFETFIKLLRSSQMQLRFQGTTQMCRELVECWRLHSEQSDDS HAYIGHIAEYLIGSGFIDYFLGSNCHPEIIVEGANIVGFIIVTKNYRKEHLDMIWRGL TSGEDPRTVDALARMMIGIAHLFDIEGLLALCDMFQTLPVDAFTPTIRLLWDHVMQFL TDRPVIEQPLGLQPYNLCLRLLRESSVSTGGSQTVSPEMQQVTLHKLQELLRCGPGPE GRQQLYLSCLQDIANKSATTLGSLYFLSTTIRSRVMSTELHRLVEENDFTRLLVEELE HAIKVGRAMDTQTVLASPTYQPRKEFIAVIIQLEPQTITQELGLKLWNLLVGSLSLSL EDRRAGWDILNILNRGGNFDIHSNHFLRTCLLHYLPTLSAEYFCEGTLEFLLAEIMPR LNEKSDLPLDDQEAVNQSGIEQVWRIALEAEDEILAEKSLRVLTQDIYIKNEYISSGP AQRTQSIHLALVGRCLKQLKIAAKDLETLGFNPQGGNDGDAVFATKAQKLLEKERIFV RSLKFLRYMLDAHRSTPHLFTPDLRTLISQAPYAVQGDSAELKYQSFDGNQQTDIKPL VIGKGNTAASLLASLREETGFENYRIYYRGQPFLPRETDICKSLEDLRVHDGLILVRR EDSGPALSNRVTPGASSLEIEISAHFDEMWEYLSMKDSLAEEIFAFLIRLPTDGRLSK LIDNEPATYKDVFPSGQPFKSLYAVHALAKYTDALSPSGIHSDGSQNDAFTPRSVSYS KALKTAMSLIVHAISDKNVFDQASKFMRLKLTTSLLQAFKQLYDRITVPETPAISKGL VIPDPDRLVEILSYAADCPGDEPSAAINLTLLLCLRLSIMEDQFWTKLSANTDFSRVL RRLILTDPRQVIRARSIKIIQEFFSILEQTAVGHASDNNLDGLVARYFWTIARDLISE APGISHQCDELFRLTYFLLIRINKQAPELVNIATLAAKASQLLLEHTTTETIESPDVE DTLARGLAFLLHLCLRLDATVAQSEVLPRNLAASLFWKQLYPSKRLSNGESVAKVILQ GETRAKLCEVVFQLVKHHRDDIQMVLEGLGQQVPFYEDDDGMYGPLVKPHLLTDGPDN PYLYDLSYHFERSRALRAPCGYAGLQNLSNTCYLNSLMAQLYMNTGFRCFVLGCRVRY PETNQQLLHNTQKLFGHMQESYLRYIDPTDFVNSIKTYDDTLIDIHNQMDVDEFYNLL FDRWENQLLDQDEKRRMKSFYGGQLVQQVKSKECEHISERLEPFSAIQCDIKGKGTLE ESLQAYVDGEIMEGDNKYKCSTCDRHVDAVKRACIKDVPENLIFHLKRFDFNLRTLQR SKINDYFSFPSRVDMRPYTIEHLSNPESDGEEDMFELVGVLVHSGTAESGHYYSYIRE RPSSVDSPSWVEFNDDMVTPWDPAQMEQSTFGGTNQRPLQDSNGLMFDRNYSAYMLFY QRASSLRAEQENMMSLNVPAPLRVEVPEKLKYHTTVENTVILRRHCIYDETNTGLVLT LFRQSLLCCGPVDTTDTCLSPNDFMCKFQQEHGLQNSAMRTLLGHLDQVVTRAKDIPH FGSYCRDLMGAITSCPYCAFAFYSYFDHYPNALRMLLQRNPDQGVRSFTCKALVTAVK KISETLPNIYDSQESYSSVSDSDEDDDLDQHDVSRHSVIDRVMLIFDYLWKHFHLHIK AWDEYFGAILGFAELGHRETGRVLAADFLLRTIQIISADPLQDLSGVWAKMLNSVIRR NNSPKPPSYTSIIALVQHLISKMNWKLGTDSIEEDPTERLTQTAGPFRWTSSEVTLVF NGLDMTSHTSLFVEKLLALDQAPASSDAIIRYLVRLDDKVDDRVLSTLKQCLRGETST QAMDPFLRAAIPYLDSTDNLNNALEIVQHMLAQARSLQNNEGVFFVRFFMVAANLQRE DEEFAKAVRSYSLGQVPEWVPFLLAWSDQHMRSTTKGFLSSTLFDNLKGRSPVNSDST CCDRDAIEEAAKQVGIACLEYLKDHHVRRRANVGREIATDFLDVIEQCGAVVNVGSDE QSDTDKRFCKLQDDVIDPLRKLVVDDMEDDGTDWEGSCGSSEQIDDIEMNIPGVKELH GM FPSE_03543 MPAPASQAARKRVVHQLDTPFSTVSWPDVSAEDQDTILELLCDL LSPIGQYRQTHVKRSKGKRAAQKEKEVKKIGDGSKEPQPPPMPELEADIDVGFNSIAR NLQSWSSKDTESTADELKRQYCMVFVARGNQASAFNCHFPQMVGTASKQLSADSHIRL VGFSKPCSERLSACLGVPRVSSIAIVKDAPGAGALQEFVMKAVEPVEASWLNASSSTQ YLAPKINAIETTVGPKRARVEQFD FPSE_03542 MAGPNYANAGSLNDARELQKMFKGQSSDSSSGKNRGKSKGGNSL PMKRQETFSHSRRGPPPTSYQTNVPPPSARYYTATLLSDPLKRAPGPILGPSTLAFLS RQDPVPQAPAALAQTAKPSVAGNQVINPIVPAVAAAEVSTTTSVRETKVLNKEEETKK SIAGTFFSLMSGDSDEDSDEEPPTSKVQDETVNKSSAAIFSKYSSDEILKLRANAEKV VVPSNVIVRRTDNERKAPLAAALSQAAVHLARMKKDLEGNASSFGAGLQVTNDTASSD FQAVRPQATVTQPSINTVETSDVSPERNLRPVVKLPQIAGVQQQHQVKTELSSENTDN TRLRPDAPGFVPKMTPKLADTPKTRKPTKGLGSSMWAK FPSE_03541 MAPNGIKRRKVAHDSSDRESRGQNESKSAQKSFFKNASSWDLEQ DYESRPRKGKKQRESNRLPIKTADGRIEQAEGQDDDAASIESDTEWLEGREDEEEVQS EEEEVERKPEIPEPQQIRDAQEELAKIGTQMNENPEEHVGAFKALAKIGQSRIVAIQM LALMTQMTVYKDVIPGYRIRPQEENGPREKLSKEVRTLRQYEQALVSGYQTYIKELAR CAKLDIRASKGGPTLASIAITCACTLVTSVSHFNFRTDLLKILVTKLSRRKVNQDGVK CLEALRELFKEDEEGRPTNDAVGLLSKMMKAREYQVDESVINLFLSLRLLSEFSGKAS QDHVESTEGSFKKKREFRTKRYRKDLKEQKALDKDMANADASVSYEERDRMQSETLKL VFATYFRILKLRMPHLMGAVLEGLAKYAHLINQDFFGDLLEALKDLIRHSEEDAEKGP DEEEEEEEGEDEDDAPVRNLTREALLCTVTAFALLEGQDAHNSRNDLHLDLSFFTTHL FKTLLTLSTNPDVELTRPANATSAATKINVQTTTVLLLRSLTGILLPPWNIRSVPPMR LAAFSKQLMTAALQLPDKSCQAVLVLLSDVAHTHAKKVRSLWDTEERKGDGRYNPISD SIEGSNPFAATVWEGELLRKHYSPKVREGVKILEKGISE FPSE_03540 MGFTKPPVQALKSANKLKRKELYVQYKKHQNKEKHEERHNRRRE EAKDPELKAARLAKRKPATIDSKRVWDDVDDDSLGVQVDLERLKKRRIEEAEAAEQAA HEAAMRAEEELDEDDDVDSMLASDEEMDEDRQAALEKKRERRAQRDTSVAPSTTSTNL DLTPTSLALKFPSLFTDVPAPEPKVLVTTSLNSTLHEQANVLCEFFPNSSYVPRSAHR YGHKYSLREICKYATNREYTAIILLKEDSKKPTGLTVVHLPSGPTFHFSITNWIEGKK LPGHGNPTNHYPELLLNNFKTPLGLLTAKLFMTLFPPKPEFQGRQVTTLHNQRDYIFV RRHRYVFREKRQTEKSIVGADGKEVKGVEGIRAGLQELGPRFTLKLRRVDKGIGRAGS EGDDATQWEWKAKMEKDRKRFNL FPSE_03539 MTASSNLPGVNSNGNGNGNVRPNDHGRQNDAWKHAPVLIGTTKF EPRPDVKNIMITGGAGFIACWVVRHLTLTYPHAYNIVSFDKLDYCASLNNTGILSESR NFTFYHGDITNPAEVVDCMERYNIDTVLHFAAQSHVDLSFGNSYGFTHTNVYGTHVLL ESAKKVGIGRFIHVSTDEVYGEVKEDDDDLLETSILAPTNPYAASKAAAEMLVQSYQK SFKLPAIIVRSNNVYGPHQYPEKIIPKFICLLNRQRPLVLHGDGLPTRRYLYAGDAAD AFDTILHKGQTGQIYNVGSHDEVSNLELSSMLLDRMEISHDTPEQLRKWIKYTRDRPF NDRRYAVDGTKLKRLGWEQKVSIDEGLKITVDWFTRFGESWWGDISHVLTPFPTVSDG EMVPDDARSMRDEPHDSQDIQQGKLPEQQKKEDATGYRDTRNGDGMNGVYQNGECHVA V FPSE_03538 MAKKRRCKPRKGTPARHNQSQTSTMSSEDSYAVQSGRQSSDGTP SEHANSDGNNTAMTSIVGTDEEPHPHNDSDLDEDVDEDDMISIYPASQYPGVSAAHQV ETPFSPDSHAADSDMAASTRSLWAQDLDYREIHGRRYCREYYMPNDDIEQWRMAIQHQ VFMHVLDGGLTLAPLQNPDHILDVGTGTGEWAIKMAELQPQCEVVGTDIAAIAETKSV PMNVFFEIEDAEDWDRHPDTYDLIHFRLMEGAFRNWSFVYDNTYFSLKPGGWIEVQDF VSAEGFIQFLSQFSDNSPMHELLRDLEIASEKSGRPRGIYHLEPRLLMDAGFVDVRVT EYSIPITIAEASAGKIWLISCLDGLEAHCLRLLTEYMDWDPEKCKQACEAAARDLANA AKDPIKSKGLQIKMRIIIGRKPLDAPRTDLADLLGRCHSPATELNGDSSNPTLHADDH MTAGPI FPSE_03537 MALNLQDGFDAANGVSDLEEPIFTIESVQLQFSVAADFVAAQVA NNVIVLALSNGRILRIDLERPEDIDDIDLPKKPSEIGMIRRMFLDPTASHLIVCTTLG ENYYLHSQSKHPRPLGRLRGVSIESVAWNPSLPTASTREILIGASDGNIYEAFIETSK EFYKKEMKHLKNLHKLPDGPITGLWVDNLQNNKSDLRRVVIATQTRLFHLVGRVGYGH DGSGSIYTRLFESEQPVVHELSRTTSGAPSCLAVSPDAPDSGPYDDDIPDRAYAWLSY QGVFHGKLSNTPADSNLGTKVFSESKMLSRAQILSPEESEKRLATTEAIDAIALTQWH IVHLVGGRVITTNRLTGKMVSEHNVIGQGQKAIGFSVDMQKNTFWLFTSEEIFEIVVR DEERNIWEIMTKLQQFEPALQHARTPLQKEIIAAAYGDHLASKGHWIEAATVYGRSNK PFEDIALSIIDNNQPDALRKFLLTKLASLKKPAVMQRMMIAGWLIEVFMSKLNSLDDT INTQADPSENVNSTESRKLLESVRKEFRDFVDKYKGDLDRRMVYDVVSSHGREGELLY FANAVNDYNYVLSYWVQRERWSEVLNVLKKQTDPEVFYRYSSVLMTYVAPELVEILMR HSDLKPRNLIPAFLEYNRTFTGGPNAQNQAIRYLNYAVYQLNSKDAAVHNTLVSIYAS HPSKDESGLLSYLQAQGDEPRYDPDFALRLCIQHHRTLSCVHIYTSMGQYLQAVDLAL SHGEVELAAVIADRPMSNPQLRKRLWLAVARKVISQSNGIKTAIEFLKRCDLLKIEDL IPFFPDFVVIDDFKEEICAALEDYSRNIDNLKKEMDESSQTATNIKVDIAALDHRYAI VEPGEKCYTCGLPLLSRQFFVFPCQHSFHSDCLGRKVLEQAGVGKSSRIRELQMQIQK GLVSGAQRETVVAELDALVASSCILCSDLAIKRIDEPFITHNDNVNEWVL FPSE_03536 MDAELIASMDRCPMYASFFGAIGCACSIVFTTFGASYGTAKSAG AIFQSGILRPDMLMQNTLCAIMAQILSIYGLVASVIMSNNIKEKMPIHTAFLQLGAGI SVGLCGMAAGFAIGIVGDAGVRASSQQPRLYIGMVLILIFAEVLGLYGVIVSILMLTR STEAAECRY FPSE_03535 MKPTYSTLFLSLLASTEAISLHKREHGLEPRVMSVPIQRRQIDN PLAHDRKRLNRRAGTVNVGIDNEQSLYFLNASIGTPPQNFRLHLDTGSSDLWVNSVNS ELCDTHANICAESGLYNANKSSTYEYVNSEFNISYADGSGASGDYVTDAFRMGEVSIK DLQFGIGYITSDNEGVIGIGYTSNEAVVDQPDPEFYKNMPARLASDGVIASNAYSLYL DDLESATGKILFGGVDEQHFIGDLVTVPIMKINDEYSEFYVKLQSINSGSEIVGEDLD LGVVLDSGSTLTYLPASVTDSIYQLVGADYEEGQTTAYVPCDLANQGGNLTFKFTSPA EITVPLSELILDFTDITGRQMSFTNGQAACSFGIAPSTSQVSILGDTFLRSAYVVFDL DNNEISLAQSNSEATGSHILEISKGKNAVPSATGSEGPQSSGSENAAGSLSPLESTGA VSILAGAMALTFAWFLI FPSE_03534 MTAYIEVAASWLFKNYKGRDAKAFFTTPAFAQQPEPTLSVTSPD CGPDGATLGKEYMHGGQGKFPQLEWNSHEGVKEWLLVTEDPDAPLPTPICHGLYLSIP VQKTNVVNEDFQSMSETSTKLKGGFYYGASRSEKIYVLPRPLINHGIHRYWFEVIGLS EPLDEKLVISKPTRDQVAEAIQGKVVVWGKWTGQCERRWE FPSE_03533 MFQYIIGLVAFLVVFSNPIGDFFYPDRAAQVKEASPRPKFNESL LAIDAPNATAPECAPDGYLARIVSREPLIVYLEGFLNREEREHLLDISEPIFVPSTIT SDGEATHRDASVRDSDVAVIPRTDSVRCIESRTRALQGWRPELWIERLRTQKYGLGGH YDHHFDWSHNSRGWGRVSSLMAWVGDSEDLKGGGTQFPLVKRPVEKEWCRFIECGDGG EDKSEVGVVFKVVPGNAVYWENFAADGRGYDETWHAGLPVDKGTKVGLNIWSFGRI FPSE_03532 MPLDINEPDHPGPPESSKTEPLACVSCRARKLKCDRTKPACARC VKVSNDCVYPESRRKPNFKRRNVKELEARLAQVEDYLKEVNKNSSEEKTDDGSPIYPN QHHADFGSVVSPGIPGLVNDFSDPSFSSFPPSAFDQQSNDDNPSFNAQLMSLGMSEPL PPDDVMEELNNIFFQNQYRLIPIVHPGRYLQSFYGPLPRRPPMCLRYAIWALAAAGNA KYDEYHDIFYRRSRQYMEADTMKGHGEVFISIQHAQTWALVAFYEAKMLLFTRSTISV ANCVRLCHMMGLNRLDGDNVGMPPSLGPPLTWAELEERRRIFWGVFAADSHCCISTGW PTLIDSDDIATRLPASEEAFVQDRKEEAPFLDDAIQGAQYTGFAGTIVTCQINKLVLR HIHRSKPDSRCEDLMNGSFWTRHRDLDNTLSSLFMFLPENFRLPENIRDVSALHLNMN LHAAIICIHHAAVEKAERYKLPGHVKQGSIARLRAAAEEIANLMRLTSNVTLFFKSPL SALSLYCAATVYIYLAKESPATGLNAMDVSNFQHIIQCMEAIARVHTITRSFLHQTCV DMEKIGLNSVIQMPSLRRYRDTFGPSKSQIPILARTSVSNNPGPSPIVSRSEKQLEVE QTRLNNITHLMGVTVGKTCYQALLGSAYRNIRPDPMNNKRKRAGESPAPTSVPGMRNS NALDLNLDHNSKGTGMWSAPFSPQMTTLGGISLPDRTNSSTASSPINQVSNSGSYTRT ASGSSHTSPDIGLGNSAEENRIDLRAFQDRISTPIWQSTEETLFAQMATNTLGTDGAD TWGILTAVDLSWDAEGLSAES FPSE_03531 MSMIATASPSPHPSSFGMPRPWETNRCPDYSLRPRTENDKVALP SIRQAFPELQLQTQPPHDLNTKPLVTALGTAPLAAASPQYVHSPNSSKRRRLSIEREM ESERARQVPRLCYSPDRESPRQISPNLPIQAGTRENWTAPTRTSPYLTNGATHHSVPM EVGERVETRSALPSLPPPRSLEREAVLVSRGPAPAPAPAPAPAPAPAPASIPASVSAT ATAPAPTEGYRSSHQPMSHSRTPIPESGVSPYRESSYGYPYHHPTRYQSLSAGSAHSF DRTPFTPGAYNAPYQDFVRFGEMGPASLSGDNKQRKRRGNLPKETTDKLRAWFVAHLQ HPYPTEDEKQDLMRQTGLQMNQISNWFINARRRQLPTMINNARAETDAMSSARGGDMK VLATTERGDFDHGKRELVGPLSDGEGATYDEELEALGQRRPGTMGRGSV FPSE_03530 MGNTEIIVHVLRLNQQKPNDPNDQRPDCRRDLFIAERLAKTDRQ LEAGATPTGEVTACTAHSWISDRDIIQDRWRNKMGVCDARTAQRRADRRSSWRKFHHE LKLVLGEVRQWRS FPSE_03529 MIRDWRAEHRARLKKKNGRPRDRTGERARGLYLLFGHQLHAHAT VDGARKEKDDETCHDPIGRYVSLLCFPVRQFMWMDGSSYACMYGNEEILATACVAHPA MMH FPSE_03528 MADHQPYVTIRSRRPVEGPGSRSTGSLMTASGGGDHYARSATHD TSRTSFHVKHISQFAQRLEDSATRAFPNRGRSSARYKNVQVLLLHWNSDDLFVIPELE DLEKCLSEDYGFQTDIFAIPSENSHLELMMRIGQLIKGHESQDTLFVVYYGGHARIDE SRQSTWCATREANSPWLQWSAIQTLLERSLSDVLILLDCCAGAASATFPSGASITETI SASSWDAIAPDPGRYSFTNALIEVLQEWRVRAFSAAMLHAEVLARLKHPRPITINGKY FEARSTPVHFMMTSNHKAPSIEMSRMSRGDNLPSPELTPMPEMAHESGRAADSAPVSR NDYMFTEPNEDTPHVMISLALEDDQRLDINAWEQWLGAFPAMAKYVKVQGVFKSHSTM LLVSMPVSIWDLLPEDHATSFVAFIRSNNLMAQKPRNQSVPTLVPANRYPTENDSASF VSDVSGTTFTPTEMTGRTDQLGAFRDPTYGRQISSVARTHPSPSQQVSPLHMPTQPLS PSQSLAQRPVRPMHSTTSLTMLQRQQSALSLGSDRNLTRQMIMNQQQALRRTTFGVDV PEPKKFSPHVEKRLEEYYQTEPLPNDGQKAFFASNLGVEPWHVEVWFHHRREKDAFTQ RFASLQVEGSQVQAHGGPRMILPSNLGELLDLSLPGQSIILDLRSSTEFQKSHIKGAI HFRAPQSFLRPASLDMIERVFADAQSRRTFSRWQQASCIVFYSRGLDHPWEYPSAEIL LEKLSACGWRGRCFILKGHYREFSDSFSNHIHRAQDGGSERALSSLSVASKGMSGNEG QFAELFARLEKEEHAPNLSSSPGYDDERATALAEQEKVLETEFQNHFPALFKKAHDVH GVGRSDNESFVTKAQMVEYLDRGLTKIRDAHIPQAPATVYAPGHSKLAAEGYREQPNV NRESDEYVEVSRGDETLPDGSPQTTSKDKDIRTMAPPEEISRRGRGGGILNKVFRRT FPSE_03527 MRERVTFIHNDYSLDPEALDNQDAGLLGPQIETVRQDKLTIPFG ELPSELTDILQEYEALHIRWASPVKSETMDPFTSRISPGLHVYATPVLPNSCNPTKLC SWLQRFGPLDCSKPEAFTEFQQSTSASPSFSFYEALEDLHSFITTSSQEFCPELDSVC NARLRSLLTATGLDLSFDKAANALVVSALWPLRPQTVAVPASSARRVEVGIFVNDQSQ PNMKENELGVAGVLSVLGDQKKPSPTIFTFPARHRRDGSVFTSKFLTPTGLHPTLQLS FNSNKLPSADGECAPYAFLTLPRTIFADRYQLGDELFLASKNLTALRYTTLPVDLEAP AYTAETWGSSILLGLAPPDPSTEKPWSVEIPLHLRYLKPSATGQVEIEIPYPAVFWAC SSEEGTLESPFDRLHVGYDDLFPRDTVFWHANPQPEGGSRLMNRVTVPVLDDDGVGSI RSGTAIAVAIGFAWVMWKLVSVMLKPDRTPAGVTKETMQKKSH FPSE_03526 MRQASYIFKLELLEQELRSIKEVVHPKNNVEPASVSQSHTAVFH TPTPSANNTVFPLSNHLQSSQQPESFTPSAPLLHKTEPTKSRVINGQVVSGQDIDWYF EKYLQCFHPYLPILRKKDPDQCFEASPTLFWTVIFTACRRYAKSGQIVTSLLDTLNRD VWGLLRAVALDMETIHVLLIICSWPFPTIRFVTDPSPTFVSSALNACMLLGLHTGRGS HPSFLIGGRQHMTCTDYEASITWMFCCILAQRTAVGNGHPPPFLQHNDTRCRNTVKDT LAPELMTLFELQKFSNRLHTAMTAQILSNDGVPETVVKTWEDEFELLRPLVTHVDTDC SRLIILTAQLEVQAYYFVSLPDQRPNFTINTLRAYNTSQNLINTALTLESTSRLLTHS THWIYRAVMDACCILMSTLHSKAAPPHISASDADAVVAQILTVLRTCSVRDNDLPVRG SVVLETFWSVRHILPRWDIPVGAWSDRIGAATSYWCLAQFKQALQEAKNSTDSVQKGI DVYEANISNADKSNGDGVVTNPDINQGQDQTIDNSIDPLQGVDWSMIIDDFGWIGEGP VFLGPA FPSE_03525 MNSRMDFTDRAQKAVEDAMALAEQYGHSQLVPVHLAVSLLDPPA DPSKDQQNGPPPTSTLFRQVVERAHGDPQIFDRALKKILVRLPSQDPPPEHVSLAPQF HNVLRKAMDLQKVQKDTYIGVDHLITALSEEATIQAPLKEANVPKAKLIQEAVQAIRG TKRVDSKTADTEEENENLAKFTIDMTEMAREKKMDPVIGREEEIRRVVRILSRRTKNN PVLIGEPGVGKTTVIEGLAQRVVNRDVPDNLKSCKLLSLDVGALVAGSKYRGEFEERM KGVLKEITESKDVIILFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIGATTL AEYRKYVEKDAAFERRFQQVIVKEPTIPETVSILRGLKERYDRHHRVTILDSALVAAA NLAARYLTSRRLPDSAIDLVDEASAAVRVARESQPEIIDSLERKLRQFMIEIAALEKE RDEASQTRLAQARKDAKNVEEELQPLREKYQAEIKRSEEIHQAKLKLDDLEKRLEDAM NNSEHAKAADLKYGAIPEQESVIKELEARKAAADAALNATATHDAGGAMVTDIVTADN INEIVSRWTGIPVTRLRTSEKEKLIHMEKVLGKVVVGQKEAVGSVANAIRLQRSGLSN PNQPPSFLFCGPSGTGKTLLTKALAEFLFDDAKAMIRFDMSEYQERHALSRMIGAPPG YVGHDAGGQLTEALRRKPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRVVDAK NCIVVMTSNLGAEYLVRPGVKEGRVDSGTRELVMNALRNYFLPEFLNRINSVVIFNRL TRKEIRKIVDIRLSEIQKRLEDNGRKVHIDVSEEAKDYLGNSGYSPAYGARPLSRLIE KEVLNRLAILILRNNIRDGEYARVEIIDGKIVVLSNHTDSELGEDDDMMDEEDAVDEL VDDMDQDIYD FPSE_03524 MNTAPTSRLGQLPSYVRIWVRHGHFGKKKKGLGFPPPEGHGEDI WVFGHRRTDQIIYSFNKTLDGFHDLKQLPFNGKKTKPPKLRKDYWSPFAHIAFPAGQG SIGRSVFQKLRELKHLHEVAWDNEFRYKRPEEFTTADRKRIAEEEEKGNKNYRPIRTK EERGIALNAQKPNSIADIATVLSGAGRGNRIVLSEDAEDAEKKLVDVTVSWANDLDKE YAQEWTNNVTHGLFEKPTYVSKPLEPVAEEAPQEQEKA FPSE_03523 MASRPIFTATHPRACSTAFERVFMARRDILESVHEPFGDAFYYG PEILSDRFRNDTATREQSGFSHKTYKDVLNEVMDAGKDGKRIFIKDMAYYLMAPDSKP TKVAPSLGEEEPGNPTVLPLEVLKQFQFTFLIRHPRRAIPSYYRCTVPPLDEVTGFYE FMPNEAGYKELVRFFDFLIKENIVDKDNLVVIDADDLLDNPEKTVRLYCEKTGIDFKP EMLEWNEEDCSYATAAFEKWNGWHNDAIKSSALRPRTHHQKTLTVESEDKEWTTKFGP EAQKVIRKTVEDNIADYEYLKQFALQI FPSE_03522 MTSQRKPLVSFLGPVASYTHQAVRQAFSEDTWDFRPAVTIDDVF DQVQNAQVQAGVVPFENSTNGSVVFTLDNLADRANRYPDITVDGETYVDVHHCLVGHK STAPVVEEATEGSGTCTPTATDPSPSRPRSKPLSSVKHIQRLYSHPQAFGQCTAFIST YLKGVEIFEVSSTSKAAEIVSKDATGTWAAISSELAGELHGLDFLGKSIEDREDNVTR FLVIGTNAAGPKDLEKTKQAVAQKGSKSLVSFTVPHTSPGALADALSCFRAFDLNLTS INSRPSLVQPFQYIFFVEFEGHKYDDPEGRVNGALEKVSRVAESWRWLGSWERYV FPSE_03521 MAHPFVASSHLLHRSFAHKPEKVVSTSGVSLFLESGREVLDASA GPAVSCLGFGRPEIAQVVTTQINQLAYLYSGARFTCDATEDLASMLLQGEPGGLSKAI FVNSGSEATDAAIKLATQYWCERGMPKKCHVISRKQSYHGNTIGALCISGHDSRRAMY LPWLSQNVSFVDPCFPYRLKECESDETYARRLADQLEAEILHVGPEKVSAFVAETVSG TTLGCVPAVAGYWKAIRKVCDDYDVLLILDEIMCGMGKTGTMHAWEQEGISGPDIQMI GKALGGGFIPLSGVLLRSKIFDAIAEGSGGLAHGHTFQAHPVACAAALEVQRIIRDER ILTNVQKMGTVLEHLLRDHIGPLEFVCDIRGRGLFWAVEFVQDRQSKTPFPASMRLCH GIVDKSLDLGLNILGNLGQTGEVHVDHVIMSPPYVVTESELERMVDILRTAIQAVISE VKEIQKGNINGTQSKMLSE FPSE_03520 MAMLASKAAFPAGMGSSSHTPVASQPAMPLPRRTPMMPSSGAYG SPTESEFTEHDPAESVKNWDEDQVCEYLRTVKCGEYEKIFRKNHINGENLLEMDKDVL KEMGVEKVGDRVRLFLSIKKLRTRAYANEKKRNRDSFGGLDIQITAPNDSPRLHSSRG VPASSSKRYSRQLDLYGALDNGKTSSRPNSPLPGTDVRNLRARGKTYASIPVNAQGQR IVTTNPDLPANRLVTTHTRNNSSMDGSLMAALPQGQEVIRVISTGGVTKVVKISGCNT CEEVMRVTLRKFALREDHERNYCFWVLAGIDPDPGQCRRLGDTELWRIIKDQRRPERN RLILRRVPAGEPGEAELHRAAAIAMEEEQQKHARALETVDKRSQLKVQKMLGEKWNDQ LQSPLSPISYQDRERNLHNAAKDLERPASEIESRAPARRVGSLRPFGGLRPPSELIAS DLTSYFPDHTREDIDRTARLSMRRSTRMSKVNSRLSVASNLSFASSIQDAPPIPTIAD SWLNANTQLAKVRTRDSHIRVPQAAYNRDSVTSSVLDTLQEESSLEPDRKSYVSFTES GSDSAPVSVTDPEGNTTATSYYDGDTSTGSGSFQEIRQALTNDGDDVDEELHSFLAGE SWGDDKWMKGALIGQGSFGCVYLALHAVTGELLAVKQVETPAPGANSQGDTRKKGMID ALKREISLLRDLRHPNIVQYLGCSSTADYLNIFLEYVPGGSVQTMLNSYGALPEPLVR SFVRQILTGLSYLHNQDIIHRDIKGANILVDNKGTIKISDFGISKKLEATNILNGANN SKHRPSLQGSVFWMAPEVVKQTSYTRKADIWSLGCLVVEMMTGSHPFPDCSQLQAIFK IGGGKAAPTIPEHASEAAKEFLAQTFEIDHNLRPSADQLILSPFLIPIT FPSE_03519 MSSQGDSQRSQDSTMTLMQENVSEVLYFAYGSNLSTEQMRQRCP HSTPVGLAYLKGWKWIINARGYANVVQLPVDSDDDTPDAEANKQLTVKGKEKAERLAD DEVDGVYGLLYLVPSADEERLDGYEGVPWAYKKFQVDVKWASATGDEDNTLRALIYID DMRMDEDVPKDEYIDRMEDGIEDAVENWGLDEDYVDRVMRRFWE FPSE_03518 MASRAFLPRHLIRSARISSLSSLPHQTRLMTTSPPSFPALFARG GTSNGLVIHRKDLPPESQWSQVLPSAMGSPDPYVRQLDGMGSGISSTSKIVILGPPSR DNVDVNFTFVQVGIQDGGLDMAGNCGNMSSLVGPVAWDSGLISSKAVESDQDGSQWAT ARFLNTNTNKIMSSKFRIEGSPLRYTHKGDYAMDGVPGTGSKVVMSFLDPAGAKTGKA LPTGNAVDTLTLSDGTAVKVSLVDVGNPGVFISTESLGLADHLSLTPALVESNTQLRD RLEEIRQAGATLMGLDPKVKSVPKIVLLFPSSGSSEVDIRCLALSMGQAHKAVPLTLA LCLGAASQLKGTIASDLIDGKSKDTVTIGHPSGKVDIGTVIRDGRIESAQLLRTARIL MKGDVYY FPSE_03517 MTDPINSVPEPGHPYFPLDAVIPGYLPNSTGVFELISTFGAIVS AVVGFAVWQATRTRKPVRPIDQFAVGWFALCGFLHVAFEGYYLVYRHQLPGMSTLFAQ LWKEYTLSDSRYLTHDIFTVSVETITCLAWGPLSFLTVFGILRDWHSRHVVQIIVCTA HVYGVALYYLTNWNESRVHGVAYSRPETLYFWVYYVGFNLPWAIVPLILLRDSWSQVS EAFAVLGEKKRE FPSE_03516 MAPHHLDLESLILQNCRAFVGSSDAEFDVLTRIDPSEQWYAFVL KTEGAKRTKILENKASRPERAIELLHEASARMVDQYVTCHGYDLPPSTTSKTATGIRG GGVVKPDVIACGSSDSEAFASDSDDSVLPPRRSHRRGHRSGRGAGEATNIRLDRTETQ SASDSDEATHRRTPTWGMSVAQRPAIHTQNHPQAWGHPMSLGPAPMPPSIPNGRPVPG IIPPPPRNIPIPPHLVAGKTYAARLNINWPGNGKRNMLVNVSPTVQCLQQVAVNEAVM RPLTSSTPPCHPFSVSRGNNGIPPVRATVRRVTLGEDDTYEMTAFGNDLTALFRSTSS IPKFEIELITANVVSTFPPMPPPRPASAASSRSSIAD FPSE_03515 MPKVKSYSAAWLSGNAPGHRLFEQSPEAIKSRGLESNYSSKKKT IPGPRRTIARRGTEVFVAVGREIRWGDLAYLKDEWANRRSKSRSSQGVHIKREDSTQS LEDDNLENAAGLRTIKTPVADDIRQLIISPNSSFMAILTTHTIHICVLPDPSHLTSGD TGPLKPKIFTLGPTTHVTSRSPIASALWHPLGVNGSCIVTTTADAIVRVWELSATDRW SFDTPSTSVDLKKLVDATTLDQDFSASTTNTNKAFSPDSFDMEVAAASFSTKGAGGWN PMTLWIAMREGDVYALCPLLPQKWAPPPTLIPSLSVSIVTTVASIEDDPEVSEQDKLL AQQQLEWMGELDAQEPAIVDGPIGDPITEVYTRPSRPGAIPRLQGPFELQSDPDTGDE LDTSVTDMLVIGKKTETEDLMMGEEEDLDMDDGDQEGLSLAVVCLLSTSGQVRVYLDL EGVQAQWLPPKNKNKLGRLLAEADPPSLLSFQAIDTMSPVEVNEDSWPVFSLDVTSRY SFFVTHHAAITHISLTSWIFRLESELNSDSDAGADFRIGLLVNSQSIRDRIYSQPTAD IAVPLAACNALRDPDVGYFLLSATPYEPIALTFDMPEADLAPIRQESPTYEREASMLP LEFCEPRPVFNPSHAFDEGSALPTLLERLRTSRHKTIVNQEVRLSPLTLQIFTDAHKV LADETYRLGVAAAEVFRKCELLQGELKQQVMKANEVKGRIDTINGLHRDDEADDAMYV RRIATAKDRQGQLAERLENLRKIVGKSTTRDLSAKERAFVEEVRSMEASIAAPDYADL AAGKAAPSPAQAKQLWKRLEDIKHLQADLAAEVEAMNKATGDTDGPATPSAEIRIPHE VRRAKMQQVQGLLSRETALVEAVTARLERLQSGL FPSE_03514 MRPGVRSTVERLDRPSAYYQNRSKRRRDRDDESNQPQEPQVDPL ANATTLYVGNLSFYTTEEQVYELFAKCGEIKRLVMGLDRFNKTPCGFCFVEYFTHQDA LDCLKYIGGTKLDERIIRTDLDPGFEEGRQFGRGKSGGQVRDEYREDFDEGRGGVGRA IQAEERDEYAEGK FPSE_03513 MFGAFRFTNPLSGGLLWKVPWRMSKFQKRRHRLRLRAVDDVVAT VDAALAKKGQTLEALDRWKAEMPTEAEMMPRDKYTMFDRKAKRYRKGIHKLPKWTRVS QRVNPPGY FPSE_03512 MSYYFAIVGTQDNPLFEHEFGTSKQGGDGQSRFSDQVRHLNQFI LHSSLDIAEEVQWSHGQMYLKCIDKFFNNYISCFVTAGNVKFLLLHQPIVPTGTSSRS STAIGANPTSQATEEAIKMFFTEVYENWVKAIMSPFYRANMEVRSPIFRTRVAAAGRK YL FPSE_03511 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G FPSE_03510 MAPTPTAVNGLLRQVIHYHLDNASYDNALFFSERLTAQDPRSSE SAYLYSLCHLRLGDYRSAYDVSKAIGYRGAHLGCAWVFAQACLALERYKDGLSALEKA RGLWASKCSLGKHSATARTALPDAPAVLCLLGRLHRGYDDKKKAVSCYEEALQLNPFM WDAFSALCDLGVAVRVPTIFKANDPLVHSFDVETNPMIYREGSVPIPSDLPAKKSQRN AAVDVGNDPFSSSHNPDSLELTNGDSSQEVHKSDFMLKIQEGRIRCTASTNSSSGFDG METPPGPISSFTTSSRLGQSHEPPQAPPRRTRQAQAIDQGHDVPPRMNYRIGSRRTRA QEKGQQEQSTENIMTDASNSAPSASLRPSIPAAERKRTVSGHPAQRATITEEPTTTRR SARLNMFRPSSKANSSATTIGTSAPRELKKARPPISRIVRPGSSGNNVGRVVSGNRKP LEDAHVEIDHDEVQKPKDVPPPPPVHKTLELDAQRLEEGLKWILDLTKKLANGYYSLS QFQCTESLQHFQSLPVSHQNTPWVLAQMGRAHYEQASYAEAEKFFRRMRVQAPSRLED MEVYSTILWHLRRETDLSFLAHELVDSAWLSPQAWCALGNAWSLARDHEQALKCFKRA TQLDPKFAYAFTLQGHEYVTNEEYDKAQTAYRQAISADKRHYNAYYGIGRVQERLGAY DKAYTHFHAAQSINPNNAVLITCIGTVLEKQKQIMPALQAYSKAVELAPRAAQTRYKK ARALLAVGQLEQAQKELMILKDLAPDEATVHFLLGKLYRSMGEKQLSVRHFTIALALD PKASQQIKEAIESFEDDVEMDDSMM FPSE_03509 MSHQKNEKDVGDAPKSHRIRITLTSRKVQSLEKVSAELIERARS KGLTIKGPVRLPTKNLKITTRKTPCGEGSKTWDSYELRVHKRLIDLHAPTEVVKSVIV NIEAGVEVEVTIAA FPSE_03508 MAQKAKKDRAKSNAAALNNLHVGSLVVNALFLLSHFLFRSRSLW LYGLFSVPALICEYVLEFSGRPKYDPTTKALRTAGEDLSSPGLTEYMFDVIWVTWAAV VLVIFFGNKAWFLWLVLPAYGLYLGSGLLGMGKQKMAEFQGAGDVGAGAAPPGNRKAR RAA FPSE_03507 MGESLPEEAPFPLTDVDKWVLSQTDEEFHKHDWQELKEIIEANN LSVLKRKPSDLRRYMAWTAETKAEYGSMTNYLLVNRLPKEWGNPPFTPASSIPFKDTS DYRILINDWPYGLDPEIRHIVVWLRTTIPTDPETGDMTPDSRALVQSFVKETFIDALG PDGENRVLWFKNWVALQSVRALEHIHILVRNVDDDTLERWAGERPKQST FPSE_03506 MILGPITLIDCGVFLIFLAPQLIWNAGFFLTLFTALKALPFLLI QLPYEFVTDRYLTHPSRQLAFTQTATVFEDFVIRCVRYAFANMPAKVGRVFFGKYVAL PFTRWRMLRHGYVRSPVHYREYEIGQGAVQTKGTWIMHQPEHPPDFVLYYVHGGGFLM GSSYFYLEFLMAWHHLLVEAGFKNPAIFGLEYTLVPDQVYPRQVLEALEGYRHVLKVV KDASKVCVSGDSAGGSLILSMLLELGAQAASQDKNGVNADTWGGLADFDPSHLPLPRM ATLISPWVTLMSNLHYNSKSDFLDKRTLWKYAQEYAGENMVQQQPASPGNCVDDKLWR AASPERGYFVIFGEEEVFAPDIEDFLKRQAKIGVQAEGQKFDGGIHAWPVASLFLSST EEKRLQGLRTAVKEIRRRMLEWGPLNGDKV FPSE_03505 MSADDSYAPKSPDLSSFYSNGPTQEEVHHPQTDSDFRPGYEYKA ESPTYTRSASFSSYVPPSPHFDSTTRVSADSTFHHLEFDYQQRSAQPRTSRPEYQPYP SRHSSIPEHYIYSTPDQPRLSGYGYECDPTSSHSHLRQPQARHASLGGQVYPEQASNH NATTYDPSYTPLTAAGGLERTSTFGAAPFDTNMPPRKAAPPAAPAIDPSPVRTKFPTA RIKRIMQADEEVGKVAQQTPIAVGKALELFMIQLVTKSADVAKDKGSKRVTASMLKQV VETDEQWDFLRDIVSRVENEKEGSRSKAKQESSSDEEIEEPKKRTRGGRKKKAA FPSE_03504 MSWDLLQRFLESDVFNSNPFLPVSYLSRYADHVGIHYVLCQKLR QFPYEDIEFFLPQLCHLIISVHNESMALEEFLMDLCEESVTAALLTFWLFQTYLHDLS SNPSSDSFQTCRRVYNKVQHIVFGLADTARHEKITENVLPVTVLSSFVLASVALPMFP MWAGPLAVAQARKPQPVTNDLQEPKENTKPSRARTVTAGNSRSRRARESTRTFSAPDP KGSRDSSPKPARSPQPPPAIIPPQRSRTPANTTRPSALELRSLEGAKLSTSSLPLPSA QLPTRPTTPVSAGPTQHPTDSSNRRHSHHAKAILAVNDLSPVQKTRLLRQHYFRSQTQ FLTALEGISNRLVLVPKPARMSALRAELALISQDLPAEVDIPVICPPTLVNGSPGKSR HHRIVRVNPAEATVLNSAEKVPYLIMVEVLRDDFSFDPDAPDNHRLLNNLLGVTSRAK RIFDLSDSPRLSPTVKTPEPTLDSVFEPASGDLGSSPLLKGIDESPVRASPRLAQSQN AQRLPSGGVTASTSTLDLTTPRSSGTGTSRSNSPGPISRRMTLPIQRSTPADQPDFSA LATHMRTASQMLAQLEATSGKRPKQEVAAIRAKIIASMQSLEEKSFDVDEQGPTFDTI IAKASTTPIPVNNPDLEEDAQIDPNLNASAGRERMENDIKTGGVQRRGDRDDPSAAVF GEAWEAKKERIRKSSPYGWMKNWDLVSVIVKTGADLRQEAFACQLIDVCHKIWVDAGT DVWVKRMRILVTGESSGLIETITSGVSLHSLKRSLTLASVESGQNPRNRIATLRDHFL KAFGKPDSKDFRAGVDAFKKSLAAYSIISYVLQLKDRHNGNVLVDSEGHIIHIDFGFM LSNSPGSVGFEAAPFKLTHEYVDVLGGPMSSDFEDYKRLCKQAFQALRRSADNIIDLV TMMGHDSSMPCFAVGIAQVTSTLRQRFQLHLSAEEAEHFVDDLVAKSFGSYYTRLYDT FQYRTQGIY FPSE_03503 MASTITEAQAELIRSLAPDEIPIKLRCAICSKLAVNAYRLPCCE QAICESCQSNLPASCPVCEHSPLSAEDCNPNKSLRTTIRVFLRTAEKKREASRPKEDK DSEPATPIEAPKQNLPTREAPAVEIAPEQNPGVGAPSGQIDNAENVDESTATQPAHQA INSQGDIPTVEAPGHDEAGNVQNVSAEGSVEEAGVENPDEQTLTKQDVTEEPNGEGKE EENQQEGGDEDEEDQEKPDSESTNGNFPNGSFSGSGDFNQMQMMMAMQNGMTPNSFGN FPMMGMPGMGMDPMTMQNMYMNGGFQGMGMNGMGGFGGGFGQGSNNNWNGSQSWNFDQ NNYNQNGPGMGTGDFGGFNSGFQTGYNQGNYGQFNDYRRNNFGRGRGRGRGYYGGYGR GGYQFGGNASYQDQAQFSQHGSGQYGQNSIDSDSQQVDENGQLIQKDGTEGADEARTS NTVQADGSSEATGNEVSGDVSSMTPNMGPGASAVRPVPVPDVPLNAPTGPKAMRQGLP NTSLHHLRARGYQVGSEVPPGKSTPSARDSPAERGRSRSNSLAPSRAGTDAREKDKDH ESQREHSKDRSRDHDKHDRERDDHGQNQVHTGSASRTVSRSRSRSRGHKSSRRRRRYR SESVEDDGYDDDSRRKKHRSRRHHHDEEDSSRSKDKDKDKDDRYADRNRSTSPTESKR SSHRSRRDRDSDKRKDREKDKDDHRSDDRKKSGHRSSHRDRDYDHERRRDKDRDRSDK DRKDRKDRHRDRDRRDRDRDRDKDRDRESHRDRDRERDSKYSSRHQSTDAGTASNDKD PKPPSGPRGNETKANSQSRTESSGKDPHTLEREARDRERLLKEAQRIAGMTGWKRNRG DDGDDTGSRKGRRTASSTSARRSEVINGGDEEERMRRLEAEREGDRWG FPSE_03502 MADSTNAPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKEP TIGAAFLTQKCNLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKPTSLI KAKHWVAELQRQASPGIVIALVGNKLDLTGDSSSAAGADGEEGDDSGDARKVPTEEAQ AYAEEESLLFFETSAKSGHNVTEVFTAIANAIPETSLKSARGANASNAASRGGEEQRV NLGGPKDAGAKDSCAC FPSE_03501 MAKATEEPKNSKRNLLDDSDSESEDGGAAIAASDFKVNEEFARR FEHNKKREERQRLEEKFKKTGKQPESDDDDSSSSDEEEDEDGFLATEDLDAQISATLQ AIRNKDPKVYDKDVTFYQPDDPSATTANKEKKDKPVYLKDYHREKYMRGDIGAEDEDD DGDVPMSYNQEQDALKKSIVAEMHAAAEDDSDDDDGGFLQKKAPAKVDSNGVHPSRKA ALTITEVDVANADKNPETYLSNFMSARAWVPPDGSNWKAFESDDEDEDNDRADEFEQA YNLRFEDPERSNEFLRSYARDVAAAKSVRREEKTGRKRQRELERERKEAEKKERREEK ARLKKLKLDEAQEKLRKIKRTAGNAGKNLTDEEWIKFLDDAWEDDKWEDEMKKRFGDD YYAQQDDAIASDQEEESGKKSKLKKPKWDDDIDIKDIIPEFEDEEEKPKVSLSDVEED AAAEEDEEDEDEDGPASKKRKTDHKKARKESQKQARQERTKLEALVDSKLELSDHALL KKPSHAPFRYRETSPQSFGMTARDILLAPSDAALNDFAGLKKLATFRDQEKKRKDKKR LGKKARLRQWRRDIFGREFEREGPTYGFERLATADDEGRGSVIQSKGDKQKKQKKDGD QEDNVVGDVGERKKRKRSKGKKNASTAAAAEE FPSE_03500 MSGRAVLKPQHAPSPFDSAPQLYRDVPGLHPAPSPSHGALMDTS HFDDFSFAYQGLPDQSPLVSLADHAHASQSPTPFPQHQAMSGLSHAGLPFGALPPGNR SQSIEGSETGQGRISPSSNALEDSNADEFGLASRNRADGTDLGGKHKEDKADATPAWS ELKTKAGKERKRLPLACIACRRKKIRCSGEKPACKHCLRSRIPCVYKVTTRKAAPRTD YMAMLDKRLKRMEERIIKVIPKSDQEVASSVTRAVVKPAIPGTVPSNKSTKKRGAEEA FGPDLEAWAKAPSKPKIDGDDRPSSLQVQETEENKLQHEGTDALPSKEIQEHLAEVFF DNVYGQSYHLLHKPSYMRKLKNGTLPPVLILTVCAVAARFTSNPLVSSSGPEFLRGEE WATHAREICTRRYEWPNLTILTCLLILGLHEFGTCQGGRSWALGGQAIRMAFALQLHK DLEYDPSGRNGAKKQLSFIDREIRRRIMWACFLMDRFNSSGTDRPMFVREDTIQIPLP VKEKYFQFDMPAPTEMLDGQIPHPASPNDGQLADARENMGVAAYLVRAIALWGRIITY LSQGGKELDPNPMWEDESQYIKHLNDVVNLEASLPLSLRYSVENLEVHKTENTAGQFL FMHICLQHNILFVSRAAMSARKQQGAHNDFFSEASKRTFNAANRISELLREAEQPRCF VSAPFAGYCAFSSTTVHILGIISLNPSMKPAAEANLATNVKYLTKMKKYWGMFHWMVE NIRTQYRNALDAVRAGENVNERATQSSFLQYGDWFNRYPHGLSDAEFMDPATHKRKDL GADGVLEAKPELQSVEEYFSTLPTPQSIEHKDSIRAAAPKRKQGNKKQTGMSVQSGQP LDPMQGTDTDSVSVGGQERRFSGALGLQTTGTAGFNPLAVSNPQNSAFSTAMSPMSPA NMTAFSHHGHTPTFFPPELLAMNFGSGSNTNIDPLDRQLVFGGYSVDVGTGLGSGHDM MTGMGWDAVPGTQPDEDLQGRRSNMKAGMNGQGAGMADGAGLSGPEASSAWFMPFNME PPEMGQDPSFNMGGIDPFTGVFGTGGSGLATPNAMGGLQQQGP FPSE_03499 MASLQAKADAVKTALTSTSTCTTATVVTLKELLLLDADNSTTTS KTATNSKTKKAAPAKQQLPPKDRAALATHVINICIKSLNEAAKPSVPTTPSKRQTSQS DLKNPSAPRRLRRSLSAPLSPLQPRTLNRVATSPNVTSKATSQPPPQSTGCLAVVECA RTAFSFLRSTIGSVQPTQTDFQLENGMSAFIGKLLTLGFQDQALKELRILKRRLDSGV SKTTKPTSSEGQTAAQVIAELLDYGDKVPVNLLPVVTGCQFQVLRWISHSKKPHHIEA ALPFLEESYLSSPVTLYERLGAKDKKETQKAARQLASLSQTILSFAPSVSSKEDQVAT EIRLSPSPTSAFKLQVLCFKAQLKWWKMAGHRGSIDDDILSPFSRCVRAYTRRHPSAN GPTYDLIATSFQQLMDLMGSQKSQAKTSSESPLTLLYQLMGVAAQTDRQYEAACQWFQ TLKGVLNPDEESAIRIFSVSARLLAASLKQSKYNSATKELVQEVTESLEGSLSGNITD LNELLDSLSLARRSAVGLLVSNSKDSKSKNNTVITIMQHLKTFITKFPRFGRRWLGAP PGRDASTKTLLQFDQRRETLMQTISQIIDGALVVINGDIQNDSTSWKQMDEVLWDCNK LVDSVLDPAISISRTEQLSGYFVKISSLYFARYNQLRKDLGKSKQINKEILQCLSRSI EIIQDRPMAQQEKAQISTKLELFADLCKAGNRSDEAIRTLRSICTNMIEDGALVKVTA ALDSQPPSVAWSADGKAGTLSRTLRSIAKLDQSWNDWAFFLQENERAAVLEHLMQIVG DTPSKGEPLKLHDPSVQALLKIYTPERFPIRRLRVLLHLLFQVIGEENEMEQIIKLVE ESTQQLDNEAYGEDSSLTRYIPHLRTLKKSVSALAVTDAPLPVPVLRDAIASWKSMTE SCKSISELYEQIDNPDGLVLHLQSASQFASLRGEHGLQLEILELSTPLSKILAEPTYN NVILNHTLLASQHLNIGHFAEAKETLDATKKLLDQAEGVSRGLIAEFHLTQAEYYSGI GDIEEANSALAAAKAIYSGSSSSWALSRSQANMSIALSSFLESVLALKRGQVQEALIS VKSSVRVLSHDWSKIEASVSQSVMDASTTSLDSVKAGSKLGQVIGPRFWALAFPLLRG LLHVSSVYAHLGMYQETVYYAESAQKIAETTGSPLYRAQVLAWIGSVYHRAGKLTKAL DFGNEAFEELPEDISASRVRVACQLGGLFRDTGDEDKALQLLQLAEDTAQRLGDHGKV LSIQNEAKKTAPVAAKARAAATTRATRTAARTTRAKAAPAAAPAPAPKTRKRQAATKT QPSALEVSKLPKDAYQASLMASVILSRALGFISQKDWTSALSTLELAKELPKLFGTLS QEQVVTAISLIGHSTEQMIHDPVFSVVQDSTISFPAVAASDKTRRSVSQTPPRKGRAA AATERKGSKEANVPAFAEALRQAQELLLEAHASTLSTANSTMVHRISALLQNTVILLS ATSTTQSKVLAGSGFATFSVDLARNVTWKREQSTLQNKEGTETDGSGSVQTSRRDSVG LTTEMAKFQEDYIDLVPHNWSVFSVSLSDNHHDLCITKFQAGHSPFILRLPLERANSR DADSEIFNFEHGKEEMMEIIRLANETSHSASRDFSVKGAKSAWWAERDALDGRLKDLL ATVETTWLGGFKGIFSQHERRPDLLARFQKSFDQILDSNLPSRKQGRGKKPTKTSKVS LDPRVLDLFIGLGDPTDPDSDYDEALNDLLYFVVDILQFHGERNAYDEIDFDAMVVET YDALRGYYNAVKTGSERAEDAHTILVLDKALHAFPWESMPCMEGLAVSRVPSLACLRQ LITESQPFANDDDSDDAPEGHYVSADRGTYILNPSTDLVNTQSTFQPIMKGVRNWNGI VNRAPQEAEFEKALSDSEILLYFGHGSGAQYIRARAIRRLEKCKPATFLMGCSSASLT EAGEFENYGPVWNYMMAGCPAVVGTLWDVTDRDIDRFAGRSFEEWGLFPKGTFKEDKR AKGKSRASGEDETTASESEEDGQVTRNVSLAEAVARSREACRFKYLNAAAVVLYGIPV YIKHKGDE FPSE_03498 MSLRTLPIRPGQIRAAALALRASRVSKFGIRYSSTAPVTIADGQ FWRSLIPKPLRKENRQLRKKSREWNPATFFIVIFLLIGSMSIQMIALRNSFDRYMRQS EARIASLREVVEKIQRGETVDVEKALGTGDPGKEADWEEMLKAIERDEANRKAKREKA ERTEPTTVTATAAPVAEVQNTEPAHAAPAKPKGGSFKNFF FPSE_03497 MSKPLLRIPYTDLPLPSVIAPASASTLPGAIAALHRFFVAPSPR HLPSSAVVLTGAGLSVASGLADYRGVKGTYKVNKTYRPIYYPEFLKSHESRKRYWARS FLGWSNLRKASPNSGHYAIRDLGDLGLIRSVITQNVDSFHPRAHPNLSTLELHGYLRA VVCTTCRNEFSRNEFQEKLASLNPRWAELLKKALESGALDTEDPVERRFKGLKVNPDG DVDLPDAPYTTFRYPPCPKCLSHPPENSDGHKHVVQVDADGAWKLPSTAGILKPAVVM FGESIDSHVKNAAEEAIDNAGKLLVVGTSLATYSAWRLAKRAQDRGMPIAVISMGGIR GEDRFFADMDPNQRGEQGVRVALSTDDLLPALLSALRNDVAPAEAPRKASLQASIANP GIFKDMLS FPSE_03496 MSQVRSHTDSGRDQKDGHQHDQSHSHSHGILGGHHHHHDNAYLT SGNKDDPGVRITRIGLVSNLGMAIAKFAGGYAFNSKAMTADAWHSITDLASDILTLAT VTWSLKPPTDRFPMGFGKVESLGSLGVSSMLLFGGLYMGWDSGISLYGHFYPEAAHEI LTHAGHGHSHSHGAAALGIPSMHAAWLAAGTILIKEWLYHATMKVARERRSSVLASNA IHHRVDSLTGFVTLAAIIGANMMENAAWLDPVGGLLISIMVVQAGAGNTVSAFRELAD QGIDDDIKSSVSKHAHKALKNVAEGHEVALCEVSGIKSGQNYLVDLEMTVPGAWSVDT TQQVEDAVRTQVGGKVRGVRRVRIRFSPKEATSKARFDDFIPGTVVLPPETEEDASDA EAESTHDHAHNLKSNSNQDHGDGLRNRNKH FPSE_03495 MPFPARDNSTDKSADFKPLYLALVVDSDLKVIGEAKVDFDKDFG HKYGIKKGVHVYEETGEVYAPVAMWMESVDLVLDRLAEAMPVPLSHIRGISGSCQQHG SVFWNGHAYEILHHLDPRLPLVVQLPQALSHQWSPNWQDQSTQAECDAFDAALGGRQK LAEITGSGAHHRFTGTQIMRLKKDLPEMYAKTAHISLVSSWLASVFLGAIAPMDVSDV CGMNLWDMSRQTFSEPLLELAAGSKRDALNLKKKLGEPCMDGAAVLGSISPYFVDRHG FHPDCQITPFTGDNPGTILALPLRPLDAIVSLGTSTTFLMNTPKYKPDGAYHFFNHPT TEGHYMFMLCYKNGGLARERVRDQLPKPENGPTGWENFNKAIENTPALGAAKDDERRK LGLYFYLTEVVPNIRAGTWRYSCEPDGSDLQEVNGGWDKETDARVIVESQALSMRLRS QKLVESTRPGLPAQPRRIYLVGGGSLNPAIAQVIGESLGGSDGVYKLDVGGNACALGG AYKALWALERQPNETFDELIGKRWTEEGNIQRIDEGYREGVYQKYGNVLGAFEGLEKK ILAEQATDSDNENKEVQASG FPSE_03494 MTTAHRPTFDPARGKEALRGPAYHQRLLPAHTQLKYRQAGQGGD ADEQPTRDLAAELLAAEATHFTKKNGAPALIDDADEDDEVGVSGGAKRALPSSDGEGE DLEAKRRRILAETRDIDADDDSEEDEEESDDDDDSDDDSDAELQRELDRVRREREERK KKEEAERLKEEEDARERNIALGNPLLNKQDFNMKRRWDDDVVFKNQARGTEEKGKKKE FVNDLLRSDFHRRFMSKYVR FPSE_03493 MPREAEPSLSEKTFIAQALNEGLRLDGRKFDEFRPLEITFGDEY GVAEVKYGKTRVLAKVSAEVTVPYTDRPFDGVFTITSELSPMVAPSYEVNRPSLEEVL LSRLLEKTIRRSGALDTESLCLIAGKKCWSIRVDLHVLAHDGNLTDAACVAVIAALRH FRKPDSSIEGETLTIYTSAEREPVPLSWLHSPFCVTYSFYGEDGSQVLVDTNWLEEKM RISHCTYSLNKHGEISQISKLGGKALDAPLFIQCAQGALNRSKDLSNLVDNKLAEDAK RRDKGGLMAELTAENDR FPSE_03492 MYHLAKGLYLYATSKEEYSVILLGLDNAGKTTFHEQVKSMFLPN RPDPKLKTVPTVGQNVSTIPLQDMYLKLWDVGGQHSLRKLWESYYTSCHAIVFIIDST DIGDGNIEHDENLGRLEECRLVLEDVLQHTETEGVPLLILANKQDREDCVETIRIKEG LVKKVMEGDKGSAVRDSRVLALSALTGDGVREAIEWVRTRVQWNKESRPPVMR FPSE_03491 MASAKLVGMGFELLKRATDEEETDPEEGDSAQKELFAAWALFIS IMLLIVAFFTSYMLQMKKVTAIHETVISIFAGMVVGLVLMIFGGDSIRTMISFDYQIF FNLLLPPIILSSGYELHQANFFRNIGTILTFAFAGTFLSAVVIGFILYLFTLIPGSLE MSFVDAISVGATLSATDPVTILAIFNAYKVDPKLYTIIFGESILNDAIAIVIFETAQK YKKGEAAGLSIISFFEGTGIFLLVFFCSLFIGFLVGVATALLLKFTYLRRTPKIESCL MVLIPYATYFFSHGLHMSGIVSLLFCGITLKHYAYFNMSRRTQLTTKFIFQILSQLSE NFIFIYLGLALFTDNHLQFQPPLIIVTILAVCAARWVAVFPLSKAINWFIRYRAGRRG QEVGDELPYNYQAMLYWAGLRGAVGVALAALLTGENSPALRATVLVVVVLTVIIFGGT TARMLEILGIRTGVVEEVDSDDEFDIETFGGGLQVKRSGTGIGYNPRRNGSVALGSLE AGQSASYVSGASSPHTGGRPTSKVRKNSIPERSDLLRHNDDSDIGSDIDVSDLPPPAR RSPLPRSNTATPSRVDSAYATPISETPGGQPITASNAIRQLWTSEDPASVFHHLDEDF IKPRLLLQGDSSRGGNGGPS FPSE_03490 MNGVGRVNVPNQLAAAAAAATGQARPRMPMQSPAAAGMGGVPAH MASGLVPPNQMNGVQQAQMQAMQAMQGQHNRMPMPNPPPDVSLMMRAQRISEQQRAAQ MHAQGGPGTPGQGAVGAQQSPPAQMRNAMNGVNGINGNPMNQQSFLNNAQAMMAQFNQ GNLSSPQANGLHMPSGPAGSIAPRPQPQLPAAIQAQLNQLEAQYRAKNSSLTSEQARQ MATEHLTRLMMAQRSAMNAAAGTAGGQGGLAGSIAATTSPHQYAALLRQQQQQQASAA AGSPGQQHQQPHQAQHQPQHQQSQQQQAQAKQQQPQQQVQVQAHAQAQVQHQAQQQRQ ASGSATPSAG FPSE_05177 MAPRAPFNVPHVPVLHLNSTAFSALSCKPPKHHSIVSPETEILT PSSDVALNTDTPKSINNNLFHRQKPVHSSQATSNYFLQRPFHPKTDVAAVDAMTEVGA ADLSRLLQSKRNECSSIVTSRKRKLRELFVVATQSEGLPHPVLTNPDAPTTTPAEWQF LQANDINQSKTLNEASIPTRPTFSLEVLKKSLAKSIFIANESVPKQTPENSNKSHVTD AQDDQQSNKAPLSTKPDAAATSTRPNTPETSTESATTANLVPPPAKNTQAVLPPADAI EKPIPTTSPDVPASTGANANPITNGEDRVDPNEVASAPRQPQVTFETGTKGDHVETGT SSVKSGQGVGDSAVTTSVPSTVKPSADATRSADALSSPGSTAQSATTPAVHDDASTDT SPEHEGPQYVEPAEQENIEDGTRERGTDKYHQSHQGDDIRAPSTKDLEDRVLEAPPDS AEAQLLQESIRSNVAAEKEAALNSASTSQEADTAIPAPVSEDVNMSDVDDRVVKAAPT VGGKVEEKHAQQILDTDAKSALATGAPAEISGSKEIPDSQEEAPEQMDVDAPEPKAST ESQIGAPISPEKLDAADSGPSSPTPAHITEVAAPPEPVRQDSPPKVERAVTRVSSGAM RPKSVSEIVGVTPRQTPTLEHISTNKSINHQLTPLTSTPKSPTLRHRHISAHQRQTSR SQPSTVVFGKQSKKGEEKSMVTSQHDTILPTEDYYTPLFVQGFAGSSSWMQPIEKILY TANKTVTTPDANLAIQDHQACKVLRRVYHLQQHDKWSLRQPKRCPEPTRPPSHWDVVL QEMKWMRTDFREERKWKRAVAKNLAYACAEWHEATPEERKTLQVQAVIPPKMKPASDV AMVDVEGANHLTPDLVSGEDVESIDNLDDLIEEFPETIAPSAIFNLQDEDVVFGLRRT AAADQLLEELPMFGAPLQVPKFDLTGPEWDPDAHWRRPALPLSKYVEGHMKLVSDGPP RKRSRYNYQNEDSDDEGEAGFVSSDSTPSLPLPSATDEVALFNPEMKHIRDRLYVGHQ FRPPSEYPMPSQSFFECRSPSQWTIAEDDELRSLVREHSYNWSLISSILAPRSIFNSG AERRTPWECFERWINLEGLPADMSKTQYFKAYMGRINTAQNMINMQNQAMAQQQVNQA NGAVTPGRRRHQSIPFRVERRRNQKHLTMLDAMRKLAKKRETTAQKQQHTASQNAANK KTNESASQRPTKTPGEYSRLRYERDQALAEKMAQFASRQEAQRR FPSE_05178 MSEQNKPAAPRIAIKFGAPSNNSNSKKLARPHPPSSLGKRPRPQ ALGGASDSEDDQDDHRGRHENITGFGAEGAETERKAKDPRTEKKDYVIARQANRDWRS EAKAQRKGKNLLPEEARAQQAGTTVETEPADQDKGLKWGLTIKEKTEDDKQDADRDRK DERAPSQGDNDKKLPPKRSADDEAIDALLGKKEEEEKVIHPTEEDAYQRDIQDAGEAS TLDDYEAMPVEEFGAALLRGMGWDGKPRGTVKEVKRRPNRLGLGAKELKGEEDLGGWN QNGKKNRRPRLDEYRREESKRKEGRRHEDSYKRERERERDRDSRHGHRDRDRDRDRDR ERNRDDRHRSHRDHDRRR FPSE_05179 MDSQSEDGNRLKLEVSQKYNDGENEQQPTTVITDDHGDLAFPAA VPRPILSPESPLSSRSHKEAVTPNAAPSEDRQPTRVHSQPVPVSETPGHVLSKKSSAP KDRPKPTKTWQTEQPRRDHHRHHSYFRPGPARAGTSYLNKAIWEGPESTALDSRRSSS SSSDEEQRPINRREPPSDGDRKKDKASHKDLSDDKYGHFSVGNQNYQTSGKVKKDGRL RITVNETAGTGYLSKALGAAVSKVAPPRVEQAEKPSNLTIPESPRRSSSATAVADPRP QTRLNIVIMVIGSRGDAQPFLKIGKVLKEDYGHRVRIATHPAFREFVEKDSGLEFFSV GGDPSELMAFMVKNPGLIPTLETFKAGDIGRRRAAMATMFDGFWRACINATDDESDRQ NLKMMGEKNPFVADVIIANPPSFAHVHCAEALGIPVHLMFTFPYTPTQAFPHPLASIK NSNVDPGYTNFISYPLVEMMVWQGLGDLVNEFRVKTLALDPVSTLWAPGATYRLHVPF TYLWSPGLVPKPQDWGSEIDVAGFVFLDLASTFEPPKELEDFIAAGDTPIYIGFGSIV VDDADKFTEMIFKAVEMAGVRALVSKGWGGLGGDDVPENVFMLDNTPHDWLFPRVKAC VIHGGAGTTAIALKCGKPTMIVPFFGDQNFWGKMVSSADVGPEPIPYKHLDAEKLAEG IEYCLSDKAREAAEKIAKRIAEEGDGAENAVKEFHRQLNLESPSILRCSILRDRTAVW EVKGTNIKLGALAADMLVDNGLLTWKRLRLLRHKEWNDFEGPGEPVTGIAGSIASTVG EAFHGVASVPYHWAKRTKSTRRRKSVKRSKKPKKTNQEASQNDAADPENTADGASIHT EATAEEASGQYVGDMASGVERTATAIAKAPVDLSMALAQGFHNAPRLYGDNTVRRPIR VTGFHSGLRAAGHEFAYGVYDGFTGVVRLPIRGAKENGPIGFVKGTGMGLTGLVLKNL SAIVGPVGYTLKGVVKQAERSKTPQKTIRRARMAQGQREYNALPEDHQKQLEKEIMDG WHVMKELREKLQELEKQRGIAGQIDRVLIDTEVVFEDVDTAKRSLEALKQGKDLEDVV DPDRDRGRGEGKSGRDRSMSIRRSLNFTKRHGEKKRLASLADADGNMAEGAVHSGTDR LTVPAR FPSE_05180 MVSTEEAIQRLKEARPPATDTFTYLTVVETNLSPEVLPTLQDIL QDAALTSEIGWDLVEMLISVPGSEGCLETIARLGNPREVILKVIEVLDANSESSEAGD ASASAKFVILVGMLSILHQRLQVKAPSRYLHTTLQTVYRAYNPQGAETTAAVIDLVRS LSGRKRPPLPTRQSSAKIETAFQGSDMSKSAPDPEAHAGQAGEGESELVSRLLQSFIT SILEAYVNSNNLEWAARHLEYLSPERIVPKKPTMLQAFKQVDELQTRDALVGQLVAVA RDLGLAKLPSDEVKKILQSPFAVNPLSVEPDPKNPEAIKLSSGGFLCLTAYRMFASDI FDANYEQPNASIFPEHHNLLKRFLGDEPQIQIEGNPGTVEALIVIALWLQDQKRIVGP NAAEDREATFMSYHHLLTLISVFHPSLRVRNAATVLAGQILHQDPDEGDRLNILEDLL ENCMFSSLQACAVTWLREEIIATQKAGSKGRFADSDCLDQLQYTLFPDLEYLAETDLE ALWEFWVQNSPFHLQVVNFSLFLFGGQDYKSLAPAGMAAAIEHRYANPLLAAAKRLTE ALEKKEIDGQDQEGEVSMQLSILTDTLERVPLH FPSE_05181 MFVPVAASPFRGSTASFSRIAQHQGFHRHALRTHVRWLAAVARK TKTNKLKTLALPSEARHNEIGVQQLSSHVFEQIFPDGVKPPPQELVELSKDHLRRHDL LGKNTDKSDPIAFNIPPLQGRTLDEHFHKLGMDAAEPFLTFAKQFAIANPPPKPRQWV YESGWTKYNPDGTTEKVEAPEEEMLSFDTEVMWKISPFAVMACASSPTAWYAWLSPWL LGETENDRQLIPFGDPTKDRILVGHNVGFDRARVLEEYSLKQTRNTFLDTMSLHVAVN GMCSQQRPTWMMHKKNRELRERFATQPPSHDLAAVLDNQAVHDEADLWVERSSVNSLR DVAKFHLNVSIDKTIRNDFGELDRDGVVEKLDELLTYCAADVSITHRVYQIVFPNFLK TCPHPVSFAALRHLSSVILPVDKSWDAYIKNAEATYHTLSDAVQERLIGLTESALEMK DSPDEYENDPWMQQLDWSGQEIKMAKGKGDEPPRPVARQKKPGMPKWYKDLFQSSDSP INITVRTRVAPLLLKMSWEGYPLFWSDQYGWTFRVPRAEAAKYTAKLAVECKFDEKEI KLRDDHAHAYFKLPHKDGPTARCTNPMAKGYLSYFESGMLSSEYTYAKEALEMNASCS YWISARDRIKSQMVVYDKDLRVMRGSTRGRPKKDKPGFILPQIIPMGTITRRAVENTW LTASNAKKNRVGSELKAMVKAPRGYCFVGADVDSEELWIASLVGDATFKLHGGNAVGF MTLEGTKSAGTDLHSRTASILGITRNNAKIFNYGRIYGAGLKFAATLLRQFNPNLSEK QTMETASRLYANTKGTKTNRKLLYKRPFWRGGTESFVFNKLEEFAEQDKARTPVLGAG ITEALMGRYISKGGYLTSRINWAIQSSGVDYLHLLVVAMDYLIRRFNIDARVAITVHD EIRYLVTEKDKYRTALALQVANLWTRAMFSQQVGINDLPQSCAYFSAVDIDHVLRKEV DMECITPSHQTPIPPGESIDINTLLEKGEDARLDPAIVPDAKHAPKLDDIAYTPRVPI MEGIREAAQESIPFLKAQIASDEKEVRDIVYTLQKERLAAMPKKERPMSKKELQSVLP YRAYPQLMPMDEPFILTEAMKENQNAYAHKNNKLGLGKYSNGNYRPTWRV FPSE_05182 MEQSFLYMDLTFSHLLQDDDPGFDFWDSADAFYAPPIAISMSTR IISSPDLAGTEPGSSRSRSQRPIGLEADEFEEQEGAGSGTGPGGQHNQIRPTTSSFSL PLPPLIPNPHRARRSSSPTTTTQRPRPSHSPPGLSLLGFQTPPRRRATNLSSENLDLP PINLRESETSGRYSRSRAIENLLLATNIGDSDLDDLADADFSSPSTYPSFTNTDPHQS QALTPGVSQTAALQASISAPHRAAANSAATAPRDPSAAHHCISNFLGLERSTTQLSSS TNAAGESQPTLPIFDSFDESDLFDSPASSFSDAMPPALRRSAASRTGSTHASKRQRTS TAAANGNTISSRQSPRQKKSSAPKKEMDVEELFGSSPPPRAFIDLETNEDYDTVDLTE TNEIPDEIKQPEKDDRIKLAAFHNTLCPFA FPSE_05183 MAEITALDALQAFHQGLLSLREGRVEGAETLGNEFLVQVFETEL AKFWDKPARKSDNRNAVKSGKVVIDGDEYSINDNFQQDVLTFSDEVELDELESTRCLL ESQEDPNTLGRSLLECAIIRFHQQRKYVLDIVRLLLEIEGLDDDIADAEALESVKLYV AERLLQPSMGADTTKRIVPRCMASMREIKAWLQKIGDKIAAAQTLGQTTTNGVSEQME TIEFSRVSLMQQHELLGVILCRSIEMRQGSTQDFLDFVSVLQKVDRYDALLVHLVPSI GAYISVFGSAEGGYDLIKARELHGKLFPTDSSPWPLSQLQAAFRAWWLAEYSGFYVDD PPEAAIPPNTDLDEEDRQRSKAFLESLKDGSFDFLLSIAADVKSPDWHDPVRAGMRKW LQRKSPALAPDAIPFADFFQMSLMLQLEVFVDGFISNLPDVLRKLRVEEDEQRQSSQT HEQDLDLERFLLIIAYAYEGRPDAAMNFWSDPDSNLAGFMHWASRRASTPLVTAFCEM LQAISENEECASAAHEFLIDEGHHSSGKMRKSQSLTWAQIFKELDFFSAKIRQKPAPP QAIRYRNEKMSSDQAETEPESAMMLECYLRLMSKLASESETTRQFLLQNPNYSLVETL LELASSNIPPRLRGCTFMALRALMARKSLQEGALMWNCLDQWITGEYFLHPVTSHRQS QQSPSVFMERIFDEISNGFEDPESFIQLLLSLISPAADSSPLNDGLPFPENLGSSSRM PGIEIYVDFVIGLVFAQKANDLQDVNQTRVLRLSCLEFILICLNTFNEDLIIMANETN INVDSVIATTDLATYVRMHPFARVMEWMFNDKVMAALFNTIHQEPADVGNASPDSPLI LGILRAVEVISKVLDLQATYLELVRPIIKLQSNQRRPPVANAAFASFEDGLVTRLNLV VDLGNYCGIGHPELTLACLKLLEKMSSSSKITAVWSGSSRQMHRNKAIVALEANGEHE TISRSFVSELITPLETGREADSPAYVTKIYILDFLYQCLQETPRKPTIAHLLLGFKCG IDSLSVEAKGAFTSRTSLFHTILRLLLEAPSGDAQGMRQWLIAMKSRVMRILHILWSS PLSAPVVVEELRENEILFHLLLRETVINPDLPWEGENVALVQFPVTDGAIALIDFLSL RSMSLEYIAMELCSIAQNRMPSVKRRIFEALNGQIIGEGNTPIPIPTIFDLYDFLLPE GVWEIPLPPLQYYKDLDLTACLENDTDGNQIYNLERVKEVLLLKRGEAHDAGTLVAAQ DLVAFEREESMIIEYLISSNRQKQLTTQSLKVLKTWTKLLLVMIESNDFKGSAQISFF LQALQSILPSLEAFASERPDEAFELAKLSKILLFKLDLSASADDKQGSAIGNLISDKL FQLFQICLQAIGKWAGTPELRSIYYSICYRYLTGMADQGPLTSNRPKTIKTIQVYGER LLNVICDDAYGGEAQCQTAALILLNSLISMGHQESEDYVVETLNRLNFIGIMVDSLRN IMQEWQEVFTSGTSEQQNYQNARLALLLELAQTRSGAKYILHSNLFRALEISGLFGAD PELQINSTNPRALEQHYDLLAQVVRIVSAALVSRGSHNVVQGRRFLTDHRMLVTHTLK RSAGIGSANADEGLDQKIEELAEGLMVIIAATRFLEFENEALPESTQQSHGLFH FPSE_05184 MASHNVMNLARDVKSAAVRDREKAVDELSHLLNPRNRSTNLSDL GDKSYHEIFEAIFSFVLREKPALSDRKKSQTTLNSTATRLSKCAEAVRMAVGRGNSKI GRKTLLAIVDHITQVLPGPNGDDFVTPLLQDYIKALTEVLSRPAHVEILARKGGQHWE TCVGFFLDVAQYLLPDEADISTLSLARASPALARSSPAPGSGYSRSGGRSTPSTQSQR RAAPGEGGLLKDVLEGLYYLVIGGNAPILRQYKDITPVVLRVLSLKQLSLGSLQTLAF AIINTVFTTTNTDDLAHASSVVQSILPLMSYWWRCEKVSQDEVIRALRIEISRSIFSM HLHIENLVINSSDEKIRSDLEELTENIWSEYSRRSEAFRLQMSDITFALSSLPTYGLQ LNMFGLRAHNVYGEGHWAVIQNLAFLEGIMLLPQSRTPADDAEQSEQRRKRRRIEQDM SRIQLKLKTIEVGVRGTALQLIPFLLADNSLSRDELVDLLPELASLTTDKNPVTASWA LIASASCLSKSKVCYDQIDVWRQLWHFAIRSVSLPGTSRAASVLLHAILEADVLPYHT ISQDINNMVTTADVNGPSVLCDTSISLIFHVLQLRNARVPSASQSTCHHIIRWVFLRW NPNESTFASYHSMHAQPIQLVNLIRACCGTTALELNSHQAAPGGPLTETWSSFKQTEK FTRYVLLAKDESHDADPIGCCNLSEQSDPASLVDANSRYASRKLTLELFYPKLSELSE LCTSWTKKPNEGGIQISFDRFQSLLSACLAGTLLLPIFGDLNSTQSSSVDSTLNDILD KALNSALASVEPTAFVDSVLRVVRAVMPDMITSGLNRMQANNPDLFRLFGRIWKSVGQ QKDQTGPDNNIDLMDIDEDFDSQSSRASSVHAPVAAPRFNIQMKLDMQAFYIETKARL RFLSILDSDFGQIGLVPDTYVDHLIKMPDEDLLMCQSLLLELFGSDLVITPENALSII ERLGDCISLSEYQCAEVALSTCIGVIDGLHSIWLNDKQHLSERVGDLYYHFIKVCLTS NIFSPGIQMSMVQLLFTLVRTNTEYGKDQGLDSPRTCLLYILKNGPMSVKYTISQKIA DIFDLFVLKLHDEVFVDVLDSLPTDPLDTTGIAFRLLVLSNLACRWSTLLRRCTYHIF ETPGKIPRSTDYATRCLVNVSRTLNLESPKALFRLFSRQLLYTWLEYDRIEDIPFSIF GFKTLGDLLKSAQSEAIGLTVMRGQDQTFAEVCRLLGSSESDLVRENFTTAVAYSMIF GDSNGGDDKERGEAHAKRLLGRTSYMELIYINFVDIAALFFDLIDQENSFERVFARFK LDYAGQILSAVKAISHSPAELPANQQPMFKAKYLINELHRLCQNTEFQFHDLWTPPVV VSIARKLLNTVHPALGPLHACSVLRKVRVLISLGGSVALESYPLEMLLNATRTFITDS ECADDALGISQYLLAEGARHLSNVPSFLAGYALSTLASLRVFLESSQSSTTQESQFKA TMSKAEKFHVWISKYLEDYESPMFKNLEQRSAFKSITRSAARIRSSGNAERGTAESKL LLDILADQGADHQLLNEPSRQLALGLLCGDFSIPETIKDDIIESDEDALKYSTAVWKS CDTGNLSEEYLSWAGRVVGRAFSASGEIPTGVLRESNLSQYQKMAPGSNGSETGILYL LQDLTSNPDSITAGLAEAALRSIVSDANNLDDELLAIACQKSLTESLLVTSQWGTHRS PPSDKGLITPTSSPNQPDVWSTDITSKDWLLNLSAHLARYVPESIILSVLAPILARVE HFAERAFPFVVHLALFFTRNQQHSPKRQLSVAIKSWLECTVAAAKENQKLLINMLLYL RTQQYPKESSIADRSHWLEVDSALVAAAASRCGMYKTSLLFVEYVPPETTRSSRTSSA ATKEVDMSETLLAIFENIDDPDAYYGLPEEPSLSKILARVEYENDGPMSLAFRGAEYD SNVHLGNPMAQSDGQALVRAFSTLGLSGPSNWFLQTQDNMETSPPVLEDTFNTARKLG IWNLPAPPSDHHAVTVFKAYQSIHQATDIATVRAAVHDGFGRTMSSLVVHSLNATALR KRLGALASLTELDDVLGVSDSSEMNLLIEKFKNRSDWMRSGLYESVGQILSCRSTTMS MVSQQNALRTNIKLSAATARQMEVESMITASQIYRYHQATQESLKISTILTKLIPSCT ALDLHVDAAVTVEAANSAWDYGQMSTSIRMLQDIDKDSVLEKQTLPVSRSDLLSKIGY QVSVARLEKPHDIQKNYLEPALKELKGKGQGRQAGSVFHQFAMFCDQQLQDPDGLEDL ARLQNLKKAKSDEVSELKTLISGTRDTQLKTRYSHVLNKEKQWLNLDEQELRRVEQTR SEFVRLSLENYLLSLIASDEHNNDALRFTALWLERSEEESTNQAVMRHLSEVPTRKFA GLTNQLTSRLQDNNTTFQKLLLELVYKICVDHPYHGMYQIWSGTKAKAQQKDDVAVLR VRATDRVAKSLAETQSVANIWLSIDKTSKYYHALAMDRNPNKYKSGAKIPLRDSTPGH NLVNCLAKYRIPSPTMHIELSATKDYSKVPIISKLEPTMTIASGVSAPKIITAVGSDG VRYKQLVKGGHDDLRQDAIMEQVFSAVSSLLKLHRTTQQRNLSIRTYKVLPLTASSGL IEFVPNTIPLHEFLMPAHERYYPKDLKGSQCRKEIFGVQGRAVATRISTYRRMTEKFH PVMRYFFMENFMDPDEWFLKRLAYTRSTAAISMLGHVLGLGDRHGHNILLDHKTGEVV HIDLGVAFEAGRILPVPEMVPFRLTRDIVDGMGITKTEGVFRRCCEFTLDALREEQYS IMTILDVLRFDPLYTWSISPLRLAKLQKARHNDDSPMDDEQSEAETKKGKKAAGHVNE PSEADRALEVVRKKLSKTLSVTATVNDLINQATDERNLAVLYSGWAAYA FPSE_05185 MATAKARGKLPETETIDLTQQPSAFKPYAGAKKLVIKNLRAPTN RDSQVAEYYKRTEKELDSALESIFAGRTPDIPLERLYRGVEDVCRKGDPAKVYQMLNE RVDTHLQRTILPKIQKNGRASNLDTLKITLEEWKTWNKQTILIRSTFSFLDRTYLLRE NYPSINDMAIGQFRKMAFSSQAHSYKSPVGAKLVAGICELIENDRRGGGQTEPTLLKD SIMMLYVLGVYVKHFEPFFLEQSQRYFKEFGEAWSTSSLKDYILVCEKLLKKEDYRCI QFNLDSTTEKQLMDSAHSLLIGNYSEKLLNGGSLGKLLADREVESMKALYDLLRLSGI QKKMKVPWGEYIRTAGAAIVSDKEKGDEMVLRLLELRRSLDLMIRDAFNKDEDFLWAM RESFGKFMNDRKVASCWETGTSKIGEMIAKYIDMLLRGGLKSLPKELLSDLKDRETAQ KEGQASTGDEDAELDRQLDQALELFRFIEGKDAFEAFYKKDLARRLLMGRSASQDAER NMLTKLRGECGANFTQNLEQMFKDQELGKDEMESYKQWCQGSVERKAPLDLSVMILSA AAWPTYPDVRLNLPDEVATQIERFDKYYKNKHTGRVLTWKHSLAHCSVNARFAKAPKE LLVSAYQAVVLMMFNNVPDGGFLAYEQIATGTGLQGGDLNRTLQSLACGKARVLTKHP KGRDVKPTDTFTFNKTFTDPKYRVKINQIQLKETKEENKATHERIVQDRRFETQAAIV RIMKSRKSMGHSDLVAEVINLTKKRGSIDTSAIKKEIESLIEKDYIEREGNAYVYLA FPSE_05186 MANLHPVGAMPREIPPQMHQQLQASWPVRSMPQSNGVFAGPPAY MSVNTQPYHHPPLPPTDLHGSPEIQISQSRSPQRYRALRLEKASSGSSWEDTIISEKP MSQSVIRDRIDWLQKNTSSVTKKKQEKNEDIQNQINKAQADLTRGDRDVRFYYKLAQL ESEWRAADDRRRDDRSERSSKRHRGHSKRNKFPKLERVAVVAYFVSTPATDRHGSRPH TNMPPKQSKQTQHSQTAQKSAEALSPVTSSFTRPFPSSAHGKAPLVADPRLRSQPKPI ITQQAITPQVSQVSQVSQAQLPYQGTFNMSKQDGMASARHNVAIPQTMNPTGRAQGQV SVGQPPKLPPIQLPLRPPQVPGPLSVPKAPPAMPTAGPGAPKGATGTSKQPTAPKVVM TESKPNVKDTIKVYHVSDQSSSSSDDGWSEDESEGTTPSSISSDQSLGQRGRGRSPKR THPDHHGNVIIQDTREAEGRDNPSQRQRVRFNSPDRYLYREESRSPRGKYHSRSRTEE SRRVEPPRIIQVPRHSVRHVPGLAPRRDTSNDKYNSGERPLERARLSDSYHDRNIPRD NDRFKYLEEDAQRRREFRERRDDRREDGDKYAESDSRWSDQQARDYMHQREARRSYRY HG FPSE_05187 MLQRASSKYEANAPPPQKSQAKGGPFLPSSSPSHNADIRQQLKK PDAPAVSARTMPPFSKPLENRSSNMNQASNNKRTPAGLGRGSLATLCGGSNSFSERPD VVDLTGPESQTKAQSAVFFNESDFSDDDDLDLDFKAPSALPPLPPAASTPTKSVPNER MPPPPPTSTQTDRTIPWSSSPPSHFLPPARNTSAASTMANISMKRDSSGDHHDIGVPA HKKAKKRVLPQSFKQEEPEDEDDNYASVIQTPNNKRKDFLNPTASAVKEQKKQFRNQR QQDQPTANTDLSMGEIQEVTTSNSKPNFAVTLSEEQRHVLDLVVNKNQSVFFTGAAGT GKSVLMRAIIKELKAKYAKDPERVAVTASTGLAACNIGGITLHSFSGIGLGKEDAPTL IKKIRRNQKAKNRWLKTKCLVLDEISMVDGDLFDKLSQIGRTIRNNGRPWGGIQLIIT GDFFQLPPVPDHGAKKETKFAFDASTWTTSIDHTIGLTQVFRQRDPVFANMLNEMRLG RITEDTVRAFKSLERPLQFNDGLDTSELFSTRSEVEYSNERRLRDLPGKPRRYDAQDT GKEEVRDKLLANMMAPKSIVLKVNAQVMLIKNLDESLVNGSLGKVIGFSDEKTFDMSP PDEYDEDDQTAKARKKLLKSFQHESESSASDKYPVVQFMAGDGTSRVILCQPEEWKVE LPNGEVQAKRAQLPLILAWALSIHKAQGQTLERVKVNLGRVFEKGQAYVALSRATTQD GLQVLGFQKAKVMAHPRVIDFYNKLYSAEEALGKPKAQSITSFVSNRIGSAPSRALAP KKPAPQVVDLDDEEEAMAAAYGN FPSE_05188 MANQFIGLHMRVVLRDPPGNEFTGTVVDVQAGSGLTLTNVFVAN TKQWFPSIQIPAANIADLSEVKDKVPATYAPAPVEPIVAAPTLTKPPAQPTFVDPAIL SLGRPPAPATPLGSDTRALAKESERTELPGIPVKVAASTPGRPTPDYDLSSSVNNLAI GETLAETGNGDVPEGHANRSAKKKNANRRSRQTKQGKGQRVEEDGLAAEGSPASGRGK GWRQTPILQSTSSFQPFHFLKKSTKGRKGTLDNGWASEDVTEEMGDFDFENNLAKFDK ATIFDQMRREDQVDDASRLVAHNRKPKPGTAGGKNLHYTENVLDLPPTAKKDAYSWNS EADDGLNGAERLSGRDVRSSQSNRRADSKSGPSRRSQSRKASAVATSGGLPLTRVNSG QGHPPGLYLVPSHKRLETVSALQMLNLENIAANEVGLTEQLMAENGGRGIAEVTFTAL TDPAIKVRFGLAGANPSSSATLSSPAVVILAGNNKSGMRAIAAARHLRNKNVNVLVCL VGIEREKDLLEDLRQQIQLYRAFGGKILSKVDLFEHLRKASSSGSPVSVALIVDALLG LTISFEELRTGDQAAVYELMEWANRNEAFVLSVDVPTGIDPTSGKVAVIDGSRLFVKP RYVVAMGAPKRGLLEAVTPPDEDDPQNMNNTAHDDEWRLFIADIGLGSAVWRKAGTKI RRGIDFDEKWVLEMKYSDGQHDESDYDEE FPSE_05189 MTAAGLISATITAPPSLCTTTLLPDSGVMTLGLDGVPTILTEPA VYQIPCPTHDISPRAEVHVTYAASGDESSLTQHELSDSSPKFSDFRDPFYASTFPICY ALAATTVTAYMLVIMLFVTPRSFLDGGIVYLGRKSAFTHSSNNSVTIGGRPWLQKVAA LTVAISLTIASADTFRVAKSQYTWGIQNAHQLQDEVMNSVELKVIRLVSDTFLWLAQA QTLIRLFPRHREKIIIKWVAFALITLDVVFSAVTSFKYSDNGINTTARPKNFVHPVPA LSYLFQLSLGLLYAAWVVYFALMKKRYSFYHPFMKNISFVAIISLISILIPVVFFILD ISQPDFTGWGDYVRWVGAAAASVVVWEWVERIEALEREEKKDGILGREVFDGDDTLEI NASEFPWLRNRKSRKGGSSGGGSDGDRPQMSATSVNGWPTVSSIANRYRGQNNGAFAE TLDQPLQGGTARGLRPVIWPTRPAPAATPISRADTASAASTVYAVRYQAPSETTSRTP DPMPLPSVVDLSQQSSTPPSQQLNDESSSSNQAPASLQNSIVVPPMSQQSVDLEANSP NSPTRGGWRNLTFASSTLNRPNEDASTDVTQRSDGNVAVAREGRANSSSRWDLKGRLE DFAANQAEKLRDRMRTAPNTESLPVTVIPAPPRRGAALQQVLEEEELNTTDRQSPQSS SRHPFANHNNSTTSQSSASRDASGEVGHLDRSRSTSSGSPGNGPIPPNNPPLWRGVRP RPANEDDFYDDSDDGSLSDRSSLDHPRQDSDSPGTRRAT FPSE_05190 MSGSEPFPLLNTANDQLHQRHQATSSASVSNTTATQDAINNARK RRKSSALGGEIRGDTGAPALASSRASLDATSDGRKRLSKRRRARGLIARAKQTMIKHT WVLPAVLLALFLVGYAFNPTESNPIHNFIFLSYKLPQDDLSAPARYGKGRWDLAFVSF YTIVLSFTREFIMQELLSPLARYYGLSRGKKARFMEQVYTAIYFGVLGPVGLWVMSHT PVWYFNTYGMYDGFPHLTNLAPVKFYYLFQAAYWSQQAIVLLLGMEKPRKDFKELVGH HIVTLGLIGLSYRFHFTYIGLAVYTTHDISDFFLATSKTLNYIDSPLVGPYFGVFMMA WIYLRHYLNLKIIWSLFTEFETVGPFELNWETQQYKCRIAQVITASLLCALQALNLFW LFCIARIAWRFVSQNDLQDDRSEDEDDGEIEDDEVASPSSKVNGSTNGHANGKTTADM KN FPSE_06119 MASADDIATTPLRGAARDDLPLADYILRKTQYLYALILLTAFIS GAAWYSVANSKKQEDQVHSTIKGPGGKPLPITKTKTTLADGDRKIGPGFGRTAKNVFR YLAFVVFSTYVGSAGFMFYHAFWFEDPYNWSQEGLPWAGEWSVVHVTGALFFYLYILI SLFDWRKGPNIVHFVVWVLGFTGEVVIFSTTAVAAADCHFFRSVRNSDSGKDGNCVDY WTKVDLVFYFVRILHLLALIGVFSLAWIRKARGLDDRKIEEAHPAESTPLLNGHRRSY DTNGQLANGHTPNGREPNSRETNGRRGRGRTISNAHKTPSFPKKEEPTAFYRPEKLPH KTWWEYFKGYSLFFPYLWPKDSVKLQINVLICFILVMMQRLVNVAVPMAVKKVVNDLE EVIKLVKDGERLSMDNFPLKDFMILGLLWILQGQSGLLGSLRSILWIPVSQYSYRGLT TAAFNHVHSLSLDFHLSKRTGEVLSALNKGSSINQFLEQVTFQVFPMLFDLFLAISVF YYQYGPLYAEINLVDTCWYLYMTIKMASTRADQRREMTNADREEEAVKNDSISSYETV KYFNAEEFESRRYQSKVAVFQGAEAKVQMGMMMMNICQTVVFNLGRIIAALVCGWQVA MGLRTTGDWFMVVSYLTQLQGPLNFFGTFYRTVQQAMISGERLLELFKIQPTVVDTPH AVPLGKDFQGHVRWNNVSFSYDRRKPALRNISFECQPGTTTAFVGESGGGKSTLFRHM FRYYDCDEGSIELDGRNVKDLTINSVREAIGVVPQDTTLFNETLMYNLKYARPSATDE EVFTACRAASIHDRILSFPDQYNTQVGERGLRLSGGEKQRVAIARTILKDPRIIMLDE ATSALDSHTEQEIQDNVWSIGQGRTLLIIAHRLSTITHADQIVVLNNGTIVEKGTHEE LLTAKGRYASMWEKQIRAERALDVAREAQMKAAKAVRKAKMGLKRNSDAAIDSYDGLG SPGSLSGTGSQGHGDDTHTSSSSSSDTESSHSEEHSSEHKRK FPSE_06120 MDLDLALKRMGEREEVPICFGLPVPVINLIIA FPSE_06121 MSNNSPSTPVKVPSSAANHTQATLDPDLRSQINTILLRDGHVSK IQDALLHALNSHSTNWPTTIQSHALSLLRSGEVATFPALLSRVLEDVRHDSALNPISS SSNGTNAKSATNGDASKANGATDAKPSLAVPESVVEEALRVTRESLEAVCEIEDDGTA FPSE_06122 MAPQPDEHHPHKKVNLTDASGAEVKSEDDVATAILKKKKKPNQL MVTDAVNDDNSIIALSEATMDQLQLFRGDTVLVRGKKRKDTVLIVLADDELDDGSARI NRVVRHNLRVKHGDMITIHPCPDIKYAKRIAVLPIADTVEGITGSLFDVFLAPYFREA YRPVRQGDLFIVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEGEPIQRDEEENNLNE VGYDDIGGCRKQMAQIREMVELPLRHPQLFKSIGVKPPRGVLLFGPPGTGKTLMARAV ANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKREKT NGEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGVPDP TGRLEILQIHTKNMKLGDDVDLEQIASETHGYVGSDVAALCSEAAMQQIREKMDLIDL DEDTIDAEVLDSLGVTMENFRFALGVSNPSALREVAVVEVPNVRWEDIGGLQEVKQDL KENVQYPVDHPEKYLKFGMSPSRGVLFFGPPGTGKTMLAKAVANECAANFISVKGPEL LSMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKARGGSMGDAGGASDRVVNQLL TEMDGMTSKKNVFVIGATNRPEQLDPALCRPGRLDSLIYVPLPDEPGRLSIIKAQLRK TPIASDIDFGYIASKTHGFSGADIGFITQRAVKIAIKESIAIDIERQKAREAAGDEMD TDEDAEDPVPELTKAHFEEAMQMARRSVSDVEIRRYEAFAQQMKNAGPGAFFKFPEAG AEAAGGDAGNSFGDAGNDDDLYD FPSE_06123 MPLIIVSGLPTSGKSTRAKQLHDYLSKRIADTKYRLHLISDESL SISRTVYDLSPDKVRAHTRSANASEKDARASIYGAVKRVLSDKDIVILDGLNYIKGWR YQLHCESKAVRTPSCILQIGCSVDKAREVNETRIQKKEADSSNAAGSEEAAPAAAVTD DAPVDSTEPYEPDNWDNLVFRYEEPNPMTRWDSPLFTLIWEDDEAQTTKVFSDLWDTI AGEARKVVRPNQATIQRGREESGDYLYLLDRETSDVVKRIVEAQREGDDVDEVRIPSG SNEIKIYLPAGKKVGLPQLQRLRRAFMGLNRGGIGLEAVGDMKSSRLRDMFVTYLNDA FEKDE FPSE_06124 MARSLGAHDDIAIAEIVVYTFLLFGALFLCKTHGFSRNSGWFYI IILSLARLIGSSMLLATINDPDNTNLYIGWIVLNGLGLGPLILILLGLLDRLFNTIKS QGGAGINVLYQRVVHLLMLVAVILISVGGASSSYTLDGASPTIKYSTESKLGVSLMIV VLVLVIGQLLFALRNQSYIVDGERRILIAVGASLSFVIVRLAYTCTLILGGHKQDVWI YLGAGVIMEIAVVIICEAIGFTLHKVHKPVVSEEAANKATSGA FPSE_06125 MSIPMQRLGGRAFAHDDDSIEQEYDRLRDMARAEAEKRNDCFAR SRQAYEDGDGAGAKELSNQGKAHAARMDDYNQQASDFIFRENNASGRVEADSIDLHGL YVEEAERILEERIRSDQAKGQTHLYAIVGKGHHSAGGVQKLKPKVEELCQELGLRYET DEDNTGRIIINLQGGQPVPPSHSGGHGGYPGGEQHHGGQHHGGQHHQPEEKNDDLVAI IFKKLEKMCCTVM FPSE_06126 MGCGMSTEEKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMESLELPLEDQRMEY HVQTIFMQPAQIEGDVLPPEVGSAIEALWKDRGVQECFKRSREYQLNDSARYYFDNIA RIAAPDYMPNDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFIKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGDDYAAACDYILNRFVSLNQHETKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI FPSE_06127 MPKATGQRSVSARNNPVGSRSSRRISNSVAAAKAAATKKTNAQN PIKEEQVQELESDDQETPQDPEGHPSAAENASSNESIDSSANEENDENEDEEEEDEDD GENDEDENNNENEGNAEDEENTALQGPAPPGYTWQLVPITYHGETAGNVASRMFGKKL NVKSSLAKRLFDELAVRPASQRNRKTKLNVSRRSNAEALLCHLTGVRVQTACKNCSRS HGPWHECVIYDGQMCGSCTNCWYNASGSRCTFHDDNQACLFLPAAFPAMQMQMQMQSM RIPTMRMPAMLPGTNNVPGQQYLEYANGQPAAQEETPLERLQRIGAIQTGISGTPLDR LISRVESAAIELGSRVGEFQEFIQTPAGYLMMAQRAAQDATPSSSTVEELGSVEENGS DEELGSEEELGSDEEN FPSE_06128 MPHVPSSADADNEGDAPELVFPPVTKDHIQNCSYDSWFPKYRSS CLKSRIIPLPPAFVEYLHEDGIILADDDEHQDEPEEEWHASSNTSARPQARDPESSDD EDDGPERLPPNQRFPETHNLIKETIAELGGSVAPKLNWSSPKDAKWISPHQNTLKCTT PNDIYLLLKSSSFVSHDLDHAFDDCTSAPPSRPYAPILVLRPFFSPHAALEFRCFVRH RTLIGIAQRDLNHYAFLEQIRPQLWRKIKDFFHDKLRLTFPDASFVFDVYVPENSFEK DGLGKVRLMDINPWATRTDSLLFGWQELLEMDVPKPLYGTSSTEADPDVSGDDTATEG EDVDEDIEYQPDMRPEFRIIAKDDPAAYNFSSPQYSAHKLPKEVVDASMAGQGGLMEF AMQWKELTEGRGDGIWEKAAGAGT FPSE_06129 MSDNVGLNTPRGSGTSGYVQRNLAHIKPRDYGAPYPKDLDSLRH KQRQPDKGILEHDRKREVEVKVFDLRDKLEEEEVDEDEIDKRCDELRQKLLAEMNSGR RGGGPKKSFKQHQVHEMADAKIKESERLRKALNISADYEEGGHWKRQEERLRSALEKE DNDEEERGKSQCGHKQIQQNMS FPSE_06130 MARLSQPISPSLNRSAIDIASEELGNLLSRLQKTVLHTDNDRER RLRSSEFERARVASNLEYARNALSKLEHDALAIKAPSRRAEVQGDLNGKRELLELLLD RLEDLRQVALDEDHDDASTDEEDILSEIIPTPSDSIMDSISTGQPTESSWQEDDAEPE PLETTEILATVAPASTLPSVPTSTAAPETAQPVQHTQQPTQTSQTLRPRGKNTSPSPS SHSTARAALFANRGKPSTPQTSTATAEALLDRQRSEQEALSESILQMAGALKSSSQRF SDTLEADKDVVGRASEGMDKTEQSMEAARGRMGTLKRMTEGKGYIGRLKLYAMVYGLM LVCFLVVFFMPKLRF FPSE_06131 MPSQIFKGKVFAAAGPLPGQFTVDNLKRWTSLRKGIFLDDFDES VTHLLCTREQWDEKVPRIKKALKGPKNVSIIHSDWFEFSTVHEKRLPERDYSMRSLQA KQNAKRREKERWEKGIQLGKKFVNTNFYHLYRDRLNFVYEVDITRDDEATGEIGQKYT LYLWESNAKPHLYLFAARFLKKKGSSKPSYHRPSPYEGLWRQEMDLFMDFFKKKTGID WQDRVSLANTQPSSYFQYAPPAKGRPVGRRLRHDLDYSREINAHILGFPWPPVENSEV TKDSSENESDMGPRPFGDEDDVMTSPPDSAVVSEDEYQETEQESKPAQEQNSGTGPGS PERDAKKITSPLKPDDAPIEDSAPTIDTQSTETEGRSTQSKGRLETPPHEMEIKPKST PAGSAPPNPEDTPTSPAKPATPAPSIDENGISESSSEPLVIFDKETGMGISLEFEPRE PLT FPSE_06132 MGSSDIIDHSPHQGDPSPPIPTASNLIVIDNYDSFTWNIYQYLV LAGATVTVYRNDKVTVEELVAKKPTQIIISPGPGHPETDSGVSRDVIKHFAGKVPIFG VCMGLQCIFNVYGGEVSSAGEWLHGKTSPLTHDSKGVFSGLSQGVPVTRYHSLAGTHV TLPEDLEISSWVAKPDGSPGVIQGVRHKKYTIEGVQFHPESILTEGGRAMITNFLHMQ GGTWEENTQFQKSGTVASDNSTAPKSKKTNNILQRIYANRKAAVEAQKQIPSQRFEDL QVAYDLNAAPPQIPLVSRLRESPFDVALMAEIKRGSPSKGIFALDISAPAQARKYALA GASVISVLTEPEWFKGSIEDLRAVRQVLDGMPNRPAVLRKEFIFDEYQILEARLAGAD TVLLIVKMLDTELLHRLYNYCLQLGMEPLVEVQNAEEMTTAVKLGAKVIGVNNRNLES FEVDLNTTGRLRSMVPESTIICALSGINTHDDVLMNKRDGVNAVLVGEAIMKAPDASV FISQLCSGTEPAAKTGVAPSLYVKICGTRSAEAARRAAESGADFVGICLVPSAKRCIS HETALAISEAVRTFPSTRKYETQVSAVDNKAKDFFEAATQRLSSPRPQLVGIFQNQPL SEVLEKQKQYNLDLVQLHGDEPIEWANLIPVPVVRCFKPGQVGIGRRGYHTVPLLDSG SGSGKLLNVANVQAVLESDPELRVFLAGGLNPDNVAESVKALGPLADRVIGVDVSSGV EEDGKQSLDKITAFIKAAKEIR FPSE_06133 MAPVADRTYFPSLEQCLTGERVLLSWKLIATALSDSSGQRTTSS AVIDFLSDDYVHRLLEDPASTFAPTDEATKKDFQTKTAPINVPASSDGRPDIETIKKD AEWLSKNAKINLVAALRIVVIEHQSRPARHLSSPLSSQDAKNLQEAAGLNNGQGSGFL SDLGSASALDADEIWAEFEKPETRQRRLFDTYLTERRFFMMSADYANSIKLYGRLPTF ANVDLDLAKTYRLTLPSRDDAEPLLPTYLQILTDSMSSIESGLKAVTDEKWVTEEVEI DWIRTLLTEAVHALSVVFQIVDSFGDEFAPSNAVNQWFSLMDVYRFFDAVQPIHESIA PLVTPLKTLSVAVSLILLKPARSLTYLSEREEDATQADTTYDTYLLSSDVLEQVHKSV LNAADADSENASPVIFAWTLLLHRMNVSYQARTEKRDNLLQQNARETFEAGGVIRPVA RRNSAGSIFSIESSKFDGFLENATASKDLVVVEQLASAVTAQGRVFDVISNMASSLGP SDEGSMTPLLSSRLRNVFLELLKVSYPIVGYQSEPVSALLSVLSAGRNYWDLSSKENL SEKQDILASMVNDDLALEFFFQQALDRYPYEFMPFITLCRTLASATSLRDGERSQMIH NLLRVTPTLTFVLPDHFQDYELAQEDENTNTFCLLQDIPIISLSPSWRGRRAEDDSYR IPAGTYGRFVTDTGRVVSMEYPHSTISLLGRRLEINLMKEGYQSELGMLQPEETAEVI SLFATLIRMEQLNAAQEDTAGALVLADNDILLEAKKHIDAGSGRDLLTIVCDTMDYYM QVDLAESEDVVVTILTSCIQFLDAVLPIYPSRVWSYLSRCELLSSESRAGKLTKVVGS LDLVQERLEFLSSCLRLFGNLIDTAMTSAVQRRAGIQTAGRSKSDLNPWLGTADKVLA KVSYAVAQAGVDIFENTSTWRFASDTCRSSILRDVVPILHKIILYSYSLGDSSTSENL TSCLRPAASYVIDCFVSPSTGSLRFQPLLSSLITAFTTADSTLYPRRVEMIHLQLSAV LELSATLLRVANYLEQSSSMIETYLFKSSTLLARLCAASDHYRRPTMWLLESLVVNAG KSSSEPPSLLGYLGPQISKSFLQLLSTLGKPFELRTEVKATWRFFSAILRNRQQWMSN CLLTGQTPREAMKDKKKTDVSSNSVFATGLAKLGKLKDLEVSEALVILDFVASAQNFW PWTVFTLQKDTAYLDGLRAYVRELKPSNLTAKSDAVRAGFEARLAAYVAETFAMQLYH SRHLGNSDTLAKNLVSDLDYYLRDGVEVGGYNKSLHNNFARNFSNKYSDCPVDYFKRT ALKPRELGKSYYYDLERADEMLRFDPGWKARKDDGFKAEMERANTNLSLVDAQLALFH AWEFLLVELSTCLPKNDTVEKQMLQVAQQCLNANQGIPGPEHIFLKLVESRANLALVL IQRLVKSSVPVKDINQLLSTLVGTMNGVEEPWGPESISYYRTLLKALFVTLRAYHTGG KKETQDVFEGTTVTVTQTVLNVLDRVVGRGFRALVSLVHDNETSMSPEDLGLLTAILQ ACLSLPNMDQSQTQVLNIMTEHQVLHAVSSLYSWADRLSDQGDPIYGELSMLFLLELS TLPLVAEQMACDGILSNILSANLTKFMLKSNISPYSDSPVVQRCYGIWAKGLLPLMLN LLTALGATIAPEVAYVLNQFPHLLEASVDRFEAPGASRTQSRASPHYLTLVAISEVHS LALLTRVLAALRVNNNRDIPDVEWDSANLLENVEFWLSTKKLLKERLMPLGQREMDWR GMKPAAGAYDSLLEEKAVAQLDAIRDVLSDEAEN FPSE_06134 MDMLSAAEQRTLEQRMQKRQVKEFMGAFGGLVEHCFMSCVDDFT SKAISNRESGCINRCVQKWMASQQRISDRFQEHNAQLTAQMNK FPSE_06135 MTSAASNPNQANQPTLQINSAASPPSKRDLKSWWKGFKLPSKHQ EPQETRPQGIFGVPLRQSITYANVAISLIDEKGQSYIYGYVPIVVAKCGVFLKEKATH IEGIFRLSGSEKRIKELKTIFDSPDRYGKGLVWEGYTVHDAANVLRRYLNDLPEPVVP LDLYEKFRDPLRGATKQAVGDAEGPQFVENFNEKAAIIQYQQLITELPPLNRQLLLYI LDLLAVFAAKADENRMNSQNLAAIFQPGMLSHPAHAMAPEEYRLNQCVIIFLIENQDH FLIGMQGTAADEKTKKEVESGTPSGPLTPQPARQTSLGRSASNASAGANSVRRDGKLR RNRSVSSRNSRNDGSSTPNSPALTATPTTGGLARSNTVPSKKSPAIGAGRFQRTDGAS PRSGAHLEPLTQVNPTESVAESPQSTRSSEFAHGGYTTPSKAPVTGRSHERLLEVPQE SPTQRNLTNIFRSVPTTDSGDKRQPNKLKKKRIPGSLNPSAQSSVASLTYQHGTSTSP GHEISNPMDHPQHHDPEPHIVQQQPTPILEERHQEALEQHQHEQQLQEVLHAQHAHDA QLTAGFLTQQKSDVSSEHTPRVSQASSNQSLHPDNTLKSKKSPPTSLHSSFNEGSDLD QIVDEQSASTFDQAEREKKRRWRLSRSRNADSGSSAGFTSPRMTIGQNDNGDVSNLSI SSSSHRPRKSESSDRPYMVAEGQGSFDQGRESDSKGPIGWIRSKYREAKESAEQRRAK SPPPADHPGLGSSSFSPPPKSLEMKRDEQPASLAAIAAAPTGATTNAVAPLSTGAPAS QTATVTMVQPEPMSPTQQQHVEIPASPIAQPQPAVQPAAQVHDQAPSAQDQPQSPTRD TMESPKPHAQKIRSMLDVDTPPVAASLQSPTSPSAPTFIATQTQEQQESELPTESKSL EKPAEAIEQTAVASPEQQPKLDAGAEAPKQKQ FPSE_06136 MSSATVSRKTLDEARNQRNRSVFLRDIIVIRLINVWWIATFFQP DEFFQSLEPAWNLAFGSQSGAWLTWEWQHQLRTSLHPALFAGVYLVADFISSHILPVG ILRATILVAAPQALQAVIAGLGDWYTWQLAVSIYGANSNVSFFALFLQIFNPWQWYCS TRTFSNSLEMTLTVMAMYYWPWELLGVAQTTKENPKPAPILKSLWSLRASLCLAALAV VLRPTNILIWATIVLFTIARISLQGPSPLTFSTVVTLIREAILCGSLILAISAASDRW YFGFWTFPAYNFLYFNLSKSLAVFYGRSPWHYYFLQGLPLICTTSLPFAVAALYKPTA HATSTQQSNVLKTLAYTVFTTVGALSLITHKEVRFIYPLLPALSILAAPCTASFFTSK PSPTTNNPRPQPQIRNKRYLFVALGVNLFLAGYLSFFHQPAPLNVLTYLRHEYERIHP DSVQLAQTSHFSATPKNEDELFALFLMPCHSTPWRSHLIYPGLRAYALGCEPPLHTEP NTPERDNYRDEADRFYDDPIPFLASELFSPTKAVTVPRYIVGFEGIEPWLQEFVQTSE AQSLGLTQVRPVWKGFNGLFNEDWRRSGDMIVWDTGVYDNAPPAKEL FPSE_06137 MSPHAEKTVSRFHATSTDKAIAIEEEYAAHNYHPLPVVFSRAEG VNVWDPEGNHYYDFLSAYSAVNQGHCHPELVKTLTEQAGRLTLSSRAFYNDVFPKWAE KVRSVFGYDMVLPMCTGAEAVETAIKIARKWAYKVKGVPQEKAWIFGVSENFHGRTMT AITLSVDPESRENYGPYVPNVGAINPTTGKAIRYNNIADLEEVLEAHGKETAAFICEP IQGEAGVVVPDDDYLAKVQALCTKHNVLFICDEIQTGIGRTGRMLCSEWANIKPDLVT LGKAISGGMYPVSCVLSSKEVMLVVEPGTHGSTYGGNPLGSAVSIRALEIMEEEDLTA KADKLGNMFRDGLKALNTPILKVIRGKGLLNAVVIDESAANGRTAWDLCLLLKSKGLL AKPTHGDIIRFAPPLVISEEDLQKGLNIIAKAIEELPTVEPAKHH FPSE_06138 MAGPQESSTSSGSRKSGSRAVGQFNIGSEIGKGSFAQVYLGWHK ETKAAVAIKSVELERLNKKLRENLYSEIQILKTLRHPHIVALHDCIESTSHINLIMEY CELGDLSLFIKKREKLATHPATHDMARKYPSMPNSGLHEVVIRHFLKQLTSALEFLRS KNYVHRDVKPQNLLLLPSRPFRDQRSRPVMQASQDSLIPISGLASLPMLKLADFGFAR VLPSTSLADTLCGSPLYMAPEILRYERYDAKADLWSVGTVLYEMSTGRPPFRARNHVE LLRKIEAAEDVIKFPREVSITPELKALIRSLLKRSPVERLSFENFFTHQVVTSEIPGL VEDDIPKPPRQESRDPRSAFQSGSPSLSSRSPRQTGHQSPTEALVSRSPREQQPRSPQ VGSPGEGRYTRRSNESQRTTGNSPREGGEGLGIRRPVAQHAMTAPVQQVAYDQVTGRN RASPPTSLLNQVRRNRALSNPPITEEERAAQDVALEREYVVVERRHVEVNALADELAA NEKLGDASQRSGPMTRRYTQQGAPTSTTGAISTPSSRNALVTGRHDRKSSYEKALSAS PGSASSAISKAIQDASLRLFGFKVPPLRASPKGPSPPLYQAFPTYPTPQAPVGLLGDG RNVQSTDEDGKAAQTIEEFATRSDCVYGFAEVKYKQLVPLAPSADHILGGLEPEQLVN EEDGLTVEAIVALSEEALVLYVKSLTLLARAMDIASLWWSKKSRGDMGTGLSAAAAQT VVQRINAVVQWVRQRFNEVLEKSEIVRLKLTEAQKQLPDDHPSHPSNHGTESIASSAG SPTKQVYLTPGISAEKLMYDRALEMSRAAAIDEVTNENLSGCEISYITAIRMLEAVLD NDEESGSETRRLSTGKEAEREAVKEVSGGELDSDEEAHVRKMIKMITGRLAAVRKKQQ MIAEANSKTTLAYQQAVRRRSGDMTPRSVPSHSSS FPSE_06139 MATTNSHRSPLSDLVQELDPNAPPPAPLDLLSTVSSLFSQRQEK LRKEKLARQDALTEAQYVAAREAAYKRRAAEEEAEAEFLDRLGRAIVISPRIRDTEPS KEEIEALIDEEVAKEERGLGLHRAIHETKEAYEKRMVALEYRVDSLDIIEKERKQKEP VHYVDGFPANVIIIDRHVRPISVASTDGFSCLQCIVLGRRCGRTSDDEHICEPCARNA RRCLVKRHLMLKSNMLRSWKFAEGQFFGYDELEDEARKWMLRMKEKLRQNKRPEGIQP MPAWPERKDLGGEPDQQNMPRRWQDFLKSISPEGE FPSE_06140 MDDDAVRKSQETPAAFLHSVDARSNNQSHLVFPVYERDIFKIGR DIRSNSLAIDNDPDMLVSRNHCEIYVVVYEPTINHIYVRDRKSSNGTLVNGRLIGSGP EISPGYLLQHVAQYQPSPRHEMTALQQEECKLFASKYQVTDHCLGHGAEGAVCLANDV QTGKQLVCKLVNLDKIHGKNAQDEIRRKFQEADILRQLHHPNILSYVDAISSPHTLYT FTELASGGDLMSFIMRRGIVKESESIIIIRQVARALAYIHEKGIVHRDLKPENILLAY SPKITCHRVMLSDFGTSAVPRRSRMITNAGTAGYQAPEFVTTGEAHTAAVDIWSLGVV AFTLLVSDHHDGVLNQMTEEEIRQYITQTFSLLTKRPSLDAAKFVRSCLRLNPLRRIS AHEAKRHEWLYGKKKNVELFKRLDRRVISSWEPQNALRPMPWSLPDLVPPPRHRKHDC YSLRDELTYTKGTQK FPSE_06141 MGSEKRKRGGDTTSKPKKKVAIDASPSTTVSSVLRPKHCPPVIA TAVGFDVPKDIPFHSYAPKDAAKSKAKQTKSAGEKDFLLHSNAHRTMDYTVKADGARG LKPALNHFLGIYDPKSGKLEVVEAKKMTMRAAVRAKQSAAAAADERDASQTMMQLKID LGQTFGTKKAKKAIQENVLNAIAPQKKAGEAPTKIDAAARAMLSSVGEVTSTMASREE LQAVVDEARPVPIPNLEATEIQDVYDPKRIIGADILKLVPVREWQEKVQHKESIQVPS RFVAARVTRVAGNEDATDRLRVLRYFFFVLLFYLHSKPGKARGTRSIPPRDKLREFLA PAPEAVVESIRRKFSDRGEMRKFHIDLLITHCCVFASIIDNFEVDTQNLRDDLKIDQK TMNAYFHEIGGRVKPVTNKETKTTVSLARLALPLNFPKQRHIAPKRR FPSE_06142 MAEESILDLEYRGRTAILTIHNERKLNAFTGAQYYDLAQKLREI ATHDEVYTTVILGKGRFFSAGADVGITRKAPGEDKDSHKKLLQSFVANNLNITHAFAT HPKVLVVGLNGPVIGLTAALVAFADFIYCAPSTFLLTPFSSIGLVAEGGASRALALRL GPARANEALLMSRRIGSQELERCGFVNAIFDEGDDAKFRARVLHEVEERLGDHLNGDS LIGIKKLLRKPEMDIMHTQNVHEVFAGLERFLTGVPQEEFAKLASGAKRHKL FPSE_06143 MCFLGWVVPLTAVLMLATRDAGHNHRSRYVFKIAAVFTAIFLVI FYFSWSATSLTFILPFVAKWRSGTAAVIMAHINLFIVILLTLMAAVFDTPKDSKPEGP GSRAPSQA FPSE_06144 MEPFNEHEKRQLLTEIIKHSQIDNHQLYRFIGGSSVAPNWFHLA LPNGRTLAQCQMTLATMGKEVSAQGIKRKAPGDGPSNEAGNGVQTPGSQEPEVQAQPA TVQSSHMSVQHQSAMPMNQQQYQYQSGPPPKKKGRPAYSGRNAMGQRPFNPRLLAPMP GQQVVQNAQSSFRPIAPAPQLHSGTAAVVGPVVTSGMDQGLMCAPATQQQGRMRVPTV QMRMAMEEMARQRGDQQALLALSRLPGPAPNRSRSNSEVVPDTSEQEDTEKDRSRSVE LIETKDENSAAEDDTSEQAEGQKNGTPEQSKGLRRSQRKA FPSE_06145 MSALRAGMAARPAVQAASRATAFRTFATSRNMRMAAVSEKTFFA GEPEGPSVKTAIPGPKTKNHMDELTKVFDTRSANMMADYTKSKGNYIVDPDGNVLLDV FAQIASIAVGYNNPALLKAASSPEMVNAIVNRPALGAFPSHDWADLLKSSLLSVAPKG LDNVFTAMAGSDANETAYKAAFMWRRQQERGGPDVDFSAKELDSAMNNQSPGSPDLSI LSFKTGFHGRLFGSLSTTRSKAIHKIDIPAFDWPQATFPKLKYPLEENVEENKKIEQA SIDEVEHLIKSWHHPPCAVVVEPVQSEGGDNHASPDFFRKLRTLTKKHNILLIVDEVQ TGIGATGKFWAHEHWNLESPPDIVTFSKKAQAAGFYYADPAIRPNKPYRQFNTWMGDP ARAILFKAIVDEINKNDLVNHTAKVGDQLFKDLEGLSKKYPGQIENLRGKGQGTFIAF DSPKRDKFLAEAKTLGVNIGGSGADAVRLRPMLIFQQKHADILLEAVEKIVKQ FPSE_06146 MAGQEPDGSEPPSPRTSRRHPPLLRNRSAGELDERTPLLTTSRS HIRISTASSSRQQRGTLSREHSYLGAYHGSRNHSRHPSWSSRLVNALSDRHESSMTES KGTIFPDERMWYDQFTSTDWVHDAIADSHRVKELRSRKDFWGRLRVVFDGAQGWILSA LCGFIVALMAYTVDVAESTVFDFKDGHCTRGWYLNRKRCCPDITCDDWETWSYKLNNP FGEVWSSFVIYMAFVLILAAMACWMALWTKTVVPSAYQLTTLDENLAVDNIHHTYDDS NESESSTPQPQAEPKPENPPMVYYSAAGSGVAEVRVILSGFVLHGFLGARTLIVKMVA LILSVASGMSLGKEGPYVHMAACVGNILCRIFSKYDRNDGKRREVISAAAAAGVAVAF GAPLGGVLFGLEEVSYFFPAKTLFRTFFCCIVAALSLKFLNPYGTHKIVMFEVRYLVD WEYFELVSFIFVGVIGGALGALFIKASKYWAQSFRKIKLIKKHPLLEVLLVALVTGLM SYWNSLTKLPVAELLLNLASPCEGSNIDWEERALCPGSIDEIPPILSHLFVALLIKGF LTVITFGIKVPAGIYVPSMVVGGLMGRIVGHIVQWAVLRVPHWGIWGDCAFSRDGSCI QPGVYGLIAAGATMCGVTRLSVTLAVILFELTGSLDYVLPFSLAILVAKWTSDAIEPN SIYDLLTSMNSYPFLDNKHKPIFTEDLADIVPRIRKERIIDITNSPVVPATSLRIKLE LLHRAGELDGGLPIVRHGILVGLIPAPDLGFALDQLEDEATDLCLMDRVPNIDEDDES HDPTDFTPYIDPAPVALDIKSPMDLVYELFSKLGLRYICVLKDGRYAGMTHKKTFVRY MREHEKHD FPSE_06147 MAKKRKVSGRNNAPSGPKELDTSDARLGPITTYEDVADSEDEYF MNRDQILLDDEPTSKRRRKEDDDIELSDEEVLGYEDSDSEEDEQSHKTKGSKSKHAAS DDEEDKEEEEEGDSGWWGASRNDYYNADNIETEADALEEEVEAKRLQQKKLSKMAEED FIFDGDEWLADGQEEGEGEETVTEVLKEVEITDDMGPEERYTLLKNRYPEFEPLVKEF QALQPALPDLQKAAQGLSGQSLEAVKYWVAGCYVAALASYFAILTSPARDNSKVQKTI DPAELRDHEVMETLMSCREAWRKVKDLKSTKSVDEDAISDIDDEALMQGIESLDAEVK NTKKSKKSSKQEKAAAEKLARKLEKVKAGEAAVADLYDLPIPGKKSKKAKKAVIQQDD NSDFGEEDVLDERTAAEKAARKKSLKFYTSQIVQKANKRAGAGRDAGGDMDIPHRERL RDRAARLNAQAEKRGQKSSKLGTELGDNSDDEDTTTAKTVRDNEDEYYDMVAQKSKKG KEDKAARYAAYAAASKADRVVEKEELGEDGKRKITYAIEKNKGLAPKRSKDVRNPRVK KRKQYEAKQKKLKSMKPTWQGGEPKGGYQGELSGINTAVIKSTRL FPSE_06148 MADVDTSKLTSSAQIFSPNNTLPQIRAIHKSLHVAIDEKSTRLR TQVGSSYRDLLGTADTIVHMRDDNDVVQELLGKMGGRCGRAVIGAKATGLSNFVAKEN RSAASIAARLRLLDACVLVVGRILKGGGGLGDSVKKGDRLVLSTKVLVLSRLLIKSLL DDATDPSSRRATETARTSVNKLRRRLLRNVEKVFERAGDETERDDVQKALCAYSLATS SGARDVLRHFLHVRGEAMSFAFEVEENNRKTNAEDVVKSLRLYSRTLLDVQALVPGRL SLALSGLKSHPLLADPSLKQLEGLRLDVYERWCDEEIQYFTPFVRHDDLDGSQARQML SAWSEKGSEVLLVGLRKALGTMSEFKLIMELRTSVLELWIRDGGKAKGIDPSEMQDSL REAINSRMLEVLDTKVSKLRLVGSEVSAALGRWKDGITDEHVSIWDEDGYDEALTSGA APFVQEVVSRLYGRNDTVSKAAHCYKSWYHVIDDVKEVVEQLRRQRWDNDYDEIEDED TIEARQKLLSKDDPQMLQERLDTTLDKSFKELEDHIQGLWNERAGSSRNGKVAMYFLR VMRDIRQQLSERSSIKTFGLNIVPSLHQNVAIAVSEPALDEFTTQGITVVVGRPLWDG EPALPNQPSPEIFQFLRSLSMSMSDQGLDLWTKSAVFVMKKHLTQQLCELWDKSIAEI SAEETNTSKKKDADDSKPKKADTGAEKKDDDDTEDEGNEDIKKKDTNTETSTETEEQG NETNSALTSEQKADLFTQWLFDVSLLRHCIGNTEEASSSLFQKLEDKFYQHSKLVDVA ARQRISKSANEFWQRTSLLFGLLA FPSE_06149 MEEIKLEEGANDAQVKQETNTPMSITNGDHESSRSPTASQDGLK SRSESADTPSSNRPSKLSRKASQKLAASREPVLFDHLPDMTAESCKFFQRIPDCLYGS KHLGSTDNDALDCECRDEWHDGKNLACGEDSDCINRATKMECSAEGGNCAGGCQNQRF QRKQYANVSVIKTEKKGFGLRADSDLQPNDFVFEYIGEVINEPTFRRRMIQYDEEGIK HFYFMSLNKSEFVDATKKGNYGRFCNHSCNPNCYVDKWVVGDKLRMGIFTSRKIQSGE ELVFNYNVDRYGADPQPCYCGEPNCVGFIGGKTQTERATKLPAATVEALGIDGGDGWD TSVAKKPRKKKPDEDDEEYVNSIRPRSLSEDDARKVMAALMQCKEKWIAVKLLDRILQ CDEERVIHCVMRMHAYQILKTTLNTFIDDHNVVLQVLDILDKFPRLTRNKVQDSKIEA TIEGLTQSEHEDVASKSKHLLDEWSKLEVAYRIRRRKFDPNAPAANSFEERRGVGREE ETVQSTSKTASPTPIDAPKGPRNSMPQRNNAFFQNGGRPRRPPFNASLPQGWFTAKDA AGNTYFYTKQGATTWQRPTQPATEPAAKAPSKAMKEQLAIQSIINQVTEKGTPKHTSV STPKAAETPPKEVKEEKWRSLPVDKRMKIYENTLFPHIKHVLDKFHHKLPKEELKRFG KDIAKKLVASDFKNNRVEDPGAPLSDKQVKKIKQYVKDFLDRAVKKYGEHKRKADEDA DTQMKDDQEPSAAGSGAGSVVDGSDGTSLAKVDGTSMGEVDATAVSDREGTGSLGSPD RKRKRDLDTSGSPYVTSTDGPNMKRLREDELEAPSPPPPPPPPPQSDMDEVVTAEQEA LREQEEALMRENEEAQRLEDEASHTTGLEDVLDASNEISRLNKEARKPGSQKMPA FPSE_06150 MAKRRKLSNKNAPTAHPKKNAQKSRPEQKKPANGPAKKGKNKNQ RPADDTPTIPFEPHHRILLIGEGDLSFAASIIRHHGCANVTATVLEKDADELLAKYPH VEDNIAVVRGDAPKLSNADKGNEETSSKETGAGESNGDDQDDSEDSNGESDNEEDDYY DSDDPDAPPRPKRNLPPNNKLLYNIDATKLPNSIIRTSFDRIIFNFPHVGGKSTDVNR QVRHNQSLLVSFFERAIPALAPGAAIVITLFEGEPYTLWNVRDLARHAGLQVERSFRF QARAYPGYKHARTLGVVRNAKGEVSESGWKGEERASRSFVFKRKEDIVPVVGKKRRKG DDSSDDED FPSE_06151 MAENESPAREPSTRGRGRGGRGRGGRGRGGGRGGSTAAVSKAAT AKVGRGGSRRGRAKNFADSRVQAAYERQRHLKANYQAVAHALKPALQELAERTIDEAF QNPDKHKHAQEFIPLMQELQDNLDAKIAEYTRRYDRDKELAEHLLKADHYVAQQEYLN GCADLTDQFYEGQDNRARILNSLYDKDLPVDVVDDQYEYKVISDEHFDEEFGLYVCRL DGNLVPYPSRVPGTAMWQKGRDNEVAATAAVSAPTTSAPASQVKSTRGRGRGANKRRA QDQPEGQPTPKKNTRSNPDDAAASHSLSAPAPAKGLLASAAEVEATPEGTPAESDSTP ASPEPPAFVNGIAHAAVARAQNHRLQTREKSPPLPKNMGEADEYGFRMFNQKPSMREK GISSRLFVPRPFEFEKWEIGFRDSSNDSSKGHSRAKRGKYLDTPDSNGLYIDAWCNGF DFSNTKPSDFDKETVKRFGVHPKFGIILRDAPVPEAEKTPHVMPGKPVVYIANPSGRI SHASRSFLKTINHRRVEDNPYRAKVGASMRRFCKLGDIDLDELDISEYVRSEDELRSN SLGTALKELEARPVVSREPSESEEPETEEQVIEVEDVTTAQPEVGFTGISVLALASAF LEAEGHKKAPEPAPAPAPKPARYDAIRDVFTDSKPAPAPKPDTNPSVGLDILAALSDV AGTPNEPAPVEEPLPVYEAAEIEYAMGYEPAPMSETSHMMEQIGAQEQPVYRDVMTNG MGPASISSHGIQEHDHRGSISRNNELPPVTPSQAPIDPRFNSNLVEVPQGYMHQPHAQ LPMQSGGSVHGHPGEALPYPPIHDPSVPQHPSVRHSEYPPPPPSQGPPVQDQGTYLSH NSYPNPDHRDAHMASGRPIDPGYPPRRLSYAPEAPSYSRQYWSQPPPPPGPTIAASAP PGPAPGSVPSHYSSPAPPSARIPFSHNGSAEPLPPLRPSRGRNQSLQDEALLEPSLRS SGSYYPPGPPRSYHRGGYPGPEPHGQPQLQPIATDRILPNPQTAGQSYMTSPHQGYAH QVLSPTYANPPPMPPHMAQSPQDNHQGLPSSVHRHRSTPSGSSDAGNKYRKLQPAPVP AHRSWPNKPELKTIPYDHKETGSAAALPSSGPTQIRGWSPFKSVRFAEPLFGDPAIVQ KSNKRKRADLQTNKDHVESESPEETQAKKDEDVVIINTPVDLNKRQLRPRKPKTPKGH TTASAAEPPKKKPRVTAARKSAAAEPAKTAPKKTVIKIVRSNSSKKTIAKATGTTTKP NTASDKSSKDQESLVKEESSDDVQIIKSQRSVIVIDSTDESSK FPSE_06152 MDSSNQNHGQPQVAPEAQQLPDTSAQQGMPPMDVGANMNGNGIP HLPNHVLNPMNPEALAMLGDPSLMADPSLLGMQMPMADPSFMMQPGMNLSNGQTQGFS LPVVPPPATVSAEEVALYDRQIRLWGMAAQAKIQSANILLITIKALANEIAKNLVLAG VGSLTLLDSATVTEADRGAQFLLPDGEDVIGKNRAQVASVALRKLNPRVHVHVDEEGV KTKGPSYFAAYDIVIATDLDPESFNIINTATRLNCKAFYAAGCHGLYGFIFSDLIEHD YVIKRDLGNVSTTTGPETRTRSIVDVQTRKEGPKTIESVTKRELYSTWFLASDVAVLP AEYTQSKRRLKSVTPALSCLRALWEFMQLQNGRVPSNREDLKMFTQIATQKHKALGLP SETLRPEFLRSFLQNLVSEISPVSAILGGQLAQDVINVLGQTQQPIQNMVVFDGNTME ALMYPLHPEGPLGASLLTDHTVPNGGAPMLMGGGMDGLPLGIDHTAMGALPHHNNPIM LAGGMPQTGNFIAMTGGSLTDPTQLNGAPAPQQPIQEQAAHATAEKPAQEEAQANLDA SDTN FPSE_06153 MSGRSGSNSHRRHSREPADDFPPLPVPRLPSLRALAHAQRDRDR DSPSPNSYNRPQSRHWSAAARRAERIRNLENRAPGTGFDDFERPMDNGWPTSRRTDRM RNAENNRPVNLEDLDRHLEEANSHLRAVLDRQHHPPPMPPNFSPPLRPTDLPDSNRRH KRRKLDSDKLAPFRSFRYGKYGQVEQGDLKMEIVSCDGGMFSNESSYAAENILKNDTS VYCTKGNRCNIVLRHQGGTVFTLRELVIKAPGSMNYSHPVREGMVFVAMTQDEVLNRT AQYQIQYAPRSNEPNHEGDSRVLQSIPTEIISVQHHENGTTTTRSRPSYTYRSHADDY EPRTPQMPREFESNLPGLQVTTECSDDEDDEYENARAYRRAPDRIGSLPFETLDSSDS DEGGDLFNPEYLDDHHPSHWRLYSSGVNANGPGFSPPSSRRRERERGAWEREWEREPD RSNRSLSLTAAWEAHASATQDAVRAVGGGQLLSPHARFYIEKKKSKCTIRFDPPVSGR FILLKMWSSHHDPTSNIDIQSVLARGFAGPRYFPSVEMR FPSE_06154 MRPLDPQAQGLVDDRVAEEEHGQFLIEYHSRLTGPSSPASYGSS IRASTARRRAAMSNKPINNHLSETTPLRLSPAALQTPTAPQSTPRSTPPVVPLNLTPP SAAHYLPSCSVRTRDPGSHVEPTSPKLQSPLRHSINLNTNSLDAESQHYRISSDKPRA QSVDMSFTGDNKRPAASRTRSSLPAVVEEPGRNRLPTWLSRPNAPAPLSTTIPERRRS TSSASKASTPTSARFNFFGALTSSPSTASPTEEQNEALMNLDIEKGLFPNGVPGEGTA FSPSAFKNLQMNATGLLSKFQAAYEQRTSEFRELQAERDIEEFNKSEAELKVEQLEKQ LEEQSTIMAERDAMIEYLLNQLAHEKDRADQTTNGEKENTTSAASIVSEDLGVDEDRL RRWRKSTSTSSDDESVDEVSVFSRSRSPTFSTTISEISPITAPTAPAAQAKPVSLEPP RAARNSVPQMSPFQKLIRGMSSEKPKPAPTSNCLNCQGQNASMAWDTVNLLKDENKGL KVRVEELETAVEGALDVVNGLGL FPSE_06155 MLATRVLRAAAGGPKPNITGFNMRAFQASTGQPRYDPWERNEAW RYQGPYTRWNRLKSGFPGLGIATVAFTAYCGYEYFFLEDEHHHGEEHH FPSE_06156 MPPPQLRGFGGGPAVAAPYHQGGFPSHGQPQGGMPGANQYMNAN AQLGPFSANGNAFTSGLNSLNTQGFADTGFGSQSARMGFHGPAAALQQSQHGLHQNML MEHPTIRSQPNKGRIREVWKHNLHEEMAVLRDLVDKYPYIAMDTEFPGVVSRPMGGFR GKSDYHYQCLRTNVDMLKVIQIGLTFFNEDGETPPARPTNDLKLGTAAQKAATNAPFP CSWQFNFKFSITDDMYNEKSIESLQQAGINFELLDRDGIDPHEFASLLIPSGLVCFDN VRWISFHGGYDFGYLTKLLICLPLPNDEVDFDHKMKLYFPTTYDVKHLMKHAIRLHNS GLLTPSDPSSAEILQKFEHKSGLENIAETLKIKRVGNAHQAGSDSLLTGKVFFSMRDK IFAGDIPDEHVGKVWGLGFPDSNSNIISMNQQNNNDGQTNGNAPSTPNTTSVGLATTP GPQGHNGILNTGPMTPGGGGGVFGSFAFGGNR FPSE_06157 MGDHKLSQIKHDEHLLLDQPLLRLPSELLRKNFRTAHFAIEKDT TSLKTLLKDSATATVSGRASQEDVLRNVDAMITRMRGLKRKLTTSAAEEALLHTQAAA RIAHLDELYKMDSVEDVKYETWSRKRLDRLLADYLLRHGYNETAKQLADQRGIKDLVD VHTFVAASRIRDSLMRESSKLEFMLRFQQYIELVRSQSPSKVNEAIAHAKKHLIPYRT TFRREVEQACGLLAFPPGSMAYGELYKPSRWAELAELFTKTHNQLLALPAVPLLHIAL SSGLSALKTPACHTHSANPPQSSNVSHTTSSTNVTETGASTLGHGVCPICSTELNELA RNVPYAHHTQSHVEHDLRLLPNGSVYGRDRLETQARKNGLPADQIRDLRTGQIFPVDS LKKVYIT FPSE_06158 MSEDLAEQSELMGLTTSPGHKRKRESGSPDLSRNKRPAPAAHMS TDAASFIDSAMESHAVNANGVNVADFNALQQATQEHDHPDAPDPTSATSTAQAALGMY PTLHVPPSTEEQFAAQAATDPDHGHDTSFNPDVTPSVDGIMEPPQVGPPQHQHTPPNG VPHAPPRYNPAAPNPKPTVGSEEWHKMRKDNHKEVERRRRETINEGINELAKIVPGCE KNKGSILQRAVSFISQLKENEQQNIEKWTLEKLLTEQAITELSASNDKLKHECERLYR ELEIWKRVAQNAGLEPPQPKEENNTATASS FPSE_06159 MTLSTSAVSVMVAMLMNMFPGGTRQHKLELDEWRNFKLGERLQS QIPC FPSE_06160 MVREWSRESFSRYPHHPYLPERFVGDGIDDSRFSEAQDMHRRQP PIQIDEALRQSCGISARRYFLVVVMDDGSPQTFSGPGTSGVRSGYEKQFFDMEKYLKV VDRLDAGASPVINDDVSYDGYRQSMDFPRNRMMDRRRMSQFDEWETPSRQGRKRPRAR HGIADDDDIPMTVATTIRKGIKIGNSLDVGLFYELRFKNCQQTACKLMAKAWVKAVEP KKQSTHPYTGSDEKAPDWWPKPWGPTKEDKVRHKEPDHLYKRERVHLLNHILRMIVEP NQRQHPDIQKLKLNVQKLEEITIEALSGFFADKDNPANAKKRPFLNEIFKVAKQEERF KKEEIDGSTEVFVMADDKIPESYLSSNDDHGPTLKEEDDHDATPNKLTAVHGLMPSSN SHSAGTSLHGTPFLGGDLPVRGNQYTPSTMPEMPQDQHAFVENTGIPVNGQPSVHATG GSLTMDMGVPPAHDASRRASIFSAHSEYGGQNNTAMYTQQWQPGSTAPNSSSMYTFTQ QPPSQPTTTFVSSGVAMNQAQSYMNTSFDGLARGYDPNQTPMFRTGSVPQPTQLHPAQ GYDYMSHDNRGLPGVKVDDVPRHAMH FPSE_06161 MAFFNEQQQQVLLETGSFTGFRPLQELGANYFTVSPFKQPLCGN PEGWGPMSPHRYDFTPCFIDVWIASVSVFGLLFGSLAVWWLLAKKPKQEGQTKNAHFY IKQSLLAIIILDVIAQFIVQIIYMPHIWYGDFRVLTTFLTILSLFVIFSVQWVEHSRI RYPSGIALFYWLFLLISYGVKLRSLISQQIYESNLPYFIIYCVGVGLSVAEFLIEWLW PRSNGPSGYEAIQEEEECPVEYANAFSQLAFSWMTPMMRYGYKVYLTEEDLWALAKDD KTKTTGARFDEAWRYELENHKKPSLWRVLFKAYGGPYCVAAIFKFFNDIAQYIQPQLL RLLISFVDSYGEGKTPQPIIKGAAIALAMFSCAVLQTTMVHQYFQLAFVTGMRIKGGL SSAIYRKSLRLSSEGRAAKSTGDIVNYMAVDGQRLQDLTQFAQQLWSAPFQIIICMVS LYNLVGWSMMAGIVVMIVMMPIQGFVARIMKNMQKEQMKNKDSRSRLINEIINNMKSI KLYAWGSAFMNKLNFVRNEKELKNLRKIGATQAFANFTWTTAPFFVSCSTFTVFVLTQ DKPLTTDIVFPALALFNLLTFPLAILPMVITSIVEASVAIGRLTSFLTAEELQPNAIT IKPAPEQLGEESIIIRDGTFSWNRHENKSTLTDIEYTAYKGELSCVVGRVGSGKSSFL QSILGDLWKVKGNVEVRGTVAYASQQTWILNATVKENIIFGYKYDAEFYEKTVHACAL VDDFAQLPDGDETVVGERGISLSGGQKARVSLARAVYARADIYLLDDVLSAVDSHVGR HIIDNVLGTRGLLASKTRILATNAIAVLRQASYVSLIKDGRIIERGTYKELVAQKGPV AELLKTAGQESGSASSEPSSSASSSKAATIIEPDVGQAKEELEEAQEQVPEMAPIKTG ASAKPRSSSMATLRRASTASFRGPRGKLTDEEIAGSKTKQSKEHLEQGKVKWSVYGEY AKMNNIYAVALYLFMLLASQTANIGGSVWLKEWSERNQKSNSNDHVGKYIGIYFAFGI GASALTVIQTLILWIFCSIEASRKLHERMANAIFRSPMSFFDTTPAGRILNRFSSDIY RVDEVLARTFNMLFVNAARSGFTLIVISVATPAFIALIIPLALTYYFIQRYYLRTSRE LKRLDSVSRSPIYAHFQESLGGISTIRAYRQQQRFELENEWRVDANLRAYFPSISANR WLAVRLEFIGAIVILAAAGFSIMSVTGSRHLSPGIVGLAMSYALQITTSLNWIVRQTV EVETNIVSVERVLEYAALPSEAPEIITKNRPPVSWPAKGEVDFVNYSTRYREGLDLVL KNISLDIKSHEKIGVVGRTGAGKSSLTLALFRLIEPATGHIGIDNVDTSAIGLLDLRR RLAIIPQDAALFEGTVRDNLDPGHVHDDTELWSVLEHARLKDHVSSMDGGLEAKINEG GSNLSQGQRQLVSLARAMLTPSNILVLDEATAAVDVETDAMLQSTLRSPLFANRTIIT VAHRLNTILDSDRVVVLDKGEVVEFDSPAELFKKQGVFYGLMKQAGLELE FPSE_06162 MAPSGPFPVTVHPFDSECRGSAAYEVGQASAHNAIVFIGGLTDG PHTIPYTRLLAERLEQAEDLGYSVFEFRMRSSFSGFGTSNLSNDVEDISALVKYLRGI GKKKIVLFGSSTGCQDCIEYADYPKHNNEPVDGFILQGPVSDRETLDLIMPDPQPSLD LAAKMISEGKGGDCMPFDMIPAVLGAPISAYRFQSLASKGGDDDYFSSDLDDEILEKN WSRFKKPVLVLHSAEDEFVPARIDQAASNKKYKELNSSAVSDLSGLIPGASHTVEQLE SQEWLSKTVVEFLKTV FPSE_06163 MESTVSSQERNEFFQILKPCCVKISQLAIRQADAPASFRELSEQ TRLLHKILDEQIRRNPLMLDDKFSEYVFFPIHHVFRQMDQYPMPLIENCIKTLTILIT HGWKAKISAELVRQLLSLLTFIIDGTPASGPARPVAEETVLEAFRGLTALFNTAGLAT KAASGLSETESIPALGHGVTVMLNGVVDGATPQIQQEALRALQAVYHAVKELAALASF LPGTISSLAKVSSSPNRYKSIVLAKSLETVGLVLTSVMADLRTRSILAKTESEDENEV HDNKILSPAWLKASTMQIKKALAAMMKLRSHDSVEVKNALNKLCVILLDECHKTLSNC ANILVETAMVLGETGHKVSLTQTSLRDLINIYPELGEIVKTTTYNWMSSLSRLMQSAD DDAKIDAIRNVIKGLELLKELHIQSSTLDESMSMMLRDSVIALIQASKLPATNENMDV RLIDQGEASADSEDTQYQPVLLPAESQKKLRNELSSLVTFLGTSSQHARFAGNMLEQV QDSDNHVGQVATFWLCFQIVKASHKSSAEEDMFFNLSSAADTSLDIDAIFNELYTNSV QILDRHTDADPVDWRSEALALEAIAYTAQRSGELFRPELIDVLFPVATFLGSENVQLQ KHAIVTLNSLAASCGYANVSELIIQNVDYMVNSVSLRLNSLDISPASINVLTMMIRLA GPRLVPYLDDVVDSIFGALDNYHGYPLFVENLFIVLKEVVNQGVLSDMLLLEHQTNSR PDHKKQHKKAPGLSGLMGVLKKRKQRAESEKMEMDNVKGHPKIPWKEGKDGGQGKDEE VAEPPQEPEKPPNTQTYQLLLRVANLTQHYLTSPTPTLRRSLLELLTNVSTALAPDEE AFLPLVNSIWPVVIDRLHDPEAYIAVEACHALAGLCVAAGDFLSSRFKTDWADWLRDW CRKIKHQASASPRWARPHGEADITRGRESDDNRVLVPLKNGDSLSGKPLTRMVTSSSG SLGKFASPVRLWEGTVELLTALVSHVQVDEEMFDDILDLLAEVLEQNVQVREALEAVN KDAVWLSRYERRNVEWLATPKMDGLQFAAMAMSRERASSS FPSE_06164 MASTPPQADYGKEAVLDVSENHDVETGEVKNGGLQRDLKNRHMQ MIAIGGAIGAGLFVGSGGALQKGGPAALLIGYLIIGIMLLCTCLALAEMAVLYPVNGA FFTYICRFVDPSWGFAMGWQYALAWLTVLPFELIAASITIKFWREDINMAVWVSVFLV TLIGIQFFGVRGYGEVEFVLSIIKICACVGFIILGIVINCGGVGDQGYIGVKYWQDPG AFTSFKGFCAVFVVAAFSFGGTEMVGLAAAESADPRRSIPMASKQVFWRIAIFYILNL FIVGLILPANDPRLMGASGANTKASPFVLAIQDAGIKVLPSIMNAVITVAVLSVANSC TFGSTRTIQAMAERNMAPGFFKYIDSKGRPLYCVLLQIVFGLLAYIGAAPQGMEIFGW LLALTGLGFLFVWGSICLAHIRMRAGMKAQGVNLGLVPYKTPFGVAGSYLGLGLNILA LIASFYTALYPASGASPAAEAFFSSYLAFFSVTILYVGYKVCTRKWQMYVRPSEMDLS TGAVFLEEEEPKEPFVWADAPKRVLRSFF FPSE_06165 MCLPCFPWTWTKVEDPYDEMHKAPDQSVWVHDGQGWELQKLKEA IMASAGANPLKSPKTPRRPKTPESRQVHFEPETKPATTSAFARDFNSGRITGYTSANS PSGGFSAGVNGVSAPKTPPGIKATAASTATATATATATVTSAPEQKIETQPTNFPALG LGANQLQWHISANPTQSLSHQLPVNFISPPPQAHQQHFFSPPQFHPASYISAGPNNIV NPPTPSPSPITYIGIGPQSPALTLNQASNMGDYQNAAPPVHGLHFQPPVPDTTFGPMQ HVYVPRHDGGLAGFQVGAPASFNYVSAAPFAIAPSSSSYTTVVVPKTYYLNGYTYYAS SRSWITQPAIGTMAPQPSAYGGYVVQQQQQPYYVQQPTMGQQPVLIAGQLQQQQQQQF VPQMQNVPGVPTVGLAGGTAVHGTVPVFAGNVPGGGGGGGHIPEVMGVGRTAGEEQLR QIKFAHANKLYEPQEFKPADDDPSRFYYVREVDGNWTQRNRFTIDHMGDSKWRGVTAR KAQEQQKKRSLHHQPAHILDFWSKIVLIKQSAHQSDVNRWPTVNDKSDKAMRMLCRIQ QATYANMLLIGLTGSIATGKSTVSSMLSSQPYNLPIIDADILARKVVEPGTRGYQAIV KHFGPTTPELLVEPSDKMPENGPNGKGRPLNRPALGRRVFGDSEERKKDRSVLNHIVH PAVRWEMFKSVVGYYFRGHWAVVLDIPLLFESGLDKLCGVAAVVAVRDPAIQMQRLRA RDPHLSAEDAENRVRSQTDVREKAQRCEERGEGKGIVLWNDGPREDLQVQLDKAIKGL KKETPDWWTWLLLGCPPLAVAVATWRFWQNLIINERWEEKKVQAKLNVHKEGNTQRAF YFALTSSTFHIPIHPTFSPFSKSTQSSKSEKCAMARSRMKSIWDIDPYTSKKKLNTTN FVLKMEGRWLQMITKKDVSETETQAFKSIVETVTTQTIQEIRDLPAPSSWAEIIALKI LFPGDFFSSEHAVIAQEKFPNANLHQWKLNENGPTPGLDWINNRSQFTPRSLSDCVIR SKTARDTAELKRPRSSEAADDIGKKENPLKKRRLAPTSPVEENAKDAVDDTVDDTVGD MVKDVEYPVADPSTSKQATPPLSNGLGDTGDSEDSNEFWSEFDDKLDAVRKAVKEGTE ITPRMLNGLRKAHLAALKKQHPDKIFKSIKKQHAQELRNVRARYEGVIATWLKGVASG VRVLQTTRRELSMSSNHEE FPSE_06166 MDKGDKTDRESFPLSQLAEEQPPVAQDVLNAHLPDASPLNNMGN NQDNATRPTLEKLPKQRTKLRVPAKQDPATVNLKDFKELMIRLRLDDWKARAQIVHTP GQVDATALWSPQFAGVESNKHGMISFDGFDRTWFVPFDLNARVPSGNQSIVDDLDKRR KELGHAHRVRATGDKEFHDKIVRLIEDEVKETYQSQWNKNAESHMAPTQWIDVQQTYD LPGLVSMIARARLEDGRESLPGYYQAIALELGIDGKITYKRTSVPSDVDVKGLISRLE SWYPHSDEHSIDVIKRFSRKLEFVSEHIDEAQKRKCQTMYRQLGRFVETEGPECELSQ WIFRLWAKPDYSVPIGKSPDRISTWTKLKEDTYKDLLAGVHINKYPVFVRKIRVRRWW SELTELEKQIETSQGEAGLSAEQLDLLDEMLARRTDEEKEQDAKSKEALDMAKMAMM FPSE_06167 MGSIWNAFTGGNKSGHQQQQQQQSQSYSQPTTQEPAHYSSYDPT EGQGVESFLQSSTFADPSQLHPLAGLNRDTLEYISLEDSALSELPGGQSVLPSRGFTD DLCYGAGVTYLGGLGVGGAWGLQEGLRRSAGQPPKLRLNAVLNSVTRRGPFLGNSLGV VAIIYNCTNSLIGSLRGKHDAGNTVLAGALSGMLFKSTRGLRPMAISGGIVASVAGVW AIVRRSFFPIPEAVTPVSDELTL FPSE_06168 MTPPTANLNLDVEAISGICGSISIACWVVVFSPQIIQNFQRGSA DALSIQFIIVWLLGDVFNILGAVLQGVLPTMIILAIYYTIADIVLLAQCFYYRGFTWR DAPTPAPKPTTPAPGEPNERTGLIAHDERRGSDWSGLSPAVPHIAEDAAPRTPTVLQT IVWNTIIVVMVIAAGVVGWFLGQKASHGENDGDHDERGEDSLHFSVSGQIFGYLCTVA YIASRLPQLILNWRRKTTDGLSMLFFLFACLGNITYVLSIFAYDPKCKHDECRPGEAR HIYGKYILVNLSWLAGSLVTFFLDLGVFAQYFMYSKPETVATPTRRRVNDNVVEEDEY DDESGDEDSWDQRPLLQRGDSVYHP FPSE_06169 MSSDTAAPNLPRVAALENDDSMLTRRFGKEVVNYYAGSRINRFS FLRADTGFLRKAAASPTARYLALSELNPLVVDKRTPAYFTFSDVQPLIGSDPFAQTED EAIQDFDSTKTTPLIVFLGMLEEGNENDHISSTDHGDILGHPYFAVDITPKGNHVEKA ESFLAEQEKKEMSLDKNPRAMGHSPEAAALYAQARSMIDWNSRSPFCAGCGQPNLSVH AGYKRVCPPADKKGGESSEARGDCATRHGVSNICFPRTDPTMIAAVVSADGTKILLGR QKRWPPYWYSTLAGFLEPGESIEESVRREVWEESGVRVGRVVIHSSQPWPYPASLMIG AIAQALPGDGEKITLNDKELEAAKWFTLEEARKALQSGTSSLGASAPEGYKEGDLRLP PSQAIANRLITAVVEGYLVVAPKI FPSE_06170 MTNRKLKGPSHFCEWVVGTTIESALRPIPSPKPKPPKNRKREVV RVQVTTDDESEEDTVRITYPRTGRSIPPSPPDPESEAEAEAEAEPEPEPVPIVTEAKT VIKKVRFEDGPIKSALKQTVITTPVESPDETSESGTESESEPESDSSQSDTLSSSDAT DSDAESSDTSAKQRNKRRRRRQRQKQKQKQIEADSESDWDSDPDPTCKKKSKEHTPPP SPETSESEEEIPKYKQKSGKNKKKGAKKVKEPEPDSDASDSAKDTSESEPETPPPKKQ QQSNKKQKQGKKKGKKNQDPASEPGTSESEPDPPKKNKKQQQKANAKRGGKKAKKPEP EPEPEEADGETSESAKGTEDEAEPEEETSKQQNKGKQKNKNKQSDKANNQPKKNKKKQ KAEKETNKGPETPGEPEAEEQAAEATKEQDQGKSGKMKMKENGQPKYKDGVKKGNYPE GLPGPHMRRPQLIEPIRAQVVQTERVIETPEDPAPNAYYDSEQNIMRVYHGPVYGNHQ SNALYPERNGSHRPLPMGMPHPMQNPYYYGFNNPKEYPNYSHMSPYHQHYAGVPPPEA YPHVPITQTMPPPPWYGMAGPPGPFPTPVSYQEKTAPASSKDKASQNNNVGPPSLTGK DNPYLPKRNKSQFSAWGSQGARTASKEHSQPAGSVRAASNNWGGSNNNDQGNTWNDGA GDPQNGQSSWDAAGQDNNNSNNGNDKSGSGGGDDQNGVWGANTNDNQAPIDWGNGDTQ ETTQNQEWGTGSNKSNETVRKAGDHWGNDTYPGASGDWDQPKDGVSSVGQGADNNVGV LAADDANENGNGNGNGSGNGNDNEPPVSTAGDGGADNVMPGTWVETPSLTVGPEWGDA TAAQETNGAADHW FPSE_06171 MSSNNTSQHSATDSASGPGLQGPRPSDPPTDSDSETPGSDRQPQ QVTQSQSPTPGSRHRDVAPRDFVRLFQCQICSLPLSEPISLPCGKSMCRRCLPDTHLR ANITYPAARDRLRGFRCPFEDCGKDHALDDCAVDVVLNKTAQHVREEIERSRHESSTA EVSTRVMWQDPWAAAGVASMRNDDGAYSKVIPGGKVIATYRLAEEGDLSYEADIDYDE IISATPSPPPDTDDTGLVRKAQDATRAEMDCQVCYALFYDPLTTTCGHTFCRSCLHRI LDHSRYCPICRRPLAISPLLNQILSPPNQTIKRIIETFWLEEVNARKEALDAERTAQM QDHDTSLFICTLSFPHMPTFLHIFEPRYRLMIRRAMEEGHRTFGMVIPKRRQFPGDSD FHELGTLLRIVNVQFYSDGRSLIETVGLSRFRVLEHDFLDGYMVGKTERVDDVSLEDE EAAEAAEASPIELESNQAPMLEGDKESTEPTSPLPRSSSSSPHMPTSVADIDIMSTQS LMHFASSFVARMRQQSVPWLAERMLTIYGECPEDPAIFPWWFASILPVKDIEKYRLLG TSSVRERLKICCIWIVEWQMARCERLLVVVLGLSG FPSE_06172 MPPNTEIPSKEHRENITARFSDLISTIESHKSWTPPNVDRSLFH VWDFVKRSHYTMTELDNMVAGRPLKHPDQIPKNDGTSTGPAAAAASFHDVLTRTIMIN QSIQDPRMLVMMGMSNIDFGPAIKEKSAAVMKALTDSTENQPSS FPSE_06173 MSDDGPPLIRPVPRRPFDLNLTTPTPPSENSSPPTPAPAQDANI ARFLQPTPEPGNSTLSRPQSFMNLTSSTLFGIYSPTTSSSSRDRVFADRDELDTPWGT GAQTPIKRPGLDDATFDFMKDRSHQIRRRSSIRSQAPPMPVNTSPSAGALVMRGLLLF VLGVGYGVLVTSRFNSDSDSHASGSTYNWAHLSFWGLAGVALGALFPWFDRVWEESFG EKEDEAVAENAPAKDDDSGTDWAIAIRAIGAFVGIVFAIRKVAWASTLQVSLTLAMVN PLLWWLIDRSKPGFFLSTAVGLAGSMILLGINPDMMPAPAHAPFRQLVNATTPSQDEV VPILGGFAHQDTLETGMWMLSVLFCSCVCFGNIGRRLTLGPSATAKGRWAGVR FPSE_06174 MAKRKRQAVAQSAASEPSVITKKVKGTKVLKEKPVKEKASAPAK KTTTTTATATTITKTASNAPETIQIVVGSYDRILHGLTVTVGGKEKAQFADTFLFNAH TSAIRCVAVSPISAPVPGQTQKVMLASGATDERVNVYNLSAHPPSRKNQELMAKVAPR PILENSKNREVGTLLHHSSTVTSLCFPTRSKLLSSSEDSTIAVTRTRDWSLLSNIKAP IAKPLGRPSGDTAPFGGTPSGVNDFAIHPSMKLMITVSKGERSMRLWNLVTGKKAGVL NFGKDILQEAGEGKHSTGEGRRVVWGNVDGVDEFAVGFDRDVIAFGMDSVPKCRIMGS IRTKVHQFFYVALDKEGDATLLAVATEDGHILFFSTKESDLTKTDSEDKMLPTAKLVG QLGGKSDGVQGRIKDFVVVPSAEDASTLYVAGGSSDGRVRVWRVGVSELQGNNKSEEV EAKGELLGTYETQNRITCMTGFMMIPRPDGVEDSEDELDDEDEDEGSDSEED FPSE_06175 MASHGQYPPAHMLANKHHHAPYGSAPVVVPGVAPAGTFAPGTKI QVGSHRVVIQKYLSEGGFAHVYLVKLAKPVDGTDQAVLKRVAVPDKDTLRGMRTEVET MKRLKGHRPIVTYIDSHASELQGGGYEVFLLMEFCDGGGLIDFMNTRLQHRLTEPEIL NIFTDIAEGLACMHYLKPPLLHRDIKVENVLITARGTSKRFKLCDFGSAASPQPAPTT VTECRLVDEDIQKHTTMQYRSPEMIDVYRKQPINEKSDIWALGVLLYKLCYYTTPFED QGQLAILNASYRFPSHPVFSDRLKKLIASMLRENLQDRPNVYQVLKEGCAMQGRDVPV HDIYSGRSQPHARTDSPHHMAQKPDQAPAVGAVFERVEPKKQVIPDVVPMRRGRPAAS PAPGNVSQKPEASPMRKAGGDPFAALDAKAASKGIDELSSRFPTLDQFSLLHDQGSKF DFDVSSPISPVNASSDLNDRVTAKLAEEAFATPPTDSPRLKPVSRTHSVTPVGHKAAL GSPPLEPSLTKTLSAPSRPDISRAQSIISNNPELQAISSQSSSRYVSTGTMTSTPPPE RFGQEGEQPAHHDAHDHARASSATRQPEQSAGQWTAEESRPSFMQRIPSYQQPGHEHQ PSSSRPSLEGGRPRIDLLDPSARASPAPSAPSALSRPRPASTNLEGTTLDFLREREAA SRSSSRPPRGSSPYQEPADEPRASSDIDFLRSLEDSERAKAGKRSSLTSLSGNKNILA GKFGDAFKLFEGSSPNNGRVPSPERESHRDLTPIAGSEATDGRSDDGHVHEDEDRVTP EMRREMERLKLLEEEQRVEAAQAEYRKRVAAGQRGPPVPPKSIGGVSRAVSIQNRVQS LLSEEQKPANVQRTAQGYGKYTDTPESGDKSEKPLPGVPKKPLNVTKTRSDASQVLSP SSTASAPAALASKPPMKPPAPKKPVHLNSIPTGQRPVSPQKPTRAQTASEDLISMDSP GKQVLEMTAQEKDDYLQDFSKRFPDLGSIEMVEREIGGQNGGSSR FPSE_06176 MPASTSSQMQMPREPAPVAFAAERTSIEQPPRPIQPMTMEQPEL SMRGGKDGGVRTTNPSIFYQQTTDTFHRPSAVEFALVYVALSA FPSE_06177 MDPISLAGLALGVASISLQVYTGCIQGIQLLITALGYEDDCKYL NLRLRMEQQRLFCWSEASGLLDLDAKNQEKVLNSNVFNLHRQTVIDLLVQIQCLFNEF TEYQKKHNNLSVVVDKDGTLEAPEKDAKLSNYPMTDKKRNFIKKAMSELKTKSSDSLT RLRWSSFDKQGFEKLLAKFSLLNDNMTNILDHSLQVEIRNTVQDTNRGVLLLHHKIAD LSHLVLALKSQLDANSPGGASQMSKLEREANADALRQLSRLAKFKAFNETIDPKSDNP SVIDEAAAKFLDLAKPGHQRNLFVPRYLIELDPEVDESEEPRCEALMKTAQGKKKVWI EWKDYDNADTQPGSLSKADIIERVRKLAALLNHSPKPEAFRTPHCLGFFDKANPNIAE DDVDILDRRLGLIFERPSDDNLHVSLPPVSLRELLQDPNIRKPRVTERVHVAHALSNC LLYLHAVHWLHKGLRSHNVLFFRARDGSVDYRQPFLSGFDFSRPGGSDEMTDAPGDDA EHDLYRHPNAQSNRRRDRERSKKSFDVYSLGVIMAELAHWQPIEDVLRINIQRARGRP DVIRGIREALLKEDRVAAVGADMGERFEDATRKCLAGGKELGLGEGDDETQDEVAEKL SMIFYEDVVKRLGEVVV FPSE_06178 MSTNTSRTHASSSSPPSFYSTPPYALYSYTNKKAPRSWPSPTMS SLNSFQQAILNSSREDTFSGPEELSSNSNSPSSTAFTTDGRNSPSGSISATTEAGRLS VTSVSAACLACRSKHLKCDGVNPCSRCVTSGSECVYVASRRGYKGPRRNNTHKVTKRP SPSPGRPQTPSRSGDGSMSLRSTHSPFSVSMQSPPLGTDSTFWTPYIGDDTWSSPLPT PFVDPALATNNSMETDMSFFQPYGAADQSNDMFNLFANQHHGSIPTQAAIPTFAERCI DYFYNHFHAAHPFVLPRETLFSIAHETELEPVLATMRWIGSLYIPACPHRGQLFQEAH RLVYKHDRRKDGFLVQALLLLLICLDGQGLQEKAREILSEVERIAIEIGLNTISFANI HGRGILVMEESWRRTWWELFVVDGLIAGIHKKTNFTLFDFVSDVALPCEEYQYLSEEI PQPRHLEDMNDGGFYGLNREFSSFTYRIKSAQILGKLLRSRPTAGPDDEALGHIEALL TDWRRSLPQSKKDGLYQSGRLDEMMFQAYMIAHTTSILLHQPYSQLTLSSSQLADPST LNGSHDSLDAHTKYTIYSAAELSKLLTHRVSLLSHTHFLNYAVRMSSAIHLTKWALPL APHEGSYNNIRRFIRLNNTVFSRMSPIWSAAEREGRSVKSMAQDIYQGKKQQQLMPQV WYNISHEAAMEIIAEDEAIMQEFESISGTPGMLGQ FPSE_06179 MALKGSDHSMDSEQRPVAEKHLVNTTIKNFTWSNVTVTVKDRET KQPKAIVDNVRGIVEAGEICALMGPSGCGKTTLLNVLARRPTNASNVEAQVLANGKHL SLAEFREVSCFVEQEDALIGSLTVRETLEFSSRLASSSSLSKKERNVRIDNLLESFGL VEQANTLIGTPIRKGISGGQKRRVGVASQLITSPKLLFLDEPTSGLDSAASLEVVKYL RAVAKRNNLIVICSIHQPSTSTFNLFDKLLLLSGGKTHYFGPVSSVTSYYAQVGAPLP QYVNPAEHLLELVNIDFAQNRGEASRNLGSLQDSWATSQQASQISDAIKTAESSGGDW SVETIEKRPSMPSLTLTLLHRSFIKSYRDVVAYGIRLAMYLGLAIMMGTVWLRLKPEQ ESIQPFINAIFFGSAFMSFMAVAYVPAFIEDRLQYVKEHHNGLYGATELILSNFLIGI PYLFIISALFSVISYWLSNFQPTATAFFTWVMWLFLDLLAAESLVVFMTSLFPSFVIS LALVAFANGLWMSVGGFMVPPTILNVFYKYVFHYWDYQKYVFEGMMVNEFSKRVYSCG NGCRCMYQSALADQCEIDGQAVLDQYGYSSGHLGRNVGIMISIIVGYRIAAWLVLVLR R FPSE_06180 MAPAAGEFSAPGAVSYDSNTQVVGDGTWDFDKNTFLLPNLQGTN FETTRYNGMGNRFSTLTQYHGLVLAHGLLGVIVFLFLIPFSVMTARFYSRRPGWAIKY HAQLNVFSVLLLVPVFILGYFAVGPERSLTNPHHGIGVAIFTLFLVQVLGGWIVRRIT KARSLRIMIHQWIGRSIALLGMAQIPLGLTLYGSPLYLFIMYAVWMFILVILYFILSW RSANQRDYYMDGTRSEIRSEMRSELAPTEDTRTRLTESELFSEYKSEPPKNKAKWLGP LAALGGLAALVRGRNKNRNLDNGSRVRSRSPSLDRSQRPEVVASRNGSASYLTGYTGE KYSDVTAKDNAGGGGGGFGKFMAGLGLGKFFSKKQRPQDGDYSEYSAVSTETPRRHRA TRSAPSMSDVTRTDVTRTELTSTTTPDRNRDRPSGNRGPPPVMSMRSAADGTETALSS PPPSVTPRSKRYGPNSRRSVFEESDYSSYVSPSRRPPPEKSGGGFAKGLLGGLGFGWF TKKMADRKAAKEDQRLRDEEDLRSGTSLSRFSADEYDSPGRRGDRRPIQRRQTGYPPT DTILSSEMSESTIEPRPTRGNYVSPTEPLDSTVIPAGRTKNHSRRNSEHVSMPAMPPD PRGILAPMAPTETTASGLSPGSRVQRSRRSEDGSRHERYASPASVSVKMKVHDDKNKN VTLRRLTEEEAKAARGRRDSASSLSGLESPTQGRRYRRDSSHKRTESAAERRAEEDDD IASLAPPNPTFAKQKRRGKDSAYYSGQPAPAPALGTSPQFNQTVSSLAESHGTWSCIT PSVNTSAAPGPGIAAGEGSAAAENRRNRRRQERRRASGQTTDAPTGNADMFD FPSE_06181 MAPKPKAKPKAQQQTPQTEHQKIAEQWAKAERARAAQQTANAKF GLPPADENVEVLEQRREALDQRRRKTNEKSWRWAAGFWVVVLAIHALGIWLFTGGFLL TRLVLEDKSNCTIPPIDNTKGLLNVDRGCWHPKSFDRAVVVLIDALRYDFTVPEDPAQ AQHFHNAFPYLYETAVKSPQNAFLRPFIADPPTATMQRLKGLTTGTLPTFVDVGSSFG GSAIDEDNLLMQLKDAGKKIAHIGDDTWWSLFPGYFEPNISKAYDSFNVWDLHTVDNG VVDNIFPLLKPERKGDWDLLIGHCLGVDHAGHRYGPDHPAMGAKLRQMDDFIRKMVES IDDKTLLVVMGDHGMDSKGDHGGESDDEVEAALWMYSKKPFFGRTSRDFAVPPANAKI RPVNQIDLVPTLALLLGIPIPFNNLGGPIEEAFAGVKGNDWRNLAAVSRVTSAGIERY QAAYHKARGLVQAEGIESPAALWQAALAIAKNDRDAYAAFSKFQESTLSVCKDLWARF DVPRMVMGIVVFGFGLVLLMMYSSRDEEDEYVIMNDAELDYAEKKLELLSFKENDPEV EDNFHKNVLKGLWDPKILFTVSVLTAVGMYRQHPIEGLVALVAVLFMAGLSASLHEAG KTILNVFPSSFWGWMAVVFTVSQSIGFASNSYTIWEDSILLFFITTFGIASAVSAFRI ESRRERYLGIYHSVAFVVFGRIASYSKLCREEQMPYCTSTYYASSTSSTSATWQLLIP FVVCLVLPAIIKSFLVTSRSYEGIAPTWIGLVIRGGLFLSALYWVIDAADNGGWLEGR LGDGALKTVGVYLAQIVLALAFVAGSTVFVWAPPCVSILQSSGAAGRPIVTIIGYGNA IGARYLLLPLNILLGCFLLTKPMGGGALAIMFWQILTLAELLDLNELKTSPIGPVMLA ILGNFYYFKTGHQAVLSSIQWDSAFIPLFTIRYPWTPIVVVLNSFAGQIIAAASVPLL ALWKVGPKQKGVMETATRSIGVFVIFYAVEALATMSWAGWLRRHLMLYRVFSPRFMLS STVLLVLDLVIILVTMTGLRSNMMSLGEVFGWAD FPSE_06182 MRLISSFIRQSGLRLQRRYSTKTPSTIISALKAHPPKIIPDYLT PMPSHLLNTTINDLLCHSSSSPIISSPPKDLPQGHHLVYFPIQLPPSRLIPDGADPDH SPGSPYTRRVWAGGEVIFRGEGMKLDARPVICREKIEDVALKGKEGEEKVYVDIWRRY GNGHEVEGREDWDIEERRTLVFMREEKESASSPARLLKYPHPPSYSISLTPSPTHLFH FSALSFNAHSIHFDPQFAREVDGHRSLLVHGPFTLALMLRVLNDQVSSGASVQKFSYR NYAPLYVNESMSINVRRVSKDEGVEGRCDVWIEGPEGGMAVKGTADVVSR FPSE_06183 MAEKSGVTGLDFEFVKTPAFPKPDFDKLENVGVPTTRYPAIKNA PLPADGSGSDTFNNYVLISILTIVPWWLSWKVGGGFKTTLFFAIIVDLPMLAAWWLTI SAISPRKNEKVRLPNRGVEHYLEFKKEADRAKYRGTNKIPMETFYEMYFDGDVDFKGD CLEVMEQRHDWVSFRFTIGLIKFFLFGMMPEVIMHTRSQDEEQVRDHYDRGDDFYGWF LGPRMIYTSGIISDINREETLEELQDNKLTVVCEKIGLTKGDSMLDIGCGWGTLARFA SEQYGANVTGVTLGRNQTAWGNSSMRKVGIPEEQSRIVCKDYRDIPVPVGGYKKITSL EMSEHVGIRHFSSFLKQVHDMLDDDGVFFLQYAGIRKAWQYEDLTWGLFMNKYIFPGA DASTPLGWVVDKLEGTGFEIKHIDTIGVHYSATLWRWYRNWMDNRDKVEAKYGKRWFR IWEFFLAYSTIISRQGSATCFQITMVKNINSTHRIDGVETQFNLHGALDNCRADLQSW AAKNNVKTPSELL FPSE_06184 MPDQQPWFSGLGIFTRSSTSAQVRWYFPMPNFMLYSVARSGTTT NVTKTPPGMLLAFGSSESFTPSRISTEYEQRQIQERNVRILASNPDQGVSLKDLPHLI LPPVWLFYEQHGLASRLRIGASA FPSE_06185 MHYTSIFVAAAGASVAAAQDYAPPAPLDTTTLTSTTTQVITLTQ CNPTVTDCPLRTHTSTEVVVTTSTAEPEVPAEPTTVYIAPTTSIYEPVVNTTSHREVP TIVNTPTIATTKKAPLPKTTYYPAGNTTVKAGPTAPVSHVTKLPVPSSNGTPEKPGYE APPTTPEAPSSVPTAGANGLIASSGFLGAALVAAMVALF FPSE_06186 MTNYLNDPALYIYTSLTAGSAHIVTATSRLETILRANRIPFKAI DIAVDTKARLLWGRRAGKDANGRARKLPALIQEGWVVGDYVEIEEWNERSELKEQVKI YFDEYTIPSINDKLPDDSLMRPVYPMPNKSPVSPAGIAMMSAVGAAAAAAAASPKSIP TAPPLPATKTATSATPKVSTAPKAEAKALPVRSVADEAAAKAKELRLKSLREKVHGKD GEKKKDATSTKSTEEPKKSTETPISIDSKMDGIQSPTSGSWAETDAARNAIQSPTTGT WKEGHSSSDPSAKPSSIEAGLEDAKAADAKTAVKEEPALEKKSEKSEDEDSDDDTESD SDEDDEEEDSDEDSDDDGDDSEDEEEEEVKEPEAAASAHKAAEEATEEVKVEVKEEDK AEAKTDVKIEAKKESKKDPKKDGKKDAKKDAKAASKKSSKDPKKESKKSSKDIKKEVK KDTKGTKKEAKKDSRKDSKKDSKKEPKKESKK FPSE_06187 MASSSQLVSNPVKRRNRRRRQDKPAIAAKLVLDDHIKSDVGIVS EDIFRDLFPHLANAQLYQGATEDVHHIAIAPWQPNANPIDSPWSIVPIIESSALAPST VRFSPSSASLQSFAVTLQQVAPSKLSSHSRGGIEILVLDVAPVPLETVFVSLESELTK RLENGEGTFYRDHPASFKEKSAGSTSDDRLVASLRAALSSLKVVHAGDLFPLPLPPHP FTHVPPNPGKIMLCEPVAQGVLTESTKIILMRGRVHTKQIRRVASMTASQQLNGVPED EDDTSTDQFYSAAEDRDKSGMIEDMESATETEPDLSDFENEDELSDDSMDDMISLQAP TLPTTNASGVSTLQPGTPMTVGTLRGRKTNGITTPGSVFSNYTATTARPDRPRGRLFK AQGLMEQIPADILHPKPSIEDDEEARIYVDVTSLSRIGCFSGDWVRIEAAEEPPANGF GAFGLGSFGQAEPEPAKWRPVRVYGLPEGYSQRPMTRIPSAKHGERRLSFFESQIQRP ASPTAYASPILLANLDDAPYLRLAPLKKSSYQGKGTIPRFTSAARPPYARDITIHHIR TPISAERAFQTAVLGGLKRYFAQKIRLVRSGDLIAVPVDAELGRTLQEMPGAGGSEVD DVMALTVGGQETGKQPSKFDSVAWFKVGHIQIHKSDEDEDGSAEAFWGSVACIDSSSV AMHGSGFETSRIPGIKQSTWQYYLGLKKTPKKPSETTPAPIQEPELPYVSPLRRQLRE LIAAATSARAIHLKMPPVAVLLTSTHRNIGKSTLAAGACSDMGLHTYTIDAYDILSEG SGSGSDVKTEGFLRTRAERAMSCGSDCCALLVQHVEALTADRIESTIKEILEDTRVMI ATTNEVDKVPDGVRALFTHELEMTAPDEAERESILKSIISDRGVSLEPSIDLNSIALK TAALVAGDLVDVVERASIAQQSRLEQLSAKNTHENTIITVRDVQVAGGPLARCLTKSD FEIAVEAARKNFSDSIGAPKIPNVTWDDVGGLNNVKEAVTETIQLPLERPELFAKGMK KRSGILFYGPPGTGKTLLAKAIATEYSLNFFSVKGPELLNMYIGESEANVRRVFQRAR DARPCVVFFDELDSVAPKRGNQGDSGGVMDRIVSQLLAELDGMSGGDDGGGGVFVVGA TNRPDLLDPALLRPGRFDKMLYLGVSDTNDKQQTILEALTRKFTLHPSVSLASVAEKL PFTYTGADFYALCSDAMLKAVTRQATAVDNKIRAINADPETHHPISTAYYFDHYATPE DIAVMVQEQDFLAANDELIPSVSAGELAHYEHVRATFEGVRGTEKDSKPAPVQRAVSG ASASSKGKGKAVASGPSKGKGKAIALTSDDEIDEEDEVDDMNGQSKGKGKAPMGFQDG TASDDEGLY FPSE_06188 MAQESQGSSTTTQEKEHRHHPRVLGQKLAETAVQFSQQAEKLSH QDPTGGSGVAVEAQFVTPHDPVIVTSDGNRLPGVPLQEAHKLNALREELEGEPKNVEI KEGNETKEKISNIEDAEPEQKKVVQIPSEHNGASLQKSGGVDSTLRRQTPPSHTNPLF PPLPLYGPPNVLRNLQCLFFRISAFFLSLAFLGVIVLGALFTTIPIVANNIWYRVTFR NPDARRPFYEEEKQRAQARYEKERAWKQKSPNGPSLDQAETAEEFPPTEGGPDPIICD VAYYARRVGLDVETFEVQTEDGFIIDLWHVYDPKEYTEKEASLRSDQGPEVFQRQRRK LKDPLQKPKFPVLLMHGLLQSSGAYCCNDDESLAFWLCKSGYDVWLGNNRCGFTPKHA LLEYKDPRMWCWNIRQMGVFDLPALTSRVITETGFEKIGLICHSQGTTQTFVALAKEQ RPELGEKLTVFCALAPAAYAGPLIGKMYFKFMRIISPGLFRLMFGIHAFIPFMMQMHA ILEPHVYGWLGYKVFSFLFDWTDERWDRGLRDRMFQFAPVYVSAESMRWWLGRECFAR HKCILSTKEAVKAEEHMDATTDGRPMTPRTSSALESHRKQPKGSTAWYNEQAPPMALW VAGNDDLVDGKKLLRRFEKGREPHVDLVHSKVIPEYEHLDVIWAMDAVDQVFKEVREV LWKTCNARDICRVPKGCENVQARKPSESVKEEVLDESQSSSSSER FPSE_06189 MASLRIPALRRLMAAPSRATRAVNQRRWAQVHDIRFLATTQPSQ AVVEKYREKLSQKAQQEGLESIDQLKAAYSAKIDAERRKGAVEFPPGTIPQAPETPVI QPNADNPPGQDQPNKDTRDPPASPPKPPPTGADKQAIKTLNDIIDLPKVRELPEKELT AIWRLRHASSEQNLCAVIPTSTYKAMEDAARNAPQFVLPVPHPAQGAEIHFLQWTFDA QSKTSTVLFTQLAEYKNRGEFAQPHTTITHHLDLADDRGLVLMQGHVLPDRGVTPDNA KWLLMSLQRFYGGWDGETAELSGERKDRAEERKKLLSWFAAGDGRFSVDKLLEEAERM G FPSE_06190 MADFRQWAIEFVLADNEGQQTAIAQKAAKEIQTAPANTNPLARW VEAVQPWMPGGGNEAENETPDWTARAKALEFLSRTLDSVAQDVLKPSQVKLLVSFFGA MFEVDHKAGIMPSATALSRIVVMKSFQRHMGHDIIQKICSLKDDFPRQVAKTRLEIYE LIKLLMTTPGVANDLQNTHGSSAGFMLDLVQLCRNERDPECLMVWFGILRLFMSEYTV SQDVLEEVYGVFKPYFPISLPRASQVAITPEELKLQLRKCFSATRLLADKIFPFLLGK LDQGDAVTVNVKIDILKTLQACLDEYSYPQKSIAPYASQIWGSLKYEVRNGEIEDTIW GTLEVLKSLTQRLTGDDLRDHTLSVTRDCVPDLATTMYAASSGRLMISILSSKPSAFV LMAAPVLTHIKENLRHPKAPVHSQDLHKVLHVILETRLLLTDSNMTAEEREDFTAIDA FFKSLYNEVYKSAVALGSKQDASYDDFKVATQAVQGAGALVCQRPAKSSDPSISGASA SSERLLPEETCSEICESLFATLKRSGPEYPRSTGGDELINETAKALQRAIRLFPRGFN GIVDESMTIIRTARQNTGTAEVVETVTTLGGLIAFIGSSELPSTPRDGLDHFVYSVSV FLSELFDNIQSKSDPQIWCALAVIVQSIIRHFNDACLASNPGKDLSIEGDALQAIGSV YSSLGQLGEGKPAKSSTEYHSRVSQTASVAEVRNEFLLISLFIARQLYRQATKVIEAH PQTGKQALSLSDDFASADQVAGHQYLHLISTLAGFVIHEFSEAQQTSLQTEDFAITLF RDDSITIPQKQAEEQQVLENGSSWTWLASEAPNVLSLGVLQALQPSAVARLFDNGVAQ ELILSGFSADTNFSRPVTLSILTILANKYKIESLPSLVSALEQRASALVTTSASENQS SHLEQITSVYALVAGMVRRYSGKEAKTLLDVVKDSPKDSQSGAELARRLEMIVAPQQA LTKENYAIVKPLWTQKVFFQLVNPMLQAATGKDADVQDQQVKASYSTAVLLMVKHMSF AIYEADADSILRISIAVAQSSAVGPETKAALDVIKNILAEAPEKGKGHIRSIIKICSS VFSHTPKSATESVDIGGACGKLALEIVGSLPRTYDSQHLTPHVPQVQRQLTLVCGHRV REVRKTARLARAAWADLK FPSE_06191 MANAAEKVPVYNLADLKNTSNDAIPNYLNSLKFEQSHTLTDVRL ALGFSAFGIAAACFAWDYKLGHDTTKHYTAAAVVLYTLINTVMTLWIMFKEKDTIYEG TSPSGEKISIASSTKKNVPIYNLTITVTDKNSKSNVYKVSKPFSEWFDQTGQFVAVPF QAMLANAVPVIGKRDPKRVTVSKELLDASPDVLDAILAANATGAEAETADAADKKAKR RNA FPSE_06192 MAQVPVQTLHRDPQLFYWILIPITIVMVLTGVLRHYVSVLMATA PKKQDQKTMREQRSLARGVALRSNHHVLSQKAFESRRDILTTNYEAGTYLKEPDRKGQ PPANPLSDPGAMDGMMGMMKNNMAMIIPNTLIMSWINAFFSGYVIMKLPFPITIKFKS MLQAGVQTREMDPRWMSSISWYFLCIFGLQFVYVFLLGSDNAASQVAQQMQAQQMPNP MMGGPGQDPHKQFVAEVENLNVVEHYSVLDNVEERLLAGIKS FPSE_06193 MFILTKIADLVQIAPEDFSKRSIDAIEDNINAKYSNKVIQKIGL CICLYDLHWASEGLIGHGTGLVNVNAEFRMVVFRPFKGEVMIGRIRSSTPAGINLRTD FFDDIFVPFEELPEGAEYNHSEQLWIWNLEEDRLFYDNHEMVRFQVIDEEWHDQAPAG PTQPEDSPLKTPYRIKASMAAEGLGVCLWWDGA FPSE_06194 MSGYGYGPPPPPPPASSGGPPTYGPQAPSYPQNGQGRGGHHARG RGGHYSGGRGDYHGSPQARYEYGSQPQPYPSHHNPPPYGASHPPAYPPQPQWGPEHGH PPHGHAPGPMPSGNYHSNYAAQPYPPSQYPQQPPYGAPQAYPYQGPPPPNQPQWNAGG QPPGGHYGGGRSRGGYNDRNGPKPPLVGHVRPGYEHEAMPPANGYGQPYPHDPRAVHY PPPQYPAYPGPPPPLVHQQDGYYGHTTRRGRGGFRDGNTRGRGGHHGNDKSRHQKHGH NDSNHKSETPTLGKKKKRKTNTLGLTPGMDSESEDDEGEEKVLTDLIGQETLNVKDVA AFLADRKKHFPTKARVEAKKTAELAQTGEDKASSLEKQADKLRRQLRKVESSIKRKRE QGDEGDEMRDPSEESSDDEPEVMSTRSHVAPPPPPPAKKADVSKHCKYYSTGGTCGKK GKCRFVHDPEAREAAMKDREANNGRLTIQQRLILNDKEQEDLTVLQSIQYLRKKGLMQ PEDPEVKKEAKSAKPDLPAHPPPPSLLPAASASLPPPPVKREPNLPRHNPPPSTVPGA NSNNTQGVKPYQGWTMRPYGSTNGKSTKSDNLP FPSE_06195 MVKIGDLLAQISGGDEGSAPSTTPSLPRSNTLPKRKADDDPRSS ISKAPRVTTLSSTVAPRITQSPTTSSRPTLPSRPSDRSTPSQRPSNSTTGATKPNVLS SRPMNGSNRVSKPAAPSRPSPGPSSAPPAARGAPPKKGSFAEILARAQKAQQSMGQVG KIQHKKVEGGNLKKTKDEPPAKADPRAAKNMKQRPGAAAPAPSGYAGTAKPGQRKGTP VSGTGRDPRGRPMPPAKQERGRVAGAKGPGGRAAPPEEETKKVKKAAAATTGYTGTAR PRPGGNPAKKKETPRGGALLSAPRAPRPSHSKSRFEDDYDEDMDDFIDYDDEDDQGGP RYDYASDASSDMEAGLDDIDGEERRAELIARREDIEEERLERKLKADKEARKRQALDQ LRASRR FPSE_06196 MKHLAAYLLLGLGGNTSPSAADVKAVLTSVGIDADEDRLNKLIS ELEGKDIQQLIAEGSEKLASVPSGGAGGASGGAAAAGGAAEEAKEEEKEEEKEESDED MGFGLFD FPSE_06197 MVSKSLIALVATLATVWAQSTEDATATAEETASGTSTAAEKTHT VNVGASGHKFTPAELDADVGDIIEWRFYPTDHWVIRGDYENPCIPYEYIDYNRKGFSS GTQKVQAITEDAPRFRVRVNDTKPFVFYCGAPGSCVNYKMMGIVNPSKNETLKGLLDN AKDVDYQLRPGDEWPKEHGDPSSTATPGKDDEDSDNSDSGSGSGKKSLSTGAIAGIAV GGAAVLLLAGALLYLCGRRGGFDKAYRKSFRSSAIPPGPPVVEQSVYSPHQSVADPWG AQKSPGLVATPYSQTQSPPMSPHQSLAYGTHPGMVNPDGSPMMYQDGQQYQYHDHYAP PPMAASPVPSPKPQEVAPVELPGSPDPGYNNNNNNHNHHH FPSE_06198 MAGPSPSPHKARRKTPKKPGNDSGIDVKERTPRAEALVRAPAFP LAAFLWPARTSLSQWEVLPVILMVVGLFRWAASLWGYSGFHKPPMFGDYEAQRHWMEV TTQLPISQWYFHDLQWWGLDYPPLTAYHSWALGKLGSYIDPSWFALFTSRGNQDPDLK IFMRATVIVSEYLIYIPAAIVFVRRYSRLQGVTNWTAWLALVAILMQPATILIDHVHF QYNTVMLGFVMASMSSMLAERYKWAAVFFVGALGFKQMALYYAFSVFSYLLGRCVFPR IDLTRLFGIALVTAVSFAILILPLILGTFYDKTQGIDAHGGSKDSPSLPLLPQLVDIL DTNAFYWPYVEQMVQLVHRVFPFARGLFEDKVANFWCAANVVIKLRQWPTELLQKVAL GATLASIIPPNIILFLRPRKTTLPLAFAATAWGFFLFSYQVHEKSVLLPLMPMTLLLA GKQGLNKDTRAWVGFANLLGVWTMFPLLKRVDLKIPYAVLSLLWSYLLGLPPTSLSAY FQEGQTAWVQWGTALLHWAFYLAMGAWHALDAFAAPPADKPDLWVVANVGIGAAGFII CYLWCFFKLLQESDLLPGGSSRSSGKKNKTL FPSE_06199 MPFPFVLPTTSSFSFSSSFSSDTHPSLPLNASTYRGVVRDSLKK HKRLPPSSQISNINTVVSSVNKYLPYLLLVDEGLSNKGTAIVNLKTPPVIEWRPTLSG EVVPGRERARIKISSLEHEIGFVVSTLGFSHVLASRSALQPLYSTSSEFLGAQERTNA VQTATKSLLEAASVYDYLANRGEHATVSPPCPDVSQGTARALSSLALAEATLLAVLKD DPYPAIIAQDRNKNDKEWMFKAPEIPKVRAHLYARLCLAASEHAAKASSLCSTAGTGS LKINSALLRYIEDLRRTCRARACRFFGIDAELGGQVADGIGWVRAGLSELGVEPKDHS KKSGLSFSKLKKDISEKREDRRVEKEAAWGSDAGKSEEIRVLEMLDIKWNKVNDTMNT QLVPPINTLLSKMPSGREIHTVKPYEVPSLDRDVLEGMRAPPEEDDAYVDDLSSDDDI KGEPSAPVGAFPGTVHDYSRSPNTPGNAYY FPSE_06200 MLSKTIAAAITLVGLAAAAPLSSYDPCPTICQDGVNDCGESWGG CWDICKPEKSPTPPPCTATYTPTIPTITSDPPITLPVITPDPTINLSIITPDPTITLP IITGDGEFTTAVPTPRLEE FPSE_06201 MAALRSSSRLVGAFSRTAAIRPGTVFTRSMASVSEPAKGPESKL KSFQIYRWNPDTPSEKPRLQTYTLDLNKTGPMILDALIRIKNELDPTLTFRRSCREGI CGSCAMNINGQNTLACLCRIPTEAASDVKIYPLPHTYVVKDLVPDLTHFYKQYKSIKP YLQRDTPAEDGREYRQTKEDRRKLDGLYECILCACCSTSCPSYWWNSEEYLGPAILLQ SYRWLADSRDQRTAERKQNLENSMSLYRCHTILNCTRACPKGLNPGKAIAEIKKQMAF GN FPSE_06202 MAAPRNLLAAASWRTLAKFSDQSCPRLRTQPHIAMTSCSRSKLY STSPDTSRFSYHVASSFIAKDRPYDPSSHVFHFNPYNRIQPPRNRRPSARPESGHDAF FVSRVNDSGSVAFGVADGVGGWVDSGVDPADFSHGFCDYMALAAHEHQTSSEPPLTAR QLMQKGYEAICNDRSLRAGGSTACVAIAGADGNLEVANLGDSGFLQLRLNGVHTYSEP QTHAFNTPFQLSLVPPSVAARMAAFGGAQLSDLPRDADVTQHALRHGDIIVLATDGVL DNLFNQDILRIASRVLVSSGAWVMTEAGGVRMAESLEPLVELPDNSEGKRTVTLQSAL ATELVTAAKRASVNTKVDGPFAKEVHKYYPHENWHGGKVDDICVVVAVVNEIAPAVKG KL FPSE_06203 MAEIYIAGAIAAFTVDVLIYPLDTIKTRMQSQDYIKTYSESSKK NVWAVRGLYQGIGSVVLATLPAAGLFFSTYESAKKVIGNATPLPQPLVHSAASGFAEM ASCLILAPAEVIKQNAQMLQNDSRGSSKSGSSTSLQAFRQLAGDGASRRLFTGYTALV ARNLPFTALQFPIFEHVRSTYWTSRGPGTSDPGLIETGLVTGLSAGSAGSVAAFITTP SDVVKTRMMLSAGNQSESSTQGQGEVAAKMEGKRPKKGAWTVSKEVYQERGVRGFFRG AALRSGWTMLGSGLYLGSYEMAKVWLRRGKPDAEDDDTVL FPSE_06204 MANVDVQTAPGAALDIFSKNVGQVDVRDISSTLTPSDRYLVQSP YTEQEHLLDLETLDNENTILARALGKFQALRDDYATAPYTESFNWPEVMEEVKRLALE SGKGFKETSFYIVAFRSRIKQTTEYADLGRLDKGAHAEAVASGGFLKYWFGEPDSVLA NLATCIWRSREDAKNGGTGPAHRKAAGATRSMYAFWKIDQHRLIVRDNVEDWEIIPWE DSA FPSE_06205 MADAQNDAPATAPFKAVQVEALVIMKIAKHCSSAFPSVATGAIV GMESEGLLEVTNTFPFPTVDPATTDGHQSDASQLAAAAPRQQKNITYQNEMIRHLKEV NVDANNVGWYTSATMGNFVNMNFVENQFHYQSANENAVALVYDASKSSQGNLTFRAFR LSPAFMSAYKEGKFTTEILQKSKLTFKDILAEVSVSVHNSHLLTTFLHQIPSAPVKGA IEQPTSLDDLHRNALEPPLYPSIDNLDLAIDPFLEKTCDLLLESIESHYTDLNNFQYY QRQLGREQTKITQWQAKRKAENAQRAAAKQEPLPEDEWQRLFKLPQEPSRLEGMLNAK QVEQYSKQVDGFTANVSAKMFAVREDLMPK FPSE_06206 MSAPAHKFKVADLSLAAFGRKEIELAENEMPGLMQTRAKYAADQ PLAGARIAGCLHMTIQTAVLIETLTALGAEVTWTSCNIFSTQDHAAAAIAAAGVPVFA WKGETDEEYNWCLEQQLTAFKDNKKLNLILDDGGDLTTLVHQKYPEMLKDCFGVSEET TTGVHHLYRMLRDNKLLVPAINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMIAGKV AVVAGFGDVGKGCAMALHGMGARVIVTEIDPINALQAAMAGYQVTTMEKAAKFGQIFV TTTGCRDILTGEHFEAMPNDAIVCNIGHFDIEIDVAWLKKNASSVQNIKPQVDRFLMP SGRHIILLAEGRLVNLGCATGHSSFVMSCSFTNQVLAQIMLYKAADKAFAEKYVEFAK TDKLDVGVYVLPKILDEEVARLHLAHCQAELSTLSNVQAEYLGLTVEGPFKADIYRY FPSE_06207 MTNKPKVLFLGNTKQAQEEFDSLSEIVEVIWPKSTDRAGFLEES KSGAFDGIEAIYRTNKTYSTAGMFDAELLDNLPKTLKFICHNGAGYDQIHVPECTSRG IRVSNTPTAVDDATADITIFLLIGALRNLSSSIFTLRDGTWRGKPAPSLGHDPQGKVL GILGMGGIGRNVARKARAFGMIVKYYNRSRLAPELEGEAEYVDFETLLKESDVLSLNL PLNPKTRHTIARPQFDMMKRGVVIVNTARGAVMDEAALVDALESGQVASAGLDVFENE PEIHPGLVSNKNVLLVPHMGTWTVETERAMAAWAFDNIRLALTEGKLKSIVPEQNDLQ FPSE_06208 MAAPCVTAAEMLPRFLLPRLSWAPHSVSQAARPFASIPSADKRR SFTSFQAGPVLQRQSPRIVSPVTRRAFHVTALRQRDHHFDTLKFVKRLQGEGFTEEQS VAMMKVLNDAIEESIQNLTRTMVLREDAAKATYTQKVDFAKLRSEILSADSTEFNTTR NTHERVTNDIAKLSNRLRDEISRTQASVRLDLSLEKGRIREEAVGQELKIKETEAKIE QEVAALREKLEQVKFQSLQWLMGVCTGFAALLLGAWRLLM FPSE_06209 MATSRKRVAKKSTSSRTAQLEEKLEDLVTILRAAQPSNGNLQPS NSGESSPLMNSSNMCHLTSRLESLATAAASSSSSETQPRSHPAPHSYGSKSTGDSHMH TSPSSIGEDTSSLPEPTPEEAEVYLRKFKTWLEKFPCIVLPHDMTAAALRKEKPFLWL CIMNITSMSVEQQIKMKDQVRQEIATRIIINHERSMDCLQGIICYVTWASTTSSPGKP FIVTFCQMAVLVAYELGLTKAPVEEQYFTVCFKLWGGRPAPPRLRTLEERRTVLSLWF LTSVMSSFIGKMETLHWTPHMSDCLDVLEREKRYPSDELLVAFIRYQLVADEAQKLLV RDVMGDPSPPPTYIFRKSLLAKLQAVRDGLPLNMPMTHVLQAHALVTEVQVNSVGLFM QNIPVNQRIESMYACLRAIRTWYDVFFSIPAEEVAGVPFAVYIQLSQVQIALYRLTTS EDPAWDKEVVRHTADLLVLLDQVIEFFTRIDSVYRMKTSAGEETVFLMGAKIMRNIRN SWEPILSRHLSSVPLSTANQGTVQSMPAQNPQDQQSIDMAAVNMMDFGDITWMSDVFG PWEF FPSE_06210 MATEAPRDERSDLQAPLSENEKTVSSSNTPTLHEPAQEEIQAKP EVELPAEEDDETQWLTGWKLVSMITSLTLAAFLMLLDMSIISTAIPRITSDFHSLHDI GWYASAYNLSSAALQPLTGKLYMYFNTRWIFLSLFFVFELGNLICGVAQSSTMLIIGR AISGIGSSGIQNGALTIIASAVPIHKRPSLVGILMGCAQLGIVSGPLVGGAFTQYTTW RWCFYINLPIGAICTILILIVPIPNHRAPTDETAMYLLKTKFDFTGLFMFSPSIVMLL LALQWGGVDYAWDSATIVGLFCGGGVLFAIFVFWEHRVGRDAMIPMPIVRTRQVWTSL LTNLFLFATIMVASFYLPVYFQSAKDASPFTSGVNLLPSILMLIVAAVSSGALVQKTG YYIPFAAASAIFSAIGFGLASTMGPYTSTAKWAGYQILIGFGRGLGLQMPIIAVQANT KPEETPIAMSILTFSQTFGGAIFVTAANVIFTHELRQELVSRLPSINPDMIIDAGAGA VSEVVSKENLPGVLWSYSQGTRATFLLCVACSCVMLVISFGMGWKDIRKKPASDAGEA FPSE_06211 MNRPNRPRNRQPRPPRQERDNQRGGSSRPSRAPGTPQQAAGTVP KVHQVIPGAPVFIILKDDQPTGEETKGIVQDVLTRGNHPRGIKVRLRDGQVGRVQRMG NTSEAATPAPSDESRAQATSGSSRFTMRYTDVRRDDEFATGPPPRSLADFMPDFEESS TNAPNAGVETVKCPFCDKFEGDEAAVTHHIDREHLS FPSE_06212 MAPLPIKFQELVQLANVGVDTQSIGFNSCTLESDSYVCVREKKS EAAQPEVVIIELKNGNNVTRRPIKADSAVMHWNRQVIALKAQSRTLQIFDLEQKKKLK SCTMNEDVQFWKWISENELGLVTTSSVYHWNVYDAGQDAPVKVFERNANLNGCQIINY RVNSDGKWMVVVGISSQQGRVVGAMQLYSKDRGISQAIEGHAAAFGTLRLEGAAQDTK LFSFAVRTATGAKLHIVEVDHPESNPVFQKKAVDMFFPPEATNDFPVALQVSQKYGVV YMVTKYGFIHLYDLETASCIFMNRISSETIFTTCTDDGSSGIVGINRKGQVLFVTIDD SNVIQYLLQNPANTDMAIKMASRAGLPGADNLYARQFEQLFSSGDYLAAAKVAANSPR GFLRSAETIEKFKRLPVQPGQMAFTLQYFGMLLDKGSLNKHETLELAQPVLQQNRKHL LEKWLKEGKLDCSEQLGDMVRPYDVNMALTIYLKAEIPQKVVAGFAETGQFDKILPYS AQSGFQPDYIQLLQHITRVNPEKGAEFASALANSEQGPLVDFERVCDIFQGQGMIQQA TAFLLDALKENKPEHARLQTRLLEMNLMHAPQVAEAILGNEMFTHFDKTRIAQLCEQA NLPQKALELYEDPEAIKRVVVNIPGQPNFNPEWLTTFFGKLSVEQSLDCLDAMMKANI RQNLQSVVTIATKYSELLGPVRLIDLFEKYKTAEGLFYYLGSVVNLSEDPDVHFKYIE AATKMGQFNEVERLCRDSSVYNPEKVKNFLKEAKLPEQLPLIIVCDRFNFVHDLILYL YQSQQFAAIETYVQQVNPGRAPEVVGGLLDVDCDENVIKQLLSSVNPQSISIDNLVSE VESRNRLKLLLPFLEATLQAGNQQQAVYNALAKIYIDSNNNPEKFLKENDQYDTLTVG KYCEKRDPNLAYIAYSKGQNDLELVNITNENSMYRAQARYLLERSDAELWGFVLSENN IHRRSVVDQVTATAVPEANDPSKVSVAVSAFLENDLPLELIELLEKIVLEPSPFSDNQ NLQNLLMFTAAKADKARVMDYIHKLDNYNADEIATSCIEVGLFEEAFEIYKKADNKSA AVDVLIENVVSIDRAQAYAEEVDLPEVWSKVAKAQLDGLRVSDSIESYIKAEDPRNYL EVIEVATHAGKNEELVKYLRMARKTHREAAIDTALAFSYARLEQLSELEDFLRATNVA NIEESGDKAYEEGLYEASKIFYTSISNWAKLATTLVHLGDYQAAVECARKANNIKVWK QVHEACVEKKEFRLAQICGLNLIVDAEQLQTLVKEYERNGYFDELISLLEQGLGLERA HMGMFTELGIALSKYHPDRLMEHIKIFWSRMNLPKMIKACEEANLWPELVFCYYHYDE FDNAALAVIERPENSWDHQQFKEIVVKVANLEIYYRAIKFYVEQHPSLLTDLLATLTP RIDVNRVVKIFQKNDDLPLIKPFLLNVQSQNKRVVNEAVNDLLIEEEDYKTLRDSVQN YDNYDATELASRLEKHDLIFFRQIAASIYRKNKRWEKSIALSKQDKLYKDAIETSALS AKVDIVSDLLQYFVDIGHRECYTGMLYACYDLIRPDLVLELSWRHGLMDFSMPYMINM LAQQTKDLAALKADNEARKAKEQEKEKTDDNTPILGASRLMITAGPGGMGSAPSPAPY GQPNGFAPQPTGYGF FPSE_06213 MVGFGSSKDQKKNHEEVADIPAHLSDLHCFTETDNCITTTMMDL PGYRITKVLGAVYGITVRSRNIAAGIGMVIKSMAGGELSWFTTMLYNCRNDSISRVVQ ETKRRGGNAIICLRFETGDLGGFAQASAYGTACVVEKIEGATVEAPQLLH FPSE_06214 MALDMSTSERRYSDDSDDSISTASTTSFVFERIQEKTEMDAGKD NNDPRALDDDDPLKEEDDLETGPFLGPGATLHRQPMDKKLRRILIIAGAAFVALWLAG LGIFLYRGSYHHESDTEHDPDAATRGSGKTVTMDQLFDGSWQAKSHSISWIAGPDGED GLLLEVGASEKPYIVVEDIRKDKENRAPINTEIKASKSRTLMKDNFFVHDGNQYTPDW NEPSPDLKKVLLGVNKKKNWRHSFSAIYFILDVETQQAEPLVPGKPDARIQLATWSPK SDAVSYTQDNNLYIRRLDDKKNVVQITKDGGPEYFYGIPDWVYEEEVFSGRSATWWSE DGKYLSFLRTNETGVPEFPVQFFIKRPSGTDPEKGEEAYPEVEQIKYPKAGAHNPVVD LLFYDIAKKDVFSVDIDGAFADDDRIINNLLWAGDKAVVKETNRVSDILKVVLIDVTS RKGKTVNTVDVDKIDGGWFEISHKTAYIPADPANGRDHDGYVDSYLHEGYEHLAYFTP LDNPDPIMLTKGEWEIDDAPSAIDLANNLVYFIAAKESSIQRHVYSVKLDGTKLEALT DPETEAYYDASFSKGAGFVLLSYRGPKVPSQKVISTPSSVHDYEHVIEDNAELAERAR SHELPVMKYGTLDLGDGIVVNYVERRPPHFDKKKKYPVLFQQYSGPGSQSVTKRFAVD FQAYVASALGYLVVTVDPRGTGFLGRKHRVVVRSQLGVLESQDHIAAAQSFASRPYVD AERLAIWGWSYGGFTTLKTLEQDAGRTFSYGMAVAPVTDWRFYDSIYTERYMRTPQEN PGGYDMSMIANATALGGNKRFLVMHGVADDNVHFQNSLTLLDSLDLAGVENYDVHVFP DSDHSIYFHNGNRIVYDKLRNWLINAFNGEWLKISDPKPHKEGVEKEKRQLIADF FPSE_06215 MPSPRHIAAALVATATTASALYVNGTVVAPCDSPIYCHGDILEQ VELARPFSDSKTFVDMPAIRPLSDIQEAFDKLEKPLRNNSALADFLDENFDDAGNELE EVSRDDLDTDPKFLDNINDTVIREFTEKVIDIWPDLTRRYDQDAKNCSDCPNSFIPVN RSFVVAGGRFREPYYWDSYWIILGLLRTGGSFIEIAKNTIENFLDFIEEYGFVPNGAR IYYLNRSQPPLLSQMIKAYVEYTNDTDILERALPLLVQEHEFFMNNRSVPVYINNETY YLNTYNVSNTRPRPESYREDYITAENTSYYSPESGNVYKGGEELSFKQKEALYGNLAS GAESGLDYTVKWIARPEDAIRDNYFPLRYLNTRNIIPVDLNSILYGNEIAIADFYEQT GNSSASEQWREVAANRSYAMHGFLWNETLWSYFDYNLTSKAQQIYFPVDENTTVVDTE DAPKGQQVFFSPTQFYPFWLGAAPDYLKNNPFAVYTAYKRVEYYLDNRDGGIPASNVE TGQQWDQPNVWPPLMHILMAGLERVPPTFGIRDPSFVEVRRLALRLGQRYLDSTFCTW YATGGSTSETPKLQSTSDEEEGIMFEKYADNATNVAGGGGEYEVVEGFGWTNGVLIWA VDEFKNRLTRPKCDNLESAHSNDKRDSSAVMLHARDAKRVKKFGRRKRAAEKAAKKRS SRVFHF FPSE_06216 MSRSSRLVALRNLSFISSRSAPIFRLFVKTHSVITLQRTFASSA IKMGDAISEKPHNAWLGAKGPAALDLRSDVVTTPTPAMLEAVSSCSLLDDVFQEDPAT NGLEAYLAERTGKEAGLFVLSGTMGNQLALRSLLTQPPYSVLCDYRAHIFTSEAGGTS NLTGAQIQTVVPKNERYMTLEEIQENVTLDDDVHGCPTRVISLENTLHGMVMPLSEVK RISEFAKEHDIKLHLDGARLWEAVVSGAGSLTEYCSHFDTITLCLSKGLGAPAGSVLV GPKATLKHARWVRKSIGGGLRQSGVLTSAGRVAVEQTFGKSPNGEDGPLKASHEMARK VDDLWTSMGGTIDEPTETNMVWLNLKTTGCSEKRFIEIGAEAGLKFMCNRLVTHYQVA QNGDEVLRRLKVVFEKVLGESQDSTAQQKIGKGSVYVPQ FPSE_06217 MTSLMPSRPFVLLLLLVCVAALLSRQPYFDRSHAHRITDADIRA TQTLPRLNFSRSHHEFYASEAARSLCDAHGYSVFKPRSDALDGRRKIYDLFMVNTELD FLEIRLKTLYNYVDYFVIVEAPLTFQGGPKDLTIRDNWKRFEAYHDKMIYHQLQYPKG FKPLRHWDREDLQRNAMFEQVFPKLTGEQIPAQGDVILVADVDEVPRPATMLVLRTCN FPRRLTLSSKFYYYSFQFLHDGPEWPFPQATYYQGMRKTILPGNLRTGDAGIPLLRDL EKGVLSNAGWHCSSCFATVDQFLNKMASFSHAWMNRDSFRDRDRIANAVRQGVDLWGR KVDTFTRVDNNLDLPRCLLEDRERFRYILDRDGETAGFTDYP FPSE_06218 MAGIFQRVYNWLMRMFWAMEMEVTMVGLQNAGKTSLLRVLAGGE FTLDSIPTVGFNMKKVQRGHVTLKCWDIGGQPRFRTMWERYCRGVSAIVFIVDIADTP LIPQAKEELHDLMSRKSLEGIPLLILGNKSDLPDKLSVDELIDELDLKNIRGREVCCY GISAKEETNLDAVVEFLMKYATRP FPSE_06219 MAPTATETEWDHQFNTLRRENLFRNPPTDHSAYPALQLAVNPHI ESFNAIFRDDGKPGLLTHAIADIGTRTFLDGDDRAPSDGKNILTVRYKDVFLQKPQVP PSNKLARNRQVFPAECRERHVTYRGRLSATLEYRINGGDPVEFTREFGQVPVMIKSNR CHLEGNSPALLVERKEESEELGGYFVVNGIEKIIRLLQLNKRNFPMAINRPSFQNRGP GYTPYGIILRAVRPDETSQTNVLHYLNDGNMTFRFSWRKNEYLVPVMMILKALVETND REIFEGLVGPAGSKAAGNTFLTDRIELLLRTYKSYNLYSKSDTRAFLGEKFRVVLGVP DTMANYEVGTEFLRKIVLVHLGNVDVTEEQDNEKYKLILFMIRKLYALVAGECAVDNP DAVQNQEILLGGFLYGQILKERFDEFLSVNVRGSMRDYFRRNPGIPFTSEEFRKEFPN NIFRKANENLGNALEYFMSTGNLQSQSGLDLQQTAGFTVVAEKLNFTRFISHFRMVHR GAFFAQLKTTAVRKLLPESWGFMCPVHTPDGSPCGLLNHLARKCSITTDYLDVSHIAT LAAELGVVDVSSASTDENVVVMMDGKILGYCTPKESVRIADCFRYWKVEGTHGVPLQL EIGYVPPSRGGSYPGVYLTSTPARMVRPVKYLPLQKEDWVGPYEQPYMSIAVVPQEIE SGKSTHVEFDPTNILSILANMTPFSDFNQSPRNMYQCQMSKQTMGTPGTASVYRTDNK SYQIQTGQTPIVRAPLHNTYGFDNFPNGFNAVVAVISYTGYDMDDAMILNKSAHERGF GHGTIYKTKKISLKDDSRTKATKSVTKAFGFAPHSHVSAHHQGMLDDDGLPHVGRLIQ EGDVVCAWHTVTPDYNGKLVNLDGITHYEKYKDSEDGFIETVRLIGAESGNEPLQTVS IKFRIPRSPIVGDKFSSRHGQKGVASQKFVESLAGKAGALHGLAQDSTPFKFDETNTA GDYFGHQLMKAGYNYHGNEPMYSGITGEELQADIYIGVVYYQRLRHMVNDKYQVRTTG PVVPTTGQPIKGRKRGGGIRVGEMERDALLAHGTAFLLQDRLLNCSDYSKSWICRRCG SFLSVQPTVSQFAPGKKKAPSIVRCRACAIKLDDADAVDLTEVQGEIWEDGLGNSWVG GDQTTQVVVPGALKYLDVELAAMGVKLKYRVDRNDEPRKGPMKTMALDGVRVGK FPSE_06220 MQCQVAWLVTLFFAFVTAQSYSYGVDIDSLTRRQDTGRIVVKPL PQTRNGTVPLRPEIREMQADRYKWDLYILSMSMLQDVDQDDPASWYQIAGIHGVPFES WNGVEAATGANQSGYCAHNSVLFPMWHRPYLTLFEQELYRMANVIAGMFPNGTDRQAY IAAARDFRMPYWNWAMPAPEGKSHLPDIFWNETISQNGPKGVQEIHNPLYSYQFHPKN ATAMIWAPLRNWNETKRAPNSTEPDDDDQEEPTSDNEQVNRALLSKLPEIQRRLSTLF SSYKDFNSFGSKAWAFSNNSTLDSLESVHDIIHIFGGLQGHMTFVPLSSFDPLFLLHH TMTDRLVAIWQAMNPDSWVTPLPAGENSFTVIKGEMQDSQSPLTPFLSSDDGTFWNSD TSRTTEAFGYAYSDTDLTGKQKEDVRQELQKKVTEWWGGGSVSLLSVNEHTATTEWVA EARLSVLHDHAFTFVYFFLGDPSGSQENWLSAQNNIGSTLISGSSNSTAVVGIHLTSE RTRDLQAGEMSEKLQFRIVENGKVVHPDEVDLHIDLVSDEGSRIKLWG FPSE_06221 MVTTRSMRSNTLAMEIDNDGSDYEMHETNDENDKSFIEDQGQDE DQDEGENFIGDDDEDGDENDDGDEYDDEDDRDEYNDQDDEDEYDDEDGDKDEDKHIGE DEGYNDCNAQQPCQKVFKDRESLLKHVRACAACPLKYKQHEDCARSPEKYSDWSLNTH NGLGHDDISMECPFPPCRFRTQTRERLLRHLSMPHGILSNSVPSLLQFNSMEVYGYED TKDSGITYINVAQHLVNITYPDVSDDLQGLCEVSPWGPRRSSTPSSSYIYGKLLAHAD FMKEEDIWPYNLHRLALKPNYNGFIRYREEMVDRSAVLTPVRTAVETTLNRASTATIR QPQEALEFLSDPQRSYGGMSTEETA FPSE_06222 MSDLNIPSVPPPWTLKGDIYAFLFWTSRAQAGNLPDMTYSPLEA DSSFAKDQKALGGLSMMQIIRYTDSPVGPYDELILAPGVFGYEKDDENGRRVRGKGIK ITRIYVSQKHTCYNGRKNWNVPKHLAEFIWTNNTDGSTTVKVYPNDTAPTDPNSGFTE SAVPASTPFFQATFKPVGYTPSFPFRTSWLNYLGFDPTLVFPPLPEGAGSQGELLGTD RWCSTVPQQSSSKCKLGWFDVEQHRNEEGNSAGAHENFWPGWRKWQIGFKMADAVIGF DHPETWEASRSRL FPSE_06223 MIANPSLQHHHSHDSSSSYRSGSRSRHSTTPRVQRTTAELADFN SNHSSTAWSPATATATATAPTSESTPPASAGQTAHVSATGGASRFPSPPPSSSPAAAT GPAYADDAKSSSMASDARDSGPLGHASRSGPVSHASAAQQPSPASDDFQISNQAYPLS DAPSQPPEQKVIHIRDLAHIQSLASADLLSGNGGSGILNDPPLQQMKYEISGMPIGDI IEMVAALLTKITTTNDLQHDAMQRNVAHQQQANQSGDSSGSSISSLNHSVLAFHGKNV PAITILSYLSRIHKYCPTTYEVFLSLLVYFDRMTERVNDMVTKNEEIRRQTQSQQTNA KTSQDTPMRGESMNDTDESDSDLADDDDEEMTDAVRQSRPSSNKGAATPTEHPAIAAA TYFVVDSFNIHRLIISGVTCASKFFSDVFYTNSRYAKVGGLPLVELNHLELQFLLLND FRLAVPVEDLEAYATMLVEFYAREVVAKQAGAGSTE FPSE_06224 MEFGNAGVLNEDGIHVDMDRLKKGEVNLGTSIMAVTFKDGVILG ADSRTTTGAYIANRVTDKLTRVHDTIWCCRSGSAADTQAVADIVQYQLGLFAMTNGKP PMTQTAASIFQEICYANKDRLSAGLIIAGWDERFGGQVYSIPLGGSLHKQSYAIGGSG STYIYGYCDANWREGMEKDDAVNFVKGALREAIKWDGSSGGVIRMVVLTKEGADRHLY LPDTDYAVRHE FPSE_06225 MARRQHLTLTFILVLGVFFTLSYFFSGPTRSVLLPKLQNDVELP LNDASRSEFVADLNAMPAGLLQGESIAPKLENATLKAELGHATWKFLHTMMSRFPDKP TKDDRMALETFMHLFARLYPCGQCAEHFRKLLAQYPPQTSSRNAAAGWLCFAHNIVNE RVHKPLFDCENIGDFYDCGCGDKDKKGGVEGVAGEGPDQELHKH FPSE_06226 MHAKSFILALALASSSVVEANRPRIYYPRQVKREVVNNAAAAPA VPENQVVPDLEKRDPQNSFLDRLFSNNNDDKASTGDKESGINFDPTIPLGLKRPGPSA SAPPALVLQPTTTSMAIEEPETTEPAEATDAPIETDTGILLAPSGIVTSKTAVKSTQP VKTSAAAPKEETSAAPVETETVVETEEPVVTTEAPKEETKPAATTAPAVKEPESVNTP KEKPVDSKTEEPAPVKTTEPKVEQPASTPSKKTVIETKTEAPVETKPAVASTTKKGLL DPVETLLSSILPVPAESKTTQPEAVNSETTKPKEETQPAPAETQPAPVETETVQPADT ETKSPPVEKPAPTTKEGLLDPVETLLSSILPVPAETETKDPQPDQTQSEPLPEETGTP TKEPQRQESKPQTDDQTLPTVSPPSDEPTAAPGTAQTSEGGLLDPVETLLSSLLPVDP SEVKTTSPEETEAPGTEPTSAAGEETGSEQTVSGAPVTNPETTGLPELIPTSILPEPT LPLDETDLLPIPTDITDATSVLPELSSPVTVSPAIPTTLAPEESQTGLPDLTSFVDPN TKLIDPTESPNVTLPDATSGVGTEPAETELPVPTTEPVNGTETQPANGTETQPAPTGE PETTGAPEPTEDVTEAPTVAPTATGAVSEEPTGNATEPATEPIDTATDSVPTELPATQ TGDETNGTATEEPTSPATGVETESAPVATDIQETSAAVPTVSEVQPTIQPTSQPPVET ETQPQTQPEPVPTTLVPTAAEPITSIRPTATLTNTNNWLPTTIMFEPTSIPVAPTQAT ETSTSTGLPANIPRVILPNDPNKPIPEGSRSIQIGFLFPFNYKFLARNTVAAAQLFKY LPKGLADAGGFSKDRILIEKIIPMDTQSQWGYITALAKIHYPENMLDSLQADLMTPNS LLYNNDLEIVRNLTSVINNKIDIFGNTDNGASSDTGDSDDSGLGGNDGFGNSGEGDKS AKQKATTAGIAVGAVGLSVMYGAAMFLVARRYKRKKQLGHRRASSIGSSQRSSEMQYN GNGSPALMGGALMSRDFSNYGAQGPQGEQAPARPGGRDSHGSGRSGMGNSARTAFISA PVAAENSLGWN FPSE_06227 MGIYSSNADHDDKIVVGPYDDSRYTASSGFTYARQPSTQPANST SQLISPPLLSPPVARVSPGPSSPRSRSRSPASPQSFQSLNSPSSAGFPLSPYYPPSQE NQYLNSPVSDGFYSQRMSGATDPRYSTKAPNMMEMVLETPYFDTPPHTPGDTSFRSTD VVEPTEVTFNNGWRPWWLRRRVASVFMGVCIMLGVIGEVVMWWLSQKDVVSNLKGLWT FGPVVVVSIVAILWARVEAQALLYMPWIVLDRKPTTVDETRRKQSHRTILLDYHSLGS FQALNTAFHNRHHLVVAAISIKFLLRAQIVLSTAVFHAEIHVDGTSLLRARVGILHAM AGTFLIISMALLPMLYHAPSPRGIAPRDPTSPAGTATLLSSSHQFLTQLSSTGHANMD TVAARLAGSWYTTELTQPGRRPEEMFQLRQHGGGSGPLCMNPPNVSPEAIATYQPWTQ STRTKIISIVASVALIVGICVIFGLKGEGDGLKVDDSIFVVWTCVPTIIFAAIAVFWT RIDIDNRRLAPFLKLTTTKHRFQESLGLSYMNEFGLHTVGKSMKTQDWAVFLAKCTAI LGWLMPIFTAGLFAVSQVAQTANLELRPETQFASTSKSLSAVVDSDIIDQVLIRQTPK YPRWTWEDVALPEVSLVSHPREWPLPNTELVAKVPGLRSKLNCETVSYDQGEGADLQC VPLRGSKKKPICEGDQSRTALVASSCSQLPSSYPIQYVWGSCASDGLISVMMCNQSLV EVTVDTTFRTEDLYINTNEIPIVNASSEQPSKVKADITSVYEALDDVGVDDKKLAGLD SFFRTLVLSRLSITLERIVMPERQNSVSQAIRQLHGILAAQAINGELIRRPLANKLRI RDGSQPIIPAHVDYVIPRLMQGNVQTFVLIGLLAFTLLFGLLSLRTAPRGTLPKSPGS IAAQASLLADSSLWWRLPDGSEWMEDDGLARCLRRKTFHLGWSQGALGNQTYGIYIVQ DEGKAARPTGVSQTTADNSEGSGGVRYISMAPGVYSYNDIKS FPSE_06228 MHSHSGEFCPGHAKDQLEEIVKQAVSVGYKTIGFTEHMPRYDLR DLYPEELENPQAALEALPPRHESYLVEAQRLRHKYASQIHVLIGFEAEFIRSSCATHV RRLAEHPIVDYFIGSVHYVHNMPIDYSKEIFLTAQGKSSRGTEESLYEDYYDLQYEML TELKPRVVGHFDLIRLMSEDPERDLRQWKGVWDRVLRNLTLAKEQDGWLEVNSAALRK GLAEPYPGRQIAEEWIKMGGKFTFSDDSHGIAQVATNYARTVTYLESLGVKEVWTLKR SSHTCIKGSEKAVVEEVSVSLSEFRKNFP FPSE_06229 MASSSRPPLSLRRHSSIRHLRQPEHDDLAQSLNQLNISTSPGRA PSRLASEPASPFRPSGRRTSQSPAPNARAPSRSPSQDPSLGTPTLLRKASMNSLRSSN GIGPGSTSRRSSVAHVMSPTRRASYQEKIRPTPRSIAHDNLKAELHAHHGSITTRPTQ TIVVLNDAVYGHRFSRPRTSRAALGTIVERPERIRAAVLGVSAAYVRLGGRHCEGEYP LHPGREAEHLQGIPFRIHKTDRTLSLLSPAVVNVHGAKWMEELKTMCEAAESKLALGG KELQRPEMNRGTDKSPEKFHEGDLYLCSESLNAMEGALGAVCEAVDTVFGPGPRRAFV GVRPPGHHCSASYPSGFCWVNNVHVGIMHAAVEHGLTHAAIIDFDLHHGDGSQAITWQ HNTRANNAAKNAAAWKKSSIGYFSLHDINSYPCEMGDEEKVRSASICIDNAHGQTVWN VHLEPWKSEEDFWKLYETRYTVLLEKVRNYFKNQAERLRESKEPPKAAIFLSAGFDAS EWEGEGMQRHKVNVPTEFYARIAQDVVKLAAEEGLHVDGRVISVLEGGYSDRALCSGI MSHLSGLAGDQTSEQSSDSAGSFGVDTAQTSPSTVPHGVEQKSALDSIHAYDPSWWST SNMEELEILMGDPNAAASKYPQYVTLPSYFAPTQASTAKSSDPTKMQRSLSKLGSATP RFPSPPPPEVPWAVATHELSKLLIPSTRETGSCRPEDLNAEASRVRRDRQSILMGIDP NPPPKASTSRPTSRMSLRERRAKPAPPPEPTIDKPPKGRRSTMGPTTVASEKAVARGV PSRTNSDEPGVRRSSRRLSEIPVSLTSRLSSPEAVLPEADEHAQTVPNSPAATTATTA APNGDLQVKKTRNPAAPRKEPAPKVPRPTKKATTATGPSRPASAAQKAKSPERAPKNG TAGDDVDNITSGMRKIRINLITQSQKAAKARARLEAEKVNGSVSAIDQAKPVETPSTA AHPSKAQSDDPNTVVVSTEACNHSPAQSLPINPTPPATTSSSGNITPLQEQDPFNPSG YVSRLASPPPSSPPIPSVSVHPSAEAGDVFIPYQPEGPAPKPITQNEPLKWLPPNVPT SSAQTPATTPSPIKKNKLFQYASSSGIPFAPRTGHQAKGAATTKPAGPEMK FPSE_06230 MKSAVLSVLASGAAAQITLGGLGGLGLGPEIFQNAAINPSDPEY ATCQDAVSLVQKCVSAVGGLDAAPTADADALVACACCDGSENAAPLYSVCSNYLEDEA PENTSQYEAYGTLYSACRIGAAKCTGSNSGSGGSGSASASSRPTATADDDEDRSTITT QTSPAQQTYASACVDMLGIFTSCTAKDRDFTNLPFKEQAECYCCRGSGNDLTWTDAMD KHAQTCADWARTGEPKTAYSVAKTFATFCERFSNVCEFAGAGAKTQGSDSDEATQAST TEDSSSRQTDASSDDNDNDNDNDSETTSNNNAGPVTVTVGSQPTETGNDATSARAGFG AILAVVAALAITL FPSE_06231 MPVATQASLKGITPEQLEETGCMLCLNNTYHLGLKPGQEVLDAI GGAHKLQGWDRNILTDSGGFQMVSLLKLATITEKGVEFLSPHDGTPMLLTPEHSMSLQ NSIGSDIMMQLDDVLVTTSPDKARMREAMERSVRWLDRCIKAHKKPESQNLFCIIQGG LDLEMRRECCREMLARDTPGIAIGGLSGGEAKADYCRVVATCTEMLPDLKPRYVMGIG YPEDLVVSVALGADMFDCVWPTRTARFGNGVTKHGVLNMRNKKYAHDFNPVEEDCKCM VCKPTAEGGMGVTRAFVHHNASKETVAAHLLTIHNVFYQLNLMRQIREAIIEDRFPTF VRQFFAWLYADKAEYPEWAVGALKGVGINLQSE FPSE_06232 MVHGKLSPGARLMRTSRLFSLPKPLPEPQSSNLHIGDHKSPTMT RQYPQHQAITSPLASREKGDWGFKRPFPLKSTLTTSTPFIRVKQVDSIESVTDFASAA DHALSLEKFQEMRIAMSIPKGKDTNTTTFTTDTWHKSVFEQDLDFTDHRQGRKDDKRW KFEGPWLARMTEGEFIKYLETKVRPKRAMFQQVLLRRLVKSHNAKMAQSAMEQGNAIP EKINKWDVSRKQYIEYVRSLRNDRAALYGLVSKFLDLAPLGQPVGILQTFLAGGENAA AESPYGKSGPPPSHPSAGISYLRTNSYMANHPVYGPQKQPTPTLSRVVYPRQGPSPAK LGVGGFVADSPPGDNEFNIRHPGRHKISSNKKMLTGISHLDTTTFGGAKAYVNPETAT VDPSGKVVVQLREAEPEAQLIAREAKGRARVYDPLNRSKTQINMEADTWRTGRAADEL LGGDAAAPEDDAVSSSRNYGLDDRQ FPSE_06233 MGRVRTKTVKKSAKVIIERYYPRLTLDFETNKRICDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQFVPEVSALDFTQNSESGQL DVDTETKDLLKHLGFESIPVNVIPVTQQQIPERGQRYGNRPRRD FPSE_06234 MASSSKQPPKGILKKPKSIQERNADPKEIALAHANIIQHRKDLE AEILESLVILSEYPQVRKPPHNASNPASSDIADFKSHVRLFQPSDYDDLIIERNVNDL CGYTLCPRPRRNMGPGGQWKIASNGSIMKREDLEKWCSQQCARRALYVKVQLNETAAW ERAGIPDIEIDLLDEDKSQETDVDRAARELGKLKLEEQRQAARDTAALALERGEPDAS KSKSKKINITLKEKDAKAPSTMPSKPEVYDDEHLLVEGYKTKLKLEDKKET FPSE_06235 MAAPFKVKAIYEYSSPHEDDLNFAIDQVITVTDVEDDDWYGGEY LDDQGLKQEGIFPRNFVEKFEPTAPPRPSRTRTKKEPETAHPTEDIASPPPLPVQQAP PPVPAPEPEPEIEEAYEPVQKHAAHQPAAPAVPEPAPPKPAPVATPRPPSAPKPVEPP SPAPASPKPKPSGPPPVSDKPKPSSFKDRIAAFNKPAAPPVAPFKPSGLAGSGTGFIK KPFVAPPPSRNAFIPPPQQTPTAKVYRRDEDPEIKEREAETQGQAERAGLVPTEAQGE EAEDRPKPTSLKERIALLQKQQQEQAARHADAAAKKEKPKKPVKKRESIGHAEAPAET EASPQEPPTPLEHKETQDTEVRTSTDEPQTTRMPPPPRRKSSKGPAVEHVHDGNEADM SGAGDTTEGNDDIMEREDSDSISKIAPRAPSLPMHAGPPSEAPKKQESDEGDEEDEED EDVDPEVRRREELRARMAKMSGGMGFHGMFGGPMSAPAPPPKKKKAPPPKPERTSIDE SIEAASPTSQGAPPVPAMMPLPGFGGPSKPEEPAHSEVEHEPAAGRAPPPPAPSQPPR APETAETPKDDDDSEVTPAPHSAPSIPPREPAGAPPVPGSRGAPPPVPSDSRPPPPAP PADAKSQSDGSESGDELSGGRDSDREAPTVAARSPPMLPPPVQPPHLPSRSPPLSPTR EDFSPTSPGSNASNRLSRLPPPIPGSAPAPPNQSRPPPPPPPGGPRRQSTQDFQTQPR GPAQAGEEQAEELTEYEGDYDTDIASSVPHKDALKSHARGSSLDDNTLLSPLSDAPAN IPPPVPSAAAPRAVPPPPPPPPGPSQSSPENKRQSVDIPRAAPPPPPADRRPSVDVPR AAPPPPPPANFLYPLPPQSPRPDEDYDPYNYSAPPGGHAYAPRTPKIEEEADFPPSPS VTSPIDRRPPPPAVPGRTSNRQSLDISRAAAGNRRSMDMHRPSMSMESGFIANDMDLA IQSGWWKQANQVPPVLQGRKDIYFEAEETTSTNEGQQTTITREISILYQDYSQTCLTV RYDPYDPSDVQLEQRHEPPPRPLRQDQMEEYYERFGRQISTAVASKKDSVVADGTPQG LVLELLKPYKDVLPPVGTRAYGALVYSNMANASTQQNDMIRAGDIMTIRNAKFQGKHG PMHAKYSAEVGKPDHVAVVAEWDGTKKKVRAWEQGRESKKVKVESFKLDDLRSGEVKI WRAMPRSWVGWNSQS FPSE_06236 MDENQPFAEYHGHHLPAAAGIGTATLHEWSVEVLSLFDLYSTST IEDPADQKHRKKHDACSGSHNVTSQSVARNRLDREKRGHETSV FPSE_06237 MTPNPSLRRQRKVVISSSPAPSDSDSRRGSYFSEASQSTAPTSY HSASGSNNKALDVSQHRQSTAPMKASEQRFDTASSSSIATYDSVLSEMAPLCRDLPVG GEDVEIETEDDEEEEDEESGDDDGEDDGEDDQEEADKDFILSDPESLHIPPVLPPYRG TSNERPCRPSTPQDFANLFPSLDRLAVRHDDCTSDGNMNLRVDTVVPFGHTRRTLAVQ LFHLRMHDLARREFSLRRYCRDSGREVCNSKREYAPAPISTVAAARPALQRSMSSAVR TMTTPFHRPSSSNSSIFKHNNAASASTHSDNASVWSGSHQTEKSDSQVPKPKARMVPT NRIKLEFSNYARVDIARRGGRTNKRYEFEWWGHTYAWKRVIDKNLNVVSFHLVRDGHG APVAHIVPEMRSPNQVLDDEMAGAWVTPCYIWISDSSIIDAITDVADVIISTGLISLV DDCIKERWQVKKPSHRAIRRDIGDVNHSNPRSFMPSFFQRRHSEEHSSSRGSLRFGRK PIAAY FPSE_06238 MLHEILLSLSGHPSPLLRPDTAQPHALSGISPAERQLLASAAHL SEVHIKVISYTAQVANSHSSTICRAVATAIDSIHLAAFQRKVLDVEESILQDDPELVG AYNIVPLTAVMSEFKDWTRRMDWLWEMVQFMLAKDKKGEVCHGAQLMDRLRLELQSGY RDVQETALSLVAVAETAWLKQVSAWILYGRLPSFGTEDFFVQKVEASEEEYISRSSLL PAFVTPGTASSMLFIGKSLNHIRVKSNIDSGLRGLDHLSSKLQELSSLTFPLKSTSFS RAIIAVRISLSENTLQKLLPLAKVTEMLQLLRDFFLLGRGEFAMQLTHEADEKIRSRW RRADNLAYEKGDGLKNVTVKEGEVAAVLARTWAVLASMQGQHAEEDEQLELARDLLRL NLTKSKTTTPLGSGSGLSREAANLLSESPFRNLLFSVPSLLSVQIPAPLDMVLSPSDI QIYSCINAYLLSMRRAHIRLTDLWKITSLRRHHPTSRGDREQIILLRKRWTARSSSMR SSWTTASAAIFFLAETEAYLQTEIVAGLWEGFHGWLTANDPEHGRSRAPTPTKFPDES GNVEGEGEDDDDIWLSGENKAATQNETSKSSDSTPPQDPQTLSTAHRLYLRTLIHRLL LTQPTFTQPLYNLLIHIDHLVAHLHRLHAIYTSIDLETDAGVVDAFVDLESEERDVKR RLHDIESRVRSGIEDVVAALRALESDPIFTAEWEGDTAPPTAAEEYDEEEERQGRARD TDDAEERGGFTAARVGGINRLLMKLDFGTWFGRTDGHGVEQQ FPSE_06239 MSNDPKLLYAVEGINAYHITNGKEKPLTPSGPQTLSLLMVPTSS GFVEPAGNGSGEEDFYLHLHLPPELDLPLPATTQIYHQPPTSYLIPRWDLGPDSGAFT RIEFPPANSRSGVQEDVDTFETILAQCTAFLERSAPPHPPRSSEKAQAKAREAAGEQL PAYNPADYTPGENYAQGSQSANSAGRIVLIDEEDGSVLGELTDSYQVVEDKRIKPGSK EPVEISLPTDGGQNISVQPASQSWAEMDMHPAYKKSTLVSSASKASRLIVTTSDIVSR TLQSQADNFTQKTKPNAKPVTFAPTTHEHIRRINTFSNRAATFSATTVGTIGNLAQNL GATVTRRKDGRARGYDKDGQAIDTYKPGVLNKSLMAFNTVVDGIEQAGRTLLTGTSSS VTTVVGHRWGAEAGEVSRNLGGGVKNVGLVYIDVTGVSRRAILKSVAKGMVVGKVRGG GEIIVGGTDNELIEARQGNGQGPQSTYGDNVSVANGKKPA FPSE_06240 MSGLVTRLRGPSVISSSQLRIQSILSPIDPGGNRPSETPSHPLP NSKRKLEELSRVREGTSPVSNWLPRHDELRHDAGDDGLIWTQTPSNSDERAGRTSSRT KLPMRSSIACVRCRRSKIKCNNDGGHSPCDHCIKGGHQCQYPEPAPIPPKRSESQAAA RSEKEPHPEKKRTRKLDDVPGRNSERSTAYAAEVLAYPFLTTELWSQLLSIYKQHYAT ELPFIHLPSLKERMISEPGQKQDCSSELNLVLLGILTLTARFHPDLVRYVTHLQISQQ GHTRQRTSQPKKNPVAASDFFATVLTTALGPLRTAMDTTTVERVQAFLMLGLFEWSQG HESAWMYIGIATRMAKMMKLELDDQRMGLLENQAPASQQSRTRSAEIAIVRETRRRTM YSCFILDRLMGHGSGRALSTPTDALWIQLPCSEMAFDLSLHVYTGFLRQPEGFIQPRM NDDSTLSQFIRLIDIWSDISIYISKGGREQENIPPWNNRSKFYELRARLNTFYNGLPD TFTLSRQNYYRHDNHQATNTYVSLHMLASACQIVLNREYLPFLPLRCRGPQGPVGRVQ PILDHAPGGFWEESAEQVFLASRNVVDLIELCKDKLPLSSLTTFSIWLAGFMAVYARH FPYMDLKLKMTSQKMLDSAHTSSILEEEMVGTSYQALRRATTYLPAAQNYLDNLESTN RYFSQMKNPVFQNQEATRSTGSLDDHAQRIDSAYRHSTSPTLPSIRSTLEDPTKLAAS TSKSQFLLPGIDEEPFEIDVPKLVGRNIEMLESQRMSKVLNDLEGFSGAGRLRVVFE FPSE_06241 MPSLTASPDAATASQSVKQNGSIPSPSPPSIIDIRGEYVEMNLK DEIATSFNPDDGPRKLPTLLLYNEKGLQLFEDITYLDEYYLTNYEIEILKKNSAEIAS QIPEGSMVIELGSGNLRKICLLLQAFEEAKKPIQYFALDLSLKELERTLAQVPDFKYV SCHGLLGTYDDGREWLKHPSLTSRSKCIIHLGSSIGNFTRDEAADFLGGFAEVLTPSD SMIVAVDSCSNPARVYHAYNDSKGVTHQFILNGLDNANEILGEAAFNPGEWKVIGEYV YDVEGGRHQAFLAPTRPTDVLGSKIMPHERVWIEQSLKYSEEEKTKLWKLAGLTEMGY WSRGDEYGLHLLKKSSMPFSLIPSLYAAKPLPTVHDWKALWKAWDIVTKDMIADEELQ EKPIKLRNACIFYLGHIPTFLDIQLTKTTGQPPTDPASYYSIFERGIDPDVDNPEHCH SHSVIPDEWPSVQEITEYQGRVRSRLQKLYQNDQDKIPREIGRAIWVGFEHELMHIET LLYMMLQSNKTLPPPHTVQPDFTKMAQQAYNARVPNQWFDVPEQTITLGMDDPEDGAD LSRHFGWDNEKPARQANVHAFQAQGRPITNEEYAQYLYNSNIDRVPVSWSSVASDKQD GATNGHHTNGHVNGYSNGNGNGHHANQVPDSFIEGKFVKTVYGLVPLKYALDWPVFAS YDELVGCAAWMGGRIPTFEEAKSIYVHVNKQKKAEAERILSKTVPAVNGHLVNDGVEE TPPSESSASVKDSSSELFFDLTDANVGFRHWHPMPVTSRGNKLAGQAEMGGVWEWTSS VLERHEGFEPMSLYPLYTADFFDGKHNIVLGGSWATHPRIAGRASFVNWYQRNYPYAW VGARLVRDI FPSE_06242 MATIDTSVAAATDIEQPQISKSADFDIVETYRGLLVTDPDLTKP VAAIESLIALLNAQPSTTVFEILDTVKVHSDRLKASVSNPVPLSAGTDLFLQYLVSSL RQQDGSFDAVRQHLLRNGRLFAQRANAAREGIADAGWRLIREGQCILTHGASRSVVGI LDRAAQNLGAGKFKVIYVREETRVEESDRIVRELRSKGIPVAEIAEASVAYVMGLLRQ VNMVLVGAEAVTSNGGIISRMGTLQLSKLAAEANLPFYVAVETHKFARKFVMDQRDIG FKQDILDFSVDTKSKDTVDAVDFTPPDFISKLITENGIKLPGYVFEQLLDIYGSLNG FPSE_06243 MELLDPATGTALPNDAIQRILFVANAVHVYNIPPLTSTKGYSAS TWTAEPQRHIFTARLRVVETAYESASSTNQLKVDAVLEDASTGQLFAAAPYTAPGVVE PVLDSSRFFALTVRDPQGRKAILGIGFEERSEAFDLSIALQEARKALGWEGEQSKPSA TKEQEEHKDYSLKEGETITVSFGGSKFGRRKQQDSSNTGSGAGGDLQSFALPPPPAGP SGGFSLPPPPSASDVKQQKQSAKDLGFDDGQFGEFA FPSE_06244 MNTTFTQVHGMVQLPPMDARITPPPEELNVVIPKLQTCHILPPS PQSLPGTPYPETPSTSNPSPRKRQRRRSSSSRSRPSKPDAKQQPQANSRLLFEPRPFE NLYTDQAYLAGVFQQQASRASDLMRRYCAVEQQLRNLDGDQGRRKLRKQLSLLKSKMN QAVEQEKIISSRLFDLYVEMQSRETWAQAWTATSPSVESSCCFSPDSYSFPTPTTPFV SSPADFVPMGYFNDFHQTAGPFYASSEPAVWRLETVDEAAEDLLCSPDSSSASIESET TPATPVAAELSFAEEKNDCSTEIGHDLGDSRFMVTRERRMSLPCLRHTWPEV FPSE_06245 MLLPLRRYPSAFHKPLSIASKTFTRSLHLAPPFLLDDYVPRYMT LSSRDAAKKRSSAYAHLRNCNLCPRECGVNRFEKTGMCLIGEKAKVNTIAPHFGEEPC IQGHNGSGSVFMSGCNMRCIFCQNYDISHQRNGMDLSPEELGDWYVKLQEVGNVHNIN IVTPEHVVPQVALSILHAKDHGLTVPIVYNTSSFDSLASLQLMDGLVDIYLADFKVWK PSTSKRLLKADDYATTAKESIKAMHDQVGDLCFTGDGIAKKGLLIRHLVMPGKEDEGA EIMKFLATEVSTDCFVNIMEQYHPDAHVGKPKRAKAGARDENQQVRYAEINRAVSNQE ISFIRRAAEDAGLWRFNDPPGHDGFAI FPSE_06246 MASLANDEEMEDIQPLHIPSNPYATSYKSNYTVPDNGILDAQDL VLLQHLNCSYFSSGRPPTLLALKQHAHSLVNIIRKLALTSNTQHVGERSGYRFEEHEA FDWLNNLDEPYENDDPSHHDPLWALQNTVKSESETFGIEHHCPLTLVEDSGPSAKGGD QRRPYMTHHDLVMHANECLEILDHEYGATGGLMSILPTGFEAPKDLKAAQGMSERQLE GARNCLLGQWLLNHQHLMGRMHELEISYANALDVMAGEALVPQQILGRSGPDGVSGGR QIVYPQDKFILVNSGPDVTGYIHRLLDAAEAQIEQKEKIWKASGVVGERIWREERGGK VYARGIVPIDISSRFYRIKGKGSQSPLFVIPAADQHPGTAQTRIIESKPTVVSVVTPT WPERVSDIEARNKERLARAAQLETENRTLLRERVEMQDMIAVKTAEIRSMNDQLAWYE ENAEVQRK FPSE_06247 MGNFISWLEDNLPDPKSTKGNAGEARGPQPKSVSSMVSTLVPVL VASAAYILIFLILRKSNRRFYAPRTYLGSLREHERSPALPGGWFGWIGTFWKIPDAYA LQHQSLDAYLFIRFLRICCTICFVSLCITWPVLFPVNATGGNGKSELELLSYSNINIQ SSKERNRLYAHCFVAWIVYGFVMYTIMRECLFYVSVRQAFLLTPQYAKRISSRTVLFT SVPKDYLDEARIRTLFNDSVKNVWIPGETKEVDEIIEERDEVAMKLEKGEVKLLKLCN KERIKSMKKSGAEAEKQNSGPTDPETGDLAARWIPQKKRPSHRTGPLGLIGKKVDTIE WGREELKTLIPKADEAQANWLAGNYEKHSAVFVEFYTQSDAQAAFQTTTHHHALHMAP RHIGVKPDEVVWNSLKFPWWQIVIRRYIIAALIAVLIIFWAIPVAIVGIIAQVNTIKT LPGLTWIESIPSVILGVVSGLLPSVALSILMAMVPIFMRVCAKQAGCVSISQAELYTQ NTYFVFLVLQVFLVQTLANSFVSSIVTIVQDPSQVFTMLSSSIPTASNFYISYFIVQG LGIATSVLTQVVGCVIFNLLYKFLASTPRAMYNKWTTLSALTWGSLMPVYTNIAVISI VYAVIAPLMLFWSTLGMALFYLAYRYNILFVTETKIDTRGLIYPRALKQLFVGVYLAE ICLIGMFIVSKAAGPAVLMVIFLIFSILFHVTMAKALNPLLYNLPRSLEVEEERIQQS AQGSELEDGQVLNNNGVTNGTTNGKENGDAKSTRVGKFVPGGAGGVQKKGNFFSKWLK PWIYADYATMRQLVPHESTMGLDYTEQVERDAYFPPSITSETPILWIPADPAGISKQE VLHTSKVIPISDEGCTLNDKNHIEWDTEGARPPIWTEKIYY FPSE_06248 MASTFIPGRSRSAQPRPTTTALLLFFATIPFVSPVAAQSFPYVP TEILMPDPTCVDGSVPCSASDLAFIFRQTASGKVEFRSLNFSASVDADEPSLDRPAGS TTLPFLDDEPKSTAFGAARTANGSVLVYAGDCKTGISDVWSYDYEDGGEWYRRGLKNG NDRRAPYFLGGTVAFSSSLAPEMDQPTLYTYGGMCETPKSGDATNWQSDANYTKTMLR VAPNDGDTYTSYKMSVASSGGPRTPIAGFTLTGLTPSMTNKSGIVTQQMSYVLLGGHT KTAFINMSTVAVWNLPAETWSYVNIQAPNGDFPKSDLAIKDTSAQSKRSSATNTADNV YSRSGHTATLSEDGGSIIVIGGWVGDVNTPAEPQLAILEMSEIYSGWQWKIPEVQPDF TGIYGHGAAVLPGNMLMVYGGWETSSSSSRIKRQASSGSLRFYNITSRSWGSSYTNPS SASSSTKENDAPTENDKGSNSKRLGLGLGLGFGIAALIGIIIAALCWYKKRKRHINNR DEAVRALSQDPSYYHSDFPEMTENDPWGLNDTAWYGRGGPYPPGERSLGYESMRGARS GMPGLHIPHKPMSRPSRGGYVPTGGSFPGPIHPIYEDEEEENYQNRGLYDHVATPTSD VHSDPFQTPVTATGQNNVAHPICLTPGGGRASATPSPENRRHDPEVQDWVTEVDAAEG LLERMNSRRSQHKNAQNVDNADGPARMNSRRSQNQGSFGRTSPTRHNSTRSAALRDDE SRSGSNLSESARSAAESIKAKARQLNPLAPAFLMTGAEHPKPGSSSSDSYNTAHSSFG ALQAEGPSLLMGDRGHRYDDDEPSSPSKSKPRRGWLGSLKRVFSGASTPQSSHEDMSG VRRSFDQEPVSGDYEPGLVGLRGELLRRKKGRQDWEGLGPIPRDAMMSGGSGPEPGTE SDWDIERAVEQRLVQVMFTVPRERLRVVNGNEEGSDREDDDLMKPQAAELVDPDDEHD LSRDESVQEKQMEAGYEHPALRSVDEPQRQESSSMAEKQRLVHDEEERVNEKQRYEEK QQLLDEKRAEEKRLAEEEILQAQQQEEREPMKRQQHQPPPPEEEPSTSTLQQPKPSHL QPRPLFHHRTPSPAGSISPSPSPTPSPNRPSFHSRRHTRGDSNNSVGGFLLPELNTLE PRFSHSTDRSSGILMEAQAVPLTRERARTRVLAMVDSFENLSRENSPSPTRFS FPSE_06249 MIAKPAFRGLSSPVNRSRRLPTTIGAIAFFVMCLFYLLFSIAPC MVYGNCYRGYSSAYSFDVDLAHNPAWMAEIPDDVYLTSLSIPGTHDTMTYEIETEVLQ CQNWNLTMQLEAGLRYFDIRARVRDDELHIYHANGYTGFSFEDVISYMNDFLDRNPSE TIVMRLKQEGGRIGDNNTLSFEDAFNKYPLGDRLYNYSSTAPLPTIGELRSKIFVLEN FPARHGGPYGPKWEGPQMVLEDKWIIPDIYHLSDKWTAIRDALELAATAPLDNKILYL AHISASVGVLPIEAAAGPMNRTVTGMNDMTGQWIKDFEDNPDTSRTGIIIIDFPGKKF IEAILRWNNSLKKQS FPSE_06250 MFPLCRNAQSAGLVFLLASTWAPLAHALDIEYCSDFNTGETSSN YSIYQTNGLCHDHCFDDYAYAITQGKLCWCSNYAPVKSVQDSKSKCNTPCPAWPKEVC GGDGVYGYVNLGNIEPSGSRGPSPSSTKTDQNESSTEASETKATSTDGEEPTASATDQ QSSIVQTVTAGGTVRTVTVAPSQTGGTIANENTSGTTDKSGLGTGQVVGIVVGVIAAV ILAAGVVLFLWFRRKKQQNEQEAYRDDPSIRDSSSGIAQRPDMSTTNGSPIASAAAGS RNSTLQVDPRMDPFKQGLYVRSASHESINTLRDDHDYSRRIQAPKVLRATNPDPTPEP FPSE_06251 MQSTIPHDAAADISPDDEKIGQLSVPDDEDFNVDRVKSLGNGTV SSLMNDIVLPGYADHTIRRLGLGPYPGLLHTTIKLAMGLYVKPTITSILDIPHIADVD STDKSTLLPLVAHQEMSMQGLLGSTTKIDPFPSMIMSDNHPTRDIEQAADNIRTVRID IAAEDLIAMCDIADAVLKRLEFQQSSKLSIRLWFLAEREFKTEWWHALQNIDVYSRPY AEMLAEARKNSYTDLTYNNFVLEDLEHPENPVSSADIFVDAEQQTVSILGGAVEEIAM RDELAAKLWKMSLQAVAIKDPYSLWEPQDNDMQMYGIRVDKQPLRWYFIVDLSNGVAD VFPDVDVPFNIYIRLPCTARRIPNVALSIEQLNSIKSALLKNLRLAEIKGVMAERQTQ DLAQLDKAIQDNREDKQEILEKKLETIKDQVCHRFAASTIFALVRLPSKEAAAEHRAK PVDVQLAATARQFATRLRQQDGEWDIEWGDRIHDWVRANGQGREQNKPTGYGESFRTI RRQLPPGYSANVALCEVRNAIQSNWPIGLKKPPVKIDVPSITIKGTAGSFLANFTPDD TSIKAECAAVTAMHELSEVPTKRFWDYTQRFRETKELHNWLKQYPALGRQVSKRHHSG ERYDVLRSLENIPFEVAAITGGPGSGKSTLTEDIILAGFGASSNSSSEELYPSASTVM LDERLEEEDGMVTEMEIVRKVGCARRRSVYQTNKVCYWQVADIKLASWLAKLRPRMVG DGLGEGSPLFNGKGDSIKMALGNEAIQLSLGFRTRLQQVVAFDWDGAIIC FPSE_06252 MPPKRKRNDRQSVEAGRSSPHRPGETSLGQHDREDNMSRNRGRG PRGPGRRDSSQGHGRAPQNHQPNPTPPQRRPGSSHAPPPPPPPPPAIKKPTYVPPPLL RPPSPVRSNYRYNNLTDEKISAWAEGGRDEMIQHGKQSRDDVDITELSSLFQEFVHSV VEARLSPADAGTCVKEILGDETTEIIKDSYAFAPHTLFLDSLSIVMDNEPVIYRPTLG EFVLTAGISSNLMRQVLDHEVLQHLGLVRENFVKLGIKQATNLLYRQANYNLLREETE GYSKLITELFTTSSVAPPPPEMAEQTFERIKALIGTFDLDVGRVLDVTLDVAAAVLIK QFKFFVKFLRVSSWWPRSHLKFSAAVYVGGLPLWATPEYSQWTTTEEDEKLLEEKKRV RDIAFWSRAREVHIAAFFELGGRQVADSDSQQLTITNGSPGEDAAADIERQWVQETKT LPPPGNRTAAQLLGFKLRFYNSDARDESDVLPANLLYLAALLIKIGFISLTDLYPHLS PADEDMEMVREKEMKKIEEAERTAKGGPMNALLMAGVLPQGDDDNPNGSNLPRRELPK KTEADAKEAAAEGADSKPKLPEPLEQKVALLTQLLTIGAIPESLFILGRFPWIPEVFP EVLERIHRVLHYSVDKVFHDSRPIVVGEPGCPTKLVPDFDQTGLPKGNVRLSRLTTRK SLRWPFPDKIDTNESQNYRYYWDEWADNIPVCQTVDDIFTLCGTLLNISGVNIGKDEA LLTKLARIGAKSLGEDRSEHNLTRWHDLLRRLLLPALSHTKANASAVNAIWELMRHYP MTTRYSMYAEWFEGQISRLPAMKAAFAKATSETRGTMKRVSLTNLSEMAKQLAKTSYS SPGIVFRVAFEQLESYPNLIDAFVECAKYFTDLSYDVLVWSLMSSLGKSRSRTQAEHA LTTSKWLQALSRFSGRVFRRYTVLNATPVLQYVSDQLIKGNSTDLIILKELITSMGGI VDSVDFTDHQIMSMAGGEYLRRHTLIRGQDKRFDNIKSSKRLTQALTDSKLAAQLLIS LAQYRQAALFQVPEDEAHIKYLSSMIDDSHRILIQYLDLLWSNMEPASFDSLVPSIPE LINSYGLDVGLAFMIGRASLAHRMFPWKQKKVEETKEKSQIAQSTDKEGDVTMSDTPV TNGGNDTPGQETPQADDQTQKSEDSSLIKSALQPLVESIQDAARPETWQKITPDLYVT FWALQLGDLYCPDRIYRQEKDRLRAEDLAISRDRSDMSRRGQERKVEKRKELMQLQIG LSEECGEHLLRQAKWKYFLSKQFQSSFPEPRAKPDSISDTLLEQCFLPRLLLSKADAE YTYKFVKALHEGNAPGFQLMSLYDRLFHANRLRSLIFTSTVMEAEYLGRFLRLILEDL SRWHKNATVPNEKDKSKDQPRLGAYDKEGKGSSDQPRLGFALTFDDNGKPLTFVEHEQ FRDMLFRWHKNLNSALRSCLEGAEWMHIRNAITVLKAVLDFFPAIDFMASKFSSLLQT ITKQESTAKPTPDSEIGGRVDLSVAAQGAMSELQRRKSKWVMVQAFRPGGAGPAQNES EKASLRATAPEFKPGSAKPFRGKQNTAEEEDGEVKDSKESKSSGSDSTKPTGPAKDLL PAKPGSSRESNSTKRDQTPSGPRAANTSSGAGSSGKHDSRPNTLPERPPHTLPSRPDV PIPAHYPSDRFSQSRSHERRDGKEPRDARSRDSREPRETRDTRDQRDSREPREPRDQR DSRNFDPARPERPREFPDRRSQEHGRDPSRSDGGRRSEHERERPRDTKSSRGHDHGRL NDTPASAPTAPAAAEAPEPHINPERAALFAQDSKQSRAPDSERGRGRKTAPAEPLDTI NPERAALMGNRDSTPSRAPRNEAREHNPRAQSPRRSGREERLDRNYPVEHRPSGRDPR ERSPLPGNYRGDRPMDRENERPSAPKGRDASGFHGAQARAPEPDHKPSHQDDSYGRLN AIQPVADIPYGPKARGRGSTRGHSGPQALPGRFAGPDAERPPTPDRPPPTGPSSGRGR RGYEQNAGPVTPAGTPGGPGGRLRNAGPGQNMQSPASVNATPTGVHPERLAQIGGGGG NSLPPPPPAGQPPHGPSNSHGHGRQSMGGTNTLDRPSSGPRQTPGGYTGSPASEPNVP TGPASSERRSGGRRQLAGINSTLQQAQANMPDTTRSSSGRRGQARQILGGGSDAQVLT GGSPATTPAQERSDPVRNESSSRASANGDEGSSRGEHERGRRDRDGRSNRSSRRGSRD RDRERSQGRDKEHREHRDRRSGAGESGREERESRRSNREPGGAPREPMGPPPTGRDLV QGRDGRHRGDSQSGRSGEDWASNGRGGRGQREGGLRPDDRRDGREDPRGRKRRSEGDS GSQNDREKRARR FPSE_06253 MSEINTTNGDSRKHYERTQSQPENPFAALIPEQQLAIVPEFTLE SGVTLYNLPVAYTTRGKLNEEGNNAMVICHALTGSADVGDWWGPLLGGPGRAFDTSRF FIACMNSLGSPYGTASPVTAKDNDPSKGRYGPEFPLTTIRDDVNLHKLILDDLGVKQL AAVVGGSLGGMFVLEWAYFGKDYIRCVVPIATSSRHSAWGISWGEAQRQSIYADPKYD DGYYSFDDPPSTGLGAARMSALLTYRSRNSFESRFGRNIPDPSKVQTIGGRPRPSTPS EAHFQIHNDGHVVKRTSISQSSAADAASAPQTPAEPDVADPQFHGPSNGSLTGGDMPQ SHYFSAQSYLRYQGRKFVTRFDSNCYIAMTRKLDTHDVSRNRADTIADALAMIQQPTL VLGIESDGLFTFAEQEEIAQHVPNARLERIESPEGHDAFLLQFEQVNNYVLSFFKEVL PDIMSKDGAAPEEASVGQITKSSTFGEAEVEDITAW FPSE_06254 MPDYDDMLPEPASGSHQDRTWGGNNAFHNFFNDFSHIPDPNLRR RLALSEIDKVPFGAYHIRAVLVAGVGFFLDSYDIFAINLITILLGVVFWGDPNPQNGF SGNDGYLPDRVNQAFKASTSAGIVVGMIVFGWLADGFGRRRMYGVELAIIIMATLCCA LISSSPAMGSTGLLIFWRIIMGIGIGGDYPLSSVITSEFAPTRWRGAMVAAVFSMQGL GQLAAAIVALITTVAFKNAFVGVADESLCGFECRLAADRAWRIIVGVGAIPACAALYY RITIPETPRYTFDVELDVEKADADIKAYVASKSKGSFDVVHQVRSKRSSTRGLNVPRA SWSDLIAFFRQWTNLKMLLGTTLSWFFLDLAFYGLGLNNTFILHAVGYGAGNNLFEKL QNQAVGMIILTCAGSLPGYWMAILTVDTIGRKPLQVIGFLLLTILFCVLGFTYNNLTQ GGLLALYIVGQFLFNAGPNTTTFIVPGECFPTRYRSTGHGISAAMGKIGAIVAQAISI PMVRQGASENCKDAECTPNMHRLLQLFALFMLFGTFTSLLIPETKGMTLEELSGEPRT SYNSGCNGSISLGSPKLRAWNPFHGGQPAGFSYPRSRASQFRKSDRVGIMTSPELMAE TSRLRKTRLWRRHRKAKSSSGTDIALSTRSSGTADDDIFPAGPPAATTTSPPPPPPPS WGAGWGRIDRGGPPPLLNSVQLQDVGSLLTK FPSE_06255 MTTTSKTVKSEQGLGNRALLDKMDKLRELGISNMVPLPQMVVVG DQSAGKSSVLESLTGFHFPRSVTLCTRHATEIICRREETQSIVVSIHAADADSEQARS FHRTATNLDAEEFAQIFQDAAKVMGIKSDSEDDSTGSAFSRDVLRVEISGPNEDHLTV IDVPGMFENVTPGVTTKEDIELVKGMVQKYIKESRTIILAVVPCNGDIANQKILTYAK EVDPEGQRTLGVLTKPDLVTENANKEVVLNLVRGGRRDLELGYCIVKNRSADDSSSSP EERTRSEKEFFSESPWTRISSDRCGIPALKIRVQQLLMDRTKSEFPKVRSELDSKRKE CEALLKSMGQSRGTEAEQRVYIGNIAARFAQITNYGLDAYYTRHRIFENEELKLITRI REINEGFGKVLFEKGHTRNFFESDKGKVEKPDQDSDGFDELDVKCYDVSREDLYEETT FEIPLLGQDDLMDDILYEPYYCDEPLDEDILSHIEKQYLTSRGYEIGTFSGEMIPVTF KEQSKKWRPLTQAHVSNAILIVHHFIRTVLDSCCPDPLIRGQLWEFLLEDLQKRYRRA IDHVEFLLEVEFEGKSMTYDPNFNDALGRLKFAHVKGLGKDVEKVIASWSVEESTTMR SLAAAARKIIEQKLDGETALDTTRRDIHNVLHTFYDNARIRFVDVVCQQVIDHFLLHA SNGPLAVFSERVALQMTPEQLEAIAGEDMLSKDRRDKLTRDIANLKEALKVLRG FPSE_06256 MTRTKSSTPTGNGNGNTCATGSSATPTATRRQASARPGKARSLA CRQCRDRKIRCDGARPVCDSCSRRGLCAEQCVYPEIEHEGSIASSRSYIRALQKRVQE LEEKERQLELVAHGRDAFAANTPAHDEWRKYSTSPSVVSERMTRPPRPVDHALPPIHV DSGYPLPSIPARAGSLPMVHEPASCYSRQHHPMGASPPLTDDLEDAAPVKPSSFGSYS SNVKAALMLQKITLPPADLMDELLAEYFNIDWITMPIVHLPSFYQRYHRLIAVANSRY RRDIPLEEATELAATYSLLFGMLAIGQLAKSTVTESAESHLAHAYEFHQQAKTLLLVD LLSVASLPVVQALVVHTRFLRRAGAPQESWILTGMAYRLAEGLMLHVELPGKAQAERE ERRRTWCACALLVRMQNSSESQRPSFGTLPEEIDDEHLEFYANNINRSQPCDMPSKIS FFNQTLKLSDNILQPIHDAYFSQKTSCKETTPDILSTALKLDCELEEWHAALPEHLRV KFPCTQPEAIFRRQATLLRMRYLETKALIPRAAIMKLISSNTTQPASLMAKSMLAGLF ESCYAASVELEDIMAREKRLITFDGPPESQSAIVLSIVGMTFIILVQHPLFRDVITNP VLITDEARRCLATAKQYANKISSLSERFVHALESALQPVSRCTSPRGALDPALDPKTG PMLANLTEASGAVEMALLEAWYMKRQDLISACGLPVTEVVSLW FPSE_06257 MTNSSQPKRKGASLLHRIVSPFRPNTRYPPKYLDLTGKTALVTG TTSGLGLEACRQLLSHGVSQLIMSARTEIKGEAVAAELRYQYPQADIQVWVLEMEAYD SVIAFAEHAAVHLDRLDMVILNAGTLEPEASVSPYTDNETMFQVNYLSTALLAKLLVP VLKIIPPEGEPSRLTVITTGFYNPHKDKFSLPDFEDMCRTELLEDGFRAKMKRFRQSK RFLQPYLSMLAAVVNPDEVIINLVTTHMIRDTQLTKKSMHKGWFRRKLRVMHTLVGRT LETGAAAYIDAVAVKGKESHGETLSLCRSIPSTMGCCKPPEWQDFERHLWDMTELEFH SLFVDSQTLGAKIYNASMVEDWRKLLQT FPSE_06258 MQFSLLKLATYAGLIQAAAAAVPVGTPITSCTVDKNIALTFDDG PFEYTTELLDQLKKYGYKATFFLNGNNWGNINNYKSVVQRMIKEGHQVGSHTYSHPDL ATISSAEIRTQMTRLEADFLNIIGKYPTYMRAPYFSYGSNALPVMKTLGYHVIGADVD TLDWEYNTPAETDIALKLFKQGTTALKTISLFHDVHANTVRNLIPKVLDAVKRSTRIP VTVGQCLGDPSANWYKTTKRTKRDLEEVDAIVEKEVTERALSNYIEHSRFRRTTKA FPSE_06259 MTIPTHFHMPGGFNREGVHPGLFRPPMSPSSSTSYGYASPYSTA TPEGQSGKRKRTCHDMSRSQDIRIHDDVDRHSYFGSPLSQIRNDDMRYQLAGQLDTPT SGPFDTRMLDESVYSDSDYRRALGSNRTQHDIDNNDAGPTQLFNLPPNPMPSQGWGSF AFSTIGGVVGRVWEFCRTGSFRGFQAGGGKAYTMNSGRLEELDQTLFESHHLPGRFPP PQEDYFNQQSNSADHEMNSGASTPTAPHPKRRHTDNKDELGRNWVMVSDEKPAEPEPV PKPTHQLRRPSCYATPRNRNSGPSVTTGRRISATPSSRFSSASTPGQRRSTINRPSSR LSVGPSPSAPSPRAASTASFASFTSFGSPREATPSKIPQPSRRRAAAAAAASPITKPK TSSHRRSHSNASVASGRGGSAEASPRLTAEAKHLAARRKMEERDADVRINAFNKQLQD MIRQGREALGTTIEVEGNWDDDL FPSE_06260 MLPSTRTTIDSTPRRHIQYRLYSARKMGSQPEQIKENGHRSSEE VIAHLGFTPMVKVDGQWTVDKVVKEIPGGAPAEESSSPLPFFHMLERLKTTKREGWRR FGISRGESIADHMYRMSMISMFAPPSLAPKLDLAKCMKMCLIHDMAELLVGDITPVDG VPKPEKSRRESDTMDFLTKNLLRNVAGGTTGEDIRAIWQEYEDSETLDSHFVHDVDKM ELLLQMVEYEKRGEGILDLGEFAYVATRMHLPEMKAWGQDVLKEREAFWGSKKHVNGE DGTNGGVTTERKGQQDDYYNKG FPSE_06261 MTTIASLKRLSAKSLSEKILQEVDATDPTFAVIDVRDNDYIGGH IKGSTNVPAHTLDSMMPTLVRRLKDKKTVVFHCALSQQRGPSAALKYLRERDGILRSM GEDPKGESCQDVFILDQGFSGWQEVYGEDERLTEGYVKDLWGNY FPSE_06262 MAPLPTLYVRQSIASGVDLFDGRSVPAEAETSHLVTLEARGIPG LTPDAIPEPHWFGDWEKVRGWWRPTAEGHSRPSPGPGPSPSYGVPAYGPGSSSGPSTS YGPGSSSGPRPVYDTRPSNGPGRPSRPPVIYDVRPAPMIHDPAVDLTPGRVPMGQVGP PGSSFFETHKTKIMIGAGVLVIAAAGWFGFKWWKKKKAAAAEE FPSE_06263 MVERLRPEIYPNHGSGSSSHRSGGSDFLDTSGGIAIFVVGIVIA AGVILGLLSHLLQSRRTGHFDYQSRGTQAGHSGYQTRGTQTGHSGYETRGTQTDAE FPSE_06264 MGHTSKFAIGFGTFVGVIVLAVLIKKCFCKKEKEFHIGGEDSDM S FPSE_06265 MGVIRKKTITRGGEGGVKYVCDVCSSDITSTVRIRCADAACSDF DLCVSCFAKGESRNAHNPATHAFRVIEQNSFPIFAREWGADEELLLLEGAEIYGLGSW ADIADHIGGFREKDEVRDHYLSTYVDSPAFPLPKRCSPHDCELANEIPREEFQSRKKR RIEERRDAAKNAPALQPKTKPTASVPSCHEIQGYMPGRLEFETEYANEAEEAVQLMQF DPGDGLNPRTGELEPEMELKLTVMDIYNARLTQRVERKKVIFEHNLLDYRENTKLEKK RTKEEKDLLQKAKPFARMMNRQDFEELNQGLLDELNLRQAITQLQEWRNVRIGDLRSG EKYETEKASRIQKAIPMGSMDRERLASAQRSKQPPPPEPPSGAALLIAPELPARLLPP ANPEVNGETKALTNGHISEQSNGQTNGQVNGNGVNGVNGHATPKQRYAAQPISGVQPM PMTQDTAPDLHLLTPEEAKLCEVIRLQPKPYLMIKEQILKEALKTNGTLKKKQAKEIC RLDSQKGGRIFDFFINSGWVGKA FPSE_06266 MPDQILDDISHRRYNPLTDSWLLVSPHRTKRPWQGQQEAAVATE LPEYDPKCYLCPGNPRAAGDSNPKYEKTFAFVNDYSAVKQEQPEYDAKASSNDLESLL LQAQGVKGVCYVLTFSPKHHVTLADMTADEIVPVIEHWTRIYANHLTPSNPRAAEADQ LTISMSKDTTPSPEEQYRYMQIFENKGAAMGCSNPHPHCQVWTTSTMPEEPGKELVQM TKYREQHEGRHLLGDYVKLELEKKERVVWENDAFVIVCPWWAVWPFEVLVLPKRHIRS LLDFKPEERLQFAEAIQEVTRRYDNLFECNFPYSSGLHQAPLEGTPEELESAYFHMHF YPPLLRSATVKKFLVGYELLAEPQRDITPEQATVRLRNCGGELYRKSL FPSE_06267 MDALKPFLPEAKGVLPYYMIILSIISIGNSLQAYTTLHFSRRVY NGRFIRNPKLPSKTNNFEPEDQTNKLIPAQNDPKAADQLTPLAGRLFGTWTLITCIVR CYAAYNLHIGPVYTLAYWTYIVAFSHFASELFVFKTMTFGLPQYFPFALASTSLIWMP LVRDHYVQYN FPSE_06268 MTAIADFFENVPQPLQWGLAGVGALFLGSKILSYLQLVLSAFVL GGTNLRKYGKPGTWAVITGASDGLGKEYALQLAAKGFNLVLVSRTLSKLETLSTEIQQ KYSGKGLQIKVLDMDFSKNNDADYERLSELIYGLDVGILINNVGQSHSIPVPFLETTK EELENIITINCTGTLRVTQTVAPIMKARKNGLILTMGSFGGWTPTALLATYSGSKAFL QQWSNALAAELADDKVDVYLVLSHLVTTAMSKIRRPSLLVPNARNFVKATLGKVGLGG YQTAPYTYTPWWSHSFMLWFIENIPGANGPITMSVNKKMHEDIRRRALRKAAREAKKQ FPSE_06269 MSFPQTTPVRPVPGAFLNTPAVTSRYQQGSDPVRRQLFPVSESG QSVSSLKSTAPTSSASRGVTVTGSSVVRPSSTDGLLVPTVLPPLRSENVPPVIKAAKA INTCLQADGRYPDLDSYCRQGASSDYDLESTDSAMAPFHKTQMYPIPNQVFDHYNAGE LQTLMGLFAEINHAWVVIDNSLFLWDYTHPDPELIGFEDSPHTIHAVALVPPKPGIFV GSITHILVVATSQEIVLLGVSATDTPSGTKSVNLYQTKMNLPLRGTDVRVITGSSNGR IFFGGSNDIDINELYYQSEEKWFSNRCGKINHTNPGWSSVVTLQGSFWSQKSPEHLVD IVIDDSRNLVYTLSSRSTIRTYHMEAPDRLNKVIEKEKVHCLRDIAHMISQSRLLNDR VEIVAISPISKQEAAKLHLMALTNTGCRLFFSATSAASYLYGSSTNLAPQSMQVQFIK FPPGHRSPYAGSETTIDLESNSLTWSRQGARFPPGYFLDFVRKESNPNEDSLFISGPE TGRLKNTLPTSPLKYHESGIWIDIGSRAEAVGIITKPFAAASQPLGFGNELAVQFDDA PSEFAVLTNTGVHIIRRRRFVDIFASAIRGAVGDEGLELVCRRFINTYGRVETVTTAL AVACGHGGDSRPGAARAIDQATEDRARSVFVDFGGQPTMAETDSASLTTESVNLSSRH DALALYLSRLIRQLWKSAVITPGVSPTGGITIGSTIPLTKLSTVQEALERLRRFLDSN RGLIQGLSGPSDLQHVSSRQEEIALQAEHQALHALQKLMESISEGISFVMMLFDERVA DIYTRLDDTARQQLKDLTYEKLFSQTDGKDLAKLLVKAIVNRNIESGSNVETVADALR RRCGSFCSPDDVVTFKAQEQLKRASDQPLQTNQSRSLLHESLRLFEKVAGSLTFANLQ TAVQQYIDLKYYAGAIQLCLVVAREKDRGNTALSWVNDGKPSSDPRANAFNDRKRCYD MIHDVLSHLDAASSSEPEMVDGRLTLIATKRLEAYKVVNESDDEVFHFDLYEWYIQQG WTDRILAIDSNHVVTFLQRLAGTNIEHADLLCRFYTNRSRFFDAAEVQAELANSDFPI SIKDRIRLLSLAKANANVSTTGISRQQQQMLNHSVTELLEIAHIQDDLLERLRADDRI DPERAIEIEDALKGKIQGLSELFNDYADQAGYYDLCLLIYHVADYRNHMTISGTWSNL IQQTHDEVMSRLEMSEPGMPSPPLPYECVTSKIQNIAHRTSLDSFIFPIQDLLPELCR YAVAYQQDATIGADPTWPVQLFLTLGVSHDMIVRVLEGVFDSQDYGFSGSVRNRMIEL IVYVVNDWVAEARRRGGAGKGGAIGPSVADLLKRCDAALPPPGHGNNAGGADLADVRR VLKGLKREVDGLSQRVPTGSLRFA FPSE_06270 MLNFQLQPREALVAHTRQDPASGMVPKIISTSRISRPGWTLIRY LIVARINGELILCMIMFKYDGG FPSE_06271 MATTNVDPALKTLSELYNTMDKDSLETQMIYVSQTLMPRLIKTL PPQMKISEKTSTPVTFLDNACGSGVLTDAVQKTLSKNVLDKSTFISADAADGMIAIAK KRLGPEGWVNTEIKKLDATNTQLAENSFTHVGIGLALHLIPKPDAVLADCKRILKPGG IFGATTFHKENSFWVPDMRSAFASFPFEAPMPDVMPTQVHDQGEWTNPAWIESHLKEQ GFQDVQVTVDHDKYFLRNAEEYMLQCGMMLGWVMNTWWSEEVRREHPLDEVKELLRRY LEEKYDGKGWYIEFKVICMTGRVE FPSE_06272 MIASWALIALGAFSSLASATPAREDALPSYHYSASIPVECMSRN SETGEHIENEKHEIEWKPFPICNETGRPLEFNYGKEGEVNCTIAMIDDPFFHLLEFYI HSDAPLSCRIPSRPAAEVEVVGEKAPAREYVPLVFALAGTLQLSHIHISTHMNVLLHS TPKHHAHPHDSGVLDSAIAYSTSPLHHLQGSHTRKLVIGDPLPLSLSVRWFPTPALPK TEGKVEWQGLGGHIYASTVFYSLVSFGAGVLVAAMYTLGVVLPKRLKGRALGGATPLG YGVNTVGNGWGYSKPNKRSD FPSE_06273 MAQKPLRDWVYLVMIIPQLIGMIVLDFTEFYPESLYVSPKAPLH FLTVIRDTYLSLSGDPFYGSAFTGEWLHSMYYIELFVQFPLAAYVVWNMASKKPTSGP TELAGLAFGCLTAMGSVACVAELLAMGPEMVNDQQKLTLAWGTYFPYAFMPGVMAVDM YMRLLRRVSNDTKSKTQ FPSE_06274 MDECSDAHVRSQDQHKTRACMNCAKLKMKCQWPNSGSGRPEKSC SRCLRMKLDCQVPEVTQRRKRGKSTRVAQLEKKIDGIVSLLAANQRQNLSPLTPESPK EQTQPQPRSMSSLSVSPVSLDVIPHGPDIPPNLTPSVELFPGFRISHQQASERLALYT RDYVPHFPFVALSGAMTSQELYIESSLLFWTILAVVSPLEDKVQMEFKAWFRKYLAEH VIVRQEKSVDILQAILIYLGWNDFHFYGELQVTNIVQMAIGLVIDLRLDKFAGSFLGG PKTLLGDAWTTMGRACLKGKVYQTSADKRAVLGVYHITNLLSSNFRKSTLVNWSTHLS QCCDSLVASNEFESDAYLVSLVRMQHMADRGFSIVPAIDLLDPTPTTFNAVTAMALDN VHREIDKYFEAQSDAVKQTPGFKAHYDSLIVRLYEPVLTMKPAGFLTTDAPLNEPFIR AEYIWKCLEAVRSALEYQTTVPASIYSILPCTVTCVLAFVTVTASRLIFAETSSDWDV KAARLRLQFQNVLQKLSDQFAQADEEAARLNRRRRVMEDGSSVFLKSSFKVRWIRQWY LSKIPQDEQREIEQAQAALEPSTVLQSNPNWAADFQFDDEFWADLMAGYDVESLERSL NSVTATVH FPSE_06275 MSSKEEKSTNGASRRQSQASMGNFGNESLDRSEETVLTRRQKRQ LRRETLRLQAEVAPFMGINLDDEEDVKDEENIKDEDETKVEEKTEVEKKEEEEPAKNV CDTPLDTVPEVDETLVDDRAVLSGLRPDAFKIPPSGESEFPGQEKWWTRGIMGMSIRT FPSE_06276 MPSPIVAATVQAAGLSTASNIMAQMIEARQANRPMSLDIIQLLR FVVLTLMTAPPNYHWQAFLERKFPAYPVSKRPNLDRLNDLEMQPSQDAPELKEGYEER LTQINKDREPEFSTRNTLTKWFVDCITAGAIMNTVAFLVIMGLLKGQGGSQIWSNIKT ETVPIIIAGYKIWPIASIISFTFIPVHRRIVFLSFIGLLWGIYMSLVAARV FPSE_06277 MAKQNSSSTTSSEQTQNIVSDIGEYLMQAAQNWAKMTDALVESR QVQQAVEDAHEQAMIINHLSDLSTVVSMDVDLSKLCKIRDLTAQFSQDVHQVWQKDTK YNQNSS FPSE_06278 MSKPSVLIIGGGVFGTSTAYHLAQRGYINVTVVDRFAAPSRDSA GTDLNKVIRADYPNPHYAKLGLETLGVWKDPTSLFKGLYRETGWIMGGHPETNQWLEN AKILADKNGREGVEYLSKDEIKAMWPALTGEFPGWTNLHSPQAGWVPSGQALLRMAKA AEAMGVRYITGASGQIKTLVYDNKTCKGAIAANGQYHSADKIIVSAGASLPALIKGAR TDVRAETSVICIIFPPDEDGLIKLCSVRLVTNYEDHEHAGASVLHSIGDYPFDGCPRE LEVEIREFVKDMIPELADRPFVHTRMDGMATDLNFRICPYPGTENLIIATAGSNHGFK FLPIIGKYVADLLEDKLDPGLQDLWRWKFGEKPAGFQDPHPYPRRDLSELTGWKGRNA PAGGKLPWTWSRSRI FPSE_06279 MPDFRRLFALAKVLTCSCFSSRKPTKTQRYQVDNARAPPGQEVQ NRPAPCQHYGISPSPFDVLPDPDNFEIVDEDDNSTAGTAEKEKESKEKAKVT FPSE_06280 MLAIKEFLHSKNVQSTLVLSFCAAACACLGLLVHTLVKRKNLNR YIRVSSPSPEPVKKTASADYINVYPPSQRHVLPQISPEFSSNNVDLSTTRLLTLDQDY RYANPAAYNFTGFSIDEIKRLGAFPDYAKLSGVPLPAPLKNFDLDAALPRPYRPFRWA YHQTMSLKKMDPDFWIEIENTYHARIVQRQSLYAKHGSDVLQALPGSELACKELMEMV IQFICTRYPQAFELHGRVFVNHLLGVKQDLNHIEPLLFLLNHVPEDFALTLRDPATGR YCFRAGVICSSVGWKLSEKIGLGLPEIHAPVPDYKEKMEFSMDRFFTKMPTDSPIQRG SWGLEVGQPLFIPSEDPEFRTRESQNPSLTESDVHLRVDWQTLRRLPLSGAIVFNFKA LFTPISEFRDEPYVPSLVLKVLNEGKENILKYKGTWHVEHVAKPTLRRYEREQIDKGL IPVDWQTTTLDENPFFPGWEGKWNMS FPSE_06281 MELSLSSLGYHEVVVLLSIITGLGLFVYKVHDTIQSRRSFRSKS KLPPVSANYTPSDYKTPVPGPYPNWSIDDTKPLPYRAFRHGPTYQATMGLRTCPVEEW IELDNHFPKYHADKAKRIAERGTKCVDTHPDAYPAAIELLEEMADYLPARYPSLFERT SVGIKNKWSGEEFNIVERPLAEDPMAICARLVQDDLAIMIERPDGQYYLLAGAILLAG FWRMSDKYGMSLSEIHTSGDVPHFKEKLETGMCKFFKRLRPETVYNRNNYFVQVDEDL AWSWSIGSEDSPSVSWSTAEKNRAIEHHMFRSERQSLRRLPKTGAVAFTIRTYFHPVT DIAKEDYVPGRLASAVRSWDDKVANYKGRQKYGEVLLEYLDKEHEKQVARGLQVDKEQ EVRKYPW FPSE_06282 MAAFKHFAQAQSKFEIPHLSGGNTFIGDIHSTPDDAAKPITSGL FRVNKGEPLTYTYKYDETKIILEGNFVLEDSTGQKVEAKAGDIFFIPNGATITFSSPD TGLAFYSGARKFNDL FPSE_06283 MSTKTSTAKNTCRRASVSKPKNGLNPRSRSGCTTCKAKHTKCDE TRPECLRCVRKGVKCGGYWKEFKWSFKHQPGEIEVDFTTSTSPTSSSRRGSEVQPETL PTATSSTVMDTSELDQYFQVEELPVQDTASILDDNTWTTSPCSNSDTDLLTRSDSISE NCDMTISTVGMTSRALSPPLEPQYYQATPLTVGLITDTSSLLISNWFEQVCTLWSGFD SDFNLNRKLALELCTSSQSVFSSLQSMSAGFLSTRLPHMKQSAVYFLQAATTSVLSEA QDILRNPCTDSLPAGVLFSLFCVGTTMCWVDARQLGLPFFQSATEILDRLNRRVGTLS AKDLELLSFFNRSLTYCEMLLAVVDQDDTETTQTDSAMQLAERYMDDSPHPWTGISPL SSQLFGQSIRLCRSFRRNLKLPKETGRDFQRALEEIQEAQRLEEKLLGLEFQSSLSTT DTGDYRTPSLHLAQVAEAYKLAALLHLYQTFPDLVALRLPSNSVHLNSRHIPWEEWII PLSLRLVRVLELIPPNSGTRVIQPLLYITASTGLRYDATTMLDISTFPSEADFASSNF ANDPFAPPPTNLISRMSLDISNARHFIMGRLNMLESSLPPKPVVMAKELIKAIWEAYD DEPPGVAAVHWVDVMEDKNLRSMFG FPSE_06284 MGVPVKRVAVIGAGPAGAIAVDALARENTFDIIRVFERREGPGG CWIGDTTQPPTISNLEKLANRTADAQLPIPKQLPAILPKSTQPRHEESSIYPYLETNV DTSTMEYTQEPIPAIRSDRSISMHGPSTPFRHWKVMRDYVAGILERNHYEDLLSYNTT VEHVEKEGDEWKVVLRKGGKKQDYWWTETFDAVVVASGHYWVPYVPAIEGLEQFEKTR PGSVVHSKHFRGRDSFHDKTVVVVGASVSAADIAVDLVSTAKAPVHCITIGHTSNVFF GDTAFDHPNIRQHPSIAKVTGRTVHLIDGTSIPDVDHIVFGTGYSWTLPFLPSLPVRN NRVPNLYQHVVYQKDPTLLFVGAVGAGLTFKIFEWQAVYAARILAGRGTVPSVEEMQK WEDDRIEERGDGPKFSVVFPDFEDYFDTVRELAGEGEPGVGRKLPKFRREWFRNFIEG TELRKGLWRRWNAIAREEVGVKARL FPSE_06285 MPLDSNSPLLPISTPTAIASPYEQQPPPAPAANHVQRFAIATFS LARFVRGVSLIAYPRFGLWALDISPDGSAYMLASMIGIRDILLAGLLYTSDTANASVD HSARREVTRALATNLFSDALDAFVLIFYAAWSDDWGNPIAVIVISAVMAIFEHLTLWS FSEDDWMAHEHGSLGDDKKARMNAWLRELEQCGPETYRHEQSVPPSSRASFRGYGALV FPSE_06286 MGNPPSSLEVTDTIQDTPSNLNLDNQGLLHGNTLIEAGGSDEDG EFSASDFDADDISLASTSSSSTSINSSILEHSYENGRRYHRYRHGRYPLPNDEAEQNR EDMMHAMMLEATDGRHFYAPIGENPQKIADLGTGTGIWVIEMAEKYPSAEVLGLDLSP IQPSWVPPNVKFMVDDIEDEWLNGDNFDFVHLRNMIPILKSPVTLLKQIYANIKPGAW VELQDVDGQVHTDDNSIPDDWPLKRFTEIMVECFALYGTNANAAVLGRQYLAEAGFVN IQHNFIKLPYGTWPKDK FPSE_06287 MEKSKKSKKGGEPASRIDDKRFADFETDPRFRLPSKRQTKTTID KRFSRMLKDDEFTATAKVDRYGRKVKSDSKKKALERLYQEEDEDDKIEVDADEIVQKE LLKAHKYDPARGGGFSSSEDESESEEEEEDSDEEEGGAQVDTEGDMQRFQDEQNEVED GEVTNRIAIVNLDWDHVKSADLMALFNSFLPETGGKIDKISVYPSEFGKERMQQEEVE GPPKELFKDTKNDSSDDSDDSDEDSEDGDERIKNSLIEEGDDQDFDSDALRSYQLDRL RYYYAVMVCSSPEVAQKLYEAVDGREYQSSSNFLDLRFVPDDVTFDDEPRDECEKVPE SYKPVEFVTNALQSSKVKLTWDMHPEESSRKESINRAFSGSRNQIEENDLRAYLASDS EDDDVDDVEVVEEGAEEKEDEPKLSKKELARKKMRAALGLSDEPTKSTKNAPVGGMEI TFTPALSESAPKKAAAEEETTIEKYVRKEKERKEKKREAARARREGRDPDAEEEEEEE DEDEAPTGETDDLGFDDPFFTAAEPEAVSKASIRKADRLKKREAREAAEAETEAQKKQ LKNVMADIGQDQADHLDHFDMNEIVRAEKAQRKKGKAKKKALAKETRGGLQEEFDMNV GDDRFKAVFESHEYAIDPSNPKFKATKGMTKLLEEGRKKRRNADEEDDAPKVKKAKKG RR FPSE_06288 MPPHARPGRGPKPQKNGRTEQRQQKRKRDQEDLQQLQQRVDDLD LKSDATKNFSHLPLSVPTAEGLEIAHFQTLTDVQAEAVPLALKGKDILGAAKTGSGKT LAFLIPVLEKLYRAQWTEFDGLGALIISPTRELAAQIFEVLKKVGTKHSFSAGLVIGG KSLKEEADRLDRMNILVCTPGRMLQHFDQTAGFDANNLQILVLDEADRIMDMGFQSAV DALIEHLPRERQTLMFSATQSKKVSDLARLSLKDPEYVSVHEAAVSATPTNLQQHYIV TPLTEKLDTLYGFIKANLKSKIIVFLSSGKQVRFVYESFRHLQPGIPLLHLHGRQKQG ARMEITSRFTAAKQTCLFATDVVARGIDFPAVDWVIQADCPEDVDTYIHRVGRTARYE SNGRAVLFLDPSEEPGMLKKLELKKIPIQKVNVKEKKKKSIKDQLQSMCFQNPDLKYL GQKAFISYSRSIHLQRDKDVFKFNKLDLDGFAASLGLPGTPQVKFRKGEDIKKIKNAP RQGMSSGSESDEDGEKKNKKKEVRTKYDKMFERTNQDVLSSHYNKLVLDGDDNDDDEE DFLSVKRVLRDDDLDDEAGAYKSTAKIIDGLGGEEPFVVDSKRREKALKSKKKMLKFK GNSTKMVFDDDGNAHAVYELRDEDDFMGEGPAEEQRRKFVEDETSRVREADVDDKALA KQKRREKKEKHKAAERAERMGIVSDDEDAPMLHNADDGEDPLALLRSLPMGDGSESEG EREPPKKRAKKWFEDDSDDEKKSKSKGKVIRVQEEPETLEDLEALATGLLD FPSE_06289 MPPRPARHTARYASREPEETGGTQTSLVKPQLPNLRGTPSSRRQ YTYGAAVEPPPRIGAGLQRMDLQNAVSQALAKRPGEDEEFVRPAIPKQTAAKADRGTT KKDNAARQAVTTITGHLAVDRDDDSSRSFGLESEYYDNATIGTAPPKPLAPEKRRAAT KSRFEPQEEESSDDDSLPSRHENEPRSSARVIQNFDEIDAPRQTSAQSRSRSHGASAR PVDNPETQKDAADAAKQSSKQSSRSRSQRASAKPTEDLETQPDAADTVRQTSKKSSRS RTQPNILARLNEHGGTQQSSKSTIWATQKPLEAEAQAEAGAGSTHNDRSSNGRKSLFR KATGSGTDALNRARQIPSDPQQRDQEIQREISAAEAENDRIRTMVERMAADPWYYEWM RFRGWLRFIFWPPYWFGRGGGDPFDFTFNDDIDESEESPTEWGRLFNPMTYLLVLKRW FDGIMDRVFRFIDRLSGIQVGQVQRSFAQRITWAVALGGFAFFLLIGSGALHHIPDLP DIDSLKPSVSWPSTDSFSFGNIIPSVPTVSWPSWPSWSRDSDDLPFYDPFAMDDVVIP DDHKRALDALKNQAEIHKKALKRLETILPRIVHMDLVKGRPSIKPEFWHALQDHLRES GSFLNLDNKRGNYEISSEQQWKAIVARLGKDSIFKGKLDGIVSSTIQDRLPNFWDTWF RNNNAVLEPLVEKAMAKKQTAGSGAAFDQKLSKIVSDELRKQNQTAVSRDDFLAHLRD DLTKHESQIQAEFSRLKSDMDDHIKESIRTAKMMAPQTMSDTEMKQLIRKIVHQTLTD GSLKAVAKSKIHAHWHSDLKYQVNFFGIGAGATMETHYTAPDWNPYTAQTTEKDALAL GLTGIHPRPRIEVLLPWQEEGDRWCGSHAVDSDGRPHGVGVSIHLGHLVVPENIAVEH IHPNATMDPDARPRHIEVFARFEVKEEQELVRDYSSNKFPENINGWNFNPSPLPESFV KITQFEYQGDELNEGVHVHHINDEFANLGIPTDHVIIRAMSNYGAPDHTCFYRVRLFG RPVDELS FPSE_06290 MPVGTVLVTGGTGYIGSFTSLTLLEHGYDVVIVDSLYNSSEVAL DRIELICGRRPAFYKLDITDEKAIDEVFAKHPAIDSAVGESGEIPLEYYRVNVGGSIS LLRSMERNNVNNIVFSSSATVYGDATRFPNMIPIPEHCPIGPTNTYGRTKSMIEDVIS DFVNAQRNNLEKAGKEFKQWNGALLRYFNPCGAHPTGIMGEDPQGIPFNLLPLLGQVA TGEREKLLVFGDDYSSRDGTAIRDYIHVVDLARGHLMALNYLRENQPGVKAWNLGSGR GSTVFEIINAFSKVVGRDLAYEVRPRRQGDVLDLTANPTLANKELNWKTELTMEEACN DLWRWVENNPKGYRQDPPAKLLDAVKSSKA FPSE_06291 MAPVPSTMKAVQINKNGGVEVLEHNDVPVPKVGEGQILVRNQIA GLNFIDTYFRSGLYKAPQFPLTLGREAAGTIVDVHSSVKGFENGSRVVFMGTVGAYAQ YSLVNASDAVKIPDDVPTEQAVAAYLQGLTAWTFIREAGQVKEGQWVLVHAASGGVGT LLVQLLRTVGAKIIGTASTDEKLELAKKNGAEWVINSHDDVVAKVKEITGGHGADVIF DGVGKVTFDADLELIAPKGTLISFGNASGAVDPVSLFRLTPKNVRLMRPVVNGYVAER ADLEKYTSELFDLIKSKKLELTIHKVYPLADVAKAHEDIESRKTIGKLLIKVD FPSE_06292 MNSSTVNARQRRIIQLSQQWGFSLPPNAPAPLSRPAHPTSFRTH GDDEVAEGLLHRRSAEVAQLRPKSGLSRAFSSSNLKKGKHWDTKEILNVLNSWVDSSG SPGVAEALIAKLAAAGVDLSGMQTQKQGLLNRRKSVENGLDRTRLLKSAIERDQHDMV HVLIPHADPLTLDSCLPPAVRTRNPRIVETLLRYGASASATAEGQDEFRKACAVPALS EIASLILRSDGRPSEICVSQALTDAARAQCFDTVLHLSRSTADCSYNNAEALKIAINN ERRDIAMAIATGNKPPQNPGLAEAFQQLMENTNMNPKSKLDFAELLLCCGAQGPILER SLEMACDSQFFEMADLLARYGASIEHNDASALKAAISKGQVNLVSSLLNGSSKIDPAL ASSCVPLIPQQADPGMRQALLYSLLKRGANGPVLNDCLIHAAKAGDVQSVELLLNPHF REPPSEQPNGHGKPRISNRHSVASPDHQDGEALRYAISAGNADLAAKILTARPSNETL TAIFPLVKSLPSSERYRMIELFLRGALTGPALHIALQDAISEDPSQRDDALISLLLKY GADINYDEGVGLHNVITQMDLGLFNTLMQSASPQTAAARLADVMKIENRQVRYEMMSV LFKARASVGVQQVAEALLTTLEERSVDMPLLRLILQQGKADINGCKGQILQRATQSPD HKVLELILGLGKHGPDTIPNCVDSLGALSPTDSKAWKLGVIIAKSPKKINLDNLLVQE VQAIVQDETGQASFAMLNKLLDSGADPNNYKARALCSAVGAARETVVDVLLVCQKPPT PASLAAALPQALKIPDPMNRLTFTKTIVEHRAPPQEVNRALIHAINNFISDIVLIGVL AGFADASDGEALALAVSKEAPEILDLLLSRVKHSSESRDSCLEKAMKITDWTCRLQIC KRLAKAGITPQAASNALLVAARDGDLELGDVLIAYGASISTNGGQAIIEACRGGSVEV LDVLLKSNADTEKSTLERGFQAATEVGDLNKRAMIFQRLLKRGVCGDVVNIQLVSAAK SGENGHEVLRVLLAAGADPNFNNGEAVFAATRSAFVSGLELLLGLWHEGGNQKKASPP TLIRALKACWKLNPDTRLDIIKHLFRAGIPIVEEVHMALSRAVGEDEPDERLIKVLLD SGASPLMNGCCALTRAVQGAKVSSVELILTCEFAEDDILAAFSSGFSDALFTTWFSAN GLAIAKMLLTTGAKGESVSCALVQVMKGSTDETESLADAFVELFVSYGANVDFEDGQP LVCAASKGNAFWTERLLSCKPSPRTLTLAFERVFDAARSPDEVLKLFELFSGHRDGEV GLDVMTRTAGSDPILVRAISQYPRSAELLQVLLDAGFYHDQTTTCRLHESVDEPEEVT VLLWAIAQPQKKVSSALIKMLIERGANVNFETAVSRSTPLMLAIQARRPDIVKELLIE GANVDITDAMGRTPLAMATDIGGDLSTRMMGNLLAIDQSKDDGSLHNAARELNLAAVT VLVEHGHHPDFPSPLHDGRSALGEVCLRGAGACSGKLTADGEKLMQKVMTFLIDSGSD ISLQSHGKSALLLCFESSDPIATTRSFLKVAMWKEINRPFNQYSDGDFTYSPTMYVKK VMPESDTRDQLLTLLRANRAVDVYYANSGDQPEDAIGLPSDMVVQERERKARLRRLAL ETEDHAIALARAKEVASVEREIWNNKAEIEDARRRRLYSEDINAARQKADLEESLFNA ALKRRLSEQHKLTQSSLERTKLIAAAEITAEETRQQKMLEWESTMNSERASNAQALSS LRISEREELDRIERGADDRIKKRLEAQKKLVETQERLAKRIGNVPGGPDARRQIGYVE EVN FPSE_06293 MGKLGFGSGLDGISQMTITIVLVFLSISVYNVIELTFIIFGTFK RHSGLYFWSFLIATWGIFVYCGGFLIKYYASDKVGYLAATFISFGWVGMVSGQSLVLW SRLHLVLRNRFRLRCVLYMIVINGVLMHGTVIPMIYGSFSKSTMMWVKPYSIMEKIQV SIFFIQEIIISACYISETVKLMRLERTMGNKRGSRRLMNHLIYVNILIILLDITILGL EYADQYQYQTSYKAFVYSTKLKLEFTILNRLVEMTTGNKDASSGPRSNTAGTSTGNKT GIALDNFISNNCDKPPGDISYRAYVMGGEEGQPQRAPRNDEVVMTTEVIIRREDREDD GMSIGVKSSAASITSRNHHYENDASLSKSSSELNLASRGF FPSE_06294 MSSLSWNGITFGVELEFMAPPPDREHWKLYTPSAGARANVSKLL SQHTTQPITCKCEHDPVDICCVCADIPANCKVDARTCVINPPGQIDESLPATAYFHFM YEDLQCVKGLNAERCWPGVEMCTPIFGQAELASGLPAVKDILSGMRKTGVPITADDCC GMHVHVGVEEGMTVYLAKRIATLVVLLENTLILRFVAPSRWMSEYATTIGDDSQAAKK EATICPAELEAFEKHMPPQSRMRPSKWNSKDPEMYYRTLRTIWSCEDLEALDVQLRRN GLKRCGLALSLRNYKDRNMRRAGENRYEGSPSTVEFRYAQMSFDHVLIRNWVEVMARI VDLARAQDDKFKEIASTIIDLNCEAVSQRTSAWKPLLKQVFKLEHRIPDWEAQLERFN RGEYISLLDERLLLRPE FPSE_06295 MNHNMTTDGHSMAIDGHNMATEDPNMTIQDQSLNMPIQGQHMPI QGQSLNMPFQGPNVAIQGQNTATGGQSRGMFSQAPVEWGDEGQVDFTKPIEDQWVSAL DILSIRAEKAGITPKQAVVKLILELDIKHDRLMDLAEEDAISALRGEPDTEDRKIRRD YIKYALDAVEESREKAFDLLRRMRPRNTPRWMFFSDWFIPCWEEFED FPSE_06296 MAPSADTSFSAHVASQFQSYEEDRQSASKESTYATSNGVPVPHA YETQRCGENGPLLLQDFHLIDLLSHFDRERIPERVVHAKGSGAHGFFECTDPLDDLCL ADIFSAKGKKCPVSMRFSTVGGESGSHDMARDPRGFSVKMRTEEGNLDWVFNNTPVFF LRDPAKFPHFIHTQKRDPSTHLTHADDSFAFWDYLSQNPESIHQVMILMGDRGIPKGY RKMHGYSGHTFKLVNKAGDWVYTQIHLKSMQGTDFVTQEDSADYSPDFSQKDLYEAIQ NGDYPKWTLEVQTMTPKEAEELWEKEKINVFDLTHIWPQKQFPRRKVGEFTLNENAVN YFAEVEQIAFNPAHLPPGIEPSADPVLQSRLFSYPDTHRHRIGVNYQQLPVNATRTGY KFGNFQRDGQMAFYNQGARPNYLSSIDPIKFRTRTVDLDKTHGHFTGEAITFLTAIRP EDFNAPRALWRNVFDEPARERFINNVTGKMKLCKQEEPLKRQIAIFREVDPEIAERLE KSTGIKGYDGIANMKFNGSHNCMNGEMKLANDIIANKGKSATARNGAPAKGCHSRLEN NGHSNGTNGK FPSE_06297 MCTYSFQRMVCCCAKGPECPQMTRGRAIIGGEAFHYIDMFYAVE DLGSACDYQRRLFGRNAGPTMHCPRYAFDDKRIIKGSFRKSELACVKCAETCAPPSCT WQAPRAEVKEEAK FPSE_06298 MAAFPPPPVNTIDWSNVGFKVREVNGHVESTYSKSTGKWTPLRF VADPFMRIHGMAPALNYGQQAYEGLKAFRTPNDEAITVFRPDRNAKRLQHSAEVVSMP PVPEDLFLSAVRAAVALNAEYVPPHDTGAALYIRPQLYGSSAQLGLSPPDEYIFAVFV IPTGVYHGAHPVKALILEDFDRAAPHGTGNAKVGGNYAPVLRWSDKARDEGYGITLHL DSVRHEEIDEFSTSGFIGVKVNGDDVTLSVPDSKNVIDSVTSDSIQELGRSYGWKVEK RAIKYGELKEFSEVFAAGTAAALVPIQSITRRVDGKDEIATYIKEGSEEPGPLFEKLL KHLKDIQLGRAEDSFSWRFPVGVKDKEIQGASTGGNGDATTVDQMD FPSE_06299 MTVFVCSLFLPKTIHFTLPGTPPPGVDPSRTSLSGSSNINLRGD TRASLSGSAAPKDGAALPPKPAAPVGGRPAPLNRQPSLFQKEDITPPRTPTEEVDVNL FANEDGIRIPFPKRPGSNAGSGKPWGSRANQPKSRASSPPPPALSENNRTMQKARELG RQGVIQPKPLVRSDSHDRVFASAGWMVVNADQGNGGLRNAADAAARDGKIDEITWVGT LGMPTDALEGTEQKQDIENTLANEHNMLTVFCSDKDFDGHYAHFCKHILWPVFHYQIP DNPKSKAYEDHSWKYYVNVNQAFADQIVKNWKRGDIVWIHDYHLLLVPGMVRKKIPEA KIGFFLHVAFPSSEVFRCLAVRKELLEGMLGANLVGFQIHEYGRHFLQTCSRILNAEA TPDGLQLEDRFVDVIHLAIGIDPVSLREHLDAPEVAKWLQIMQERYKGKRLIVARDKL DHVRGVRQKLLSYELFLNKNPQWRENTVLIQVALSSSEKSDLEATVSDIVTRVNSSWA NLAYQPVVYLKQDIDYAQYLALLTIADALMITSQREGMNLTSHEYLFCQDGKLLPEKK HGSLILSEFTGTSSLFAKNELAVNPWDYRACADAIKQALEMGEEEKDQRWNNLYSRVI KHTGSHWFTEFLGRLDIVYEEQHKRDQTSVPRLSVPALSTKYTKAERRLFIIDYEGTL VAWGPVNQIIPISPQRTLDVLNDLLLDERNTVYVMSGRRPEELDRVFRRVPNLGLIAE NGCFLKSHGDDSWTEMADLDHVKDWKESVRPIMTYFLERTPGAEIEERRCSLIFHYKS AEDYETASRQASDCASHVNDACESQRVHAIALDGCIAVEPIDWTKRTAARKVFETLKE EIHPTQEQKSPVDFLMVIGDGREDEKVFKWANRLQDDGSVENVVTVSLGNRNTEATAT LTQGVSGVLSCLQRLASLE FPSE_06300 MPPVVVHLQSQLRPLKSTHPVSSIMHFYLMPKCNLDQNIYPGHF LQPVRLIEM FPSE_06301 MATIVPPPSKRQKRETLERTQTQQDVTVFAAGPAGSFKARFLDG DGKQMADVIEVPLADASEKNLSLLLNTLLAREREEFLPYRFRIHIPGSDIIVDQYPTD LLQLLRNHGIENPFETTVTLSAEPQAVFKVQPVTRMSHKIPGHGEAILAAQFSPKNSS RLATGSGDKTARIWDTETGTPKYTLSGHTGWVLAVAWSPDGARLATGSFDKTVRLWNP DTGKAVGNPLTGHAKWITNVVWEPYHLWRDGTPRLASASKDATVRIWVVNSGKTEHVL SGHKSSVSCVRWGGEGLIYSASHDKTVRVWNAEKGTLVHTLSSHVHWVNHLALSTDFV LRTAFYDHTPVPETEEGKRAKAKERFEKAARFQGRIAERLVTASDDFTMYLWDPSQST KPVARMLGHQKQVNHVTFSPDGTLIASAGWDNHTKLWNARDGKFINTLRGHVAPVYQC AFSADSRLLVTASKDTTLKVWSMASHKLSVDLPGHQDEVYAVDWAPDGKRVGSGGKDK AVRVWQN FPSE_06302 MFGILEAVSVPHSGIFASYEELFKDLSDRMEKDGYKIVKARSHR GKVGGADIPGNDIVRCDLVCDRGGRPYRCMATKHKTTTKKTDCPWKAKAVHRKTMGGW VLTITCDQHNHEPGTPEPPTPEPASEDETNIVDDLDGDQSLDAVGTPLLTSWADDGPQ PDVETQAAIQVAGVSNAVLRLTGDTFHQFKSEYRKMSHPDRVGILSQLQLRIAAIYAV QNEDLQRQKRQEAQDKRHKQIEETKRQSSAQKQRARQRRQQVVEQNQQQQQLHVQHIQ QQPLPQQTSQAQAQAQAQAQAQAQAQAQAQAQAQAQAQVMMQTQMYLPQNPQQTPIPV PTMDMPQFQHYAGPPKRMRGRPSQGGQN FPSE_06303 MSRSIPSRTDSQASSYFRSSSNRRPDTSATSGYRSRRPQTSLSG YSGYSPSVTNVSRPSTARPGSRPGTSSGRRSRTAAASSIFGPVEAQDIVCALSEARGV SPVVGVAFVNVSIGEVIISQICDNRAYVKSIHQIQLSSPSRIVFMSTACPPNNPSTLF SLVQNLTSDAQIDAFERSAWSETEGLEYIQNLAFKDDIEPLKVATQGKFYAISSFAAA MKYIHQKFSINFVPHSLRIQYRPSEDTMMIDISAIQSLEIMQNLRNSKSKDSLFGLLN HTSTPMGSRLLRSNILQPPTDAERVIVTRYDALEELTTNEEMFLEIRKALKMFHDIEK LLTKLIIVHTNANVQKVEEQINQVLMVKSFLEAIPELYTALGPATCDLLTKIRGRCCP KITGPILDKIRQTIEADVTYMKSALDLRNQRTFAVKAGINGMLDIARQTYKELTEEIH LHIDALNETHKLNATLRYDNGRKYWLRFQAADFDDRPIPDMLINVVRKKDKIECQTLD LVKLNLRLSDTSNEVVIRSDSVVQDLLRELRNNAPHLFQVCESVALIDMISSFAQLAT TRDYVRPDISSTLALKAARHPVLDKNMNGKFVPNDYYSTEQYCFHIVTGCNMAGKSTY IRAVALLQIMAQIGSFVPAEYASFSIIHSIFARVSLSDNIESNLSTFSVEMREMAFIL RNIDNKSLAIIDELGRATSNRDGLAIAIAMSEALIESRASVWFATHFIDLTKVLADRP GVLNLHLAATSSTTAEGIPHITMLYKATSGAIRGEEHYGINLARAVGLPQKFIDKAEE VAEDLRKTREASKLSSESSRLVARRKLILNLQDALRQAKESGSEEALPGYLTRLQEEF VARMEEVDSM FPSE_06304 MDSQQLNEIYAFAVQLGKDAGDMLMAAAQRRIDGHGTSSTTVSY VEKENSVDLVTKTDNDVENFIRTTVASKYPDHGFLGEESYSAGASRTYLVDDKPTWVV DPLDGTVNFTHLFPMFCVSIAFVVKGIPVIGVINAPFLRQFFSSCTGQGAWLNETQRL PLIRNPIPPMPANAPSACTFSCEWGKDRRDIPDGNLSRKIESFVNLASERNGRGGKGG MVHGVRSLGSATLDLAYVAMGSLDIWWEGGCWEWDVAAGFAILEEAGGLVTTANPPND PETVEITRAHLGGRLYLAIRPAGPSGTETARQTQERTVREVWKRVRELDYKRPGV FPSE_06305 MSRRLLLLNGPNLNLLGTREPHLYGSTTLKDVENDAINQASGLS ATIETFQANSEGAIVDRIHEARGNIDAIIINAGAYTHTSVAIRDALVGVNIPFVEVHV TNVHAREKFRHHSYLCDKAEAVICGLGVFGYTAAIEYAAKHLKLRTKL FPSE_06306 MAHKPSICTMSLGRCFAGHSLPHKLDMAAKYGFQGIEVFYEDLV DLSKSLPGGATQANQVIAARTIHDLCQDRSLDIICLQPFMHFGGLVDRDAQEKQFDEL RHWFDLVHALDTDLILFPSSFLPAEQTTDDINVLTSDFTRAAEMGLQQQPVVRFAFEA LCWGTRLSLWEESWEMVQRVDRSNFGMCLDTYNILGRIYADPAAESGKTSDCDQVTQE SIKKLLTEVDVSRVFLLQVADGEKLNSPLNESHPFYNAEQPSRMSWSRNARLFYGESS YGAYLPSKQLLRAIVQGLGFEGWLSFEVFNRRFLDTDKIVPEETAKRAAESFEKMKID IGLRTSEQVQPRLQANL FPSE_06307 MSVQQITSSPTGDSLQTSQVSQLERHGYLFGKKLTASLSPFLHD VIYKALGYNWGQVRLDSADIDGFLKLAQHPDFYGASVTMPNKVAIIPYLDELTEECRD VGACNTLYLRERDGRRIFCGANTDVIGIRDSFYQNIESPDAVFHNKPALVVGGGGAAR SAIYALRKKMQATTIYLVNRDEAEVEAVIADCVSRGYGEGLLHVKTVAQASSLEAAGA IVACVPDFEPVTEEEIVARAVTEAFLDKPQKGAILEMCYNPTPFTKLGSIAEDKGWKV ILGTEALIYQGLEQDKYWTGKTLEEMPIEKVHIAIAEKVAQRAEAKL FPSE_06308 MASSISSPEMAQITDHDLPAETQRTIRRINAAYDWTGPDDPDNP RNFSLLTKTLSIACITSLAWASCFAGAIYAPAQASVGQEFHQGRLASVMPLSLYNLGM ACGPLVGAPLSETYGRKTVYVATTPIFLAFLLASGFTRDIVSLSICRFFAGMFASPNV NNTSATIMDYCEPRYRGASLGIYYSIPSLGAAVGPLIGGFVERQLGWRWTQWIAVIVT CALYIPVLFTKETYKKVVLKRRSIRMGMGDSSSQQTSVSRTIRHFFTVLILRPLHMLF TEPIVTLVSLYNGFIFGLLYTFIISVPWIFRHYYNFSKTGESLSYLGITLGTLFACAP FVFIDFSYYQKRLIRWNQTHDDPLPPENRLISSMIGSFLLPASLLIAGWTAEYQVHWI LPILFQGLTMLACLLIYAGVNLFMLDAYGPLYGASASGAMMLSRYSLSFAFPMFALQM FQKLGAGWATTLLAGCTLLMAPIPWCFFVYGERIRARSRYESSL FPSE_06309 MSRTIEQSIQAAIDSGKINGAVICATNSKGDFSYNTAIGQRTLL SGEKRPQKLDDILCFASASKLPTSIAALQCVEEGLLTLKEDLSTVAPELAEKKVLTGW AENDEPLLEDAAQKITLEMLLTHSAGTTYDFLNPSIGKWSAKYKPKDESKKNVEDTFI YPLCHQPGAGWMYGSSLDWAGRIVERLTGDTLEKRFHERILKPLGCSNDFQFHPITRE DLRERYVDLNPDDPQGLGLAVMGQGASINIRSSGCFGGHGLAMSATDYIKILQSLLSN DGKLLKPETVDDMFQNHLSPESAAGHQAMLATPMGPFFRVGIDENTKLGHGLGGALTL EDIDGWYGSHTMSWGGGLTLLWFIDRKNDICAVGAICAALPLDDDITKTATDLKDVIR KDIYRKYAGWKENKQ FPSE_06310 MGILTLKEDRPTPKAVYNWRVYACAAIASMAACTIGYDSAFIGT TLALPSFVQEFDFESYDPAALALTKQNIVSVYQAGAFFGSLFAYVSSYFLGRRWSLII FSAVFMVGAGMMLGANGDRGLGLIIGGRVLAGIGVGGCSNMTPIYISELAPPAIRGRL VGLYELGWQAGGLVGFWINYGINQHLPYSPGQNENVWLIPFAVQLIPAGLLMVGAIFI PESPRWLFSKGKREQAIKNLCWMRNLSQDDVYIVEEINFIDTEIDRYHSAVGAGFWKP FASLKNRKVQWRFLLGGLLFVFQNGSGINAINYYSPTVFKSIGITGTNTSFLTTGIFG VVKTTLTFVWLMVLIDHMGRRNLLMIGAAGGAFSMYFIGAYIKIANTESKLASGQDVS LSSGGIAAVFFFYLYTAFYTPSWNGTPWVLNSEMFDQNTRSLGQANAAANNWFWNFII ARFTEQMFRAWGFGVYIFFASLMILSIFFVFFCIPETKGVPLEAMDKLFATKPIWKAN KIVMEDLRLQEEEFRHNADGVDMREEKAKAEQIEGAA FPSE_06311 MPPKKRENDADTTSAPATTAPVKRQRVSLACDSCRTAREKCDGA RPHCGTCTAQNRPCSYTPASRKRGVQTGYLRTIELSLAWLFEQVPGCEGALHQLLTQD DGAEGTRILATKDKANHRLYRRWNKSRVHKDIGRLLSDEKTPQNETSADDSESEENTP PGPLFDSNPSPSITIQGVNPPTHLATPSYNFNDERGSRPSTKLTLPPHWKRLVDIYVS YTHSWLPIVEPAIITSTALTYPPNGLVIEAGFTSALHAQLWAVFAVSAFQDAATPHSS HDNGFTPDKILAIARQLVPFDDEAIDLAHVSALLLQSLVMLGQKKTMPAWTLIGRASR LALYHQATASHMYPVKQGAEHSFNRSEIRVLSAGFILDSLASLCLGQSQVTSGIRYTL PSVSIGDFHDTNQPWASVSGFGKASEERQQSTLECASPLHTFRQLFSFCALWGASMDG GQNTITPDDLVKSLDSRFSFCNSLIFGGSTPRVPSAYLLQGIFLAITLDLVPGHRPSL LSNFVEVVESCVENFGLGGTPPLVNILMQIVQQHGHAARLQDDDLGKWNSTMQALTGT WKAKGQGPSTSKNNQDTPSTVDPASHPVVSPSFMDELSAATHPTQHVTHPAPITSGEL EHELGRMQQRQKEQALPFDRQRYQDQSPNSQSLYTLTPNLTFHTLQTSHLNGQIGSLP HDSNMPSQLLDYDAILDELGSIDCADGIDVDSQFMTNLGFAPGCDLGEMFQGDFGP FPSE_06312 MAGVKRSLGSMLGHEDTLLRPNGNGRTRNVHYDHGMTPDTLDPM TPRSQSFKLSDTLQSQPHSQTHSQPNSRPDSRANSQSAGPSNTDQNDGLTRTPSPTFP SLTGQVPDFNQDASMMLVGMRGAGKSTLAVMASSAMERKVIDLEPTFQRAMGLSSAQY KAEHGSAECYKQQAKVLQGALQRHSTGCILVCSWMESRVQSLLREFASTHPVVHIVRD AEAIQNHLKFRDNAKMRNLLDVSNAIFRSCTNFEFFNVSEKATKSSLARASMERSPAP YLTLKHVERHFLKFLSIIYPAGTIPFTDSAFPLSRIPAEERNFTYATSIPLTDVLKDS VEVEEHVRGADVVQIVVHDLADLAEQGTLTSEASAKLLADITKGIGVVRRSTILPILL HLFLPHTATDEVLRVYLELVHHTVRLAPEMMTVDLRLEDVHISRILSIRKRTKIIGNC FIISDPPAWDSPMWMSWYKKASNLGCHIARLIRPATSIEDNFAINHLKMSVTALQGPK IPLIAYNSGYLGRHSQTLNRILTVVRPDSLERVNPKPPITPTITAVGATKALFSSFVY DQMKLYVFGANVSYSLSPAMHKSALKACGLPHTYEPVSCSSLRGIKHLIEDPEFGGSS VGLPFKVEIITLTHSLSNHARAIGAVNTLIPIRFLNEDGTIPTGAAFFESVNRAGPIK ALYGENTDWIGIRATIRRGLSPANAVVSSTCGLVVGAGGMARATVYAMLQLGIKNIVI CNRTRKNAEKMVLHFTQLLRRKDLGVLSAESEHSRFHVIESMEDPWPTDYRLPTVIVS CIPTHRIGNVPAPELALPNEWLGSRTGGVAIELGYKTLDTPFLTQFRKEAHRGWVSMD GLDMLPEQGFAQFELFTGRRAPRRIMRRTVFEAYPDEEGKSNFEELQPRLRSLDEQET FPSE_06313 MTCTNLRQSIERTARQFFAAHVESGEKNDPSIINRDVDENCKRY YRPLSLCDFFGVPGDWSHDNKAYEGGITNNLSKGSLKTCDVSNLTIDVDIRQAAATTK SDMVFKDGETLVMEHAWTLDFNEDGTKIVRVVEFCDQLATRKMVT FPSE_06314 MTNPFRNLFNEIIDMIFNHLSPSDVWMVQNSIKSTEHMLDSHLL ARRHAVDDLMEWACRQGSIQAVNKAVLLGADPSLVQVPETSVLRYPISTIALASNHLD LVKHLFHLGATLPPHVHEDIHAEVFFGQKPQLLKICLEHCPKYQFTNLQVNLDLALER QVRCTIVTTSDKRAAAMDKVKYWLELGANPTALCRGGTTSLDIAILSFTNLRHTYYPS SIVDPLVNLLLSAKPDLNANALYETQNFMAEGGRIQSQYCPIRSAIFHMFCTKSTKIL ELLLQAGAKLDLPVYAELNPLVYYASICRVYDADLFDFLFSHGASVRPKWLHDERGVY HDVTPIHKLWEHWGGRRCLLDDYKFAVIKLFIGRHAVQDIAAQFVRHLFRPRAIIDDE TEFKPLMMKRSRVILKLILRNCNFKTSMVEEMEDLFHEIIQLERTNSPWESIVDPILK DMLIPYIKLPMDDDVPIV FPSE_06315 MPRVLLVLLLCSIGAFIGTIHALRPLSNVIKRFPGDSHSSVTRH TARECNADDPRNWEYSACSLLIRCILGKLDDLFKSDLAIGTTILGLVPSILIIGAVQP DEIVFLALVSPQRALALSIFGVSITSNPFKQVTPLKTPLTQDSERKWRISFARFTKSP SWKHIFCKALSDLSILVMASVALWQNWNVNSAVMVQWLCESPLMIFTWPLANMCWVIF SVLLLHSMADEIKIKHSSLDITYDWWQALLLPYTLDFEKRYKWEMKWILILDTPQVKI PYPWYKATRAASMPGEAHTIQIIVKMSSTPLTMKWQMYFFIIEVCAVGIYFYATFVLL SAIFIGAVGSVRFGAIMAALYAAIQFVQKVF FPSE_06316 MDSHRSSRRNSGQQSESTGTKQPEVTTFLTKESQTTTQSKVSPV RSWSWEITSLVFALALLVAIIAVPAHYNDKVLKRWPYDISLNTIIAILSTFMRASMML VVAELVGQMGWHSLRKPRPVSDLHHFDNASRGILGAIKLFWNVPPRLASVIAAIIIIL SPAIAPFSQQAVRTVPCPREVSGSASLPVSHYVPARGSSYRLSAGQSEISNDMKATMI NGLVNPTGKDTAIEATCASGNCTFTENSQGVTHTSIAMCSSCLDTTEFIKLNVTKKVG YSILNYTLPNTQWLQPMGGGQLIDAATGDVDWALGGVDSDFAEMTKRSITNLTILTSS QSACTNISRAGANCPEDRFTSDTPEVYRSQNVVAITCALYPCLKHYHAQVKETKLEEK VISEEPLTPIEIIYSDNAPQRAKNLKRTTVQSPCLVDGKEYNFDNFSRMAESTKDTST VEIDGKNYTAPNQCIYEGGFTYLMGLESFMRDTLFNGACAPSYTTGSPPKCENRWWLA PLYNSSYEVLDTAVDEFATVITNNFRRQAAINMNISGSDKVTGTVNETTICTVFDWRW VLLPAGLMVVTMVLLIHVVVQSYTNAALPIWKTSVLPLLFYGPNVLNDLTRQTDLDEL QRQAGKIKVEFKDDDGIRLRRIDTTATES FPSE_06317 MVKTLFAALPVLMLAEQALGFGCSTHSFTTCEDRIVHWFDPDDG MICDPLDCGGGRAPVKTGVPGCANYSGTETRGTSYLSCWKPSTTLATAPAETTIEAVT IDAEPTSTAIEIETESTTDSHAVEPTTSGLVEATVSELTTVAPVVPSQTQTSVETQAT KPASTPIVSPNAAQALKGSLIAAVGVAIGAMFL FPSE_06318 MASDSTHTQSQVYLSTRGGDYGLSFETVVLKGLAADGGLFLPHE IPAATEWQSWKDLSYQELAFQIFSLYISRSEIPAEDLQGIINRSYSTFRAEEVVPLVQ LKDNLHLLELFHGPSYSFKDCALQFLGNLFEYLLTRKNEGKEGKDRHHLTVVGATSGD TGSAAIHGLRGKKDVSVTILHPKGRVSPIQELQMTTCTDANVHNLAVTGTFDDCQDIV KALFGDPETNADLKLGAVNSINFSRILAQIVYYFHSYFTLARKDPNFKVGDKVRFVVP TGNFGDILAGYFATRMGLPVDKLVIATNENDILDRFWKTGKYEKQPATGPEAEGGLEV DGVKAHEDGVKETLSPAMDILVSSNFERLLWFLAYEFAATVGMDVEFNRKQAGQEVAT WLKDLKNKGGFGPVYVDVLNSARKTFESERVSDPQTLETIKHTYQKLGYILDPHSSVG ITAALRSAERAEANIPHISLSTAHPAKFAGAVELALKDEKDFNFNEKVLPEEFVGLEK LPKRVSDISNDWKAVREQVKKQVAEELGGQ FPSE_06319 MKSHVPVALSFLGFTSLCAAANTESGYLATITLAPTASGAAAFD LEEKRAECFQSCYKTYGNFNSCSRGGLEMCWCNASVDWVEREEDCVWSICGPSAYNEY ATVLSRVCETVTASDNQAVETGSTSSDQKTTSATTSTTGQTSAETTSAPETPEPLASD SGTRTTSGEAAAATSAEPNGGLKMTSSVGVVFAISALQLVILAF FPSE_06320 MAPQHKSRDSLTGLSFGIGIDRDIDEAEKEFSLIKGHIVTIKRG ASSGEDMATELGYDSDQRNRITATLRNGTDVLKEIKSLQQDIRIAKSSVRQADSRSLA GLDHDDDEEARKRAASQVVRFLVESPDALTLASQMGQLPPAMIATIKDAQGLFLNDDL VSLRNQLDEANEKINVVEAKGRDCEREAQRAMQELRKAQEQHESNETALKRRIANADG QLHSNEQLAEGKLQKLKLDMQAEINRQKDRFDSCKAEKTTLERQTKDLEAQLQEAKRQ NVNQSSKTADNLRAYKESIQKKRQVLTDLNVKINDLEKALINKDNTITRRDDVIYTRD QEIQSLNEAHSEQREKLAEKDRKIKELERASNDKDSNITQRDVLVKAREQEIRSLSET ILKQNKTLGEKDRKIKDLEKASGHKDNKITKCDDIVNAREEEVKVLKIAISKHQTSLA EKDQKIKELEKASKEKGNTIIQHDGLVKAREEDVRMLNLAISKHQASLADKDRKIKEL EKASNEKDDTIATRNGIIKTQVQEAATFLRHISEVESSSDLNHVAKEVLVDSTKASLA PAQWQPWKIHSWSDETSLKITPIDRSPEAIAIQILVALDGRSLEGVELLSLLYGLQTS LCNSSMVSSMIPMLLRAFTAVGTGVHFMHRLVMCQIANLLAPTDEALHQFTAALDNVD PQINSLPLLAFIDGLEEFSLLT FPSE_10699 MVNVGSAADPIVTRLVDEDKTPWYKKPNLRIMYVWLFFCCMGVE MTSGFDSQLINTLQYSQTFHHYLGDGRMDDKGKYAIEPGMLGFVNSCYQLGSIFAVPV APWFAQKYGRRWSIMLGSLIMVVGAIIQGFAQHVGMYIVARIILGAGILFCIISGAAL IGELGYPKERATLTSLFNSSYFVGQILASAIALGTTSIKTNWAWRIPSLLQICPSLIQ IATVFLLPESPRFLISKDRTEEAAAILVKYHAEGDVNSPLVQAEIVQIRETIKAEMEA SKQSWLSVVRTAGMRRRFLVTIFIGLFTQLSGNTLLSYYSGKLFELMGYTSDYTKTRI NLAFACWSLMNATALALIVPRFKRRHMYMLSATLMLLAFIAMTVSLQQIQATPIGQKN NAAGITALFFYFAYSPTYNIGNNALTYTYLVELWPYAMRSRGIGVQQIFGKLAGFFST NVNSIALDAIKWKYLAIYCGWIVFEFLIIYFLYPETSGRTLEELAFLFEDDELKEKAT AAVEKQIHFGDAKEEEQKTQVQTREVA FPSE_10700 MSLPTLFKVEEFLRPFMVTNPADRLRFGHITIPPYRFGKEGLID SVRRIHDASHHKMLFIVAPDVEELEDDDSSDPSVTPSFSLLLDAIRVNRSGNGWVSSE SQDPHVEWIDNKYLPRSITLILWLDPEMSANCALALIGIVKWATQVSQTDGADVSVLT MAVGRDDILSKVVSLISPSSIVTHFDLNAETPQEPLAGSMVHEGQSLATVAGHILKSF RAAPNHKRLVISFDSGLRQQLMGQFQNEEVRSFEFICINVNASSLALQNFKRPLKGCL TRVVTFTCAASLLPFEFKELDEVHLVLGCNILRDKVWDDASAQVTTKSRPTSEEERRL QLWWARQPSTKGRFVYIPAATAQIFAKSGPRHHLVENAHIGGFVASVIDLVAWGINYE SVGRIFIRHKLRLLEMRERLAYQCIINLQNDGLALSGTEAAIFRAMLMYFEYDHRLAL MVALDCDPMVRVVKVYIAVLLMHGVTDLVEIQKTQSGDPKIRRTVMELCHRLSPSLRN KGVLWLVLGLLSHGVRPATPGAAQTLGAAREVLSFAQSNLGKRTDLVDSILSILNVLD IELDIELDRDVSWEFNKLNADAEIQLQRHLLRSFIYQLTMVHYNKVDGERGPEHGHNF KLLNKFTNCRMVVPKGQSLTTLFNAAFLIKKGDDVAYAIAHELSATMDGTIFGRFWTS ISRELVQEWMSQWCEDMNYFHVLNTGVQHS FPSE_10701 MAYTLHFTATRGYVVPSTVSTPLPSQTAIDNDGGGQCGTSDGLS KKGLGEACDRSINEFDADTVYKGYATRYSRSNKGILMAASFGQAACIAKFECDDYGIG MKGSDIIAACENAKKNDGIWYRDRLLHKLTSLLSP FPSE_10702 MLVMFRWHCKHTVTSAGNILRQQRKPFSGVQKRAEDEAANKCLI RGGFTGTTSAGPSSTHEAATTADATSDATSAVETSTGPTTDEKTVESTGTTSSEDKTT EATTTQPDTVLQTTTPSTLITTTRASPNTITSVVTTDPAATSEEVRTYYPCNIFGGPR VANPYCQCSTTVSGKQYVTSASLIDNSCEA FPSE_10703 MDIRTMYDTKGYELSVACHILECRYPTVTKDNARSALDCLIVVL RCIYSNFMFEHGGACHWIGAAEKKNHILRHAWSPFGPERSDVREDARDREQVLKLISG CDSSFESLCNSELMNEAFWSQNEFLLFEGLLGATDAKYVEASPTQFANQCLLKLDLLT DPTSTMEGVIKESFGRIPFCHQWVWSRPSRPWIIRVMYTPNVIMSRRLGINDLRTLRI PIWKQRESAETACWDEIGKSEYFLMAVVRLRDDRHTQDYVRTYNEFGANIVAENPSDT FVNNSWSLNDDGGKYMLFYGLDVCPGLDDRTRFPEVARPLFPDEDVDLIELSIKDSLK CKTSSDKPQMPRQEPSVHTGSPKQGVPPGSTQPTESSSGPPSTNPSKSARRRQRKREW REQARPSQTSTGSKPDASEISRDSRRSPN FPSE_10704 MATNQNSPYVTTREQAVVEAWSQGFNIGAVVVLILLVLCNYRRK TLLHKLILGELLLALGHGFFAFFEEPEYGWILSSTATLLYISYFIHNLIAWLKIRPFL PKWGARSFIITLLMVQPYWILETWANFQYHNHLGSRIFDTSRLLEPLFRDPWWVFTTI KLVLAIRENYEFTISGLVRTSPRFGIMLLCLLLSIVFLITDVIFMIVVSKRGGINPFW RLALVFKCASDVIFLDDFKSVLDRISESAMRKITTFECRDNASSSVQPPASTDFSIPT YNRKSSRFESLSRAELGMNSKEHHDISRWNLSVQTGHKMPWG FPSE_10363 MSGKIVLPDHSGVDHFDQNARRQYMKTVFRQLGLWDDFNDRPKS TNIRALCEDLVSQRGETNGYHNLSEPSFEYLTDRTVWRNVLRSGGIAYERAPWPWSVT DTPSHDDLTLGISKTYKRWRLSHGKSIEEPPGGGKRAHQFKKPQEASQSPAGQKLTMS SAGPAVEAKVQTTEAAPATMVAAGAPVKSQGAKASTKPPAGQKTTKSSAGPAGSAKVQ TAKPAPATSVVAGAPVKSQGAKASTKPPTAAAAAQVDKVSQAFNALALEARAQEAAKV EALKKKAAAEQELLRNRKVRCTVAEAIFKKELLKIEAKELRAYHNGASLGNVLPVQSS IAEVEETLRGLPLPVRDVFGARSEEVVPECTLPPHDVREMIWEELMIPFDFGDCFLGP FEIAIPPWFDFHDLVLDGLDKIRENRLIDQDLEIAWDTKNGRPVSLVVGPHPRLFFDE ETRDEEMQDKLRWARVRTLWHQVAEWLLAGYDDNPVCLADFLQARTDVVLCRGSAFLE FPSSIPQLLNRWDQIKANPGEASDGAWYTRENWDMWMPEIYAYISRPNGPNPAMLEDW VLREEGAFNERLTIATAIWSTFEANKALGWYDHVMSIISN FPSE_10362 MSGRKRNAQGAAAKQRPNKRGRMANDEPCEEAVRQAKILLEQRP LEVFRDTAAIIRDFVSPVEGLTEQDEEAVTERWNKSDVKEDVGTLNASAHSDLLRLWK LSMRLYECPPLYFMCPSAKMKYQPTSKNGKMSFGLYSKGFCVKFMTLMAHPCWEADHL RLMTALEYAIACRIDDRGTLDHILIDASTCPAIRRMNEVLKHYGGTMARRSIHKIHAS ARRRANGESCPWSDFLFHIGETVKAKSSIRPPILDEFLSEDGLPTLPLTSWDLEAVIE AVDTMEFTREEFRYSADDALKAWSKVRSGRDIPGMEQLPDLYEVFTKDIFRRSILKRK SASGNDAEAEASSPLQEEDEDQLEINAPRSGPGSQILDDNEENEEDEEDEEDDEDDED QGEQDLDMEDIGPPPSSDEEEPDNLANVIDTEADDAPFVQDEVDDDDRGRVSPPGNFL GTPSPGNFLGPPGPGDIGRPWPFPSGSLFGNLMTRDEQSRRDSENIAALVTQIEEMRE EARNERQTTAQTMAQLVEQNNKLLGQNKKLLKDSDAVRQHVAKIETTLEQEFDLVNNN ETKLEDSIKKLAKSHRELARRFEDSQTRVTGPIPADPTSRGEMGHEGNSLRVERQGEA ETQQGSVKETTTKSSPAQVEALQVQPTGETESQQPPRTEQNTVQTGEVETQEKPASPE EADTLSKSIQVEVSLESQDQSRQATSAPVNEPSSDGQDQGGQVVPEGSKAIPSTDPSQ NTIISDLPKDLPLCPPVLQLQPGSFRHQWLGSFNTLNHPKSKPMRSAPTPTPSWGRLQ RKQG FPSE_10361 MSCLQQWPSRSTHRTSSPNAVRSRRSHTKSRHGCLGCKQRRKKC DEQRPQCSRCLDKDIPCEYPRSPKSRTLRSPVSDTEETWNQHQSLAVPTPDFCRSTGT SLGSVSSISSPFLAPSPNYELSPNLFTHETIAPSVDLGATELELFSYYLSHAARSMAY DDEDLYALQVGFPNLAFRSKPLMSSILALAAVRKCYDLLSEPKTRNIDRVQVTTLLAL ADEHHRDSLRQTQADIPNANHYDHVVANAPLMVLYATANHAVRIKLSNTLDDQDGHAT LAPAQLHWMTLIRAAHLAYTGLLHSTKDFSLLDDFTTSPPAITLNQPISGLVPMAENG PTQRTETLLMPIIAATYSSALEKLQTRAQTLQFSMHLASPGRSREHCELQACLVALQS LASILSELFEAGDDSHDASQLDLENDWAALSQLSDVSPWLRTYMARVTFSTPPKPLRR TIMWFLNRVPSEFLSIIQSTLDNIPETVPDEGIQCDADDASEVSRLSMDIFAHWLVLV MLLDGVWWIGGIGVFELGRISKYMGRQQEGSTGPERTWWPKSMLRIAMEIGKQD FPSE_10360 MDSFYEQEIQDYPESIPSLPVVRSAEMPMLWDEEHQRLIDSCVK SKSLYEMYLQLPVLAAALEDNQTLKDNNFSVAHTVNALNRADWSMLELLHSMDHDVVQ SIVKNTFAYDMIQGNIRCFPMPRRASDVIPGVYVIGLSIDGQNGRFLNIKEMETVVEE MKEYVAGYKAHIKYQSAPTSLSRDEAHARRHINHVDGFAGGAMKKDDPAFIKKEEEMP SIEALIKTFEKMCDRSIDSTGLIRMHQSPLYVGCSKHLFSRTSVYGHESVRHINKPLG LTISILRKLGHRVQLTIGNVVRVWKADQLPKAEQLVTTLAGSLVYQHGFNATEAGGTG YGTITDEEGLRTNTAYLISGVKIITSNLRDSLQEIGLRQRYIEDMNRIHVQIVKSKEW LHDCNKLLESLPPNFQWGEQITELEAVADEHKRILEDLKEARKFWNLILQIQNIVYEE TGRGLPPPYEYES FPSE_10359 MEELNTNHAEMMEAIDRWLAYVPDADHRRLLRQVFESACQTVEN EHAELMTLRSQAEAAKQSYQSQIRELETEREALAAEAIKFSTLKEGLTKGLSSQFEAL TNQMINLAEDVRVSKSTAADTLQAANDAASANNAQNEAAQFLKEDLVGRFERVSASVE AVVTTLNNVQGETTKCWKATESIVADQQLAEDTLDGINTSFNDLQQSLHPLMDETKAI KESLGNGLKQEVLQPLVDETNTIKRSVAAIEADQQITYDGVKGLEGSVDGLKQSLQPL ACEIESIKEALRGGLKQDALQPLMDETSAINEALAGSLQGDALQPLLAETAAIRESVA ALPSVTDIGAAYNERLTDEYTANILALRRERNTQKDAADRLRGERDQLRTEVTDITRQ LEEAMAALEPAIAATDNERNRVQRRNARIRDLSTTLTAAEQDLAEARPLLEQREADRR DLAAVRGQLDTVQARVGVLTTNLDQSQRHHQECSGQLQEAQAKIQEYTVETQQLQSKL NTALEQLNDLRSQQAQNHQVELRRQWDIEREGLIQQLGNQRSQETDTLHRELKESWAN EKLALNGQLTDKIALINSQRQEIETMNYKLSDMEHLTLELEKSKSDLERAKQSLSSLT QASEATLLESTQKATRFESDLAEAKAEIERVHNELQETNSQLVENGNLVQDLRTQLSK STTEMEAICEAHPVLSLREEEIGGLAKVYLELADEFYDLPVNYNPDKRDEMLQTFVEV APLLNYYSAKDNIILLMHSNPQGWYCLQEK FPSE_08336 MRPSLETSLGDQGLATEQRSLLDLIDKLQFAQLDDVKLPQIVVV GDQSAGKSSVLEALTGTPFPRDAGACTRFATEIRMRRAKESKLKVSIIPDKSRPHNEQ ARLLQYGGDVTGDTPFDAMMRDATELIAPRSIPGRFAARDILVVEKSGPDMPLLTLVD LPGLVRVANRDQSENDIQTIEALSERYMKSSRTIILAVIGGNNDYVQAPILKKARQFD PKGSRTIGVLTKPDMTERIGLEDKFVELVTNKDQENNFKLGWYVLLNPGPGEQWQTPE DRAAREAEFFSRGKWSTLPPDMWGIGALRAKLSTQLQRHIGKHVKTLRRQIQQALEGC ETQLKAMGVGKDTPEEMRFQMGELFTASNNLVTPAVNGNYKNPFGERFFARQSSPKGT PSQKLRARIRDESDRFAKRLRQHGRKVTFQPSPPAGANGANGADTNSTPLPPAAGDRS KKDFAEYEVEPLLRQIRGNELPLDSNPRAPYILFQDYSRNWPVLAQEYKDNVGVICNE FLADVIDHIWPIRMRDPLRMHFLELRMKELYESADTELGHLTDDMELEIQPFDPEYEE RLRKWRAEATENGGSYTEAEEVLEKMLIYYDLTARIFTRNVITQVVERHLLLGMLRLF NPIEILRMQDSTIEAIAAENKETRDRRKTLQAQKKAIEEAKAICASLAMRSELRAYED DPDDDTQTDDDEQTARVTRRQVGAPQNATPQNGTQGGLLQAQPAQQPTRTSQESVRRS NRDSRDDRQEREDQRSSRPPVPTQDSYQNGYRQEPSRDWEPVYHAQQTQAQQAQPGHA PPPPPPRPSKVGLGDADGYYDNTRRQDSYNDSPGRSERREGARHRLANAMRMGN FPSE_08335 MAAKTFAPDAGVMCSQFITFGVATILIICRLFSRKITHVNLWWD DYFAMTSWVAAALYFSFAIYWAVAMGLGHIKEELPLEEDRVEEYARFGLFMAELLYAT SLGFSKLAILGFYWRLFGSVAKMRIGIYILQGSTVIWLTIRTFMTIFHCVPVEAYWKH TIKNAVCKVDPAKFMFGTTLVHLMLEVAVLSLPVFQVKSLKLRTGQKIAVVAMFMFGI FVCVASIIVLYEAFTLNPNTTEMARDIRGVIVWAGVESYLAIISSCLPIIRPVFRKLL SGSILSSKGDSTPNPISGLTSSKGIKLTHINRTKEVDDNSSQRELAGLEDGSSGDMDF HTYPERGGQSNTVVTSCADDRPGSNPTNASGYGIQVKNETRVYYESSWKDREAKKDVE AAAGSGSYVVADGVRY FPSE_08334 MVGKRQWNTNQGPGPRHRGQHVGEEARPQHDDGPRDLETGRYSY NGASKDSRPKPRIVKFQDAARTALEDARRGEIKRALLHGIDRSGLEKYRKSDDELKAI KDKKLRYFYEQQNERLNDWLEVDAVVMAIADDVLESMNPDPDHDGDQERSGGIQRVEG NIGELLPDEEKEKRRKAARRANWAININVIANILLLAGKTFAVFTTGSLSLVASLVDS ALDLLCTLIVWSTSRLVLWRLHAMQRRFPVGKRRLEPLGILVFSIIMVISFLQILQES VSRLMPPHAEAEVLSWAAIASLLSTIVLKGAIGLGCRPIKSTQVQALVQDCKTDVIFN TLSLLFPFIGYRASIWWLDPAGAGLLSLFIIYDWGHTCFENVARLSGEAANDHTIKKL IYLAYRFAPIVAGFKNVTAYHAGDGVWVEFDLLLDEKTPLNRSHDIAETLQYCAEGLG EVDRAFVTTDYSVSGPLGHATDSEWNH FPSE_08333 MTSLQTEDEIYDVLIIGAGPCGLAISARLHEHTPAALFTDEEHR RFHWISKYGNKMPLKHVRSGRITAAKSPVQKPQYRMLVLDADSDTWMGRWNRLFKMYD ISHLRSPMLWHVDPLDRDALLAHAYANEREDELIEIRNCVGKEVSKHGRKKSGQRACG RRQEARVDINVRERNDYYNPSTALFCDHCEKVAARYSLGPDIIRKEALEHLDYGEVKG VSIDGEKLFTVTSNKVRRYARTVVLAVGPANVAKIPHIPSMPDTGKLPQTCHSMHITE FPDPLVKQRIAARRETNILVVGGGLTSAQLTDLAIRKGVTKVWHVMRGPLRIKHFDVS LDWMGKFKNAKQAQFYYADSDDERIEMIKEARGGGSITPVFHKRLKKHLATKKLELFT ETNLVDASFDAESGTWTVQTNPPVEMPAMDYMYFATGIQTDFSSLPYLQTILQKHPIE GRGGFPCINNDLMWNDEVPLFMMGRLAALRLGPAAPNLGGAQVGAERVAWAIEDRFPR PGEVEAVEDHRKGYLSGHGNMYSSLACE FPSE_08332 MPREGTQRVKTGSRKIKCDETWPKCKRCITARRVCDGYDAPPVG SLSWDLLLRAPQPRLVPVTKAREVRSLSFFHHVVAPALSGPFDGSFWTYFVARMIHAE PAARHTVLAISQLFEDFEYSKPSVDRFAITHYNTAINLLVHGPPPSTDTVLLVCVLFI CVEFLRGNRAAAITHAAHGLQLLNAAGHNSRLAGTYNQISVFPTFFVEDNVGSPANGS GECPVYSSTSEAQYALDNLVLRSLGVIRSGNPYRLEKMPQRPPQELFDTQSALQKDVD AWEMAFNRLQSTRPVDDREDTASLALMTRNGLSNMWLKECLKQDEMCFDDHKDEFVQI LAWARKAAEKLETRRQKPTRFTFETGFSPMLHFMVYKCRYLPLRLEALSLSQRLSSER ESLWDGSLIYTLSRLIVEVEHGIDLSGEFDANDDSLPPDSKRIRGFLFAGRQEPLIWD TSAADVVYFRMWSPESGCTYRKESLTRTQRLCCQETATQRTERQDSPISY FPSE_08331 MRFLTPLRPTARIAPRLTTLHPFHQARTLRKEDVKDSNGSFGDG LAEGEAKGRTGGGKPLDASSKNAPPQPKVSNQSIPGNQTDTLTEEQKREVEEHNRDFD AKHDRGNTAPGDKVDKKFWGGAQHGEKDKGNVKPDGEK FPSE_08330 MWILPLVGYVGTLLGFCFLTLAIASGLYYLSELVEEHSVTAKRF LTRLIYSVIGIQLVLWLVDGFPFFATVLTIVSHVVYLGNMRRFPFVKLTDPLFLASCV LVLVNHYVWFRHFSDAQSRAYQRISFYDKADVPSFAQIASYFGLCVWLVPFALFVSLS AGDNVLPTMGTEPVHGLDGRGKPQGMIKALVDQIRGAIGQVFGSNSSPGLARP FPSE_08329 MSRPEDTLAADVHYDDTEARKYTTSSRIQNIQASMTRRALELLD LKSPSLILDIGCGSGLSGEILSSVEPEEGGPHTWIGMDVSPSMLDIALQRDVEGDLML ADIGQGVPFRAGTFDAAISISAIQWLCSAETSDTSPVGRLTRFFNGLYASLKRGGRAV CQFYPKNDDQRNMITQAAVKAGFGAGMLEDDPGTKNQKLYLVLTVGGGDLQKKGGDIT GVVDGMEGVDVEDARRSIKTHAPNMSKGSKQWIVKKKEQMERKGKIVKATSKYTGRKR RIQF FPSE_08328 MSYSLTQQLLSSDEQGYKRATQSEFLRLAGHGKASKNLLGLWLA NDRLYIHSYCRGLGRLLSFLEFPDTVQRDQDPATTTQLLDWIVAALVNIRREEKFFIN TAFEYGININLETKDGRVESSSKLEGLRRWEALYASVSPNEKDVLPWLEAAVIYWGTE KCYLDAWSWAKAQLSDNDDGSDDADGGAVRKEFINNWTCKEFVDFVDDLGKIIDDAAA NVIKEKGEGAKEQLFKRVQGKWQDVLDAEEAFWPAV FPSE_08327 MILDPNIGAWQGTRVLPREAKETCHDDMQSDTCEKPGMSTGEIL IVLLIATLIAVCCLVALLCVFHRRKQRLDKLEDIKDVQELDDYGLAPIKPRPVKLPQA PPPTYDKTHEGKPNSAADQTWDRTNRNSTDSLTPSLRQAMGVTPRDTLANS FPSE_08326 MAAQDRKTMAGMETLDAEKQNTAHQEFSAEVLDKKGDGDYSGAT AKSDPEEIKLVKKLDRWIMPTLWSMYWLNYLDRNAITLARLNGFEEDLGLKGTEYSTC VSILFVGYILGQIPSNMIITRVRPSWYMGGFMMAWAVVSSLTAVAKDYKGALLTRFFL GVVEAPYYPGALYMLSTFYTRKELATRISILYSGNVLASAFAGLIAAGVFKMDGISGI SGWKWLFILQGAVTFVVAIVACFTLPDTPLTTRWLTPEQRQLAHDRVLRDTVGEKGDG NPWNGLREAIVDPKVWVFIVLQHLHLATNGFKNFFPTIVDTLGFDTTITLVLTCPPFL IAGALSILWAKSSGHFNESTWHITISKIVATFGFVLGCATLNTGARYFAMCVFTIGTY GVNSILLAWVGNTCGQTKEKKASALALANVSATLSLIWTPYLWPKSEAPRYVLPLSSS AGFAVACIAGVWLMRWMLVRANRKIRQTDSEATLFYAY FPSE_08325 MTSNACDRCHRRKVRCDKVQPQCGPCKRADVACEYAVSEHQLRR RNIQKLERRIRDLQANNETLSAQLRRSEGPIQERHGSERGTTGRNTESPLGDGEVAEE VIQMSLIAGGGHHFVGSTSGLLLANLLQSRPQPSSSLHASGWKPNTISDLTPQGGSGL PPKTLASELIKAYCSHDHLCYPFLSTKSLYRSLDAVYEEGREKDPVDAFFVDMTLAIG TAQVHKFNWNGVYDAETHYNRAMTRLADVLARDGIERLQALLLVCQYRMGTTSSNTTT SVWHLIGVAARTCLEMGLHRAATYALPPANENDESLEAKEEEMETKRRCFWSLVALDR VTSLALGRPLALQLEDIDVDLPPSSTSDQLPQDSSPLSSAPYGTPQYRAATSVFVHIV RYRLICGKIINALHRSTKHVSFASISYEEMRTALARELQEWHTETANLPLVKSDTTAA SPASGSSFRCEEWYRLLYHNGVLMLFRPSPCLNDASVNSVALQNIFDSAREAIVLYAS LHRSRKMNYSWITMHTVFLAGLSYIFALRHHFQALQLPTSEPRRARLHTTPTINQVVN DTRACSKVLVAVSERWDLARNCSDLFDRLSDAVVADVVEASTPSNHVMGVAAAVPFSA DLANMTVDSTFRDCFGDLQSLGLDEFHNDAISQLSQEWFFGLGDETHQYY FPSE_08324 MLSRGSLRSAQLLRGVAKQQPQLARSFATVQSDIFKPAKYGGKY TVTLIPGDGIGTEVAESVKTVFKADNVPVEWEQIEVSGLEGAGRTEDAFRESVASLKR NKLGLKGILHTPISRSGHQSFNVAMRQELDIYASISLIKNIPGYETRHKDVDLCIIRE NTEGEYSGLEHQSVEGVVESLKIITRAKSERIARFAFSFALANGRSKVTCIHKANIMK LADGLFRSTFHQVAKDYPTLETNDMIVDNASMQAVSRPQQFDVMVMPNLYGGILSNIG AALVGGPGIVPGCNMGREVAVFEPGCRHVGLDIKGKDQANPTAMLLSGSMLLRHLGLD EHANRISKATYAVIADGKVRTPDMGGKSTTHEFTRAILDKLETV FPSE_08323 MADREHDIEEGNEALDPELLYSKEYCIGGGSFGKVYKGVDKRTG QSVAIKVIDIESAEDEVEDIIQEIAILSELQSPYVTKYYGSYAKGAELWIVMEFCSGG SCADLMKPGLISEDYIAIIVRELLMGLDYLHTDKKLHRDVKAANVLLSSNGQVKLADF GVSGQLSATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITALELANGEPPYAD IHPMKVLFLIPKNPPPRLEGNFTKAFKDFIESCLQRDPKDRPTAKDMLRHPFIRRAKR TTYLTELIERHSRWAAAHKGEDDDNWESVNDGRPPAERERVDEDMWDFGTVRLVGDRG GIVNRPGLNILDENATNARASRPLESEEDYGEQRREASPMKRDFALQPLETVKAPNSR QSSPQRKAVPQSQYQPPLSPTRALPQTPLKMPARDSADTPRPLRSVHAPVPESPEYDR ELQNELQRDIGMLNLGYEPKESMSPQPSQRPPAPPAHLTRPTTTKQMSLPEIPPYRPS QAVSQQRMPSLQHASTPQVLVPLPGGAQGPRPLPSKNSPSPSDFTAPSAFPTPSPANP NGELDALNDVIFPALEEALKRRQINLQQVYKPGQNPAQIPPQQQRAEAAHEKLRKLVY KLAHVCKEIDHYDKAEPVGMGREVGSFLEGLLEEILVRVEPLDEDEDVQS FPSE_08322 MDDNISTFMAITGASTDVARSFLEMTAGNFERAIELFYENPDLV SGVGAGLSSTNQPAGPAAQPARSNVGRQDSAGVIHIDSDDDQDMQLDDFSDNEDNGRA VAAQAAAMAQEEEDAAMAKRLQEEIYQGPGSGGNPDDVRAPIARTTETLVAPGWGGGE HDDGMEAAFLEELRQRRRQNPPNRAGGPFSQQIWADPERRSAPSTSNENGAHARRLED LFRPPYDLMARMGWDDARTLGKEDKKWIMVNLQDMNDFNCQALNRDIWKDRAVKEIVG ENFIFLQYDKDFPDAQEFLTFYFPNESHQNPDNYPHVSIIDPRTGEQVKMWTGRPFPS AEDFHAELAEFLDRYSLAANSKNPVAKATARKPQKVDVERMTEDEMLEMALKNSLEGA SGSGGSSTPNLHDPDALTKSPAPEEMGKGKEPEAPVEQSPWAKISGANPHTEPEANPA TTTRIQFRHPTGRVIRRFNLDDQVRRIYEWLKAEPLEGKEGIEFELKKMPAGQDLMES LDATIADTGLKQGTVMIEFIED FPSE_08321 MATPSSKERELQLLDSVELKILNVANKEKKLHELLQRYLPPVLV KASSEHAQVRAKVVQIFSRLKTFIQPPEVILPVGALLDQYKSNDSPLVKQLDISGIQH SIERLDDYERRELIPKALAGFSKDEGVARSGAFFNIILRLLLDARIPPRGSKDDTDYR QAIGLSDEADAKYLANLISIFLRLRNPTQTQNWPTANPTLTKSELESLAVESPESQKV FERMAELKLKLVALLASGAFTDEEKFLPALYAASSFDNRLVSAAEEVLKRSSVSMEDK PLVKRLFHAHSILPPTYRTRILNMLSKSTISATMSDDIMAVVKLNFANNGQSTDALSL SLLPKSALEQTKLHTAAFQYLAWVARVGPSQEGFDIAVPLIDTMSGFIEDHGWPTPVR TSQDDIALRSKAYETIGVLARSAKMPIERRLQLAGWLFKSLSEDTTNDAVVNIDGALS SLTTNIPATAGSESEELKTMLLTYMSLPDEPPVIRSTRHAVVKWANQCLTFSDVLGRW IDILAIGAHQDERSDVVEQGHKGLDPWTYHAHAEADPALPDWKEMVATFFGSKIKPET HTETAALPGLENAHSVFENFEGSRVAAFPVALRYCKHIMFLTALKDFEVQPDWMQTLD ARVKTDIKTREKILGYLHTVDSAFVVFYLKACLDGAYIKDSLITEECLRCFVDVASLS PGGALGYLTEFSDGLFQLIKSNNKEIRSLTARALGILVSHLANDPTAINNCRQTLSAL FENAEKLAGPELNAAEGGLLAYSYVCSRSVYYGQPVPEDIQFPLHLLTGENVASSLYD TALEAFAQLWTAGLAIPQREGDHPLETVVSKLVTPAKKGNEKAILALGRLAAGLDQNE DTAEDGWSHGIIGNILKELFALHEIKQVEVQFTVGDAITATLARWESDYVKLTLDVEP RSCLQKRNGSRGPLLTAVLNKIFADCKATKPSLLKASGIWLFCIVQYCSHLEEVQSRL RETQASFMRLLSARDELVQETASRGLSLVYERGDADLKSALVKDLVSAFTGTGTQLKV DQETELFEPGALPTGEGSSITSYKDIVNLANEVGDQRLVYKFMSLAANAATWSTRSAF GRFGLSNILSESEVDPKLYPKLYRYRFDPNQNVQKSMDDIWKALVKDAGAVLSTHFDD ILEDLLKSILGREWRMREASCAAVSELIQGQPFIKYEKRYRDIWTSALKVLDDVKGSV REAAFKLCRTLSNTLVRQLEEGTNGSSAQSMMKEALPFLLSDKGIESSVKEVQAFAAI TVIELTKKGGKALRPFIPDMVPQLLGLLSSIEPEQINWHYQRAGEDSRDQIDKIRSQM VNRSPISEAIENSLRFINADVIAELAPRLEATIKTAIGMPTKIGCSRVLTTLFTRHTN DVKGVGNKFLQIMEKQTMDKNDEVSQAYARATAYMLRAASDSAKNRFCKKFIDMYFEA EEESRRQKTADVIVALAKVSPDHFTALETQLLPFAYLGSHDTDEYTSKVFKEVWEQHA GSSRTVVRYVPEIVSLVERCLDTAQWALRHGGAFTVAAMVSDVASASDASGQISDANL TKIWPVFEKTLALKTFDGKEKLLDSYPQFVEKGRSLWTSDTKIAAQMEKIALREAKRN NDEYRVHAFSSLWKFTKARDDLDMLDKITEVVTPYLDELKGEDENEDKMDIDSKDQVK KEQLAEKTASNGFEAIARGYNRTAIKQDSLPVLVKIISILKPYLESPNFAPIKRHVWY ECVRDLMDDAVSPSKKQNDDEAVALTYLLSLDIDLIDTGTEPQRVMRAKAVGALFKAK ARGVFGQSGPDGSQLKTMVSKALEAERSLEVQRVLRDILIEME FPSE_08320 MDMNPGFSGVPANNGAPSGPMAYSNGTPPAPDMGGVAPPPGSGP DSPKTTLWMGELEPWMDENFIKGVFLSSAHENVNVKVIRDKNSGNAGYCFVEFQSPEA ATKALNMNGSQVPNSSRSFKLNWASGGGLVDRRDDRGPEYSIFVGDLGPEVNEYVLVS LFQARFPSCKSAKIMTDAMSGQSRGYGFVRFSDENDQQRALVEMQGVYCGNRPMRIST ATPKNRGNHGFGGQGHQHGGPMMPGMPQQQQMWNGGGMQGFPYGGFNPATQMNQFTDP NNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVHRHAAEMAINQM QGYPIGNSRVRLSWGRSQNNSGVGTPYRPAPPPPHYMGMPSHGGPGNYGPQHFGGPAP GPQGPPGPPGPGPNGPPQSFLAWYSGFDLARIPSAFTGGSVARRCNRRRG FPSE_08319 MSSTVDLSAFPTAAPAAPSAEIRYADVAVTATAKEFKGVYRDDK QCHEPDFLNTLDRAKDAGVSKVMLTGMSLSDASHNDSITKQRPAQAYYTIGVHPYHAS ELEQGGKAYLAELEQKVKNALAQDSPHIAAFGELGLDYDKEEHASKDVQKKAFVAQLD LFVKNQWDLPLFLHCRNAFDDFVEIMTPYMEKLPRGGLVHSFVGSASQMEKLVSMGFG VSVNGFSFQTTESLEMVSKIPLDALQLETDAPWGELKSTSEVVKQYCANARPLPASKK RDKWDAKCMVKERNESCTMERVALVVAGLKGVAVDEVAEAAWRNSVRMFGLGRR FPSE_08318 MTFALSSVPDYDDLPQVEGMPKGCAWGVFDQDGKKDMVGTLNFL TPEVVRNAALEVKDGISISLNWPLNAMTKLNVPGRAVSEHKVLYIPESLAGLPFEQGK SWDDEVSFNTQCSSQWDSLCHFQHQDSGLAYNGANPDKKLLSVDSTESNTMPTLDHWH SRGCIAGRGVLIDYATYAEEKEIDFHPFDGNRITVEDLEACAAYQKVEFQPGDILLVR TGATEVVDRMDPVGLGKMMAMKLSGLDGSEEMARWMWNNRFAAATSDSSAFEAFPPLK PDGSIGGMKDLVLHVYCLSFFGMPIGELWDLSKLAAYCNKTKRYSFMMTSTPLNQPGL IGSPPNALAIF FPSE_08317 MPTTELISYDEAQNSAFDNVLHGKSKESRGGMRAMMNKDNKAHA AAVDEYFQFFDNKKAEDEVEAVRQERTDNYASLTRQYYNLATDLYEYGWSQSFHFCRF AYGESFDRAIARHEHYLAHNIGIKPGMKVLDVGCGVGGPAREIVKFTGAHVTGLNINE YQVGRAGIYAEKEGLSDKLKFVQGDFMKMPFPDNSFDAVYAIEATVHAPSLEGVYTEI RRVLKPGGIFGVYEWLMTDIYDNDDLEQRRIRLDIELGDGIAQMFKIDHGLSAIKAAG FELLHHEDLAATDDGTSPWYWPLDSDMRYAQNLGDLLTVFRMNKWGRLVMHNLIGVLE ACSIAPKGTRKTADGLAKGADALVEGGKRKLFTPMYLMVGKKPEEI FPSE_08316 MLKKKETLTIITPIPGFIPRQLAIDLLHSHGEIITLNPLVLSHK PIPAPRNAAADEYYSTWYEITERIQYLPGLGKMGSGKLTFNGCFHDMPWGMQSHIYIP LGIDMRNKYRIMGNQPGLEPPEQPELGLEQLGAPKEGLYLRTDVDLKANITLMGFVKA ESRKASVDMVNRMVKKAELLDAGLLKAMFQDGKLKTYNPNDRSESSQARQQALQNPSA GLPDAALHPGTGPMSPGPSYQQPYGYQQQMQPQRSPTYPYATPDNAGSQYPQSPYVYQ AQTPPPGSQYPGHTPPPGAQYQQQQQPPPVPPKTNIQSFPMELPGDYYHPQQSPGLQP SPGLQPSPGHPPSNFRNSTGSYDPRWSQSTTESHRNSAYSQSTGGYQSPVPGHQSFAA ELPSHNETKEEHRH FPSE_08315 MLQQSTPPPHVAACRISDMKPRCSVSTNDGYEILCNFDFFDVVK NKDAVAHDPDPNPPRPDYLENHHQHNNYRFTAVFKAIKAANPDFRFDTIDVIISSSAL AGLLLNWAVPTLGHLTLDLFGNTLVISGMEAYTHHKFWSQSSDIHALHYLATTQPSKN PHRAVKYNLGGLSIIVVSEPNLTYTDTGTGTGRSKKHRKGKTAPVTDCAAMFALQLGP DSCCDNNLHNSIVSWLSRAEYIAKMKVEQREGVQNALNRVAGVLHWLREAVIAKSESS TKFKVGGWSDVEGGAVSTLALYDTEQDSRVLHRMYGEFWDNPTTGYDAAEQDTGDEDI AECLTKKHLQALAEVNGLQRFLKER FPSE_08314 MCQARMTHFTCVWCNDRWTSARENIICREAKGPFEKGLFGGCGK ISGVDKSVYDRLCPRCPPKGAREVTHR FPSE_08313 MYKTIKTRTLEVGYYDHGPPSGWPVLLYHGFPYDIHAYDGVVPK LVSNGARVIVPYLRGFGPTRFLSSQTMRSGQQAALGSDVIELMDALSIEKAILGGFDW GGMSCCVASALWPERVIGLVSYASYDIADISTSQIPLEPSLECTVWYQHLFQQERGIK CLAENRQDLCRILWKQWSPSFSFTESFYQRTAESFDNPDFVDVVIHAYRFCFGNAKGD PALQKLEDILATKPKITVPTITLDGERDPLKKGGTAAHRQYFTGQFERRVADVGHAFP MEAPEEFADAILSVHGWKSG FPSE_08312 MPSHIALPGAARPRQRFRVNGSNWRWVKKGFTLLFAFFITTFLL YRLIVNDSVWRPSGPILEDTRITPANISSGDRKFVIILPVDNSSPDLCKVVSSAVALG YPAPVIVNWKNDFHTDADGIGPSQLGKITGTLNYLQWATGDEASEDEKLGEDDLVLML DAHDIWLQLPPSVMLNRYYSANERANKRIAQDYGFFDKDLMQQTIIAAAQKGCIAPRD KISNLYCHDVPDSTLPENVFGFFTDYNVGRYKYVRPKYVNSGSFMGPAGDMRRYFQRV KDRMDKDLLEVRSNEDLAGDQGIFAEVFGEQELWRQHIHEDDFAHDNPGKEAALSARD EFEYHVGLDYAQELFYPTCYSETGGYFVSLDDPSSIAKQSSKAGVSPPRIGGVPNDIS TAEAPLAQLDDGSNQGGSWGDVSLYVDFWTTSVPVAIHHNAWRDGLKSRRTTWWDKTW YFPHLRNLLEAHMAANTTAPLASIAADDGNLEVWSYGAKAKGSASLLFGKNKESKAWQ LRSTDWNTVCKSSNDEDEAETRWYDEVFRDGKGLL FPSE_08311 MSQPTGEVIQVADPTETTNRKQARAPSPEDTDDESHVEEFCGTS IHASLSTLLFSDKFADMTIKCKEREFSAHRAIVCSQSSFFDKALSSNFKEDDPDIVER FLEFLYTGNYTDSVIPTLDKPSAEAMMDPTTVRQNLREPAYDYEVPTSDNALFDEPDE EWQPPAEEADEPEEEYREDPIDEESSADGNGHGDQEDDAKVMTMKDIALAPKEEGLKL LARLRNDMTLPLRLYAMADVYDVPALRLLARDRFYRAAELYWEEAECFPDIVDELYET TPPGDTAMREIVCRLVGARILDHEVREKMRHVMTKHGEFAVSVLDVFFVWAAEVGHFL KRASGYEVQDNSDCDPCMKSDGAPGHSQTSYRGHSQSYPVPLRVLEKLAAENMRDEIT FRLFIFVT FPSE_08310 MGDSFEARGDAEHHLIEELCTSSTLASFYNLLHDGENADITIVC GNREFKAHRAVVCAQSLWFNVAFTAPAKKRIIRKTELKGVDPDVFQRFLEFLYTGTYT VEGDTSRFLTTPSIVADIESLLKDYPGWHKASSEATAPDSPKRPVRRSSRLNSTAESM DETPDPDSMMTTESPMATGTTPNSSLPPESREAPFKTPFPPTMAMSLELYNLASEYNV PALQLLALERFHIAAKDRWIPSWEGATWDDTKEFEDVVLDLYKIKDDQPMWRVMILLI KAKASTGDDVLKRRMREVVKEHHDLAECREIVCG FPSE_08309 MFNRKRRDPADEERLSSYDLDFPASQRWKPNYSVPRNSLDERKT PRISLDRDKETSIGRPRHVPHPLSFGRNKRDMDTFASIRQSNEEETDAEEFSDPKLWI ETISELYMNVLDNRARWDPRWLNVTRRERFEGLESVTVSVIDYLANDQVERSKLITTK KDLAAAINTRPEDSQVRVIMVSDLSRFVMGALGQLYSIDPEFWYEQLITSGYSASDSG LKLRNAVWMNWNERETHFRHRPLPGIGQRTEWNLSRRTKSRNWAHLRWGRLGALHYLG RPGFHEDEIAGRISDGRWTIERDVVVDRSGLLLTDKRKKRAERKIQERKEKEKKKETK RRDKNMFAVPEPPKSAVKIEGTSNRVKKSNVYRPYSTFFPILRQNPEYWKNRDLRVMA PEGMGYWTSVDKEGNKTVILAFDPPRTMQNEKTKETTPSLTFMPRAMEFESYTDEELW RTADPGETYLDPPVFAKRKKLSEKIKPKKRHPDEEDKMGDGSPSAGINKEDDADFIDT SDSEYDEEYQNKIRKVYKSRQAWIRDRDFARKYSLSTMDLVSRYVSNISPTELLQDDS AIPSLIVRLSFDDMWQLIAEIRIVQDHIDSDLGADLHLHLLQDAGTATRQNMSWIRAT LQELSEWVGHVKKSSKILNLPEDLEQEMVELHQDLQSLQTRSEQTLNFLVATTGISQS ALVIDQTSGINKLTELAFFFVPLSFITAVFSMQVAELNANPPKMWTWGLSLGVVFVVT YAIRIFLRSPTVRYCVKASRVTILNRFTPKSRSMSLRLDSISNRAIAKFLFFFITTMA LVFVILFIYLLSGFLVFFGLWAGAAVTALYFIITRWPDVAVLAPCFVSLVLSGVGFMA VYHWGDALGKAWANWIDSAVNWVKNLWPEDWTTDAVDDEDLDREGVPTYARQGIFLPS K FPSE_08308 MSSLTTPLTLMAAVKEIEDVAITAADRKLNLPLRDLEKVALDKL PIKRSGRSRRSIGISTLWFLLVKIPIIVIVLLITIAWLCVQSRKKKDLAK FPSE_08307 MASIMSAPDYSMAATSRSKLSVRLPKETLYSSLRESSRYFNFDS ETAKLARDADDWLTSHPGASGSGTSKDINGVSRPSSIAPSNFSVRSLPADYSMPEHHG KEYPHPMMGSVRYNEIRPRSAAPTESTEKLQSSPPVSGGEHSGPQQEYPTGLKLGLIT LALCLAVFVMALDNSIIATAIPRITDAFQSLNDVGWYGSAYMLTTAALQLFFGKLYTY GSIKWTFLAAIAIFEVGSLICGVAPNSVTLIVGRAIAGVGGSGIFAGALTILAFSVPL QKRPMYTGLVSGMWGISSVAGPLLGGLLTDKVSWRWCFYINLPIGAITVFVILFFFPE PVRDIEPATWRVRFWQLDPIGTAIFMPAIVCLLLALQWGGVDYDWDDSVITSLFMLSA FLLIVFVYIQYKMDENATVPPRIFKMRSVWAGAWFSFNTGACFLTAIYFLPIWFQAVQ GQSPIMSGVRNLPMLLAIVLCAMGAGAAVSAWGYYTPFMIAGAVLMAIGFGVITTFEV NTSTAKWVGFQLIAGIGVGVGLQQSLIAVQVVCEMVDVPTATALIVFSQTLGGALCVT AGNTVFTNTLINKIHEYVPSLDPYFVIATGATNIRSVIREEWLDGVILAYNDALTTSF YVGAGTASATIIGALLVEWKSVKGREIEMAAA FPSE_08306 MHAPGTSNQANRKWPGTRLLMPEAMLPQRIARASALRSGMAAAR RLPTIQRRTFLPDQYTDKKVIDQKYPEAPSMTEAEDPGMNGGYINPPRIKRQFRDPHG NWWDPQERRNFGEPIHEDNDVLGIFSPWEYTWTTAGPGAVMVGTFIAVFMSVTGIVYL NYPDRPAYPRAFEGGLERELGGPGATRIEPRDEVYGSYYTALREVNGSAAATALQMSP SANVVWARARGKSITYSTLIYPNCFCYYYYSLIFIPHSFQPPSCGIQGGMLRCPRYSS AFAALDITWPYIVARTDTNDAIGFPVFQQELLCQPHWSAADNLGRIKIVISEGIYHGA QDDAFQRVRDHVVFSFQHAPQGQILIESNQSSECNSICPGTPSFYLDNQPTYPNASVA MSWGHTVPLHNQDLTPHMSTPELRFYKEDWPDSPMFAGAMLASVHQTQQQVQQQQMYT PSNGSVPMPLVRLQTQSPAIHGSTKLGRRTAPNNPARLLFAPPAHNAPQTFQSDTIKR PAKRARANTPR FPSE_08305 MPETASTANVLPKSDPDAIKTEQDALEGYENGGDALQGTMDGHV ETNGDAPATENHHEPNHIHDDERPAKRRRTRDSTPPQNTPKKMKPVSPPWKKISADGP TSYTENGRRKSGRINTLLPEPSPPSKSRTSRRSTGANSNTKTEIHLTNGNSRKMPNGS HKASPATKAPLKQAPASTPKSASKKPTETRTSSRSTRRRSHTPPPPPKNSTRSRRSAR FSDAVIKDEAVQDSRTATSNATNRSPRIKLRVGRSGYIPLVHPDQVRKRPKIGTSFED FWTRAGDIPVEEGGLQASEDGPQYTDELAERDARVILRVEKEVEDGGMLSQGRCSIFL PEPAEEPPRQWARQDHMVKAMTNFRKLMLAEQQRHRIAAKKVAEACRDEWLRRQPKSE EDIEAEQRAVWISRYRVVAKTLFGTWENVRIEVNRRRLAEWEQEEQRRVKAALNEAVN LSEQKLQARQAGLDSEQLSEEDGFDDLSDDMSIADDDDELGLASGEDEDDEDGDADSD IMSSDEEEGDEEDQKDIGDENLTQEQLRAKYAHIPELEKPSTETPVTEPTPEDTDAID TAQATATENAETSDESVDMDDDMGSTDMDSDEEEEEESEEESDEDAGGLLGLLFGKSE LKKMNSEAVAEPPADSKEDSEMPDVEAVSDGEGAEENEMSLIQMPDPEPHESGTLEKS TKEAVDEEKEQIPAATQDIAAGQDDSSNTDKNAQEPASQDNDVAMTGNDPEEPSALAL EKPHSPATEPATNPPSRVHSTSPPATSETKPSELDTASTGEMAVDKHDASRSPSPQPS NHKIEVPFLLRGTLREYQRDGLDWLAGLYANSTNGILADEMGLGKTIQTIALLAHLAC THEVWGPHLVIVPTSVMLNWEMEFKKWCPGFKILAYYGSQEERKRKRQGWNNDDIWNV CITSYQLVLQDQQVFKRRRWHYMILDEAHNIKNFKSQRWQTLLGFNTQARLLLTGTPL QNNLTELWSLLFFLMPAENGVGGFADLQEFHDWFAKPESQILESGREQMDDEARAIIS KLHKVLRPYLLRRLKADVEKQMPAKYEHVEFCRLSKRQRELYDGFLSRTDTKETLNSG NYLSIINCLMQLRKVCNHPDLFVDRPIMTSFRMQKSVVSDFEVTERRVQRLLHDPGPM KDVSLGFLNLMPTQCESLSTTQAERISQLSSHRKLMELREAQKIRAQSAHANLDPSTV ASNIGYLESGARWGRYEELQHCVYLNALRRQKKPIYGKNLIELLTIGTDKRPYKPRPK IPHQVLAWFEEESTLVQSMIPTVNQRADSFKTIIEKFSCVTPAVVTRDMEQFVLGRKG IEAFSDEDLKLSAPVRWAPFLPKEAPPDPWHEGRMRLSIQFPDKRLLQYDCGKLQILD KLLRKLQAGGHRALIFTQMTKVLDILEQFLNIHGHKYLRLDGATKVEQRQILTDRFNN DPRILCFILSTRSGGLGINLTGADTVIFYDQDWNPAMDKQCQDRCHRIGQTRDVHIYR LVSEHTIEANILRKASQKQMLDDVVIQEGEFTTDYFNKLSVRDVLSEKLDSKSEGLDA ADAALDRVLGGPDTNNDQRRVGRALEQAEDREDVAAARVAEKEIQADDADFTEKPSNN ASGTSTARQGTPAGKSVLDGGLDDIDAPHVEEVLEYNAWGDKMHTIDDYMLGIMAEQL KDTKLELPKDKKKGKKKGKDTRKR FPSE_08304 MFKIGMFNIGNPKATSSMSDQPPSFEESMSTNPPIFKTQFACMT LNMTDRIRLINFTEVEVQAVKQIVKTRWKPGLVQSYPYGDSTEIWVRGRPWAYDSNGN DDARRLILWILERLFDHGWVVQCSLDITKKSESKDSLIFRKQNPIPMPCDWICVSFDN SDKLKIVDSPPKDLTDAILQTFSCEVRRREITPEKFKIHLGVQPWEPSGTDTVTTRIL LLRLVETLERRGFTIYATIGSKGEDGEGAADLLICHRAADWVPGAPIWHR FPSE_08303 MTDNNLFVVRDAPPKGKGLIATTKIPKGTRIIAEPPLIKVPREC FCPDLLRQGIIKELSKLSQDQRASFYSLLNSHPESGEEVGIVETNGLCAGPDKEDGAI LLATSRINHSCKPNAQTRWNQGLGKITVHAVEDIEQGQEITITYLGNPEVYEERQKKL TNAFGFDCHCRLCSLSPAERDLDDKLIKEIDYLQEDLENEDSILEFPTRCLHRIYKVV SQLEAEGVGTSNVPKLYASAMGVAVAHSDLARAKVFAQRSLEGCIISEGHDTPWVKNL KTLTEDPSRHEHYGLTDRLASAITDIPKDKGPVAFKDWLWMSPHGQLANLRNIMSFPH LKGINGLPWDWELRDNDAIPRCMTETDSEFQKHWAFLGEITHVEAAWRVRLIVKDKTG LELPIAFYTETQGREIGASILRVGRTVAILYASQHGFLDGTVGIRHEDPGRLRILPTS LENMLLLNDKVQAYSVVHNQTRTCHGCNKKSDSLKKCTKCEFFWYCNQDCQTRGWNEN GHKADCRLLKNEDVKGLFLVKWGEFERHMSFPLSV FPSE_08302 MAVRLLVALPWLRDSFELRIHGSWVAVLYFFSADPFVDYREGQV PRLVRWLIKVPLALAGGLTRHCDFFSKGIKDVKPAGTADGKGSGPREQNCVVYRLCQY CANSAVSVVQCLGPLSNQAGYTESGIR FPSE_08301 METTPKESRQIDLWTSEFITFAAATIFIGLRLLSRRLTRIEFWW DDWFALCCYAVAIAWVVIIPIWIKEGLGLHIHDVDNGKTIPEILMKNALLLYVAELFY ATALFCAKASILSFYWRMFRVTNIKLPIQILACSALIWIVIRTFMGIWHCVPIDRFWN PTAGGDQGYCAIEDKKFFFGTILVHVVLDVCIIALPILQVRKLQLPTAQKIGISLMFV FGIVICISAMVIIVASTQFDAKSENLTWNLTTIVIWASVEVNLVTVSTCLPTVRPACI YLFTCTNPRSTINSGSNSYGHSYGRSHGRSQTKNTIRLSTLPNNKDNDESSSTHQLAD SDRERQSSDFESHAMDRYRGNVATVTGPAHGRDESEEFEVGTPFGGIMVKNETTVRVS NARPR FPSE_08300 MNKKPRHVLMPWDDLAWEQSDARADAWERSQLNANNFLAVGNFI NKHKPGKAVELHKPIRGGYNVCYRLDYEDGSSVALRIPIDRPGIQFADEKIMAEVATM RLVAEQTTIPVPKIYHFGVAADNPLELGPFIIMDYIEHDSTMSDAMVDPNLAPDEDHV LDLNIEKEKLEFFYKQLANILLQLSKIQFDRVGSLLQDENGCISVAGRPLIQNMSHLM QYTEAPDAMLPSSPYPDIKTWYAVLADMHIAQFTFQHNDNILDEDDARDKFIARLLFR QLVSEGRLTSTVDDQQGTRLFLEDFRPSNILVDKNIRIVGVIDWEYAYAAPTQFSDDP PWWLFLMKPDEWPGAYEPWMNAYEPRLRTFLRVLESEEKKLGADKKCHGICLSERMRK SWDDKTWMTSFAARNSWAFDLIFWRYIDPMYFGPNEAADHHARLHLLTQRQKEAMEDL VAVKMKEGSERKFGKWDTDEAKAHLARFWN FPSE_08299 MKVVIAGSTGFVGQEVVRQALYHPLITKVVTLSRRDHELAEDLQ RPEFEEKFTSVSCSDFKSYPQYVKDEISGADACIWLIGVTPAKLKQYTWEQVRMICYE YALYAADTFAKLPREGKPEPLRFIYVSGSNAERDPAKKPWILGDYCLLRGQVEKQILE RAHLSDGRMQVLVTKSGMITDPDMGFVKQAFRFFSHAIVSVPSIGRAEMTAALLNQAV NGLNKETLLNAEIAEIGKKELVRMEKNLN FPSE_08298 MLGTTDTATGAPENLKGESLEREASNFATGLVALSVNIFVDKDP QHDESQRGGGRTENLNDPRSMATRITTAKDKAAGVDNPSWDKTRSPMQELMWSQMRPL MHWICRFCDIWERSAKITTLCFGIAFFGSISLRTSYKGFRSVMHKIVFKGVPTDSQIT IALLRQGERNKTPLSPPPVPKGPPPGKPHSIDDTVIGAAGNDSPLGVSQHEILAAAEQ DVGTTKDTGGDDHESCKSGSGGKKRSKLLSVIRPVAQAAAKTLIGVDKVRAKTGSESA KNRLGAASPREEPPIAGPVEFTCRWRGERGFVYLTTDTVGPALCFSKKSSVGDLDSSE YQEVQPEWIIPVADIVTLNKYSGYGAKAKLLAGWALEDDIVDGVEIVDSKGNAVLVTA MVRRDELFNRLCAIGEQKWEIW FPSE_04486 MFSKALTALVLVAPLVSAQTFTSCNPLTTSCPPDPAFGKDTVHC DFTKGACPAFKEDAGTSITHNANGAVFTIGGPNQAPTVATGKYIMFGRVDIEVQASTG VGIVTSAVLQSDCLDEIDWEWLGGDNAQVQSNYFSKGDVSTYDRGAFHPVANPVGQFH VYSIEWTPALINWIIDDVVVRTLTYEDAKGGSAFPQTPMQIKLGTWCAGSPDAAEGTI QWAGGLTDFSQAPFKAYYKSISIVDYAGGDAPTTKSVREYIYGDHSGSHGSIRTA FPSE_04487 MSSPSRINNSDSESVSSIDSFDPPPTFETKRITRNDALQQVEDL VHEIGNLGCDQESLKPKVAQVLTEPVLRVLPPEMKTRILEWAHQYDPAMFRQIIQFID DDIGEWEYTIEVPNRRKDMPWHGIGADILRFFVADLKPLRKGLPEVERRTVVNNVRHG LSLFADFHDEKVGAAEREAQTAIAALALREEAISD FPSE_04488 MAGPTQEEKGAEIVRKINARLNPREIDFSVDACSTIPVNQITSL SALAFSENIVAEIFREAGIPDDEATQSCWNAMAEIIMPRSLLQIKELQYHIEWGNVVH KLNIMRSIREVTGMPACMGAQYLTVVIAAVVYRKIQPERLWSTKRKKDFVEFFGNLSG MQWLPIAIQVYERVQDRAFAIELDDRATEPKVRHELIHGKIFIIVTTKKPQTNIYEAA MQAVTGRMIRDIDVSNNPDPNTNNQPPQSTEAVEPYSTGQPRESIETDEPDSSSSDQS EAMEICDGSSSPGQSQHPTDREECKDTVPGRPLDENVSAKSGSASKEPIGKSEPDEVP MGSIASVIVEDSPETEPQQHQSLEPHTPDSKTLRGHARQMKALGKRLPSPDQRFVKRV RRDIRQFAKLSDQKEEEMVLERESMRSRNPPSSEHAPQARSIDLFGRYKMLWKGILRE NGSIPESLRELVRHDCDSNQLFYLEETEADFLAANAMRNENGQ FPSE_04489 MYIPVAPQVEPCPPSNITLPPHRPLPDIPGFLLYDSLNRNHVFD HPSTQPRIRESRDLLDRIKKHGGKAVSKYGQKAISKYTNKSKGSHSKGSGAMTTGMGI VAGKYRWVMWLAFGLGGLLVLGLMYLVWRKRDYLWRRARLEEEASSMFEGNNDDDIDR SKIPFGQPWSHRLMAERRAAAEAA FPSE_04490 MVFTSPEWVPKLPIDPPDSIPIAEFMKNEKYGRCPIAKSRHPFT CGITKRSYSAPQVFERSEFVARALAKRLQWQPNEGTPWDKVLAVFSLNTIDYITPIYG VHRLSGIVTPANAAYSVDELTHQLKASGAKALFTCTPLLETALEASRNVGIPEENIFL FEIPRAEPVSKFTHASIEDLVREGSELDRLDELQWVQGQGARQTAFLCFSSGTSGLPK AVMISHYNVISNVLQHATYDSVARAKRGVTTQAVTGYLPLSHIYGLVIAAHTSPWRGD QVIILPRFELKDFLQSVQDFKIRQLLVVPPIIIQILRFKDICAKYDLSSVKFVYCGAA PLGEETIRDMNILYPDWTIAQAYGMTETATVATSSSEDDVFTRGSGCLLPSAKAKIID TEGREITERDQPGELLLQAPSIVLGYLNNEKATSETFVYHDDGRWIRTGDEAIFTLAP SGNEHVVIVDRIKELIKVKGHQVAPAELEAHILAHPHVFDCAVIQVPDERAGEVPKAF VVKSSSAESLPDEEVARDIEKHVADHKAAYKQLKGGVEFLDVIPKSPSGKILRRLLRD KEREKRRGQGAKL FPSE_04491 MVKHASKATVHQLAKEYSSIIKGKTILTTGVSPGGLGALFVEAI VVAEPELAILAGRNINKLQQTADHLASKHPNLKTKLLTLDLSSLASARSAAQQVNGWS DVPKIDVLVNNAGIMATDFKLTEDGFENQFASNHLGHFLFTNLIMDKILAAEVPRIVS VSSNGHRLGPIRWGDPNFSNGDLYNRWNAYGQSKTANMLFAISLAEKLGSRGLQAYSL HPGAILETSLGDHLANLDSLVEADRAIGDPWGWVDWSTIPVTAEVGAATHVFAAFDPD LRDHNGGYLLDCRLADPFTDTVRPWATSSTEAELLWSLSEKMVEQKFPF FPSE_04492 MANTKKLLGLVVFVAWAEAFWRMSCSIIQTGRVDPIIAPGTFSA HVHKLSGASNIGIDSTFESLQASRCTSCEVQDDKSAYWTPQLFYQHANGSFQMVPNGG TVIYYLGRGENRSNIEPFPPGFKMLSGDNFVRSYDSKNKTYSNARFKGRPIADRVSFA CLDSSGPSKERNYMWKTDCDNGMRAQIHFQSCWNGQEYQRNQSHVAYLSQIDNGICPP SHPRQLPHLFFEVIYGVNNIDKSKGGRFVFANGDTTGYAFHGDFLNGWNEAVLAAAVK KCLNNDSINGQISKCPVLAASQTPYFDDNCPEMAPLVDENVRGMLDALPGCIKPFSGP GRASPVVCDSKPAINDLGDAGLGSMFDPSPGDMVGSWSYVGCAAEGTSRTLNKYATNS GQMSIEYCTASCKAQGYPLTGMEWGKECYCAGELTSGASYMKASTCSSTRKMICVGNM TQYCGGPGLLTIWKDTSYTPPVELVVGQTQIAKGMATYQGCWAEGSSGRALSSDRTAD SVGMTNEKCVAFCQAGGWAYAGTEYSQECYCGNDIGNGGYDLNDISQCSMQCKGNIFS YCGAGNRLSVWKIKPAEKPTGPITAVNGAALWTGCYTDGGAGGRTLPSASFTGSSVSI DTCFAFCNKLNYPLFGMEYGRECYCGYAPKTQATVAPDGDCKMPCTGDSTQKCGAGNR ISIWNNTMYTPTVSTEGVNSPPKYLGCYTEGVEARALGDAKTTDKKKMTVEICAAFCS SKGYPYLGVQNGQDCYCSKKGPSNGSVEAPASQCGMTCKGDKKQKCGATKRLNVYKLA RVSKTSSTTKSTVKVAAAKVQGQKPASKSTTLLKATAANKSKPTPKTKTTSTTKPKTS PKSNKVTVQRVAAKATTTAKSKGETKAKNKRPTTTTSSTKSRKTKRARV FPSE_04493 MPSEFASHDLKSVGNYALGRLIGKGSFGKVYLASHKLTNGSKVV LKSANKGDSNLAREIHHHRQFIHPHIARLYEVIVTENMVWMVLEYCSGSYPRSSLSTL ILTLPGDELYNHLLEHGPLPVDKVQKIFTQLVGAVSYVHNQSCVHRDLKLENILFDKH ENVKLVDFGFTREYEGRTNHLQTFCGTICYSAPEMLKGEKYAGEKVDVWSLGIILYAL LCGELPFDDDDDNITRTKILSEEPKFPEHMPPDAVPLIKALLSKRPLLRPGLPEILSN SFLAEHAPTQRAILNVQQAPPFSTPLEKDCLQRMRSAGVNIDGVIESVLAQKCDALAG WWALLVEKEQRKMQRREKKRRERETDSRSIRRLSAASSRLERMAPTLHEWDDAHALGR QLRLEKSNSRNRGRSERRSAHYVDYILSDLPQLPEIRDSGGLNVDSDQPPRPVDKDSI RSVSTSRQRRPIPPPKEGLIRSARSRGSTLHLVTTSDALASNTSDEHQQQNGSERPRR RPSQPLITHWKNMTHWLVESATRRRRGHARRTSQSTPDLHKKEGSTVSSKDGSSRPQT SKYPAATSPGTQPTASLPKGVVANGQKTAAASAQRTASGSLPSPTMQPPRLATSYKRQ SLSPSPLTPRSTVRRSSAGLRGRKSTSSSVSSVRSIHHHHHTHSKASSTSSAASFSTS MSKTPLQRGHSPHHSVKVLPATPTSVSFPSNIRLVRATPPTLTMFNEGMPSEGPPQAP GSPNPFASGIMFAKRKRNLFKGPTLNNFGGPSQGQRNGGSGSHSRSASASGLGRRSGE ITIQEEDEGSEMDDDVEEVDVFSPVIGGPGEKIEEQIFEENESQHELEPAPTIIAKSD TKPLTVATKKAPTNA FPSE_04494 MALISAKTIITSVSLFHLTLGYFFLTSPSSINEQALVFMMGDSM GMPLARGFEVQSSPLAFLAVILIFIGFSDLVSLSMPDEICLVYHWGSQAPIRSFISLV FVAYVFLFGPSSPMFGKSSVGPAYRPAGWGGDMLKNRLFFTFIFIETMTWFWIWVTLR EERDAIMSKKSRRRNSHSL FPSE_04495 MSDSGNPSLDKQQHIKYWQRCHKTYLPSPYTAYDSTRLTFACFT ISSLDLFSVPLSSSERDAVRRWVLSLQHPAGGFCGSSTHALSGQEAYKGTANIAATFF ALVLLGLAAENEDEARSAFKGVDRVRLLKWLKGLQREDGSFGQNIWDGKIVGGRDMRH SYLASSIRWMLRGDVKEGDEAWVEDLDVDKMIAHIKRGQTYDGGVAESSQHESHAGYA YCAIGALSLLDRPLDSTSAHSLEKAMEEGIPNRQGLIQFLASRPFAYLPQEEEADEVE ENFIESKVGAAEYGHIGFNGRWNKKADTCYCWWVGGTLAMLGNPSIINVLSSRRYLLD VTQHRIGGFSKAVGGPPDMYHSYLGLAALATMGDEDLKEFDVGLCCSQETTRKIRIAR DGLLESTRGERKAWSNDGFW FPSE_04496 MSSSTPKGTVDTVEPPKVKDPESQAWSDDKRRKFETKSKSEFYD PCQEAAQASYRCLFRNGGDKNMCGEYFQAYRDCKQEWTEKRRREGRGWF FPSE_04497 MTSRAVAESLEMTVRPVPPTSAGMERADSPESSDSASIGTPKLE ATQSNARSIRDELLPSNRENKDSNPSQAPMHTQHPLKKHVLYSIIIVAAIGFASLISV CYLIAYIRTKSKNEHPYITAEIVGGRFSSTAAKLIDAAFSMLVAPAIVAIANWHMFKL ARLSAVNEHPGRSSAVSMKVLVEVANTDWGSFSPLKFWTFARSKRPRVICLGVIAMIS ALSFALLSNVVAYQAGVMLEYFHLQPLAFESAADGLLRVNVSARSQNGLPRDVKKLFD APVYRLNLSCATSAPRAVTIEQPDDQDLHVRITFEDTSALGSEMIQYQANFGRDESIL SRKSLDDADDKYPGIRYPLVAFNQTSIWIGGIDVNQSVGIGLANGSYHLALSGVNCHL EKSSGHADIKVNGDDWIIIKDTLFNEHRDPRPDEPMSRLTSTLEIFDDGRIGRAPGLG GHLLRAALNTTSEGYHPSWRLQSLFEAFLWYETASRQTLLDNSPAARTGWYQIQCDTD KYAMTFIPWILLIGLIALGVACAITVGLSIDSRKVHSLRIGRSLDSIRLTADVGVAVD KQVLEECSTWHGSRLNKCADGARFQYEADTRLDSDTGLYSIGIRLRQISRPHE FPSE_04498 MNRQQRPNLKNGVDLQLQSAFNDGNWAAVIRLAEKRARTFNDQY YEIVKICAESQLDDPSSKFAAITAIDKFVREETVVKDVDALDLLEWAAQGLNEEEEFP QTLGPLRARLVKASPKDKIGASRCLESCLLHWDLVSAQQIAAIMDRSFPQERTFMFWN IVITHLLATSPQAPPEKKKLYGMLALKQAQRAAQLAEQDAAAEGDAKPQPRSIQTEEE ILLFYDVTEKHGSKEDFAKVISSPVFNPLTQFQKGRKELLLRTIARYQQQQEFEAIFQ LCNDCLSIEDENGQPSLLAADWKVWRHFIDAAAQIKTVKPDVEETVQNLLLKFIKSPD LRPIYKRIILLARLSAAFNLASNDEDDLVANEPASFRLKELINYINDQGINAACFNDI KPFAEKLAPSALKYLAYDFTTKLAEEMEDEIKSARIRNFSLKLQYFAATCPSMYSTVP GEKPMRKCIVSGDEVEASSPGPAFSIVATSALEVHKSLADLTSKSPSVEAEIRSDLAV IAALCYIQAAFPPSTEISNVPTSYASLLQALLLLEHQLSLSPKHSIISLLLVQLHLRV GSSIRAREIWDTLGVKRTIMDSLAPIFYDRLSTIAPALISPSDETGWELLELLSSHFN TSLKLRMPRRLIDAFESGSYSSVVDIPEYMENLRWGCTRVLSLVEETRTDRIMGEQFS EVLTDPRFIEVTDDKNLVETIDYGSFPSWDCSSQSPIYSRLRIGPAPTNRRAHLSLLS EAFHELLSYKPPPIYKGSAAAAVPGQIFVLETLSQLSNSFIKFSNGSSEDFTPQEATY FEVMNLLSTLIPFTTGIGRANPVPEEFAQITDTLKIALDTLKLEIVGLATPSEQVTTL STFHSLAILRDTAAAIKNAAQWIIAFNDREKERDRSGKSNLPKDIMAQIKELVTVSEA ALKEGKDTVAKLKEQVYGRDFEPAVRKWIFDGAENVLEMVGEGATKKLVKSWEANVKG WLQVKWS FPSE_04499 MASRNRSGRRRTAALAFFVATVILLNYKGFLTLPSFEAAKPDRP ELSLAPTCAPTIDHLRRSAYGLTRDVVYQKRCVRPVMDKKIDTQIVSQNPDTLIKDTQ IVQLDQACEGWTEPTCEPITLKVPPPYPQEDYSPFLFGVATSLDRLNESLSQFESWLG NTHAQLLAVITDPGDEHKYEAVTKEFHRRGVEVAIKDPWNKAITSNEQHFAIVRDLLQ HVTPKTRWVAIVDDDTFFPSLYPMSQILAKNDHKVPAYIGGLSENYDAVKHHGYMAFG GAGVFLTPALLRELDPHLEECLKNEHVPQGDGLLKQCIYSKTKTKLTVVKGLHQLDMG GDMSGFYESGRLPMSLHHWKSWHQAPVDKMVKISEFCGSCFLQRFAFGSDTVLTNGYS IVQYSAGLESVDLNKMEGSWEGAHGFDWSMSPMRAKMDRRLKKSYHLVDTEVIGKNIR QIYIHRVEEDIPGPGEKSGDPKSPGPKIEEMKDEVIELLWEL FPSE_04500 MALSQRFDGYFQPFTNLVLLPVAVLLSALVITNFAKQWMRSRKQ RSQPIKVEAAVPEKTPIIEPLHDFDWKTAPRRQLRPFKPTYHITMAIQSNTPSELITI DEDYLDRINQRRSIIATHGSTVHGCIPEGDAAVRELYTYLLSEQLPKRFPTIFQLSQD NSTCKNLATGMSFPTLPQGSPDAALRVLGETIEEDFFLLRQTPEGHQSIAFMCCFPSG FDPSTKLGKTLVEIHGPVPSYEKIGSSMEKFFAKLEVGKSVKRTNWSIQTHTELFNCQ GNHITGDETYEHDEDVDIEKTFLRIELQTLTRLPKTGAILFSFKTYLYPVRQIKEEGL GSAFADAIEGLSKGNAPGMWTYKSAVRWGSSVMDYLRS FPSE_04501 MMIRFVLFLLILFPCAGSIPGLQNREVTCGSPDKDDYTIIQRLR KLDPFLGDLDHGQPGISFTTDYGDDSLRWFMVYQNAIDFKPYRAMKIPSNTTVFIDLT SSCHTFAGRLVRGADINFNGGVHNLGTWAELNWGSYPLAYGGVSVIEGTSA FPSE_04502 MFTTFDISKSTSLSDDGKPLSPPTSQPRPKRAQVSRACDWCRLT RVKCDSTRPCRNCKQAKRDCVNSGRDDFKSVAAATREVQRLRIQVQELENKLLSPSHS SGRGDAGRKRTQRWKGVRIDGVQYGPSSLAYFSHRLSAFIKTDLEPKPSIKSNLSPPQ TPSNCDRLQREQQDALLDLYWQGYHAIYPVLEEAAFRRHYDSLWQGNMRQACPLVDVM IALCIQFGSSYTAADTLAMPDQPGYDFYLQAQQSLSSSLETPTYMLVQCYFLSAIYLL TFKQTNSACIMVRTAVSAAEAIGLQFDDNDNNETLVNDDDSSKSLGSRIWQCLVTLDT QISLDLGRPFAIANFQLHDQEEPESDEVAQLAGPNFDFPESSDINWLHFVHERQRLFQ IAREIHTELAAVVEDTLEEIEQADFYQHPASREKCAKYLRGQFKRLKTWVEELPDSLK TPRVQGVPFSVERSKLDLSQADPLWLQRQRLVLELDYHSLVIMLTRTFNSFLPTPALG TFNSDNHCITCVNSGIMMTLILHQILSGSEILAGFFQVVSWQRTATFALAGFACGHPI CPLSPIARKTLPQAARVFEMSGSREDAQLIDSLKTKCFEIVQVFCKRIGIPTPATTPA DASKDAETIDEPMEPSSYQVMSIGEEALTNAGFDNILNSELWTIDSPGRLLWGDLMKD LDSGLASSLGHIGVRDQDGV FPSE_04503 MPLPAKPLDAISQAFPPKPHFTESNVPDLTGKVTIVTGANTGVG REIAQVLYSKNATVWVAARNEKKGLDAIEGIKEQHPASKGSIKFLKLDLADLTTIGPS AKEFLAQETKLDILFNNAGVMTPPEGSTTEQGYELQLGVNCLGHFLFTKHLTPLLQST AKSAPKNSVRVIWVSSSAADVLSPKNGFERDNLDYHQPRNLMHKYATSKAGNYYHNTE FARKYKTDGIVSVSLNPGNLASELDRTSPWYMYYPRMFTTYPSINGAYTELFAAFSEE ITIENSGIWVVPWGRFMPIRPDVQKGALPESEGGTGMAEFFWNWSEEQIKPYFST FPSE_04504 MGTPLTSEELKRYTAIIDDILETSDLETISRKKIRQGLENALGG QDLSEQKNAIKRLIEARFDAVSGADTGITPPTTSDSLAANGTSDVGDTEQSATPEPSR KKVKRSSSAEEADARLAAQLQAQENSLARGRKTRGGDKPTKKKAAPRKKSAKKVKADD DSDLEPADGETGKKRKAGGGFQKPFNLSETLSELVGETQLSRPQVVKKLWEHIKANDL QDPKDKRQIICDDKMHAVFKQARVDMFKMNKDIGSHLYPVEE FPSE_04505 MAPPEGDNNTEVSASDSQPQGDGQRNRGRGRGKGNQNRRGGQRR GRGGNNANVPNVPANPAAQDVAAAASRAHALAANKAAEAAEDDDDAEVCFICANPVAH HSIAPCNHTTCHICGLRMRALYKTKDCAHCRTPAPYVIFTDDPEKRFEEYSEKDITTT DSNIGIKYTQEDIVGDTVLLLRYNCPEPSCDFAGLGWPDLHRHVKSAHKKRMCDLCTR NKRVFTHEHELFADKELERHMRHGDDKPGAADQTGFKGHPLCGFCGERFYDDDKLYEH CRMKHERCFICDRRDSRHPHYYLDYNALEEHFKKDHYLCGDRECMEKKFVVFESELDL QAHQLSDHGGKATSRDARVVNISGFDIRTPYQQERGSGGTGGRDEGRRGGRDGRRGGN RGRDPNAEPVPVSSAQPLRRDEIAFQRQMAIHSAQSVSNRTFGGALSTPTPAQASSQS RGGSSSNTPRASQTNLANPIESATVDPANLSPEERARLVRHGAVVERASNLLGNDTQR MATFRSHISSYRQGGLTAPQLIDAFFTLFADTSSNALGTMVREVADLYEDKNKAENLR KAWQDWRAINEDYPSLPGLSGMHGATSSSSGWAGAASANPAVPNAKVTQKYSNRVLKL KNSTRLGGPAPVSSSGASSSWAGRPAVSAPPASSNAFPSLPSSSKKSSASSSNWTTPT PSSSSQSTTLNRPRPAPRPTGEDAFPALPAAPKPTTTIFGYGNGRAVRRDYGNRETNF QWGSGPSTPADEEPADEEAGGKKKGGKKGKKVLVQWG FPSE_04506 MLRRPPGEGRRPQQKKLFALVAVVILLPWLQLVDAQQQHRQVSE LQRPAGSHPSDDTVQWAVTSIDAAKARETVNQVKRPSTASQPRQKEDTRKNRKRDNQR IPDDASALATLAPDSRSVGAPNPSYLRSSSAFASGLASPQIARNLKDWEVEDFVLLAT VDGDLYANDRRTGKTLWHLEVDQPMIETKHYRSEESTLDENYRAVDHYVWAVEPNRDG GIYMWAPDSNHGFIKTGFTMKKLVEELAPYADDNSPVVYTGDKKTTMITLDAASGRVL KWFGSGGSHVNEAESCSRPDTLYDAGNLECSSTGTITLGRTEYTVGIQRRDDGLPIAT LKYSEWSPNNYDSDLFQQHHSSLDKKYITSQHDGKVYAFDYTRSEKVPVFSEHFAAPV ARVFDVCRPGDATSESNPDLVVLPQPPMPAEDETEDRMRSNSIFLNQTRAGGWYVMSG QLYPLIAHAPVAQMSRSDWWDIAPPWDTLNQTKLSKVLIGTHYLGNTDKRGSFHAPSL PAGSIEVPEVHDVYDDHDELQHDSQPHTEVGFADEPTLLDKFRSIPDSAAKSIKDFIT NPVVIIIFVSVLYYNQKNIRRHLKRGKRRGFWRELQDILAITETDSVNENFVDDAQST GTDIDADSTSEPISEEVKPETLGEQLEESTKEPPVPAPESSKLEPDAFPHTPVKEVEL SDREATPKPKKPVAESNVETPVKIANDLQSTLQNQSQNKNTPANANQTGAPPEKKKKA HRGRRGGVKHRKGRAQEGSQSRGDDPATATVEDAVNNAKKLGERPSLEPDVMTVHDDM QSVTGSTIRMGNIEVNTDEQLGTGSNGTLVFAGKFDGRAVAVKRMLIQFYDIASQETR LLRESDDHPNVIRYYSQQIRDGFLYIALERCAASLADVIERPHYFRDLANAGRHDLPN VLYQITNGISHLHELRIVHRDLKPQNILVNKGKDGKPRLLVSDFGLCKKLEGGQSSFG ATTGRAAGTSGWRAPELLLDDDAREGAMMELSTQSGSGSVLADDNATPRRATRAIDIF SLGLVFFYVLTNGSHPFDCGDRYMREVNIRKGQYNLDLLDSLGDFAHEASDLISSMLE ADPKCRPTAKEVMAHPFFWSARKRLAFLCDVSDHFEKEPRDPPSAALAELESHASAVT GDFLKALPRDFVDSLGKQRKYNGARLLDLLRALRNKRNHYEDMPDALKRNVGALPDGY LAFWTVRFPPLLLVCWNVVWSIRWDKTDRFREYYEPAAL FPSE_04507 MRVTLFVLSSISAITTIVASTLPIPESEEVPPIINPIVEPIVGS ILESNIGPVIEPGVEPAVDPVIEPIIESIIEPDNEQTVDPIIEPAIDPIIEPVIEPIV ESIVEPNIEPTVDTIIEPVVDPVIDPIVEPIIESAVESAVELVIESVIEPAIEPVVDP IVEPAVDPIVESSIKLAAEPVIDPIDEPAIEPVIAPIVDPLVEPIIEPTVETIVEPVI DPIVDQIPIPEPPSRSSTGVIPEDLKNAMQGIQSLASDVMLQANNIAGDGVKVQIIGN TYLRCQDMVDEIQEISRTISRPPTMLFSWEDQGGICSAFDSFGINLSKLITVLSLEPK SVVRDGFGDRFKDCFDELRPVLGLFIKQLIIYTPTCEGEMLRRIDQLDVALSNAKMRM EAARQS FPSE_04508 MAPSFDHLREADLDDDEFNDDDIDISDLRERFEVSLDQGYDNFV VIDGLPQVTEDQKPKLVKFLLKKLNTVGKTREDSVHMPMGDDGKSLRFAFVEYSSPAE AAAATRQLDLTPLDKKHTLRVNKFTDIERYGREGRIDETYQPPQIEEFTEKEHLRWFL KDPSGRGRDQFVMYRGDSVGIYWNNEKDQPENIVERPFWTEAFIQWSPLGTYLTSVHA QGVQLWGGSSWVRQARFAHPFVNMISFSPGEKYIVTWSNRPISIPDEGHPALSIDDHG KNYVIWDIATATPLRSFANLEPPKVEDGKPPPKMQWPAFKWSADDKYVARLNPGQSIS VYELPRMNLLDKTSIKIDGVVDFDWAPASPKRDGIKEYEQLFCYWTPEIGSNPAKVGL MSVPSKEVVRSLNLFSVSDAKLHWQSDAAYICVKVDRHSKSKKSQATTLEIFRVKEKG VPVEVVDTIKDTVINFAWEPKGDRFAIISTTEPVGVTAVAPKTAVSFFCPEKAKKGQQ VGNFKHLRTLDKKNSNAIYWSPRGRFVVIATIANTQSSDLDFFDLDFEGEKPESDKDL TANLQAMNTADHYGVTDVEWDPSGRFVASWASAWKHSMENGYHIYDFKGEALREEPLE KFKQFAWRPRPATLLTKEEQKSVRKNLREYSRVFEQEDADRGASADLAVVEARRRMLE EWHSWRESMEADVLEEREVLGLPKDPHAPLLEAYTKSLDTSSDEDRVIEEIVEEVIEE SEEVLA FPSE_04509 MVCLLAWGSFQPTQIFEEGTTEEKGENARLSAFVGAIAVGDLVK STLGPKGMDKILQSASTAEIMVTNDGATILKSIALDNAAAKVLVNISKVQDDEVGDGT TSVAVLAAELLREAEKLVDKKIHPQTIIEGYRIASRAALKALEGSAVDHSKNPEAFRQ DLLAIARTTLSSKVLAQDRNQFAELAVDAVLRLKSSDLNHIQIIKKAGGKLSDSYLDE GFILDKKIGVNQPKRLEKAKILVANTSMDTDKVKIFGARVKVGSTSKLAELEKAEKDK MKAKVEKIKAHGINCFINRQLIYNWPEQLFTDAGIMSIEHADFDGIERLALVTGGEIA STFDHPEQVKLGHCDTIEEVIIGEDTLIKFSGVSGGEACTIVLRGATEQLLDEAERSL HDALAVLSQTVKEPRTTLGGGCAEMLMAKAVEGAATRVEGKRQLAVQSFAIALRQLPT ILADNAGLDSGDLVARLRKALYDGLTTYGLDLMTPGGGITDMRDLGVIESYKLKKAVV SSASEAAELLLRVDDIIRAAPRRRERM FPSE_04510 MPPKQPRKRKPVASQTSSQRRHRAASAIPSTPISQPMSSSVTKK RRVDDDNERIAETPQENKRRAMGSKGQQTPERTTQLAQATSPSTPFEVSSAESSDSDC QIKKVLPSPKRKLIAASPRGRPTKRARMERSITPSPADESDCVIEKVLPSPKRRQVEQ KKRNIKRRKVGDCSESPVCIESDVDSDAGDVNSLPEPTQTQTPEELREHSPSIVDALL AWLDGPQSGGAASEAAQPASTPAIASTPPNAPFSTAPEHPIQESPSMGTPSGQGQTRE AQVERAQVEKAQVEEASTSPASDLENIAPSSTYKLQPVYSGENLQESHTPGTPTHFRP HHRDSLVGLKSILKRSSEKPLREINPQVDITFPDISPLSRGERDLSSPTERIQDSKHQ AKIIQDETTSTPPPPVSFPRRLTLADLRSKDWKSSQSRNIYRPLTPLPKPSQWLRQRK ELEPLTSIIDETAAEDATSDQGTPSKPPTERVNRTTQKLIKAEPQSSDEDDSGDERSC RVEEWLKSEIIKQETPSKLPTQPVMRQTQKSVTPEEQCATDVRITKNKRHKLEKQMKQ GTERQFARSTPLSRKTRRSKRVHEQNDTDTEESEGECQKRLEEKQLVPVPNRNWTKPS FPNQEMMPQVIRDGVSEHAIKPTVATFEEKSAAGHGFTFENDDSNQKYNWDVDWSASP SLGKRETRAVASELKHRGIKTERQYSNFWYNLTMKLSSIAGSPVPLFTAKKKALETFV EEAMRNADDRRKRKARKNAAKNKARKREKKKHKTKDRRQGVEAFLDASDTDKEKCQKK SKKLPQGVDAFLIPGDTDEEDKSSSEESGSDYDGEDLMAKVRADIDRQRRLSGGGTSC GYRKGDL FPSE_04511 MIRTLDIGSALPGPDSPPGMTTSKSSKTSSFQSYDSDDASVMAD IGHFEEIGLDDDSVTLKSPSKVDVRPSLPKAHSSRSLVAGKTPSKARPPFPTLETNVY TSNPRSTNLSALTEPLSATRPKTLTSPSSASLTFNRRRSPSPASSLNPRDPREPSFAA KPRRRSWQTARERKSFTDLERECDEDDGDDIPDGMFLDNVPLSPRPMQERTPSRPAST SPSPNRSKERVRSVGNGTPAVAQASGSLRSPTWKVDTPRSPAQTRAHSWNAALAELNA EAKTLTEKLEEHADEIVEQHIKRPANQRPNTWNASRRSADMYEKKQRVRSTPELPPLR KTNIMIDPLPVSKEKEAVLSRTRPSWLPPKDPAEERRHLKEYQKMMAASAKAEERREA SRRAKIEGRDSTADNLMQIWERDVIPRWNEAIRERRTRELWWKGIAPRSRGVIWGRAI GNELGLSETSFKAALARAQEIEARVKDDKGDAEDAKRAKWFQEIRKDASHNTWQDLRI FDVEGPLHQSLVDVLSAYAMYRSDIGYVRGCNTIAALLLLNLPDVPSAFVALANVLNR PLPLSFYTGDPGAQASAFNLVMQTLSLKSAPLHAHLTKKIPTAELEQSLSVILTAIFT QHLAIDEAARLWDVYVFEGDALLIRAAVALLLSREMTLLGAKTAEEVKTILNERNAKV SSARVAGEVGAEDKFMISVREAGKA FPSE_04512 MPAGYKHGDTPKKAMVRGTISYLESQGLPVNKSAIFRHFDLSRS QGYAALSVPASKRNDPEWEETRGRPLKISEEDQQKMEKILWDDNYVNVNLNWHGLAKE AGVEVDVNPRTLHRTMGTLGYRRCLLCPRTWVHKKAREKRVEYARRMLEAYPQPEDWR AVRFSIELHFGFGLDGKMRLIPRPGERVCDGCTTKPEADWPRDLRKAHAWVAVGYGFK SDTVFYEDSTSPNCTGVMTMQEYKDHILEKTVKPWLNSTGPQSFILEEDCEAFAHGAA SKVNLVQQWKDEHNLRYYYNCGDSPDLSPLDTLWPAYKQWTMESPKDWEDETLQKMVR DAWATFDMERLNMWVNFMPQRLKSVIDTDGALVPW FPSE_04513 MKSSRSPSKEKTTKESPRFSYRAFSDFGTSPTPMSRQTREDSDF WMGRYLAPGTPSPIRANQTLVSPERRMSFPIREPSLAIREKGTGFHDDKLAKSQGNYR HPSLRRGSGIAYQTPEVFGRFDLNRRRSQRGDIQPVEHRPLAVITKYPKQPQPEPEPE PEPEPEPEPPKVHSRAPTADFESEIWPAVQDKVQSLIEEKVSGQIEKMLQEREAELES LTRLPLLKADSSPEVYLAYVQFLQKRLKAMSKNSPMKRKTEAVMSLALDLEPGLETGL REHLAQIAAGESNHEANKDLEMSDREGQEEEEPQIDDEDVVTIPDEDDSDYQDDPDDV DEEDKILPSIERCTPVTTPAIMVQLHRYEERYMKSVRSAKLEKEAHSRVLSPLDRHSN MPNGSSDDPQQTTGHLETSRKVIELEDTSKGESGDVPNDKKRHLEPPSTPTRAGSKRR CIIKPSPGRIHSPASSTSSYLPSVDEMYSSRLAKRSSPATQPSPMNSQRSQATSLTYS PAVREQEGPRDVSGAAPRPPVPLFGESPGLFMTPDPAPASNSFAFKRSTSSSARNVDQ IQHEGRSPSRFRENTADFEALDTPQKLLLLFKLTRGKKS FPSE_04514 MNKTPKLTMKERDSYKDKITKDKQKPKKKAPLNFGDLSTSPTAL SMTPSRHPNTPKTPSREQPTKFEQPPTAPGETRAMSNNTSQRKNWNPFTYNSQDLPVF SSSNPVDPPRAGANSSFRSSASERSRTSDTERSYYSDAFKIKTLEQCLSLKERYLSMP PAAHADQEPFWSRVLEMLERMPLTKGKFKEWKEAHRAVENWCQVRRSYLKENRLPAVS QAQPELETAIDQWNLVFARRFCKIHSGYFTNTILPEFVEDKLKETVRNEVDGWIANSL KERRDELQRLSQPTFLPSHSSLKDCDNAIKELQTQFKAAQTDESQTRESEVVMSMVLK LQPGLRTAISQYLDGQTGVAGRTEPEGNQHDSEFADSRERIGCNIPSNGPSVRGSAPP ASVARQTIPTPPASVPSTAPRSTTSSNNPTAGVKSELFEKSEGNSSKKRKDSDLPNRN TASSRKAESENENIPKTMSHNEAKRPRLDGGPGDVPTAADLRLKGKSYGNNVTEWRGP QMHDKLPPPRSPPWRRNAPTGYRSDGRRSPERSHPPRYDQYRENRPQDHYREGRYQDS YRPPPRAMRETTADFEGMTIQQQNMSLLRQIKRVQDMVEKKN FPSE_04515 MASTSALPKQNPALRRTVTSTTATDTESAAVSPSDSPRHSASST SLSSLSEVDIAKPKAEYGVMLDTYGNKFEVPDFTIKEIYNAIPKHCFQRSALKGYGYI LRDIVLLSTTFGIWYNYVTPEYIPSTPARAGLWAVYTVLQGLFATGLWVIAHECGHGA FSDSRLINDITGWVLHSSLLVPYFSWQISHRKHHKATGNMERDMVFVPRTREQQATRL GKMTHELAHLTEETPVFTLIMLVLQQLVGWPNYLMTNVTGHNYHERQKEGRGKGKHNG LGGGVNHFDPRSPLYEHSDAKLIVLSDIGIGLMGTALYFLVQQFGFYNMAIWYFVPYL WVNHWLVAITFLQHTDPTLPHYTNDEWNFVRGAAATIDREMGFIGRHLLHGIIETHVL HHYVSSIPFYNADEATEAIKPVMGKHYRADVQDGPRGFIRAMYRSARMCQWVEPSAEA EGAGKGVLFFRNRNKVGTAPAVLKA FPSE_04516 MPLPSESGGSSHELAPSLPLGVGSPEAQSGLEPQHQSSPSDKST TSDDTDENNKHSKVTENALKRPENDTKPSDNTKTSENAKTSEDTKMSENTERPKHENP GFNDDEMALLLLESHVEACHRVRNRFAKYYQRGS FPSE_04517 MAHRMSWRAIVAAIFVITPTPTRSAPCEAQRTGMLYAGGCPLPG VCSWGTCNTVTIELDPQNCGKRGNSCEPGQLCVAGGCYSLDTGSSSQSCTVACQPGQW CDGGSCAPIEVMTNPRRCGSNSTACDPGSLCVNDRCQKIDIGSDPTSCGASNQTCSAG SWCLDGICVPFILGTKVQSCKKTSECPFGASCRGGFCRQISISADTYNCGEGSSKNCT AGQLCVSGKCQSLNITEEAETKSCGSSQKGCDPGSYCSEDKCLPISISIDLSKCGDSS SPCKGGQVCVSGQCISNLIDQTNTQRVACGSGAGGPGARTPGGAQNGNNSSTGGNGGS SSDEDGGSSQTSADQGASSDGGDDSGNQDGQSSAQDADGSSSGDSSAGNDPTSGQTQG GSGGSSDGAAGTDAPDSGSSSGSNGQNGANNGQGASIPACTPACTGNLVCINGQCSTV QSPSSCGTATSRLVRLLKRQATACQPGFSCINNECVSTTGAVNCGASGVNCPLNYACI QNSCVALGDPSSCGGDVCGSDEVCLGNVCTTNAGLASCRNVSCATGETCQNGACVAIG NAGSGGSSNPQGSSSDSGNTGNNGGSSSSGDGANDNADAGQGGSGAEDPEPQTGSTQG QGSGGDTGSPGAGSSSGTGSGSGGDNGSGGGLNSANGSGDDSASDDGAGGNTGGGSNE GNGSGTNSGSGSDSGDGSTGSSSGNSSGSGTSGDGNAGTDPDAGSGSGSGTDGNGDNP GTDPSTGSPAGNGSGSGSDAGSGSGSGGSDGGDGDNPGAEPTTGSPAGNGSGSGSGSD GGDDSGGSGGNSGSDPITGSPSGNGSGSGDGDDPGDNSEPSDSTGTGSDGSPTSASGA TQSDDSQGDGSGGDSTEDGGAGGNASQTASPPNLTGEPEGNGSDGDDSTDASGLESSS TSADSEPEESDGASPPGGDGSGTADPTDGTAQSSILSEGAPIINPGPTSTTSTASSTS STEATATSTGITGGLQGNPSSRPDSGESDSSTTDVDGDGSTSESTSTNTGGGGDSSTQ TSGTDGSTTTSTVDSTDPEDQTTTSSNLPSETGSTPCTVDEDCTGLSIGLCALSDTAC ICLNSVCQPDPDVSSSSTGIDQGAETTGSSDAELTGTGSTSTEPTGADPTGAESTSGQ SSTVTNPGTSPTDGTQTPCSEDNDCVLNVDLCVVAGINICGCANGVCVQTTDPETVST LSASSTTTSQTQDAGNPGPTDGSDPTTCAEDSDCVANAALCLDGSLNLCTCVDAVCVL GTASGSNTASATDTTSQTQTSDGSTPTDGTEPTSCSEDDDCVVNAGLCLSGVLNICAC VDAVCVPTNDPDGNPASSQTQDADPGTVTNGAEVTACTDDNDCLANVGLCLDGLLNLC TCVNAVCVQDTTPSSTQSADGTDNTATPGGGGAGNSVACSSSDDCFADASLCIVGGLN LCICLNAVCVLASPNSNNGATDGAGSTGGDQGAGSNGGSTATGSIDCATDQDCVAAIG ASALGLFACIDLICEQTATATASVPSATGVSSANDATCTSDDDCATALSAGILGIFVC VDLLCERVSNTNTVFSTVTTGTTSAPTAGPSDTGDNTSVSCSIDSDCTVAGETCSSGV CRATTPSTGSGGNDPCSDTTDCLLSVNPLCALGLCVCLSGVCGPDSSQMDTCTSDGQC GSGQTCQSGVCVDEVTCDTRQDCVADLNLCTILGICVCVNGLCRLP FPSE_04518 MICRVGPVSLSSRKALVAIVLFVFLFWHLSGVSRSTPKLHLQFV PSTFDWSTLRQFHPPTSIKPLPTAKPHKLPRVQADSSKFRQTSSTQIRQKAVRDEFLR SYRSYKKYAWMKDELTPLTAQGKDTFGGWAATLVDSLDTLWIMGLHEEFGEAAQAAVT LDWGKPHESAVNLFETTIRHLGGLLGAYELSHEKVLLQKAIELGEMLYVAFDTPNRLP GFWLNFEDARKGKQIAGVHDPSASPSSLVMEFTKLSLLTGDPKFYDATDRVTQFLVKV QNSTLLPGMWPVSLDFQNEQAHDNTFSLGALADSLYEYLPKMHALLGGIDENYPIMYR TAMDVVTKHLLYRPMLPDQDDVLFSGDVRVNDKIELSTESQHLTCFTGGMFALGGKLL GIEEHVKIGERLARGCGWAYKVFPTGMMPEIFNLLACPSLEPCVYDKERWNPPRDDIP SGFRHARDPRYLLRPEAIESIFIMYRITADPIWQDVAWDMFQAIVRYTATPLGNAAIE DVVNVDTKQTDSMESFWLSETLKYFYLIFSPPDLISLDQYVLNTEAHPFRRPTQATPR NEPPPPPTEESTTCRECRRRKVKCDGVMPVCTICRKQLTLLEERLEKAETVLRRHYTD SQIAEMLEESKEGLLAQSKAQSKAQEPALQVQEPTPAQTPGLVSLPATTADNLMQLPT PTTDPGFYLAGQSNIANLTADPFLLAPIGELEASAGLWAASQDAAFEFAPATADDFEW NEQETSWGTYDPASWSITNHVDGNPSQAIMDGMASLTIGDQKRGYYGAASGSALLRQI LSARPDGEEVDNDVALHEIESLFQQHSDHSQWFRSQAMLTRVAVENLIDAFFVFYHPT FPIVHEPTFRAQYAGTLPCANKGHWNTLANILAALGSFASSNVADATDLPIFQAAQKS LFSNYLEVGNLTLVQAFSLSSNYMQKRNKPNTGFNYGGLAIRLAIGLGLHKDLEGNSL SPLQSETRRRIWWCLCVLDVGATITYGRPLNWPQAGVETAFPQNIHEKDLTHDSTHCP PEVDGITMYTYIRVQSAYHLSTMTVYNRLITSPFPSATELITLDDVCIGSWLAQVPYY YRTVPPPDSEYGLGMGISEWRYRNLRIVMYRPFLVRWARSSAQNTQQNLTSSENLAVF RCLDAAKESVMHIQSYWTSRSHSRLAAFYILYFLFHATLIPVHCLRQNPHHALAPDWR SQIQASLAVMGGMVELNPNSSKCRDITLKLCWPHLNEDGTRTYCENPSFMPNVAENEA ASIISGYNTWCESMSNAGISVPTYEWADDNDSALGFF FPSE_04519 METQQQQLPYRGAPFPSKWRRNHPRSKNGCLICRSKRKKCDEAK PVCGGCVRTKQECVWPTPNGDQADRQLGSHTEGVLESHFSQLDPSACMVLAVSEGTTP SFSLRVAPTYGNLAYLSDDSKPLYQQYLDITAEMLTRGPSECGNPFVNYLLPLAFSNE LVMDCVLAIGGGHLLVNNSKARGLEVATRGHYAKVLTGLQTLLSYEIGQVTPGMVEQT TSTRTSQILLILQLLCIYDHIQGNTRGAIYLHFKASREYITTLTSSCQPYDELAYLRG FLLEMYTYHSMKLALSPRNMLRQEHVEIDPSVHSLEILDGYKSRGFLLGFGQSLFEMI PQIHQLVEARREEEKLGLGESATLRKQYDYLVAKLDAFDPSVEDLDSLLPTQDRPSAT LIYQNALIVYLHSAFCTNMLSDVSLSIDIDERINKTMPAFITLFLGDSPYRRMLLWPG VIMASCAQREQHLRVFRAGLVGRAERTPGAVKTGARIVELLWNDPDPRAFGPRGLSYI ITKHDISFGLC FPSE_04520 MTSSSKTVAFFGASTGIGLSALKETLAAGHRCIALCRVPAKLET LRTEHANLLDIVEGNVYDSKAVSSCLLNKDGNLVDEILFSVGAKPVLLKLTLDDPKVC QVGMNVVLECVTQLRSKGATGRPLIMALSTTGTSRFGRDYPLALFLIYGYALKVPHED KIAMEEKLAGSGEDFCIVRASLLVNGKSETPVRVGVEDPKTGRESQEIGYTISREDAG KWIADNLILQRNSDYVNKIASITW FPSE_04521 MASSVLPRRNGTSDKSKAISGSSRGHSDCATGSYFEHSTAKRIN TDSVFTAALKKQYPGLELIVVPDYQCDLLAYAGAGHATFNVIHDNGDFPSSLETTVYL APARRMDGNKGQLGAQVVFGKFLYQWESQEFVVYLVDGRDGAEAYPKVKNFYILTANI HKAEQLVLATGSWASDLHDEVWVFDQGNFEKDRELWKSAQKSTWDAVILDPEMKEQLI NDHISFFESKQTYKNLGVPWKRGLIYHGPPGNGKTISIKATMHMLADRTPTVPTVYVR SLESYMGPQYSLHVIFEKAREFAPCYLVFEDIDSLVTPIVRSYFLNEVDGLKENDGIF IIASTNHLDLLDPGIAKRPSRFDRKYYFPDPNLEQREAYCRFWQKKLKSNKEIEFPDE LCKAIAEITDKFSFAYIQEAFVAALLAIAGRSKEKPTKPSCEENWVLVSDDKVSGVTQ EQGDLSKLELWVEIKKQVKILREGMEDEDDA FPSE_04522 MGSIVHSTDSIIAILDGVHPHQFGGDEVERLRVRAAARRLLARV ESPYERAWGFCFEHPAVFAALQTCIDLGIWETWTDVGGGKKTINDLVAMSGRDVDTNL LRRLFRLLAAFNVVEETDQDTFKPTPFSYAIGDESTKVRASLEAASQHYIAAGQSLPK YLAKIGYREPISADDGNYAQSDPEGLNFFGRLQKSPEYYETFTGHMEAWTAWKTPWTK ICDIGKLIDGADLSKPYVVDVGGNTGIDISHVLAALPNLPAGALILQDLPEIIQKVDV DHKITAQVHDFFKPQNVIGSRVYFMHAVFHDWPNAEATKILQHTKNAMTKGYSKLFIY DIVLPPKGASISQATMDVQMMSLLSSSERTKSQWEHLLEGAGFHIVKFWPDPQQYEML IEAEIS FPSE_04523 MASYYGGLGPMLNAVLWVQVVISLVFVGLRIYTRSRILHSVGPD DYLVMTALVLQIIYSAFVTAGTKYGVGRRFNDIGNPDAYFKAVELEVYSQVAGILLIG VGKCAVGIFLLRIVRNRFQKSFIWASLAGTVGITLFASIVVVVQCYPVESTWDKRIPG TCWIDFSKVGLTVGSWFVVADFAFAILPWFVIWELNMKRKEKITVACGLSLGIFAGVC GIVRTVALDGLNANEYIYDTVDMLIWSATESTATIMCSSIPVLRPLYVRFRYGSKGDS SGENSSYKLPMYGNHSGKKYGTGSRTEAPAGPSHQTVIAFNANNASDESILRDTKTQN NGGGITRTGEISISYGEM FPSE_04524 NGTSNGTSNGTSNGTSNGTSNGTSNGTSNGTSNGTLNGTLNGAS NGIPIDDSSPKLFVLSASTEKALSSTSENIKKWASTHELDSHTLCNLSHTLGVHKSTL AFRRAIVASTSAELLEELDQTVSPKRATTHSPLTFVFSGQGAQWHAMGRELINTSQCF LQSMMAMDDTIRGQGSSWSLIEELLKEDSVSRISEAEISQPATTAIQIALVDLLESFS IRPSRVVGHSSGEVAAAYAAGALTKENAIVVAYYRGVASLKAKAVAEVPGSMMAVALG ETEAQQYIDKVTTGTVSVACVNSPASSTISGDLTAIEELKSLLDAEGIFARALKVDTA YHSHHMRRVAQDYQESMRDIQSSEVRSGVTFYSSVTGTTKSTGFGAGYWMENLVSQVK FSQALALLRDDQLRNEAGMDTSVFIEVGPHSALAGPARQTLAQSGSGQFKFEYLSALV RHKDAVQTVLSLAGKMFELGLKMDLEAVLKITYEAEPKAIRDLEKYPWDLAPFWHESR LSKSHRFRKFPPHDLLGLLDPASTVHEPRWRYYINLDDLPWLRDHVVEGFTLYPGAGY LTMAIEAMKQLVQLRDAERSISRFVLREVAISKSIVLNDSDNDGQSGEVEVQLSISNS RQYEGSRWETFRIWSYDNASESWTEHCSGEITVEYESTENDKVNGTREADLRRDESMQ LLNDARQSCDLDMTKTEFYEFAKLTGNQWDGAFSPIDSLKYGNQQGLLDIIIPDVASL MPYRSFKHHVIHPITLDAVHQLSGILFKKFVSNAPCVPTKITLLEIDAKISARAGDSL TAAMHIEPDGLKASTGQSWVFQQEADGQLRPVIKLLVNLRAIGEAHQDENRPFVQDKV NRLEWNLDADFLKEASFSNFLSTTLGLDENMTYNYEGSKVSTVEAEESFRAADQAASI WIRDALSYVEANKIEITSPHLVKYFKWMNKWVNSDYYNDIMSGLRFEDEVSILERIDS LTNAPELQLLARVGKALPGILSGTLEPLSVMLEENLLIRAYEGGTFSGDYEAAVAYLQ LLTFKSPHLRFLEIGAGTGGCTKRLLGGIADKNSGSLPIDQYTYTDISSGFFEEARST FTHWEPYMDFKTLDVEGDPLKQGFQPETYDVIVASNVLHATKRMDVTMEHVRKLLRPG GSLILVENSPKGAVIGLIFGTLSGWWAHEDEFREDTALMYREQWNTILSRNGFGGIHV ARNSMMVSKAVPLLTNGHAARNEQIVLINDASNDAILEISKNITSANVQINESKWDEV DVSEDAVYLVIDRAETPLLLDPQPQLFKTLNALLAAKAKLLWVVIQDTSNPVSTAYKG VVTAVARVLRRESGNTGFVTLDIRDPALDPEVTGRVVAETLQKCFWPKSQDQKSLEPE LAYENGTVSIPRVLPDNTFLKWARNSWDTSTTTETETTPHQGDRALKLEVATPGLLSS LQFVDSDLPVELGPSQIEVKSDAFGVNYKDLCVALGQTGSDTQMVGEFAGVVTAVGQD MSDLYQVGDRVMGFSAQPYSNLSRVNGYLAYKTPSSMSNTTAASIAYAFVAAYQCIKN LARLEQGQSVLIHSASGAFGQAAIQLARSIGADIFCTVGNREKRQFLIDNYGIPEERI FSSQTGSFKSSIMRLTNGEGVDVVLNTSTGEMLRESLDCVKTLGVFIELGKSEIQKAS QLSMAAFQKSITFNTFDLMTLATRKPKQIHQMLGEIVELFGSETLRPVQPVNNYPIEK VEDAFRLISARKHIGKVVLTAQPSSTVRCLPAKSTPLRLRKDGTYVIAGGLGDLPSRI CRFFAARGAGHIVSLSRRTIDEETRLKHTAAVEAHGGKLHLLRCDITDEDQMREAVSF CSSLPPARGVVQGALALRDRTFSQMTVDEWKLPLQPKIFGTINLDKHFASPDLAFFVA LSSIVSVIGKSGQSNYAAGNGFQDAFARAHANHPHTQYVSLNIGAVSIDAHGALEASE NETSISTIRASLRQNSVMDISFDEFFSNFEYITTEAARKDGLHQSIQAVTRQSMMEAN DEYLLDNPVFSQLPHAMEKKDGGAAKTDKVDFAEALASVKTMAEAEQLIQDASLAKFA VFLDRPVEEIRVGQSLATLGLDSLVSIELKNWMVRTFQVNLQTSELSGAGSITALTAT VASRSKLIPDEIRQAQPEEAVPVVEQVSLSKREKAQKNHDFYCCRTCKDLPRYPLVDL DEAVKDLLNSVGHFAHTREEYEELSRKAHTLAAPGSLGRRLYNKLRVKADDPNVESWI ADLLLKALHLKRRYPLAPFGNFMGTHFDSPTPHTQAERAAILTATLCEFKADRDAGRL EPDFLGTRANCGHSLSWLFNAVREPNVGCDKMMKYPDNEYVAVLRKGHLFKVPLKPAE TCASYGMLKATYQAIIDLDLNDNSWAGMLTTDNRDSWGLNRQTLLALDSRNKAYFETI EAAVFVMCLDDNSPITRADRVRCGYIGDSFNRWHDKCTQIIVTANGRSATIFEHSMID LMTVSQLSQRLQNAINTLDPQAVTASNGSSSVDPVCLEEIPLVTTQDIDRHIETLRHD YLAITSTKQYVPHFINGFGKTLLLDSSAPIKATVDLTIQLASRLYFGYLPASWETVST AHFHLGRPEIVQVVLKSVMDFCDAALDDSVPRVEARTKLLQAARECNAQIAKGTEGRN YFRLMDVIEVMSQDQKDEEVPELFSDPVWKRGYPQLIMQTMVETKISEDPGFTMPHPE SVWMSYTVLDDSVEVCFVSPPDSADRFGAALDRAAEIVKGIISARDI FPSE_03222 MSPPAPIAIIGVGCRLPGGANNLDNLWKLLSESRNGQTEIPKDR WNADAWFDAYPDAKQSMVTKHGYFLQDDISQFDAKFFGISSAEANSMDPQQRFFLMTT YEALEDAGIPVETLRGSNTGVYASIFERSYDRMGHKDLSTIGRTHLNGTGESSLHQAC QSLRLGESELALVGGSQLVIQPDVLSIMSGMGMLNPDGKSYAFDSRGAGYGRGEGVAT VVLKRLDNALKDGDRIHAIIANSGMNQDGKTPGLNTPSGEAQAALSRRVYQEAGLNPA DTSFVEAHGTGTQAGDREEIGSISKVFCEDSGRTDDLYVGSVKTNIGHLEATSGIAGL LKSILILKHGQIPATLNFIKPKPSLKLYEKKIKIPSELTKLPTPQNGPPRVSLNSFG FPSE_03223 MSSDSTTPEMMPESDLIYPLHEFDDSLVNRYMQHWTLRFDAVLD TKKLSAALTHLLSQGDWRKLGGRLQFNDSGKLEIHAPRKYTHDRPAFQFSEETFPVKT TDHPVAKNLPRARGKPELFPGITGQFKPLTLGPEWGLDFDEHVRRQEPVIGVHIALFD DATLISIRWSHVVCDFMGIKGLVLAWSMDLDGRYDEIPPFMGAYDDPLKDIGVSPKEP YALAHLKLNPEAFKKTYDLYLEHVEAYPVTVRRMLVLPDSTVQKIKMDFIGGQSSDTL KVIPKGALYNGQFVSDADILSAWAARLCCGILPAADRPVHVMGMYEARHCLPETFPQR KDKPDSSPVFIANATFSTSTNTTLKALRQQPLARTALLVRDSVATLTKAPQVHAQLHF LRESYQKDRDNPAIFAAEDSFILVVSNFSKMLFFDSIDFSSAVITPAVACHGKSPGKI AWHQWTTLEHTTHMRNMFQVGGKDGQGNTWMMGLLPPEAWAVAEKHLAGLADRPLPSK L FPSE_03224 MFVDAPPEEVDVSDTGFVAVWLKPEIFKCYKKSGEITKGEIYFS WGWGDDQSRGISHKTRGFGSVVTGTIRDFPSDTPDLHNDNVSKAVCGTVICWEADQSS SEWWDESLREIDRLPTYLALVIA FPSE_03225 MATTTAEGRIDRFRSWLEAKSTPLKTAGRKPGSNLLLASALHGI VHRGKGHDLTELERLATRPFMTMTESEEEIAALGQICSEAKAAARSRSFAAFNAPSAI MSMPDDEPLTREQFNDQVRAWRGDGSVASHPGGVI FPSE_03226 MRFSLITSSFLALGSFVEAGFNQGAIRAFDHPHPRGYDQKRAPI PPKPAFEKRAKSKFLNKKSEKFAVNGSAIPEVDFDVGESYAGLLPISQSPDEERELFF WFFPSTNPDAGEEVLIWLNGGPGCSSLSGLLTENGPFLWQQGTLSPVANSYSWTNLTN VIWIEQPVGVGYSQGKPNITNEVELGLQFIGFWRNFIDTFDLKGATTYITGESYAGFY VPYIADAFITAADDEYYKLGGVAINDPLLGDGTLQQQAVILPFIEYWDKLFYLNETTM NALRWTHEHCGYDKYLEKYGTFPPPEGKFPVLPDPYKDTKNYTCDMFDLSYAAALDSN PCFNIYHITDTCPKTYSQLGIVNQGDYSPPDAEVYFNRTDVKKALHAPLDSIWYQCTP NNVFGFGNPNSTRSDKSLAPAQDGVLKRVIEYTNNTIIGVGRLDFILPPNGTLFALQN VTWNGQQGYQKYPQDKQFYVPFHPEYNGGRLSEAGIVGQWGYERGLTYYEVQLAGHEL PGYSAGAGYRVVEALLGRIKNLGVVEDFTTQKGDFQGNATAMSMKGLNPLGQPFGHGP IY FPSE_03227 MASSKIFTNGRFLNDTHTPHKECMITQGSKIVYVGPENDPAVEK AKSGGAEILNLDNRVVLPGFIDSHVHLLYFGLSQQKLDLGGCKSLKEIRQRLSAYAER NPDLPHILCRGWLQSSTDGIALASMIDDIDPRPIFIDANDLHSTWCNTAALNKLPMEE MKAKVLECIRCDKDGNPTGLLAEAAIVAFIWPFLIQSLSMDEKLETLERAIEAYSAEG YTGVIDMAMDPVAWEALQLLRETKGINLHIAAHWFVPYEADQSLQDKHIQEAIDMHNK FHPSKSPDFCIVGVKLIGDGVVDGCTAALSYPYGESVDLVEPLWPAEAMSRALQQITK AGMQCAIHAIGDVAITQAIEAIASTNNPTARHRIEHLEMATIEDALRLGGLGITASVQ PVHSDPAILEGYSKLVKPSAWENVFPYKECLDGHANVAIGTDAPTARHLSFPNLYNAT TRKSALEPEMDKVTNGKSALTLTQAMKAATYGAAYSRFAENWTGSLKEGLRADFMILP GIPEVQGLLDVKVDETWFGGNRVYDRDGK FPSE_03228 MPPATEKPVIAIVQGAWHRAFHYKAFADALTAKGFTVLQPDNVS AGQVDDIKGKTHVDDVEVIREALQSSLDEGKRIVLICHSYGGIPGSAAAEGYQIHERE AKGLSGGIVHVIYVASFALPVKGLSLLTAVGGKFGPFLDRTEDALYLNEGAKDTFYND LSSEEADKALVACAHQSTASLETPSDFVATDITVPKTYIVCEEDHCIPKQGQVAMAGA MGEGVVIETLDAGHVPFLREVAMLKVIEIVEKVAQ FPSE_03229 MACFCKHLDQLIDNFDPSSTARLASSLNKHLEAIRSILAKNKSL SKEVRYQVIPSNQRTAKILSLQSITFDRVRKLHDTLQNDTKAFWASNDDSSLQELRRR VACMTIFLRSKINDDAWIAEDVAAVAKGRTLSELRYAGSKYIKIARKLGGVGSILWLP LEVPASTYERYLNMDDAEAFEHLKNIGSEAPDLTLFVQHLITEQLNDPTLTLSFRNLL SEYGDCFLPSEQGLLQLHTLGGTEVPVDLLQSVKTPMRRWTEEGEIQSISALDFGFSS DLVNVLSNDSVLLQVAQRPEVTQQTLEDGAVTLSLHPQAREEIANRLSPQTLEDWETT ALRLLCFACPPCYEGKVNWPLPTKKAIWALLDKLTCQKRIPTSLRTCVIEALLYFCER DLFSMRLAAIQRAKTLLRKNMPFYYQASVTLFDSIISGKDGNFENSNAIINEFLSSEQ YEANTRSDNALRGRLHISSIENKIHRYETDVATTIYNWKGIHPLSTFEIEVTRRLQGV AAKFFHSIGDFKTTRASLEQHLWLNSTMPIRHNTKLLIVTRLAEIHCELHEYDKALQI INVELETMSVKKGRPFRRLSMAMAEAYIGLGQFNDATSVLEELITVEPPELDDLNDQV LKMRRLILSARIQHERCNFVDALMLWNQTGQVMQDLSTFNSRHGWIAAIIQISIAHAQ IALGNSEGGREAWDAAVEVAMRERFEFVFPVLATTWLQKIVGEIYQAKGWPLRIMMPG GKSDLTWL FPSE_03230 MDPSKEVKDIAHVSPASDQSDNDNEDHGKKPVPLSMKILSVVIV SMIGFGGHWSSGVTGALKSTLKKELHISNTQFAVLDTSENFIKTALILVSGVLTDRYG GASTMLWGNAVFSLGAILIAAATTVRSYKFMIGGAVIQAFGDVATQVAQYKIFSSWFP PSSGFASTLAFELGIGKIGSFVGKATANVIAENLGDFSWAYWMAVFMNLFTNVATLFF WWFTRWCEKRYAGTRDPATGEKLTENNKKFEIGKMLRLPWSFWMVCLFSLFQTSTASI FASNSTELAQQRFKISAVKAGWYSSMSQYLGFFFVPLIGIFVDMYGQRLTLMLVCGCG MLLSMCLAAWGPTVPGTAASFGIFAVATSFGPTVIIDSIRTSMWYQEVFGSGYAIKIA INNSMTIIVGVVAGVIQDRDNNSYSNVTILYATLAVGSVVVALIILALGFASDVLGHL QWSRSKRVANGHVINAKREESEKEGQSEWSRKLGLVNFGAVVVLILGAWAAYFWGLAT KNTY FPSE_03231 MSDPKPQAHEGEDTKDIGGPLENTPSYGQEKPEITPNLSNVAAL GLPNAAELEKKIVRKLDMFMLPQLWILYMFNYLNRTNIAQARLNTFNQDLNLKDGDYQ TAVAILTVGYMLAQLPSNMLITRVRPSIYLPVAAFIWSAISAMTVLCTSAGGLWAVQF VLGIVEAPLFPGAVFLMSCWYTRREFALRVALLYSGLVLAQAFSGLIAAGVFSGLDGP MGLAGWKWLFILEGAMSGFFAITAYFVLPNYPESPTGGAMRYMTEDMRKVAAARILDD RVEIHEKSTVWVGLKLAVIDIKLYMFLFMNIFITSSYGFNNFFPTIVRGLGFGNSVTS LLMTAPPYIFGTLCTFYVSWDSDRRQERGLHIVIPLSCSVAGFIVTVATGNAAVRYAM TFLYAAGCFSANTLQYTWAVSTMSQTPEKRAAAGAIVNIFGHLGNVISPYFFPDKDQP RYTMAMILQIVFASLGITLATLIKWFLTKKNKKIRSEADRLGVDFNPFTT FPSE_03232 MLFNLVLVLSLSTSATASTIPDIRKLDDSTITNTACNKALEAEI HCDKFLSGIMTEDPEWTKEKVKLADSVCTDTCYDSLQSWYDTVTDACDNGEDNFLVLD KVSHTGYGGEMWRAFNETCVKDPKTGRYCQEIIEGFSEIDDDEQRPYEELCHPCYGKV ITAMTGSPSWMVGSDPATDYWDGQLELVHKVCGDSGSSKSKPFLIGTEISKDEHSPGS SHGAISTPVSYVSTASLQRTSSTATASLETGLSESESSGSNDAGLLGVDNSGGYKYAL FALGVGMFIL FPSE_03233 MSSLRTYLIAEPILYGILLIPSILIAKRHGKPGYLGWGLVTLLC VMHAAGGAMLLTGTRYGMTVLTNCAGVLLLASCGIWWEANHHLESLDMAAKIKVGVVH FLVLVGAVLMAMNISVLSGRMQAYIACGCWGVAWLAAFAQAIMSMKASGGFGEPTQKL ITASVLGVVCAGVRIIFTILARTRMVYGLHPRGGSFLMTLSCVFFPEALATIAFVVIG MMTRGIGG FPSE_03234 MAITKYKAAAVTSEPGWFDLEGGVRKTIDFINEAGQAGCKFVAF PEVWIPGYPYWMWKVTYLQSLPMLKRYRENSMAVDSEEMRRIRRAARDNQIFVSLGFS EIDHATLYLSQVLIGPDGAVINHRRKIKPTHVEKLVYGDGAGDTFMSVSETEIGRVGQ LNCWENMNPFLKSLNVSAGEQVHVAAWPIYPGKERQVYPDPATNYADPASDLVTPEYA IETGTWTLAPFQRLSVEGLKINTPEGVEPETDPSVYNGHARIYRPDGSLVVKPEKDFD GLLFVDIDLNECHLTKVLADFAGHYMRPDLIRLLVDTRRKELITEADPNGSIATYSTR QRLGLDKPLEKKEGEDTPDVL FPSE_03235 MTLITTPGAPLLAGVFLFVIATYTLVTSLSSPLRRVPGPWYTNF THLVLKFHIVTGNRIHYVHSLHQRYGPIVRLTPWEVSVSDAEAVSAVHKIGAGFLKSA WYDGITPGREPGIFAMRDPHQHSARRRLFARAFSNGSLLTNWEPEIRQKTSLAVQKIK QDALGAGADVFKWWTLMATDVIAHLSFGESFHMLEQGKQTRYIDSIQLAMINSGIRTE LSWIYPFLKFIPSQGLKTLLNADNVVYDHGTVAVRNMQSAGDGLNRANLFSQMVAEAD GQEKTTLTASSVQAEAGNLIIAGSDTTAVTLTYLVWAVLKHPQLQAQLEEEVCSLSDE LTFDELKNAPLLNSIIEETLRLYGAAPGALPRVVPSKGATLVGQYLSGGTVVSTQAYT FHRDESIWPDALRFDGYRFMDKFKMTASQKAALSPFGAGSRICIGMHLAYMELRLGAA LFFRECRGVKIGVEMTDDMMAMENHFLIAPKAHKCIVKL FPSE_03236 MKLITLFSLFKATQALLIPSPPGPYHVAVKNMELVDQSRIDHFA PEPNTKRRIMVSVYLPVEAHHRCKAQVVPYMPPLTAGVFGSLGASLGIPNGTIESFNM EFCDLSTIKPKKGHRSKEFQVAIFSPGAQGTRLVYGAMARSLASMGYIILTLDHTYET LVVEFPDGSAAYATTGNTSTLVMLEARTKDVSFLISQLSNRTLTNNLFAHFPGTFNPD KVAVYGHSFGGSTAAVTVQHDHRVLGGLNLDGPIYGSVNHQGFKNRPFVLVSVDGDNG LEWDEFYSKIDASKMELKFFKTQHYAFTDVPLLLEKVNVPPEPQSIIDETFGTLDGRG VEKATNEAMVGLMDLLFTNNSKTLERIGKHVNIQVLRSDLVKNS FPSE_03237 MYEYPKEAVDKAKLVSEHIDSCAQASCSAGVESQDASSMRLYYA SYCMENGYTADVMTEWYTGTVIAEATKTDNNVWGWSSATSTGRRSELFDDDERESLVE KGRKEDSGAKVRAVDLLLLGVPLAVTLFQLV FPSE_03238 MGVLQNSRRCLLIGVIFCFSTLILSSYFLFKPYPQPAQQPQQTQ PQPPVPKIIDALKPNCHKKINTTDANDAALPSLLAELWKPLIHPLEERVFVTDKGERF EIPENQMRWKKPLGKKVVLIDTDTRLSANNENTMLNECPLYYPTLPGRTAGHLNHYLY AMIHGYDYRLVRAANYPDRHGTWIKPAVAKEALKEYDIVVSLDSDAVFTHLDLPLEWL MNLWGFTKESLVAMAYDLDWKADYDSHGNLILNTGFVISQASQRTQDMYKRWEDCPRS ISGCEHWNFNWAHEQSAFSYYIRYEFNRTNEIVNIPCNHANGNEYAADGQYISNQTYP MKGIQI FPSE_03239 METTLDVQDWKDIESLIQKALGAREAYRTGTKDARAMAAAWRPI MRLLAKFPEGTFTKNNNQDIADIDPYGKEVLTAFTEGMWAFSLGPVLDDLDCPQTATD LYSYFGQDLYQVWYNSEPKDKNNWAALFQDVTNKVADYNLITTDTTARSGLDNSPRAA MAAHAWVITNSMCGSGDVGHAIASEARQLWETRCGPFVVSHN FPSE_03240 MPEASTIPKFVVDLSLPPEVRYNHIVPQFQQSIDSCNLRSLYSM LLTDLAGGSIGNRLASLSRYILRCVHSNEETAELRGLSKAMDMPMHILVAFNVLLDLL LGCTSGGVRTFDTPISKGKTRMLHFRTLDWGMEGLRHIIVELDFVRHAGGPVVATTIT YLGYVGVLTGVRRGLSMSLNFRPCHASDTILQRLSFRWNQAMVVLGQRQSISSALRDI LLDESAEADVKAESTRDSTDTAEQGVSDQYVQKVLERLSTSESSAAYLILCQPERVFL VEKDHKTATIRESDTFLTVCNHDLKHEDDPSLLRQAAAELEEADNALGMAELVSLSVD RKRHLEGLWREGTSSCQRRYKHQRDVVTHRDVIRFLEDDEIRNEETHYAVIMNPKDGK VIWRKKYEAGESSEE FPSE_03241 MTGNHTGFLVPDTYVDDLPNDIDMNIASIAWGLSLGITVFNMAK AIRQTETSWQRRKKITGYVALIWAEIISSFLLGIMCWFYLRGNIEPSMQFFFFIIVWW STQVQCLIQIIINRVSLLMVVRSNGTKLKWLCFLILLSVNISVFCIWVPARLQISERY IHLNEIWDRCEKVIFAIMDAILNFYFIYVVKQRLVANGLQKYTRLYQMNMLLCGISIA LDVLLICMMSLPNGFVYIQFHPLVYLLKLHIEMNMADLIGKVVRAGNNDPRGGGNDYS SRSRTTGASRAHGTRFGNTLASAHHRTHIELGEDDEYELEQREKIEGIKKTVVTKITH TGEQKLDDSDSTAEDQNDNAISEASSTNHLHQRNYSVV FPSE_03242 MGLFKTSDFVAECEKSAKTLQAFLDKGKIPSDVIRNAKGLAIFT GFRAGMYFAGAGGSGVVMARLPDGTWSSPSAFSVRSGSVGLVYGIDVYDCICVLNTAE AVDAYRKSEVNLGGAVALAAGPLGGNVNVGEVKPVWTYTKSRGVYGGLTVDGTVIKEK RDVNAEFYGREVSSAQILDGQIETGWSQNIQELLEVVKAVEGKDAE FPSE_03243 MAPQELKETDIHQLITILRDLFNEYENTEPGSTTPGSTTPGSTT PGSSAARQQEHPSTVDWAAFIILNTSPECAEIRTIVTKQLVAIQTNLEFAAMNDTNSP NRPDVLRSLKDAERWNWIQQLEGTTSEALEAIDSAIDRLNKVKGALRDTTINERTDWK QAHINSDASSTRIPIRETTQSLKSKIDDAKSQLDKGNYKEAEEQYRGILKLSSESTGI REPCIVSIKCNLANALAKQGQRDEPEILYREARELGTACLEETHPNILACRINLARML EMREKYDKAEKIYRDVLRLRKEATGPSSLSTLSTKTRLANDW FPSE_03244 MANNQPNRTPFLTVRKLIQLVIETLGNANETKSLALINARSELD FELSLASIELVNCQSQHYERLWENIDVLLRILYTLVIENNDDHPKPTNFYQRIDLALA RASDYEADPTKPSLIQLPQTVEEFRNIAQKVSAWRQDNKVVKSDLELGKDDAKKEAAE EFMEQAYEFYDAIIKPQKGKMAIKVAVLDTGVDKDAFDFGAERERGDTSLKAVESFIE EVDARDTYGHGTDVASLIVQMAPHVDLFIAKISEGKCTSDVSAYVKAIKWAMEHKVHI INISSSIDEDEGIEDIISKAESQGIIVFAAASDNQANKPRPFPANMDKVLAVYFTDGR GNICDRNPRPVDEDANISTLGECIPSLTGGIKPLSGTSYATAIASGMAVNILTLADEH LKSKKDQWLRRRVFRRDGMRKIFIHISRKKDLQGYNYVCPIRVKEVGDRGNDYRGWFI DALQKS FPSE_03245 MVKEYFLAPNYTTAAEGPIKLGSILRNITEFEPLNQVVETIPAT QLYPIDVKEQFEISLHELHSVNLNLKARALGLLGLGTGASIERIRGNNDVISCHRLET LSFNPTDSYIEASMEDSNVNRFMRSSRFRKPIYMVTGLKIARAAFRTSSTNSLVAVEH DTSLLPPGAPVAVGGTVRCSISDDQREKWDRSTDFILAFKVKKIWLDRKNKVKQEGYR KMAVMQGETSSVGESPFAVRSDDDLTPEEVEEMFSQAED FPSE_03246 MSSQPDLRIGVDFGATFTGVSWATPKEEDKRTKIVTRWPGQTEE HSKVPTVLSKHGSGTNIKWGFLCKDIADDQTWKLFKLLLEPATYQKESSREVRGPWIP ETIDEVYGVVVAYLGQIYIRISNIIPELIKQNHHFSVTLRNKTWDSMKVEFVFSTPAQ WEAPVSQCFRHLIFRSGFGKIKNHSAVLGLTEAEAIVYHTCNAQKTTGHQVQNDNIIL SIDAGDVTTDLAFVKKFNDSVTLEEISPVTGIGVGSIRIDGRFADLIEERMERYPTVC SHLPVDFPVKASQSNGFQDWKHIFGRELCEDLDVCSTTVAGGNGYTHKKFRIHDGELF FTRAELKGCLDETLERIKKHIQYALQLWKNRKNQLVDYIVLSGGLGGSDYVFEELVAY INSLAAKRDSRLVGVQFLRTAGDTRTAVVSGLLGWRNDNAQALREYIARASYGIVVRN PPPSLLSASDFITQDGRVKWIVKYGSYIKYFQPITVKLTKYFNKNDPWTWTEEVMWLD KEERILPPRKIHEKRGGEVLYRVDLGVVEGSTKLETVTSFMDSMVYLKCDFELALMIG PSGDCVVTVSKNTLKLTEREP FPSE_03247 MRLFRGFIAFVLASSPLVLAQDSGSSAILASLPKCALKCMAVSV SNSTCDLLDTQCTCRNEQLQAEIEKCVLADCTVRESLSTKNASMTLCGAPIRNRQAEI LAVNDVLGTTSGIFVLQRFSTKLFYKLPWGLDDLFIGLSMLMAIPCMVINSYGLTPNG MGRDIWTVTPEQVTNFGRNFYMMAMFYFTLQTFLKLAMVFFYLRIFPTRGVRRALWAT VVFNCVFGVSFILIAAFQCQPISYFWTKWEHKADNMGTCLDINAITWSSGSINIALDV WILSIPLSQLKKMNLDWRKKIGVGIMFSVGIFVTIVSILRLSATIKMRAGVGANNATW EYTEFDQWSTIEVNVGIICACMPSLRVLLVRLFPTMLGNSTQQQYYKYGSNAYGGPSK PGTKNRSRSRSQPLGTTSQVDKTAYRSHVDPVGITCDRTYEVEFGHKYNDETELFHMK DMELNRIGARF FPSE_03248 MTISPLTLRIAMLNADAPVPNVIQQRAPSYGHIFHELLSQSATR VAPGLAVVAEYFDVYHGVYPESLTHFDAIVISGSGASSYEDKDWIKQLDAYIAKVYVE QPRVKIFGSCFGHQIICQSLLREHGVYVEKDPKGMEMGVHTVQLEQDFLKALGSRSSV TDNSTLRLQFIHGDHVKIPEGHSLPPKWLSMGQTEHCAFQGAYEPNHVLTYQGHFEFD SFINTETCKAFARTCGWGPQFIEASIEAMDKDDDSKTAADMVMRFFLEGRHAVPGIGG LMSPPLED FPSE_03249 MPQYYSDLLDTESFRLATISLGTGSLTEVQVLSVTLKTYELSSA TEIQYNTLSYTWGSPRPSHAEVNSRTYVQLNGQTFEVQPNLYDALLELEASCSETPIW IDALCINQCDSVERCAQVSVMNQIYGKANRVIVWLGKPFPELEAGLNAAERIGTESVP HTLRMIQNQFWAFNSNLSTMPEKYGMKSIDEEEALGLVTLFMSNWFARVWVIQEVSLT NDVVILCNRKFTRFDFVGYTAAFLHYSGFFQATLDLVPKDRPGIYLRGDLYLFHAERI QLLREWCKGDKSQWNRTLETIDFEAGLDDKCGKSAEMVLVRFLFTLFGLQASDPRDVI YGLGGIMRHMAAKDGLSLPSEFEPDYDIDIRDLLLNVARKIIEATDSLVYINLAKCPS MRETLDLPSWVPDYPAVLTNTLSGAQFRSVGTINASKHVPHSPNPRPFSIDGNILNVS GIRLGRVNKIGETYIQTLQGQRAMSAGVLLSMDETYPYTGQPSDEVFWRTLIWDTDLT NRPAKSIQLKDFQRAILEEIVHPLRVFHREAESPSAGEALVLNYLSEMSYLDDIAAKF PSSIFPSLNLVRSCLSFLPQEQVDLNEEEVQMLKQAISRHSMPPGSIMASTYINHRPI LTDTGYLGLGFNSCKIGDEVWVVAGSPAPVVMRRTDDENEYFMVGEAYVHGAMQGEAV TDDAVWEKIQIV FPSE_03250 MNLNQQPDLDLFQSKTTSGWWVPTPERTQRHLLSFTPTDDILIA RQYDKDSEEWDAFEIKGLDNFVVHHNGHVAVAAMHDRNMIFLQTPEGPVSATRHNINS DEWSVDFTVPGNAAPETAIAAFATDVELVVGFFGTEHKIHIHRRSRSF FPSE_03251 MSDPIKINLPQGLELHHIDNTQTLEHWTPSLTKLLQSCVNDDPP SSSLGFHAPLSTKGATEFWLSLSPQIFGPKSRISLFILARGPSAIGTVHLVSHPKATH AHKVEVAKLLVSSEERGLGLGRKLMEISERFAMEKLGKSMVILDTATETPARGFYLKL GYTEWGICPSYAESADGHLHDCSFFYKILDS FPSE_03252 MSLLSLLTWASLASAAWIVPGARWHDTEGNLFNAHAGGLCVDRS SGKFYWFGEYKTEEREEGGGISVYSSDDLATWESHGLALTPEKGHEHISPESIIQRPK VLYSEETGKYHMWWHADDRKYSLLLQGLATSDSIAGPYEFNHAIAPLGNWSQDFGAFT DYKSGKSYALYSNGDRVEGRDVYVSQFNRNLTDIEKVTHRFNKYDFEAPTILQTEKSY WTLMSHKTGYRPNNVVAMRADKLEGPWSQPFFVAPAYTRTFSTQSGFSWRINGTKKTT YLYMADQWDLPSIWESRNVWLPIEIDEENKSLKVVWHDIYDLNVKTGEWKPIKGKTYP ASKAKLSGDAFLQEATFGTDHVIATGIYGNDSTATFTVQGKGEEQWVSFYHQNIDDMG FGDQPMGQPDRINGTWAVRRISSVVVNGDKDKVHTLYQKDTHKGIILSTPLLLPLKKG DNTITVGGLDNGKGVKGADLDRIVVYPPEKKKGKRSFFGVF FPSE_03253 MSPFTTWPMALPAKSHPLARIFPALLLIIPITVSLTCTWLLLSD LWLRIFCTLFVMRYTRLVGHLLGSWIYRAHQVSDHPTFTRSDVTVILPTIDPHGPDFR ECVESILANHPACVLVVTVGDALREECQIVMRKLGLDAPDTKISVAALLEPSKRRQIT HAMPNVTTPVTIFADDHVFWPANFIPSVLAPFDDAHVGVVATKKRVRRTTPGEWSWPS IVNFIACNYLQRHNWELRTSNAIDGGVFVISGRTAAYRTDFLNNADLLERFCHEKFFF GLLGGDGLGPDDDNFLTREAMKKHWLIVFQDTDDATIETTLGEWPKFRNQLLRWARTT VRSNPVMLRDPVFVTRYPWSTFMVYWASLFNFAILWDVLLIYTLVKSENMKASDLMML LVWMFWAKVVKLIPHFLRYPSDFPLMICQVLFGYVHSFIKLWAWITFWDCGWSGRNLE GVGQAELDTAFRRIE FPSE_03254 MAPTAVSSAIDGPTTVTPSKASHSHGHELQNKTPLQAMSHGDVV LKGIPKHPDFSSQRQWQLEHLAAAFRHWHREGYVEGMSGHISVRDPEFTDAFWTNPLG RHFGLLKVSDMILVNLNGEVIGGNRTRPPNSAGFLIHASIHKARPDVHAICHSHSIHG KAWSVFGKRLEMLTQDVCKFRGDAHSVYDSYGGVVLASEEGDRIAAAMGPKGKGCILR NHGILTVGQTVDEAAWLYTSMENSCRVQLLAEAAAASGIKKVLIEDEEADFNFDVESD PEVCYCEFQVYYDLEDELSKGDFKN FPSE_03255 MVLIRYKIQCIRTNPNLPCEWCSDHNLECVLRREAQRKKPDDIS SILNHVQALTRRVTELENTVDQLRSYLNVTTPAFHSQNTPLSLPGTVNTTQITPLSPQ PHHAGSAAQHRVFLGQHWYFKGVPLFSEKGIDWMSSKIGQRPALGKFRLFGSYSSPPS LFQPRVELPDRDVVHEVLDAWLSSDWQCLYPVLDSSLVVGTIEAAYTLLPNQETIHRQ RTAEACLLAALAIYSLMTEHEMPELLEGDAYANTAHSYLAQVSDASNFETLETVLMLE IYKTLSGQWEDASTLHSLACRIVYELKGHCFNAAFADQPSEQHQRKHIRDLFWLCYIF DKDLSVRFGRPPLLPSNYCDLTLPEGLDKIYDNQSHNDQEAFVHFPQDLQLTQIKENL CLFLCSLDNPNLADSTILFHLRQLDIDLESWRLRIPADFRPKLSVLTDQPFQPKMSRL QRRRYIHLQLEYNYMTIIIHTAVRRCGAAYAMTESLPDDLHSVYHSSSDLSLEASRTT LQIFKDQDMLLQQDAFGHVAFYPPIAALALFLNILIHPLDKEARMDLDILSSSITVFQ NSSSAKMTELDIDSVQELGHFISDLVHLGESTIQKAKTEKQGRE FPSE_03256 MASLHKLNASWRLVTQNERISRSSQAVSVIGDRAFVFGGELIAR QPVDNRVDVVNLANTNDDSSETLATPSKAPSPRVGSPSTAIGADMYLFSGRGGLEMKP IEEKGAVWCYKATENEWVAITPTDNNAPFPSGRSYHCITSDGVDKLYLHSGCPEQGRL GDLWVFDVIKRSWTELPAAPDPSRGGSSIAFLNGRLYRMNGFDGNVEQGGALDIYDIE ARSWSTISYTPDGIQGPEARSVSTLVPLSIQNNSYLLTMFGERDPSSLGHAGAGKMLS DVWVFDTETNLWLKIETRNGPVARGWFDADVVKAEDAKGAVVVHGGLGEDNERLGDVW CLQFFT FPSE_03257 MTQSTSTFDKALAEKLCGIQVPKEIKFSPNGQRLVYSTSLVGGH HKDKNHTSTLWLASTYEPNSSRKLTSGSFNDTSPTWHPSSDSILFLSDRAKPGESSAI WSMRLDGGDPVAITAEDNEQDIGTFSISPDGKTIAYVSCDENKKDDDKEENPEVWGEK WDHARLRIVDVETHKTKVIVGGDTHIGEIAWSPDGKSLTFMSTQNPHIEEAMLTGTSI STAIIETGQVKHLCTVMNEPYNLTWAPDGLVYFITGTPPDKDSGGRSVYSINPKDASP NFTKVGCGDNDDAGDIRLAGDKIFVNRQVRLVDIISELGGNDLFTEYKEMWVWDVSIS PETGTAALAASLSDINTPYEVFVIQPGKEKIKLSNHGHPLEDQSFGACTVFTCQSSDG QVELDGLYLTPASKSNPDGRPQQPLPTLVLIHGGPRDRNCNSIDTSCFNWAPYLLAKG YGVLLPQYRGSSGRGEKFASYSIGGQGLYDYADVITITDNAIKKGFADPKKLMVGGWS QGGLLTYLCSVRNGLHGLGWQFNAAIAGAGVCDTESLALTADLGSTFEVELAGGYTIW TLGHDDTRNRQGSAIWEVSSAMEHSRREGKTIIPPMLILHGEKDERCPFSQAEGFRRA LRFYGLPCEFVKYPGEGHGIESQRFWLDMLERVERFCDLYIGEAPKSRVVVR FPSE_03258 MSFRRRLVTPSKTVQEFLEHHPEVQTSSEAKAQLDAFHQDDTFC IVTKIFNNTILHQEYDGDSRKRIFAFAYVDDPEALAWYEENRQDDIDSGTCDCAVGAM EGYDHCLHDSVVEVKKAECEKHKDAEEPDPDCPACWPVLCGSNCQW FPSE_03259 MPLTIHHLQVSQSERIPWLCEELNIPYDLKLYKRSPLLAPPEFK ALHPMGAAPVIQDGPITLAESAACIEYISNKYANGSLFVRPDDPTYADFLYWWHFPAG TLGPALGRIMLIKSAKLGDDNPVVQFGKAKYNQALKMLDDRVKNNEWLAGKEFSAADI MVVFPLTTMRYFSPYSLEEYPNIVKYLERVAGREAYQRTMEKIDPGMELATGVNPPKS PFKL FPSE_03260 MAEITITGAGGRKIQIPTGLFINNTFIPSTTSETLTTENPTTNT PLGQVSAAQPSDVDAAVSSSKEALKTWKTSQPAERRRLLNRLADLIERDAQELASIEA IDAGMLFNMSLGFCIVQAVETLRYFAGWADKIDGQSLDFDQGLAYTKREPIGVCAAVV PWNTPLLITAWKLGPALAAGNTLIIKTPELAPLYGQKLAQLVLEAGFPPGVVNIITGL GPVAGQALADHHQVRKISFTGSLAVGRTILVSAAKSNLKRVTLELGGKGPSIIFNDAN FENALSFATAGITMHNGQICAAGSRIYVQEDVYDRFVSEFAAKTRDAVMGDPLLDDTV KGPVISATQKERIMEYITKAKSEGIELLHGSAEPDSKGNFVPNTAFINVSPTATIMRE EVFGPVASFAKFKTEEEVISLANDNEYGLAAAVFTNDISRAVRVSDQIEVGIVFVNTW GSISANSPFGGIKQSGFGRENGTDALNDWTQVKCVKINVFNS FPSE_03261 MTWTRERTLSKHSSIASHTADVCGNEGAENNNTKDLINNRTNSS NISSENTHFTFITQALQSNLDSTMVDERTLNIYDLVKETSENSWIINKGALITRHYSK PAQPYWEDGEGAFFTMEEALDPRPFTRRISDSCPITDACKMSTHSWGLFKIGQAYLNV APNFGAQQHNTLAALAKRSFDFMIPTEYCHGVYGLYYYIVHSILPGKSIAEVLPKIDD DAVKAGWGQQIAEAYDQLSKWHGDRICGVDGGNLGSFFTTKTGRWDNFSDYASEKMQK NYEEVGLDCSEFVFAHNHMMPLAFMLDESNKLIGINFWSDAGFVPKDLVRTVTRSQSF RESVVMCKEYNWTPKDTWQWQRWIDNPLGEMGFREFWYAMQVWRLPDDHPDKAMRPSC NTKINLLCLSECIGDHVAWSLNVVDRYKAEISYHNVTGPAKAHVAQISHTLTHESPAC LTATTPLIAKHLDSSSNRLEDYEDVEDDDEESDDDGGKEHEDGDDKCSEGKEDRLFEV GVMLVFGVPIATVTVVATAVVVATVAIMVLIFF FPSE_03262 MPPTLEFAENPQTRYTLMTWQKVFGSPGKEYQLFLMQMRQALRD KNVHGYMRPGSVSIITKSFTTHAVILCLRPSNSQPFGLQTHRSVMKKLQGRPSKACNV CKKQKVLVRPQRSPFRRFLTVHSRTPNQLKVVERSSRTREALLSSRPEPLASTSGTTV PMTPDENCSEIPSSLFNALVELYFNNVYQSNLLLHKASFIKSLADSAVRPHVLLSMCA LGANFYRDAHNQLILNHEDKREEWARKAGSLVFQDVHEFHKDNIVTFCNLSLFWHSQG SWRISFLHKELRRRRVWACYLMHCFSSEKLFRFEAIADIEELPLPWPDDNFEAGAPPS VVATIANGVVTGSVFAELIRGLHLWSHVAPIVRCKETALSSRIQQIFAIEDKILTWWT NVPLRFKVDASATSDVYPESLPKILLINFIYHQSLCALHSSIVPLFCWSKGDTSQSSA RHLSAQIAFEHAGAISVLTSRILSTSCSLSAMPIFVAYAAYSSCAIQIPFLWCSEPTV RAKARSNVELNMGVIEGMSSYWKLASLLQVYVRCIYDVHKSNPPSISNEPRYTDFAAF SQFGVDSDLAKASILEFTRILRSGESGYVKPGEESRELITSKASTESSAQMSISGDAS LDQMFQDTQPGISTMTALDSQFTDLSSSMGNEWPAFDVLNSLWETDITSLFFMDDNVD LAGVDTDFIAQRHPG FPSE_03263 MSTPRTLALPSGSLPPLFALAKQAESDIFNTLHYLATIYCPLSF PVSIELDETKHVVAEPVDSGYTSGNEDDEPAPTPTSIRADPYEKSFAERWLTGFLSRA EGLEIFTSEESSQRALDQAAYIFESLFASALDEDDQNSPFLRQFSFDTNASQGDKKNI SVQLNDGLAGTNDSDFEDVGLQSWGASIVFSDMLCATPERFGLTDLSLTKHNRIIELG AGTGLVSLVLGKLIPTLGVTDSKIIATDYHPSVLENLQSNIDINHFDDSSVVETSCLD WADFSLDAPFDVPAGMLFATDVVYAPEHARWLRDCATQLLSERGVFWLLVTIRPNGKF AGIGDTVEAAFTADERPCGKSGKRLDILERQKLDKRSGVGRGDESHYELFRIGWA FPSE_03264 MSSLTSTQNGKSAIDPKALLAAYLQQLQLKPLRTKMFTQGSLSA LTEIVASYFAYARPGYGPAITSRVPKMAFYGACIAAPLTHFLNTMVQKQLPGKILLQQ LITVIFFFPIQNTVYLASMAIIAGAKTTDQVRGAVRAGLVPMTKGMCALHPILLTFAN LFVPKEMFAPFFSLVGFCLGTFFNTMAKKRIAAAAAAEKAEKKDT FPSE_03265 MLWSTVESRPSVEIHNKLRIRGNVEKRGKLLGYKIPRYMVQVLS LLNKHQDGNLCISFSKIQELEL FPSE_05823 MASFKRVAEVLLEPLGLEITSIKELQTLWAGYGHICAITARPAD SKAAAAARHLTHAKGSNNTFFLILKLISPPPGPTDEGHLRKILSYDVEQYFYEHVAPH LEEDTAVAHCLTSSWKTKHEGGELKDLTATILTDLRVHFPVEGGKRSVLGPRQVQSAL EWLARFHSSSWKSLPPNLDEYLLPPVDEFKRRETQTGGTKLWLNGGYTYLATRRSEYN SLAVDTYSEWSEAFCTPFQGSDRSVAELVAEFLIPTGRPFETLIHGDVKSENLFTTES GEDVCFFDFQYVGLGLGVCDLAKLFTCSVPLDMLTDKPSIPHEMAMDRGEETLLYLYQ ETLLSRRPADKKTFDYEWDTFVRHWECALVDWCRFQASWGFWGNTEWLEARVRYILED EGWKEWLLKETCGKGPLSK FPSE_05822 MKSSIPLTLLSLAPYMAEAQKSTTKYSSTTVFIPPVKTGSATNI YASIITSDDSSTEYLLGCQTALRASTYSCDNDFSGITYTRYKSSMDVKFGVTSFGCET GNDQAICATKTATGDAETRTLSSSESSLWMTAITFVDVKKRKTTSTHAKETGSSSPKL CKRKVRDHANSGSSGGSDSGSDSGSGSGSGTGSGTGSGSTSSGSTSSGDTDADADGDS GSTTKKPHNNNNNDDDKDCSAASVASLSWAALAMGLGGYLGLNLA FPSE_05821 MPPTFAGLTGKKLSLAISTVATTGFLLFGYDQGVMSGIIDADPF HDYFPETKNSTMQGFVTAIYEIGCLLGAMFILWIGDLLGRRRAMILGGWIMILGVIIQ ITAMKGHSALAQLIVGRTITGVGNGINTSTIPTYQAECSTTSNRGLLICIEGGIIAFG TLIAYWIDYGCSYGPQDLTWRFPIAFQVVFGLVVCLGMVWLPESPRWLLTHDRHEEAE KVIAAIRGFEIDSDETRAERDRVVDSIRASGFAAQKSTPVKALFTGGKTQHFRRMLLG AGSQFMQQVGGCNAVIYYFPILCTDIFGDKNFALLLGGVNMIVYSIFATSSWFLIERV GRRKLLLIGTAGQMLSMFLTMGFLIPGGSDPGNNAPQISKGAIAGLFTYIASFGATWL PLPWLYPAEINPLKTRGKANATSTCTNWLFNFVIVMIVPIMISNIHWGTYLFFGCANA TFFPILYWFYPETANRSLEEIDIIFAKGFVEQISYVKAAKELPFLTQEEVEREAIRLG LVDEAGRGGMMEKPGEESGTVRDESGFNSEKS FPSE_05820 MSPLVLPESGDFPLPPRSPPIPPEFDSFPLPLSSPALPPAALPN QASPQLNILTIVDHAVVIHSGGKWKVANMDPQGPQENIAWQIPHSNHWLARINSQQAN AELLSMVRPAEGNNIKRGYLSHDEGDGNVSVIICKIRITEQGRVQYLPGGVRPERVER FIPWLAMLMGFDSLHVPCGRCGCPLA FPSE_05819 MSEPTSDDNSTTNHENTPASGSASSASSHSDCRSSTSSGSGELP SDPDECRHLGHIEAIPFGHNCNVRIIRRARVAYDEDNWFVMNWNFYGPKVDICYRIPG PKNTWIARISSRANEEELLRIVGHHSSQEQKRWDRVHYITSESHMGEDAVSFIIECVK RTATGRLFHKATGPEKIPALQQRMGFQVIEV FPSE_05818 MSSSILPPAWYGLAMSYKSEGYLIIYITPYKRLPGPNWRSLPVD TFKRGVGAVSYVMRDSIQKEIYDLEVYLFGNKAEVEGRWREIKSAQRQWLYVMYPVGF DTSKIDPKYWGSPDDPNMTGSQMRLLEIQRNLFKLIFKANTQDNALQAKVFMAVQKAV QEIEEFEAGAADSDNQADDSQESEV FPSE_05817 MKFIFVSLFSLGLAVATPPEPVILKPLPDKANSGCYHYTISKKD RCCLPYICACKDGNFYLFNDEAWVKTSNGCNPPWGILGKSLEDIPGYCCKGWKAPKPK LDW FPSE_05816 MLLWLAGLALVTASITIPLSPVAPLPLSYQGAATSADDLFRKSC PEEVASSNPYTASLLASSFSNDVFSTGRVFPSSGGFIRGAVEAWAQHQHLVLRPEEVW FEVLVQMNLYMSFHSEKVRGLFVDHDGGKEKIVVEGNTWDDMVAGFGDEIDKRVKTTW LKDWIMPGFSTSTPKDDVTAAVLMMGLMKQFFDFEGWVVCGIPSVTLLGTREDWVKLE AKLDYLKEFGPDPELFAEMLKPIMKRFVNSWDDAHAEDTKLFWEQIVRVKKQWSCGEG ASEWDVSGWITGFDFFDRNGRRRGYDAWQAYDEDEEEEDGEEKETVKEEPQMGIFATD WSIVMDGQKYISLSLSDISTGYAKTPVKMKDYPHPGVDTEVYILAGNVGVERIEDEGK VTAQPVSGWFAYGPVGLNHTVGPFIGSGIEMESIAVGIESCKATKGEEVNDL FPSE_05815 MAKTAVHFGAGNIGRGFVACFLHNSGYDVIFADVNDTIVNLINE TPSYKVIEVGSEGTTENTITNYKAINSRTHEQDLIEAIRTADIVTCSVGPNILKFIAP VIAKGIDARSTDSAPLHVIACENAIGATDTLAEHIKDPRNTEPSRLEDHHLRARYANS AIDRIVPAQDPNAGLDVTLEKFFEWVVDRTPFKDVGIPDIQGINWVDNLAPFIERKLF TVNTGHATAAYHGYNRRKRTVYDALQDKEIMAEVRGALMETKNLIVAKHEIDEEAQAA YVEKIIKRIGNPHLEDAVERVGRAPLRKLSRKERFVGPAAELAENGQSIKYLLDAIEM AFRFQEVTDDEESKELAKIMSENGPEDVVKQICGIQDNEKIFPELVNVVQRVQADSAE D FPSE_05814 MAPANTLPAWSDLQSHRDSVGKSFVLKEAFASDPQRFDKFTRTF TSGGVSSEILFDFSKNFLNDETLDLLVKLAEQAGVEKKRDAMFAGEKINFTEDRAVYH TALRNVGGWDMKVEGVDVMNTQGGVNDVLQHMKEFSEQVRSGEWKGYTGKKLTTIVNI GIGGSDLGPVMVTEALKHYGADDMKLHFVSNIDGTHIAEALKESDPETTLFLIASKTF TTAETTTNANTAKKWFLEKTDNKGEIAKHFVALSTNEEEVTKFGIDSKNMFGFESWVG GRYSVWSAIGLSIALYVGFDNFHKFLSGAHAMDKHFRETPLKDNIPLLGGLLSVWYSD FFQAQTHLVAPFDQYLHRFPAYLQQLSMESNGKTITSDGSSAKYTTGPILFGEPCTNA QHSFFQLVHQGTKLIPTDFILAAKSHNPVSDNLHQKMLASNYFAQAEALMVGKTDEQV RAEGAPEELVPHKRFLGNRPTTSILVGGAIGPAELGALIVYYEHLTFTEGAIWDINSF DQWGVELGKVLAKKILKELDEEGNGEGHDASTGGLIGAFKKYGQN FPSE_05813 MKLDVKRQLYARSERVKGIDFHPHEPWILTTLYSGHVYIWSHET QQIVKTFELTDVPVRAGRFIARKNWIVCGSDDFQLRVYNYNTSEKITSFEAHPDYIRA IAVHPTQPFVLTASDDMTIKLWDWEKGWKCVQVFEGHGHYVMGLAINPKDTNTFASAC LDRTVKIWSLGSSTPNFTLEAHETKGVNHVDYYPHSDKPYLLTTSDDRTVKIWDYTTK SLIATLEGHTNNVSFACYHPELPVIISGSEDGTIRIWHANTYRFEQSLNYSLERAWCV SYQKGKQGVAVGFDDGAVVVKLGREEPAVSMDTSGKLIWARHNEVVSSIIKGGDASIK DNEPISLPTKDLGTCEVYPQTLIHSPNGRFVAVCGDGEYIIYTALAWRNKAFGSALDF VWASKENSNDFAIRESAMSVKLFKNFVEKSGGLDVGFQAERLHGGVLLGVTGQGGVSF FDWTTGGLVRRIEVEPKQVYWSDSGELVAIACEDTFYVLRFSRENYVEAVQSGQVEED GVEAAFEVITDISESVRTGEWIGDCFIYTNSTNRLNYLVGDQTYTISHFDKAQYILGY IQRDSRIYLADKDVNVTSFGLSLPVLEYQTLVLREDMETAAELLPTIPEDQLNKIARF LEGQGHKELALEVATDPEHKFDLALALNELAIALDLAREADADHKWKTVGDAALSAWD VALAAECFTHAKDLGSLLLLHSSTGDRDGLSALAAQAQEAGAHNVAFSCQWLLGNIEA CTQILTNTGRLAEAVLFSQTYQPSLTVPLVNQWKEGLEKNKKARVAKLIGVPGEDDEL FPEWDEWLKLEKEGGAATETVNGQKEESEPEAEAEAEDDESEEDDE FPSE_05812 MSWKLTKKLKETHLGQSLSPFSRSPSTSTITDKEKEAQASGAVT PTTESAIAASEAMTQSPVVKPPKPGILVVTLHEGQGFSLPEQHRSAFASSHQGSMSSG SAISGSVRPSSSQRAAGFSNGRPQSSAGGFNGIPTNHGRISGKYMPYALLDFDKVQVF VNSVDGNPENPLWAGGNTQYKFDVSRVTELVIHLYMRNPTAPPGSGRSQDIFLGVVRI NPRFEERQQYVEDPKASKKDREKAAAEFAARHGHQGAEWVDVQYGTGKIKVGVDYVET RAGKLKIEDFELLKLVGKGSFGKVMQVRKKDTNRIYALKTIRKAHIISRSEVAHTLAE RSVLAQINNPFIVPLKFSFQSPEKLYFVLAFVNGGELFHHLQKEHRFDVNRSRFYTAE LLCALECLHGFSVIYRDLKPENILLDYQGHIALCDFGLCKLDMKDEDRTNTFCGTPEY LAPELLMGQGYNKTVDWWTLGVLLYEMLTGLPPFYDENTNEMYRKILSEPLHFSDVVP PAAKDLLTKLLNRNPEERLGANGSAEIKAHPFFHAIDWRKLLQRKYEPTFKPSVADAL DTTNFDPEFTSEAPQDSFVDGPMLSQTMQNQFQGFSYNRPIAGLGDAGGSVKDPSFVG SLTDNR FPSE_05811 MQSIVIASLLATAVSANVNFMANPIMKRGAIEARQTGLPSLGDI SEECQSAVVEIAQGVPTPAPEIVSDLLKNPQTDPCSFSTPASLSSEYADYSSSIIAWY GKNQDDIMSAVKECPELASLASLVPVCEASATAAPISTRLTATTTGVVPPVVSSADET AKTPAATPTSGGSSPVETNGAVAREGGLLYVAAAAVAGVVAAL FPSE_05810 MKGSFASLVALLAVNVVATPSFRNIFGLEKRQAGRCGTGFGGVC AANECCSSAGWCGTGYLYCSAPSCQIEYGPGCDANVRPTGPDTTNVARPKVGSIPYGQ AIYRCNRNGDIAITYDDGPYTYTEDLLDLLQRYNVKATFYITGRNLGKGAINDPATPW PGLIRRMIRDGHQIASHTWSHQRLTTLSRAKFWNQMIFNEIAFADILGYFPTYMRPPY SASNATTDAWLGELGYHVTYFNLDTEGYLHDSPNTIGTSKQIWDTTVEGKSPASNKWL HIEHDPVYQSVYNLTEYMLKSLVRNNFRGVTVGECLEDPKANWYRSVTPSSASTTTTA ATSSATFPLTTNGRCGSRHGGATCRGEFNGETCCSQNGWCGSTDAHCGRGCQPVFGTC SGVSEPAGPEPTATNPGRCGSAFGGKKCDQWDPCCSRAGWCGTSSDHCGSGCQSAFGT CN FPSE_05809 MSLKETNEANHEAKASTPAEAVRDITSPGVQRIKAMSEVITMTD RIFIFLGVFLISYAYGLDGTVRYAYQPSALNEFKEHSLQSSVNTLRAVIAAAAQPTAG KIADVFGRVELICISVFFYTIGTVIEAASQNLDTYSAGAVIYQIGYTMILLLVEVIIG DITSVRSRLFFSYIPALPFIINTWVSGDVTAAVLGATTWRWGIGMWCIIYPVCALPLI VSLLVVGRRAKKQGHLVGYRSSFQQLGLKSFTVELFWLLDIIGVILLIAVFALLLVPL TIAGGFESKWSSPQVVAPLVVGFVCIPVFVVWELRAPHPLVPFHHMKDRSVWAPMGIA CMLNFAWTMQGDYLYTVLQVSFNFSIKAATRVQSLYSFASVITGTILGLIVYKVRRFK VFIVSGTCLFLVAFGLLIRYRGDPSDSNQSGVIGAQILLGIAGGMFPYPAQASLQAYV THERLAVMTGLYLALYQVGSAMGNAVSGAIWTQVLPARLASSFSGFGNETLAVYTYSQ PLSAILDFPVGSVERDAMIDAYKHVQRLLTITGICLCIPLIAFSLCLRNPKLTDQQNL VEDDKPSEATERTARASA FPSE_05808 MIMSQNPDPQLNGTSEFPSPVQFWKTQRIREFNKKMGLEKSEVQ RENKCHQQMTLLREQYDKAVAEHQSTAEKTREQIKNLENQISQAKQDVEQKASLARRL EQHFQTDRNELEEKLGQTNQNVQHLRTELDEARQSNRLLNEQVNKAQEREKQRDKHQA HRRAEQELKEKVMATQEREQQSKEAQQTIQDLESQLSQAQQHAKRCADAASRLGRELK EQINVTQESEKQRERQLDVSEKGIQDLNNQLSQSQQDAKRHAESARRLEQELKEQAKV TQQSLTEKLNLQGQLDYALQTSQDLRKQLAEAQQKREKTEQDEEKLKESHQRTPNLGK RPADSQQAPGQQMELHESHQSHTEEDPNKQPAQAGRGRDEQNQDEGIGEPERDNRREL WREPRQSRSAYGPQGIDIWVQVRYV FPSE_05807 MKFLSIVSLLAAAVAASPTTPSKTLDKRATTWCDAFGSLQTAGY TVYHNNWGRGEATSGQQCTTFNSYNSGSFSWSTKWTWAGGNIHVKSYSNVALEKINKK VSAIKSIPTKWNWQYTGSNMVADVSYDLWLAPSVGANNKYEIMIWLGSYGGAGPISDH GSTPIATLTINGSQWKLFRGPNGDTTVYSFVSTKNLGNFQGDLLPFLTYLTKSQGVPS SYVATSFQAGTEPFVGSNCVFTTSAYSLSVN FPSE_05806 MTGGKKEGSTNPSAVRIRDNQRRSRARHKEYVEGLQKKLQDYER RGVEATLEMQQAARSVAVENSRLKILLGYHGVTNDDVEKFLQSFPDQSVSDAAKATIS QSTAGQPPIALAPKMPLQPLSRAPSDSPRTLPLPQIQQETSTNTRDVVDAVVGIKRDS RKAGLDGRPKLPLPIEHRQPLLQPRPQLPMLPALPSLVLGQGRPEPPPLDKLSVLATA SVHQEPDSNKHRRSHSNADSLRVPSPSIMGQSSPASSHTTPTSRLSSTSP FPSE_05805 MAPWVRSCVESRDLNQGEPSRSPFGIEKRVVARIITPKAVRTKY FRETKQLNDSEDRHIEIRILSFLPKRLLGTPFVLGLLAVGVRLCILDATLRFDQPSPK FYLSILNFYIYASRTIKHNIAIMASPPYATSPSGMSPPYPSPAQIPSKKRSSGVDANA PPHKRRKPSNLSQASVAAAAANHPLRQTSFPPEARSPYPRSPSVDAQSHVSGSAVSTT ASGAPKKKRGRKAKNTKQDDSSEATPSLVGGRAPTAVSGQGGEKEDDDEDDEKAEMAL EDVVARTQEQKQEEIRLRAMLVEAFDSQQYNRYELWRAAKLADSVVKRVVNATVSQSV PQNVSTAVKAVAKLFAGEIIEAARNVQGEWIHAGEKQSELPTPPQSTNDDPDAAEEEV DLKRGPLRPDHLREAWRRYRVSGESRGVGVQQLWHAQQNDGVDRFSTRTGKRLFK FPSE_05804 MAPSEDGVIPSHKRSQDAASISASSSSSDDSARRRKRRERKERK RKNGETAAVAAVNGAKPNAFSQRRNSLAKASRDPRDEPIPKKRRASAVPEEGTAVVKA SRSPSPVIDFDGLSRPSRGTRERREETEEQQVERLDRMRGAVRTLLECVGEDPDREGL LDTPSRYAKALLFLTKGYQVNVEDIVNNALFREGHSEMVIVKDIEVFSLCEHHLVPFT GKMHIGYIPNETVIGLSKLPRIAEMFARRLQIQERLTKEVAHAIMEILKPQGVAVVME SSHLCMVMRGVEKTTTSTITSCVLGCFERKSKTRNEFLNLIGINKR FPSE_05803 MVSVPTVGALAPSGTHSTNGSSRSSPSTTTAGLSSKTKPKVNSN GYHPNNPQTPLSSLSSTALDLTSVERRGQPTAVREKVKESRPHGLQEAPTYRPTEEDW RDPFEYLRKITPEAKKFGICKIIPPDSWNPEFAIDTEKFHFRTRKQELNSVEGSTRAN LTYLDGLSKFHKQHGTNLHRLPYVDKKPLDLYRLKKAVESRGGFEKVCKHKKWAEIGR DLGYSGKIMSSLSTSLKNSYQRWLCPYEEYLRLAKPGVHQQLEQEYGGPLTPSPGQTP IRRSNVNTPVSLRGDSPARSASDALQATLGGVKTETRDTPMADAPPLPQAPTSGGFTA VNSGFTAVNSGFTSVNRGATSEPKSFTPDPKRFESPTSSTKNTPDNRASSLKATALKR QLSGEESSDSVKRDNDLDDSEAASSRRSKRLKKDAVPTVAGSHMTPFRPSVPRIPRDE PLPPGEKCETCGKNDNVLLLCESCDHAYHPGCLDPPLKRKPDTEWNCARCLVGDGQFG FEEGGLYSLKQFQQKANDFKQGYFEKKMPFDNELNCHRPVTEEDVETEFWRLVADLEE TVEVEYGADIHCTTHGSGFPTAERNPSNSYATDPWNLNVLPFHGESLFKHIKSDISGM TVPWVYVGMIFSTFCWHNEDHYAYSANYQHLGATKTWYGIPGEDAEKFETAMKEAVPE LFETQPDLLFQLVTLLTPEQLKKAGVRVYALDQRAGQLVITFPQAYHAGFNHGFNFNE AVNFAPEDWEPYGLAGVERLQLFRRQPCFSHDELLWTAAESTATGLTIQTAKWLAPAL ERIHKRELEQRGDFIAKHVEVTPHRCEGTGGDEPCSLKIKVENEDLQDEDEQCCCYCK AFSYLSRFKCVQSGKVLCLLHAGYHACCDMPEQERFRGAQHVLFFRKEKHDMEMIHKK VLEKAQTPDLWEQKYEKLLEEGPKPSLKSLRALLHEGERIPHDLPSLSVLQDFVNRCN DWVEEATNYIVRKQQNRRKNEKAWQSGMRKSIGNAEHDQKERESRNVSNIYRLLEEAE RIGFDCPEILQLQERSEAIKQFQISAAQALKNTTSSAADTIEKLLEEGRTFNVDTPEV DQLSKVLEQSRWNERARNNRGVHMTLKEVQDLIEEGNRLEIPPYNDHLTFYHDQMLAG QQWETKAKELIVAEFVHYPQLESLSAQVQLNALPVSQETLSAVDQILHKQREAHRQII DLTTRCRDPDFRKRPKYAEVVEIQKKLEELNSKPNGTLDLENERKRHEDWMRKGKKLF GKSNAPLHILKSHLEYVLERNMDCFDIEHDTPRMPGEPVSREVSPELGTSKWDDSRSR QVFCICRKVEAGMMIECERCHEWYHYKCLKIARGKVKEDENYTCPICDWRMKIPRDAS RPKLEDLLALAEEMRTLPFQPEEEEVLMKIIDNAETFRRHISRYCSPLLSTEAEAETQ RFYLRKIEGAEVLLTFETNYFRQELHKWSPVAPEPPPIQEQSRSTRKPRPTKLQKMLV EYGVDNPDDLPEHAKGKANSLRRKAANAEAAAAAANSQPGSFPPPPTGAGGYGGAPYY SRPGEVGSPSSSGHAERRESHSSSRTRDNSINLDNGLHLGPLGVGGPQLVVDVSSMSL EERLLQGQEEGISIQTDSERSKALEILSRTELGRKQAERLWGPNVWSRGRGSISDAGR RLSNPIDEDMMRQDEGNVDQMFKEMTNQDEEDDKRNDNGDVRMTTASLEKERNGMDAL LDGE FPSE_05802 MKWDAWLVSKDARPREGLRIVMDYKPEGDSEEPWGIHALPLDYA PLKPYVEKAQNVVSFERQGDCVHCHEPLESGIGLHPICPHQGCEAMGHLECWGKYALQ GEDKGVMVPLSCSCPSCNGNINWIDMMKELTLRVRGPKEVTKLLKKPRRTKKAIAAEA EAEEDI FPSE_05801 MLVLEEQRYIHEDLERLEQGIADRIRDEPRHIRDRLNRDHEISQ LLDQIQVQSSNLVGIYKDENGVRAQEIQQIGTGDPFAEFYKQLKDVRDHHERYPHEQA ENSEQRYKVKRSDGEPMPSIVDSLFSGEEAYGRFFDLTTSHEAYLNLPNVRRLTYLQY LETFDNFSPAHGGVTRQGKLTNQYFKYVGQLADYLESFMRRTRPLENVDKVLTSFDEE FETAWEKEEIQGWEKDASSTSGTAKETSTADAVWCDDCQKEFKNENVYKNHLTGRKHI KAAEQRKQRQEQESAPADDIVNGTSATRLKERAVAEREHRVKRLASAMSTERSDTRVN VERKQGMTERERAQELENYFNSEDAPQEPQEEGEGDDEDGEERIYNPLKLPLAWDGKP IPFWLYRLHGLGVEFPCEICGNFVYMGRRAFDKHFNEARHIYGLKCLGITNTTLFRDI TGIAEALQLWEKIQKEKKRSTVDEGSVVQMEDGEGNVMPEKVYYDLQKQGLL FPSE_05800 MAEGSGIDRKADERMEFSTSKEVTVHPTFESMSLKENLLRGIYA YGYESPSAVQSRAIVQVCKGRDTIAQAQSGTGKTATFSISMLQVIDTAVRETQALVLS PTRELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLDYGQHIVSGTPGRVADMI RRRHLRTRHIKMLVLDEADELLNKGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMT TKFMTDPVRILVKRDELTLEGLKQYFIAVEKEDWKFDTLCDLYDTLTITQAVIFCNTR RKVDWLTDKMREANFTVSSMHGDMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQ VSLVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTTEDVRILRDIELYYSTQIDEM PMNVADLIA FPSE_05799 MEKAAPMNQAERDALDLAALGHEQVLSRKFSMLSMLSLAFCILG TWAVCAQSLATGIQNGGPVTCLWGLVLVCLCNLAIAMSLGEMCSAMPTALGQAYWTAK LWRSATGRFMSYMTAFISTFGWWCLSASQIAFMAEFVLSMKLMFDPEWTGLNKGWVMF LVYTGINILFTFVNYVGCRSEKFLPYFNNFVAVGFVGLFATFCLLLPILVGTKSDLHY QPAKFVFGSWINQTGWSDGVVWFLGLVQAAYGLTAYDSVIHMVEEIPAPRRNGPRTMV MAIVMGAISGFIFLVAVLFCIQDLDTTLDPPSGFPFIEVVQNIVGVKGAAALIALFIF NGFGQGISIVTSSSRLTWSFARDGGLPFGDYFSYVDPYWEVPARSLILQGVIINLVGL LYLFSSTTLDAILSVSTIALTISYAIPIAVLMIVGRDKLPSGGEFGLGRFGPALNTVS IIYTVITTVFFFFPGSPNPAIADMNFAIAVFGILLIISLGFWFVKGNKSFLRIEDVSD DIIYGQGDDKQPSAIDVPEKQ FPSE_05798 MSGLEILGAVATSIALAEAVKGTLRAVDFLRQNSAILMIECFII QAQQQTDPTMLAQRLLGSTEHPLVSLAVEELEDVLNELNQIVEKYSNYRKANDPKRYI DKMKWFSEATKIDELRERSQAIKSNLHMAITFRVSSMVDHGNIRQEVLFHRVTQQLTH YTNQYQDINQTLFGEPETSSSTYLSSVVAQKHCNRGVEDSGVIHSPSLSRTETDGQSM PLTTVTRQEASFVNVATIQSQSTRMCSLSCQCRCHRSREYTGGAWAKSLLGSWLVRYE SLGNTCQGRCAPNPGVKLEYQLPKWLWAGLVSVEVCQNPTFNLALRPCRVLQSNHDIY YMIDHPSILQEHIREGYRYFPDDKNESGDTLLERAIHNRSWETARILLGLWESLLTQQ GLPRTVGCYFRLMYSNSEPQSDSMGLVMEKFSSYIPEWNEIYSNDIHLEAMYPERTRE GMSKALREQPWAIDDWDDVGYSPIHYAVVEGNLKALDLLIKAKANINQHCCSGHTPLI YATLHSLERVTARLLESKECRMNVNYTTTGGINALHFAVQKDSLIIVRMLLVAGATAK RHTNSEPILHVFSFYTRSSQDDTDEMLHALLMHGANIEEKSDDGDTPVMTAVQRKNIL ALRSLVSAGASLTTISSNNHNILHFAAYNPDAEMLNYIGKQNIAGVEVEQRSTDDCNP LSMLHASWNRPSWRITDSYPRPSLAEMEVFITFYFDLLIPDLRRHMSTIDNLLQAVKD RDVTIATEILDQLIERKVRCNQTDFVGWYRGLKGYVVDGGWDYLEDVLKDEYDETNEK IGRATVARGKTINDPEIEEFF FPSE_05797 MGLFSRKDKTPKADQAINTSQSNVSVNSHSSSLRSPAAGANRNP MNRTSATSTNGPMSPVKLPKIDLPRPPDPQLDPAGYLRSLGAVRERSKIVTDKALRNE LKHFDVDMSKFPDVVTFVTGIIKRDYDAPYFSIPSHGRYQHFAVGGRDRIAQLLSTWP SNVDNTERCRRLIDLFLVSVLLDAGAGTSWTYKSSENGRVYRRSEGIAVASLEMFKSG LFSGNPNNKFQVDKDGLKTLTVEKLAAGLQSKPGNEMAGIQGRTDMLIRLGEALAAKD DFWGFEGRPGCLIDHLLGHPSTQASSMLIVPLPVLWNVLMTGLAPIWPANRTAINGVS LGDAWPCQAMPQPGASPKVSAFPNSSNAAAWESILPFHKLTQWLTYSLMQPMQQLLKM HFAGTELLTGLPEYRNGGLFVDMGVLNVKKDDQERGLQNYNEWVQRTGAKGVEVAPMF EPSDDVIVEWRGVTVGFLDMLCEEVNKTLKPELGGNELSLAQVLEAGSWRGGREIAEI NRPNTKEPPILIESDGTVF FPSE_05796 MLPSRAIVRSMPSGTLQRSLCGQSTSRTLGRKLGDARQFGTALK GARTSLTAGTRVGVKSIAAPIILGGVSSSRNLSVWGYRLWGQKKTEEPVAEATTTTPE APTPPETIDPTPAVNDAPVFQAEPVVEAVEPSSVTPTEFDLESIADLANPAILNMEEK LGFLKEIGLDYGWGPTSVMQWTLEHIHVYTGLGWGGTIVATAVLLRLVMFYPQVRAVK FSAALNESKKDPRFQEAIDLMKKGYQTKNNEMTQKGQFLNKMVRETHGASMTGMFWPF LQIPFSFGLFRIINGMTHIPVPALEDAGFLWFTDLTVADPFYCLPALGTAFLVSSIVI NGKYQPAAQRATTKKLMWVMGGVTFAFTTYLAAGVNLMMTTTGAAALVTTTLLNTPSI RKALGLPAQKVEEPAYKPPRTTKAKGIEGLRERLSDNLSEMQKSVSDQISTMTNRPGS TAEDRAEQARKNQMRKLEDMRRKLERDEFEKKYKR FPSE_05795 MADEHNHLAESGVTMHSDIELYSAGDDLSSPPSSNSPVILYKPP TVWSLMRGTAINLLLPFINGMMLGFGELFAHEAAFRLGWGGTKVFPLSRRRAHPIGPG IELRENYSTPRPSLDDIASLE FPSE_05794 MADQEGQIVEFAGLSGASPEEARQYLEAHNWNLAEASNAWFRDA EDDGRDTSTAPAPAPVPDNYTGPRTLDGRPAPEAARSSSQATRKSAPSQQRKTGIATL GSIGSSSHQHDHGDDDDDDSDPEDDDGRGNLFAGGEKSGLAVQDPNQQEAGPKKIISD ILAKARANAARPEAENEAGPSEPRRFRGTGQTLGGDGVESRSIPDPLGPVRASNAESQ ERVLHIWQDGFSIDDGDLRRFDDPANQADLALIRSGRAPLHLMNVQHDQPIDVKLHQH DTPYQPQPKQYRPFGGSGQRLGAVVPGASEGSSSTTAAPAAASSSSSAPSVDDSQPTV MIRIQMPDGTRLPARFNTNHTVGDVYGFVQGASAETQSRSWVLSTTFPNKDHTDHSLI LGDMSEFKKGGTAVVKWT FPSE_05793 MASYIDTSLAEAQPAPELLGEVKKPKKKKVLLMGKSGSGKSSMR SIIFSNYIARDTRRLGATIDIDLSHVKFLGNLTLNLWDCGGQEAFMENYLSQQRVHVF SNVGVLIYVFDIESRDVDRDLATYVSILSALLQYSPAAKIYILIHKMDLVVPTARESV YDERIRVVRQKTFEYGNSVGIAASSIELTPFATSIWDQSLYKAWASIIHDLVPNLSVI ERNLANLGLAIEAEELLLFERTSFLAVSSWTSSEGQRNPTEDRLERMSNIMKHFKQSI SRFTGTPRNAEQFIRMEHKAGPRFSLFILKFTTNTYLMVVLPPGEARFNAAMLNCQIA IEHFRFLDGPVIQPANATTPTAA FPSE_05792 MASLHPQDERNEEFIQFVRRELQPGIDGNGAKVEFISPTKTAEW WKKRSENRVARAINHNIHARAATIEKAYLNIFSILVYNSKTYLIKEFISHGFQDQQLP LLDHKRFGGDLAMVRDMKDFCDTQWMFCPVVFSSAMPMDKRTIPCRQILPIKDQKITT TKENHSKSIIRVVTLHSDCYDTDWSSSSKPTVVFKEYLTCERDALWNSWIREYNAFVK IDSCDHIVQYLGSFEQNKRCFMVLEHAPEGSLLELYKRDKPPATPEERRYFLYGMMSL IKAVDKIQNLGGGPRNQRTGFAHRDIKPSNILVFPGTQGLYSDGFRMKLADFDTATSE SPIDEDSVSFHDNDGDKTYCAPEASRVYTYQDTAIKPVPLSSDIWSLGCVFSEALVWV AGRMAAVYKAAGDRRTEIQTYYPLQVDASLGDCFHNAQTALQCVLESQQAAVESLKGP TNLSGTVCALVKQKMLVPYKDRPTPSYLWHEFNSKYEYLFPSLGRANSAPTRSPSRAI GSEVASPVSMGKSPRGSFQYSQLPHLRTTSSLGKERLMITTSNHPQTDLGPLGFYMQA PFDNGMPVQQISPSAQNHGQIQEISGSNNAPELSNGLDASQVTKSTPSQTGSPGYLHP TYTGPISSPWEPRRASRDQQAPPSQYENATVEDVIHYRKLKAKKHLLSGYDHFCRRMG RRHFIFVIDDSDSMRELKSEVLRAVQALIWLVKDMDLTFPEIRFTSNPSKRYPPTIAA MAGRLYSMDMLASLLKRHWENLDEADKECNMRYALDQIFADDSIVDPKKPTSVLIFTN GKWEGGPIQDPGVEGCITNVIGKMRQKNISNTGFTFQFVSFGDDQDGLNRMTYLDDCA LFGGSLEHRKRLGVGNGAILLEP FPSE_05791 MSSSSSSSRPCTPELSESETYFDAICDASHNYPYNFACSTRGKK ARRGVAETWDRESLHVFHPRLSDPPLKIYETCDCATPCNCQSLDANVKSLQELRSRLQ SSNKIPKIRYISIQSKHSKEQLRCPINTFKYLCTYQQIPPSFLTCLYSFRRSLSAHEW CNLPQFNDDNTLLSKEKHLLPLPGLNRSGREIRYSFVLRSVESSESITTKPKSWGIRQ LAVYHSFDVVSGQAFWVTCKGNSFNEELFKEALSDTRNELDSIPECFTFSLETLGMIL DWCDSNWIFYINELLQNVKPQVDKARTPGIHDEARLSSDVRHATGLSSSTPSEKSPGC AAVLLHDGKHKHRVYHDDRAKRFEDRLKKLEDEDWVKKLQGFSFDDVEGLQQGLEKIQ EALLVLKLNKQVVRQIREHYECVMNEYKIPILESIQTECKFSGVELFQRAKGVEANLE ARQAQLEALFLLVKESKEMYNCILQYKTLQINKLYAESAKLSALKMEDIAFKTKRETS SMHIITFVTLIFLPGTFMASFFQSGILEWPSLNADEPWDLGGSWKLNTKIFGLFFGIS ASITLVTVMLWMFVLFMLRRSLRD FPSE_05790 MIHNSILAYRLRLDDVRTWLRNKFNDQTIVVEKSGEEFVFYLPR ALSSAEKEEILDLQK FPSE_05789 MLSWLLIAGWIQLSYSHAIYAYDTERTIQVGAQDQTTGKIHYSN CNSKDTPIFPLGKPNILDAKETPRNGTALAAQGWWDFGQETIIARFASIFWQTKDHAI VNGYYTCDMKTGKLVRNGEYRISETAEVSSIHNESGLAVDLLGADNGYRVFYHNEYRN VMMLHYTQFTDWTDGGFVSQDNATGIALGSALIDKENMTVAFPQGDEDIEVSRLEKAG QWRLDSFPTNLGGTFTNDSTSVTPVNLQSTPSFSLPAWNSSLEALASAIDRSRKRSIF YIGTDRKLYEIEAKKDTWEIASNQTSKAWPLADDPNSGLAVAYNQPDGKVWMYYWSNK TIVQTYRNYDGDWEDAKALPQEEPRKTDTSKPAEDDTSSSSGLSTGAKAGIGVGVGVG ALLVGVLGWLWMKRRNKKKASDASEAGRSPTDSNFTEVKKNPLEMDGHGRPAELYNEP PVYELQGQHARE FPSE_05788 MKYTQHLSLAASIISDMRLDRPRIASLWALSRENKHASGEMTHD EQRALAGTYYLSSSSAVLLQKSHHFRYSPYILECCHKLLASGSFPTDRHLPYIIYLQK LTEEVDDAVIEASGTVRGSRQGHLPAELQRIRERYMSTKSSLPFPLSESREYFLCLLY GGLTDSTATILLQLHVLDLIICQPSPDGISYGPNGFQSLQQNADQNRFLDWLSQSMSA AKSLISVVLLLPQGEEDSMPNIGWIMLYCAVSLAVRLDLVAAQPENAQTAGHLRRILD MSHTLRQIVLRMEAASGPDTGDVEPSPYWDLARRARRIERWYMDRCGPVESPEVGSIF ASSEPSPANPFSGTDMEFTPSSFQDTSLVPSLQITGEPEPEPWMTNLLADLEVDPAME NLLFTGPFDFLGER FPSE_05787 MPLVLFLGVLENGIPDAVRQYARTIIYTILTIVFLTLLKIWTSG RNNPTQRLLHGKVVMMTGGTSGIGAAAALGLASQGAQLVLLTQTPPNDPFLVEYIQDL REKTNNHLIYAEQVDLSSFYSIRSFATKWIDNAPPRRLDMVYLGAAVLTPPGGKRRES EEGIEETWMVNFLANFHLLGILSPALRAQPIDRDVRVIIPTCSSYIGSPSLKDPVSRD SWTPGKAYARSKLAMNVFGHAFQKHLDSYDRPDKAPMNTRVIFVDPGLARTPSTRRWL TRGSLWGLAIYTAGYIFPWFLLKSPNMAAQSILYAAMDPEFARGSGGKFIKECLEVDF ARKEIKDDEVAKKLWEESDKLIEKVEKIEAKKRAAKKAADEKDKKEKTEKEKEAEIEE LVEAIKKGKEKAAAKEKTSGKKKKGKN FPSE_05786 MNYTHLAPNSATESIKDPSTHDFQSRPQTPMGPVVANIEPGRKP RKLILCFDGTGNKFHGDDSDSNILKIFRMLDREADDQYHYYQPGIGTYVISGSLTHTG IKARINSWYQKAKDSAIGSSFDQHVVGGYRFLMRFYNPGDEIYMFGFSRGAYIARFLA EMLDYIGLLSHGNEEMVKFAWKAFAQWQGREQVPEDDEEDCKKEPDKKTERIKQKREE MFRFMKGFRETFSRPVGRIRFLGLFDTVNSVPSFETAWMQRSKFPYTARSSARVIRHA VSIDERRAKFRQDLMYQGRPAKNEDKHSHFHKAMNDIREEFHGHEERGRTGNHLSPND KRSGQKKGGEFAPYRARSRSCRRPSHAGDPETFSNLSGLALSEINNIDDDEAQDIDEV WFSGGHADVGGGWEIHEGSKSTSHIPLAWIVREAQKAGLPFDNQKVAEMGCCVKNDWE VATGRPPIPDLRVSSGSGGPGHAPDMPMDEKEDDPKDRFHGVMHGACTARIHDSLEYG QGLGTIAVTAWKIMEYMPFRRMDLQPDGSWKPIRWPLPGGEVRDVPDAVRVHGSVIRR MKMDEKYRPGNLIIGGGGRGVRRAPAKHGIGDWECVADPGDPVGEIWVKKKKDDRLHD FPSE_05785 MTKTLTPPEVPNVVLSYPEPHILLVRLNRPEHLNAMPRQMSFDL DRLWKWYDNEPSLRCAVITGTGRAFCAGADLKEWNVKNSESSTTNLDKWADNGFGGLS NRRGKKPVIAAVNGLCLGGGMEMILNLDMVIASETAKFGLPEVKRGVVAIAGALPRLI RTVGRQRASEIALLGRMYTAEQFLSWGVVNKVVKLEDVVSEALRWAVEMSNNSPDSVI VSREGLLGGWEAEGPKDSTNRIEHGVYKTMEGGDNMKEGVLSFVEKRSAVWKNSKL FPSE_05784 MAYNPYGNNPYGAPPAYGGYPGSNNAPPGMAPPPGLGPPPGMSS APGMAPPGVQQSSAQQANRPSGLPPSFQAPANMPNINFNAPVIRLGTSQQGKAGAPTG GRDMPGPNGGRPGLGMERGMDRDRGAREAPQVLVPPTNEEKLRTIFIHQISEGVGGDD GIQRLLGAVGKLRKWDSAVSVTDDHKGVKFGFALFDDPWSLSSAVKLLHEEQIDVPVK RQPVSVDGPKDDTYEGIEKTKLQVTVDESSLKYLESYEDAQDDSIGIEKLEAARAALK HAVRDLFYPKTNISSEDITMGNTESGENVEVVNIPLAQEDELADIPAEMREVVAQEIA AFRERSTQRDLERLRKEEEMEEMERRRNGPSRTSRLESPPASTNNIPLGPRGSIANAP SGPKGQNGQNRVAFVNGGISNTDLSIHQEDDDTDASDEELYRRYKSKKDEEDDKAYLE AERKWSNRERSRQAAIDRERERERQDAESFERRKEEQLEREKAWDDEKEAGRKTHQYY RDHSVWARKRAADRADEEAQDEADRRAEHDEQRREKVEMERARGMADSFLDKQAEELE EERQAPAATAPQPFKLSLGAAAQKAQASRAAPQRRTIAEVEGLLDDEEADSSTKRQLI PIQFEPSSATAHMTEEEISQAVRSLAQEIPSDKDGLWGWSVKWDYMDGSVIKDKLRPF VEKKIVEYLGVQEEMLVEAVEEHLRAHGTAAALVEELEGALDDEAEDLVKKLWRMVIF FTESEKRGLPA FPSE_05783 MLSRIATPSTCLARRTFTTSLAFRTGPKITTEEESSPAVNPLAR SDPFVSDPSAEARSEAVGEAAPETRPNPLIGSFPPAPPRDVPETAESKTSNHTYDATK PYTLDIAQIIANKASAFGNEQASDPIARPQIRAKPVTGRTVFVKEFITKTSGPTPMMA LKILNRIVREDQVKNKYHSQKFHERKGLKRKRLASQRWRARFKHGFKATVSRVMELKK QGW FPSE_05782 MAAVQPPRTRSARLVAGFTTKTANHAPPASATHAHHGPSALSIF LTNLNLLDLDQLQDWPAICAETFATDGTSAQGLKKRVHCVEWALFHLFSLWDPEETKK KLKPFFPPLDQTQSVNLRAALLRALEAAKKNGVLGRDAIVRKTMLDECRGERLEDVLA AFSSAVLKHVIAQEVASGSEYKALALDMAVEDRGYKSDNTDLTTMVLAHRVAISRILN SKAAASSRFHDFADLLTVKEKGVARRREALDALQGGTTISDDARREMWRTLRNNWSGN ERWMETLLYGDASVKKDALLGMPFDRVWRRVQQGRLDELEEHSTGLLEQLDSRVRVQR ERLQKWQRFRNEMSADQPGPSPTKVQRKEKGSGIDFGFGAHEALLLGKVSPKKAVFGK PKLLNEYDDLVRNLELELQSAKPQKSSVLDFLQRPASQNAPVISMPVCEPEEEEEEAI SELEDEDGFPESPIKTFKSKLDLAKRFPVRPKLSHTSDSFASVSSSARSLRKPSQDEA RILRASSVERTSSPEPEDEPAAASPRPEYDVSPQLSPHISPQPSPPLSPLPRQESPEQ MPTRLSPTQEMADHILESMDMASPSPVKRPRKRHTLSLAERTRLTMARESLDDPEPDD LELTPPPTEPVPAEDPSPTLPEEEFDLLARTQKSMAGFDKARQKAQMERRRSLKKPKV PPKKEASYYFPKVEEDTTVITDELMAQEDMEAVFRSRPKIKASPVPSPTREWDDDYV FPSE_05781 MESTFLDYREQGQRDRDYGRALITSVSNRIKAEFAGLENEFASL ENKIAKRPATQQLQDQTEEKHPTTPLLRAQIAREDLVFMVLLIEMYGSQVVIPSGNCK HDWIAEQVWFKQEDLTSSIIPTLHYHFDGKPVWVCDRTVGAYSPHPHIIHPPLTSCPT HGLGAGCETMIMTVPLELRHILCIPVADIPTRKCIEHVYRVGTAGPTSR FPSE_05780 MPQLRTAGGLSCRCNPYAREDQTTQETQFQQQSEALRNASDLAR IRAIDDDIERLYQARDALVDRVGPSDLVPTLSNSEAQKFNGNGRRTRPTWAMVRELVR QKRSANKKVLDLVKRCESLEGSTSTFITENSAIKVEQYLKEKEQAQQDLRLAQSEIRM LRFATKLDGVIGDQDMAEGEETP FPSE_05779 MPKHATQRSQRTRATPYDRTHQTTITRLRVANERIEILKAELDV TNTKRHLWKAKYNQIKELVDDMLTHAKGLIDGREDDVEAKRAELGLRLQMIHLRKR FPSE_05778 MGDTKLSISTLLRQQRQQALDTLNRIEPQQKQMELSREKEMEDL KRENQRLCSENQTLQYQIDCSRSESAKVTTAVAPQSAVPAAMTQTASNMMSREFQQIA KYALDFDITGVTTSEIGVLCQVLHTKPCRDNLKRFMQA FPSE_05777 MTKRRLSDDVAARKRHKPITGYQPQLSPHTTDTMTRLHNQGIYE TVNGIYKESQDLRVAGDAKLHEMLPTSDGRDMKYKDFMPVQHETVYPRTFHEAIVRIR KLERNHMEREGLWDVTRMALKEKLDKKDQEIRDLMMQNNRMNTSWSARMNSLRRLGQ FPSE_05776 MDSDMDSSDNNTIKNELSQSQNPGHASCASADNMSQDLADAHII IARLDEKYHDLEERMERHIISLRGEIKNLKLEHSQCADVASLVNDLKERVGRLSTEST TNDVLMKNYKLHIMISELQDEMQEKKKDMSKLTEENRELHQVMDQQRIEIDNLERELE DVQDENFGLTHAQDDMSHRIQELSKENDRLEKEIVEEKRKSQHLQST FPSE_05775 MPKALPNRRSSYRTRPYSNWSVTYSPPHQQTSFDPYIDTKDETQ NSEIVQPATQVGECAPDEITKPAQNVAHQENHTTDPADNVNTWANQPPRGPRYGPWRR PYYDSYRPPRDITLVDSMVLQSVGRAGSRAPPGLAIHSNSRGGGIQCDVFTKVPSTSK TIAFRVESEETLIQAYRQVKSMNPSASVGGIMFSVAPKADSPFTEIDNVRWGSLTGRR SFSSRFTTAAARSFRNGRSWTFDV FPSE_05774 MPGSSPSIPRGLPSNAPNDNSCERHPDTQDAFELSRPLDDFRLT IFRMQQDIGILNEKQREITSQNRHLWAHNSQLRRDVSDLRTQLSYTAQDLAARIQEQS NFDRSAGSYEKETRLQGSIDEQKKTTEKLLQDKIANANRIQTLERSQIKGSEPPQIIA DAEPKKIQAQNRREMHQAKMERRKQKREQKREMKKRTRRENKLKNLSAPASVPPPAHT PAQPVRITRNNSIKAEEIADLEITNDDTAAENIVPGLLLLDDNSHSKEAIEQLKRYMA KRTGKKNLTSFLKKGTKNKCFCIHEVAAKGSGASEQLLPSAKYCKVNGPYCNILVEAV ETASGNRLRTFSPWGAYTPYH FPSE_05773 MNAEDEPHNPSVDSTVHTLGQSPGTQLRSPGIGKRRKPGRPLGS KNKAKAMMPSSQGIGLRNRTVFLPWNAIDISDSSEDDKNEFKDVDDNGSEWEGDTSDT EKYESKVISEQRQAYRKGVRHMTQLIREKGPTTKRSLESRRQVSSSPAPRRTGVWNQA KEDQLNDLWEHSLERAAMEHYSKGAHSLLTAWKMSLQLFGIDPPALISMYRHMEFENS TNECFDHHGQMKRNPLWSHEFCRKLTRIMAHPLFTNENDHRFIPILIRWAVICRVDDG HGFTELEQQLLDDVCVNFLPSDIQESLVKRFENYQQKRKDDGRIVSRHAQLMSRIVDY SKTMKRESADSFAPVKTRDLTVIIEALDSLDRYTANMTCETYFLVYSASKRPGDYPVG LPGLSDAYKISWINLQRRRMPDYNEILLTTITVAPNDRANGVDLDIEEAGELDMNPIP CSPIPSVDGGETTASEANEPPQKADGDPLQDHRRSRSPSELEDGEIREEIKVLTSTHN DDDDDHHHLHKYPKSLVRIDQRSGAPAQSEPPKPPEIPTQHSTNDFGLDKDFDKLPLS FSTPTHVPTKPRAPEAVMTLPPPRTRDPYRNPARFYDNGHKDDPKGGFRNVDELSERR TGKGNTGRRNTGDEVSTPTRDPRASSRHKEPMTAPSRIRKRDYQRDRDEAGEYAKRRK FHGRSRDHRYDRRDSADDRRGAHHHPQRYLASQPQRPAGPRAWHLEQRYKRM FPSE_05772 RPYTREYQQGNQHRPNAQPTIFLPQPPTRCPLTVVESVTVNLGD IKDIKNMRVENTSEENDEKVKKNFAGKLITDANIWAAYIEGPFSSLEALDRLLAQERP RSIIIKYHDDDD FPSE_12257 MVQQPMAGESAGPVVKGVVPTAKQAFADLFIWKQRVVVTNEHGE ETTEWRDPDPIQNPISLMAQLSAKDWIFFLVGFCAWTADAFDFHALSIQTKKLSLYYD TSKTAITTAITLTLLLRSVGAAMFGLAGDKWGRKWPMVFNMIILGILQIATIYSTTFN QFLAVRSLFGLFMGGVYGNAIAMALESCPSNARGLMSGILQQGYSFGYVLAACANLGV GGSTESWKTVFWIAAGISIAVGIVRIFFPESQQFLEARAKGKESTSPGAFWQDCKKML LAEWKMCVYCCFLMTWFNYYSHTSQDSYTTFMLEQKEFKNEAASRASILMKTGACVGG TIIGYLSQFVGRRRAICISAFMSALMIPAWILPTTEGGLSASGFMIQFFIQGAWGVIP IHLNELSPPAFRSSFPGVTYQIGNMISSPSAQIVNAVAEKTFVTLKNGDKVEAYGPVM GVATAIIAMGIIFTTMFGPEKRGRSFEHAVAGVRNDELPHHQKKDIETASVEQVEMDE RKKAKEEV FPSE_12256 MRTSTLIASLAASASAYDAWMNEPDTGMDTYLASTNWTQGSRPL LKDIRGVPDFDFAARQTLTDQQYAFYRTAAAGEWAYRNNLKVWEKARLRPHQLASVHG LNETLGVTILGHNFSAPIFISPAARAGYGDEERGELNFVDAAADEDILYVAALYATKS IEEIGAQRKKRGNTIFQQIYSNANLSVTWDAMKRAEDQGVKAIVWTIDAPATSVRHRA ARYDTTNANGATSVLSWDLFKEIKAHTKLPIILKGITTTEDALRAVEAGADGIWLSNH GGRQVDYSPSPLEIAYEIRRNAPEIFTKTEVIADSGIRYGSDVIKLLALGVKAIGLGR PFMYSNVYGVEGPKKLIQILKSEILADAAQIGITDLHNIPSKVLNTRALERDVYLMDE N FPSE_12255 MASAQINATPQAVMGHVQKLSDNDPDFRFMALNDLLQLLNHAKP DFLQNDYNIAARTVDSIIKTLDDQNGEVQNLAVKCLGPFVGKIPTPVIAPMIEKLSSL KLKNSVDNAVPSMALRNVIIALPRPVPGIPPAPDVQEAYSAISRVLIPRLIGPGPKTR VPKNPKIPLPAVPEGLLQNEGDLNAEAVDVLIEVVRCFGPMLVQVEVEAMQEVVIQLL ESEKGTSVVKKRAVVAISMLAVYLSDEHLQEVVRRITDGLSKQCSPVTRRLYISILGS MARSIPSRFGPHLADTAPLVLKALGEEELNEHLEALSDGDDLGQDFNEVREAALVALE AFLASCPQEMRPFTNQTTEACLRFLKYDPNYNVDDEDEDMEDEEDDDEMEEEDDEFDD DGFEDDDDDASWKVRRCAAKTIYILISTRGSGDLLENGVLYSQTAPSLIKRIAEREEN VRLEVISALSLLVRKTGEGLNKPDLSLEDFEPESEYRIPISRKRRRQSSGGGGATASQ FMSGSGLISPIQEKVPLSGPRADLARLTPSIIKVITKQLKGKTIPTKQSVISLLDDIV SVQHGGLAEYLDQVIGPIIDAIQPSGSTHVSTNLSSHAGSSSATPSTLRITALGVISD IAKTHSSTILQPYLTKIVDGVTSAANNRYYKISSEAIRTVEELVKTITPPRSRNAASK YKAELDKLYSVIVDRSSAQDADAEVRQRAIHALGILISRTSTSEGSSLLSEDKVKAAL NILQERLKNETTRLAAVRAVENVARFAKSPGQLEKQWIQDVALELSAQLRKANRSLRG SSIIALRNLALSPATKGQLEPDTIQGLVADLMPIITNSDTHLLGPTLIILAKLVPEHP ELVVTNEMIVALSDLLKQHHAGIVLDQLLLFVSSIGENGAGQGLMQGLLKDVSVAGDS PVVGKVIGTLLVTGGESVGVKLDSFVTELYTSAKSKDEARVSLALAVLGEAGKRLGTS STLKPDLFLDQFHDEPDKVSLSAAVALGQAGSGNVPEFLPVILKTMQKGGNTQYLLIQ SVKEILQSISQQSTDLRNYAPAIWEELLKASDNADNKVVCAECVGRLVTLDPAVFVPR LQDLLEDKSLGIRGMAVQAVRYTLPESDEVLDAMLRDVLISMLLTILQDSDMDIRRLA MTTLTTAARTKPDMILPHLGKLMPYVLQESVIKKELVREVMMGPFKHTVDDGLEVRKS AYETLYALMETAFSRINNIDFYDRVVAGLKDDNDIRQLCNLMVTKLITIDPDETTRRL NSIAEAYRSVLSVKLKDNAVKQDLEKQEEANKSILRVTLLLGEKMKATTGNAGAATSN AGATSTWTGYWEWVNKEFEKQLKGLREENSQLQTRMV FPSE_12254 MKFIWVFTALLAFATANTINPSYPAKDFTVDYNWECGKVLNSTL DLDDCEYLLNWLGTASKTGWWTIGTADVMNWHSGSCQVTIKSGPLSHFVLNMNGAEFG NVTQWAYKDRCTLTGQRSMIAAKNSSWTAYIVESGWNHMTGVF FPSE_12253 MVIHSEQCPPQGPSIPECEVITTPLTLDQMPNEMVLKILSTMPN INSLHAFAASCKAVYNVYEYNKVQILAKVFLDKIDKGVYEEAAITERLKREKWKDAKD GCDSILRVYNSKRTIRSEDLTLENIKDMWRLHKSVEYFADRLPTTLLREHPVTNVKGH FSLTPRVRARFQRALYRLSSHLIIIEKVDAVFNAGQDSRDLPQHDVRHKLPTRDQGHV LWDFHTYYSAFEVEQLSCLCSLLTAEIAPYFNDFLEHDIELGSRLNNYIDNLVHPGGM SLISLGLSFLHSLLTAATQDDLHEVVRPVADQITWVRGEQHVALPKLDMRNFGDLLEI GKLSASSGLTFWESVDLWGNGKPLDCLIRTPFFDDHDSGPASAWSAMSSFSIHMRSHG EADGHFNSPTDPWAYVFWDLKMLENSGFGNLNGDGGIENNVVIPQGHPIFNTWQPLQK YTTPYARKNIILSAREKCKMLERGQAGYFDFETFCENNNDKCLVY FPSE_12252 MASADPAPQPSAVPTPDPSKGPKLSLDIIYAIGLAIVGDPFEQT RLYAKNDCNPEYVPDRTTLHRLTYLSKGTKSVLEPLLYRHLTFTTPQDVMSIFITLAK RPELRDHVQYIASFTPLSGPGVRKRELPICKKLWFKRCPSDKPALMRLLDGAGLHELA WSACMLERTKQRFMFSTDFKHDGILEIMFAAILFLTPRVTTFTWRDMNTNPKAFILDR IMSDAVKGGLSLMPKLQYLNTEKSAFVEAKQAQFFTPHINLWDNLHTLYLNDVDMDVE FIEMLAKGDFKKDRPIKDLHILCVPGSEKPGSMSSFPPGFELSSTTLLDADDLDKDKE KFEAFPNLELLSVRFVHHQERYENGSLTLRAFLHAVGCPKVLRLEGHKLPFNVLDTGV THDKLTYLKVREYDPQSRSRTLQSESLLTGLNSWWGENSSLVPNLTRIDLDHYRFQRE YLSEEKKTVWKVVGEDEWEDDSNNGFDDDDDDDDGSIDGDEPVRHVYDNVYYDPQLDQ YFEGYSTLMAALQEMGIEHQIGHYHG FPSE_12251 MVYPPDPSLLSILLTITLQNKDSSFTFVHMHRSRDADLFEDFCK DRLPDDEIYVPPMHQPINPEDEDDVVPDQHAAFGIQRATQKSKEPAWKDLGLSELMNK GPSIGTGGKPKGAGSTLPR FPSE_12250 MSNLQPPNAGPAPAPSPSGKDGLRYPSNGKTIYHRPLNRTKTAE LSQASFAYLFGEMVTYAQKRVKGIQELEQRLNLQGHSIGLKLLDLLLFREPVRTQTRP LGIIQLLHFIKQNIWQHLFGRQADRLEKSANPETPDEYHIIDNEPLVNQYISVPKEMS QLNCAAFVAGVVEGVCDGADFPARVTAHTVGEGDMWPGKTVFLVKFRPEVLEREGFLG KN FPSE_12249 MASPWLKVMNDTSQPANSSTTFPGILDSVLTTAGQASPLLQLFL FIYRLVGAQLGLDPSILLTCLGCLWGLSKLFDQVWAIIDNFITGYLRCTVCVTEDDQI YHTLMQFLSEQQDIATNRHLTAQTVYKSAWDEEEDSADALATTMVEDNQDSPKYLNFA SDAARCNPRYVPAMGTTGFWHNRTFFRVYRRKETLQNTGGWGGMAKDVEELKISCFGR STDPIKSLLKDAKTAFFLDTRYKTAIFRPRIKENRRESWSMWQQVARRPIRPMSTVIL ENQEKHEVLRDINEYLHPATPKWYAFRGIPLRRGYLFHGPPGTGKTSFSFALAGVFGI DIYVISLQDPTISEEDLAVLFTRLPRRCIVLLEDIDTAGLRRPHDEEEGEEETAEETG DKDAQPKKKKIKPKKETDSDSDVSSSEEERKKRRRKRRSRRSRGNSENKSTNNILAVE SISLSGLLNAIDGVASHEGRILIMTTNKPESLDEALIRPGRVDVQVGFKNATGEQAAE LFYRMYEVSRNKPMPMSKTGAAAPKAQNGNIHGAVDIKGPATDVDDELEETSKEFGNL IPEDLLSPAEIQGFLLKRKKSPKKALEDAKGWIEATVKQKELKSKVATVQ FPSE_12248 MASSAETTSGPRKTPIPTPGPNSQPTPRPELTEEQKTKYEALLE QVKGFTEIECEKQADKEDKSGPITDHERSWLTRECLLRYLRATKWTVDDSAKRLKATL AWRREYGLEGFTPEYISPEQETGKQMIVGFDRQGRPCQYLNPARQNTDTTPRQLHHLF YMVERVTDLMPPGVEMLSLMINFKPSKERKNTSVPVSVAREVLHILQNHYPERLGKAL IINVPWIVWGFFKIITPFIDPVTREKLKFNEDMKQYVPPEQLWSLDWGGDMDFEYDHE TYWPALNEMCRQKREDKFRRWEAGGKEIGESEDYLAGGTDVSIKGVKFEGAKDGVKEV EEKLAATKLEEQPVAA FPSE_12247 MEPTNGEGPDGSSLKPVSSLRARFENMGTTPNSNVSKPTDVPPA TATTTSGPPSRSISPAPKPNRLREFKPVQETPATSPSTPAVPARPKPKPAALSTNQDA PPPPTSARPVPAAKPQTVQLQQPAPPMPPAVTVLPPQSPPKNRVSDLAVGDQPSFINP DAVATPATPTAGGRSFKIPSRSLNTSPSFNAPVSPRPGGSRPPSPPPPRRSGELGRAR ESKVPPPPPAPRNEKALIPAPKMGSISGPTVARMNDISQENSPFNSPPSSFGSGEETP PKLPTRPRPQSHIEQPSRPRSVVVGFDPPPVHHSVTNMRKDREEANGMSRGHITPQVT GEPRPVLPARPVNFEPPPRPPPLNNNNYNMPPPPRPQRPAAPTAVQAIDPPPASTPPK RVVSTPTTQQPQQQQQLAAPTRGHGRSMTIDRASDRTPAEFRIAPTTIPATAVAAPPP KAIQSTPVVAARITPSTEAIHHVATYPEASNTNRRPPFSKHGTFDISTKYDARTFDVC GEFICASGYMTRVWSLIDGEQIMSLQHTEGVKATAVSFKPAADPREEGKRIWIGTNTG DLMEVEVATHAITQSKPNVHGKYEVVRIYRHWNEIWTLDESGTLLVWGPDETAVANVS GHPTQSYKVPKGHTHSMVIGEELWHATGKEIRVFAPTVDGRSQFQVLVRPLIADNAGE VTSGTLVKSHPGKVFFGHVDGKVTIYNTKDYSCTTMLNISTWKINALSSAGNYIWAAY NTGKICVYDVEEAPWVILKEWQGHENPIVKMATDPSSSYFLDRQQVISLGADNKIKAW DGLLEDDFLEDSMKSKDTQYCDFDEIRALFFTWNAGASTPSSLRHSSGDSTFFQDLVQ SSGSPDILVFGFQELVDLEDKKLTAKRFLKPKKKEAGTDQERMSHQYRDWRDFLMKTL DDYMPADDLYHLLHTAPMVGLFTCVFVKAHLRDRIRGLQGAEVKRGMGGLHGNKGCVA VRFKIDDSSICLVNCHLAAGQSQANARHNDVAAILEANLFATERDSAARIDSFVGGGD GSLIMDHELCILNGDLNYRIDTMSRDTVVAAVKQNNLGKLLDRDQLLVARRRNPAFKL RAFDEMPITFAPTYKYDVGTDNYDSSEKRRSPAWCDRLLHRGRGRIEQFDYRRHEVRV SDHRPVTGTFNLWVKKVNPRGRATAWMESQQSFEDMREKETSEDKLCFLRDICGFDEA TSKRLIKERSARRENRSPVRT FPSE_12246 MNTVKSFWLGWGSLCVAGGGAYYFAKQQINADRQAKMDAHRRKI QMINNLEAEEGGGPPRDDHTYSPSTEIAQDPAPTRHAPATEDQRINEKSKYESSSVYR SPKGDRFS FPSE_12245 MATPELAIAKATLSATLFRADPTSLSRPSVEAFFSLLTDALVRC SRPNVQKCKVWIIENVAPSTARTTALAKYYGALSKSLQDDGSRPSLKRRRLHLLYVLN DVFYHIIARNGNIEFAIPWDNVLPSLIASASAFDNCPKHKKKLEDLIRLWDEQQYINS KMVTKLEEALASGVAPQTAQEPQVSNVSLKLAKNAPFTLPSFHGDNSVPWHDLPATTW LPHLTPNSTKPMFPDEIRPIRLAPGPADKVLVNAVQDLLGEVERMFSKEQKWDDDPTN DLNELGERIILDEITGDIIGGKEMVVREQPEVVQDHVATRVVDHEALHDLRISGQGSQ ETPEVEVGLTAATMAEVETDVILLATAEAAVAVEAVETSTIVRDSDRTADHGPVHLRD TTTKTFKIDRIVLPRFLHSSLKPISLTFLQEGISLHCLHHQPAIMALGQYHRLRPWHQ VGSLTIRP FPSE_12244 MKRFSQRVLSRGKDPNKSSKKNKDNKDGTASPSSRDNNQSPVLT PSSSTSTLNDPRNKQPQNSSNHGSEHGGASQPSNLSNVTQAGNAPDHFATGGASSPNG GSSSSRLPPTVVISPTPGHVPPPGAAETMPHDLAPPKAGQKSLLIHRGIDNRDAIPEG LRTPKRQHSSRFDISAHRELEKLPGFHEVPPNRRQELFMQKIDQCNVIFDFNDASGDM KSKEIKRLALHELLDYVANNRQVITEPMYPKVVDMFAKNLFRPIPPPINPQGEAFDPE EDEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYIDHSFVLQLLELFDSEDPRER DFLKTTLHRIYGKFLNLRSFIRRSINNVFFQFTYETERFNGIAELLEILGSIINGFAL PLKEEHKIFLTRVLLPLHKPKSLSMYHPQLAYCIVQFLEKDASLTEDVVIGLLRYWPK VNSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFHQLAKSVASPHFQVAERALYFWNNE YFCNLVSDNVEIILPIMFAPLYENSKGHWNRTIHGMVYNAMKLFMEINPQLFDDCSHE YTEQQNSAAAREALRERKWAALSEKADQQRQSNGNGVADAPAQITPMPRVDENDAATE DNSKRLDSLKLQDGRPSLHERDNSVGSALSR FPSE_12243 MEVDLSSDENGYDSLDDAQFEDDHGERASESVHPDSAPRYMIPE RVIGAVEFPAIVENPDRAVKAFGRVPHLQHVLDTGRNSIPLYLVPEDPFFKPIMSHNA RSHDVVLKVTVPKRTGRKRKRGTDGPWEGDVEVTDAQDQPPANDKVASYDRLDDPKVL RRKMADNVGNYHVEAVGVIRSTHRFRGLHDFYWDMSKSNFAQRYVDQVLPGDVDKMKE FKFQPGVDKGPNVDIIPPPMFTHMSLPFNYQYSQNPYVRATEDGGTINTTAVKQVGYF IGAEDPAPTEPQLHPDMTDPRMVEIIAELEDAFAERPIWTRRSLLNYLGGKLKNWNEL KKYLNYAAYQFKGGPWRDGVVQYGIDPRTDPKYRIYQTLMFKLPKQKRAQRGQTWKSL RKVQMGPLKEFLEELSESHVFDGDTFHTDGKVWQVCDITDPLLKELFENADIRPEWDP SSGWYHGGLWAKVKAIMKTKLVAIQFDRHLTREDFAMTLETGDKTPVRSNQATFHLPL PNLRLTDEELTQLRGRQPTKKNKHKGYSVRVRDPNAGPKKAPAEETAGVAANLQEEEA RLLGEMGSGNEDSDEADEDESGGDEDDDGDEDMPDELEYDIDPEMYG FPSE_12242 MPSGTRFEGDFWCEPCSKIFKTWEDLHTHKRIMRANGKDHIHCK FCSADFQTEEAEATHIKQFHPQEQDLYCSGCGKGPFVRVGGLVSHVQNECTRLNNKLI ESTREKKMEFSNALVAATKEPLKSNYADFMPSANSKAPSTTSWVAGDSARPFTIEQKE FPSLATSGSGPQARNKENVRESNWNKGKNLFPQAPAPQRPTQQQLQQATAPNARAAHD LLSVHNPDHPDFNVARYSCEYTGKFNCPMVTCTKTFKSGHALLGHLRSEAHSETKYRC PYCLNTFGSLTSITQHAESNGSKCRIRETDIYRAYMDQLLGGMVDVKEKHTDGTVKYE VCKDFKPGRAPVKDESKATPESFVKKSYNHEDIRW FPSE_12241 MASARRWARKAERCCCTFATYFPLAFVYGLTSWAVWVVVNIGSV STKSSWIGTGSSIVGVALYVMLNWCYTTAVFTPPGSTTNDMGYGLLPTQNTPQATSFT VKSNGEFRFCKKCQARKPDRAHHCSTCRRCVLKMDHHCPWLATCIGLRNHKAFLLFLI YTSLFCFWSFAVSACWVWYEALNDQEYIDSFLPVNFIMLSVISGIIGLVVGAFTSWHI HLARCGQTTIECLEKTRYLSPLRKTYNSAHNPANEVPEAARHFVDFHANALPGITRPE EGEERREMPRSYPPDGSQPVQLSYAQREREQRQRRYEEYLDEQDSEKLPNVFDLGWKR NLLHLFGPTPALWFFPVSNTTGDGWTWEASSTWLEARDRLSAEREQQRAREVNAGWGS PEDIPDIPERPTGAGKHYSPSPNLAGPKTMSKADRVLGRDPNLYADATQDVPMQRLSP RGRSIDDELADLDTDDEDGFLDANNPDKAEGGKFSPSFTSDSHRRDDAEARALEVVTN GNWGRGGASGMLRKGSSQSTPTRTPSNLSRSGTPKFQDEGVD FPSE_12240 MSSDISALVLDMVPDNLACHKALDLAREALSVPILNHSLRVYLL ARFLAKKEASPFVSEGQIGLLFVAAILHDAGASHLYNGTQRFEICSADCAKDHLIKHG YSEAEAHQVWTAIAVHTSPGIAERIDPLSRLIRLAVRSDFGSDEYRRSIGVGEYCKEI EGFLPRLDPEKALGDAVVKQAKKIPQADSLTWPNDDKFPAASWPGILLRAHAENPDHE GVNPAF FPSE_12239 MSFNFVHDSKFTPPTHNLSEMSSLTRSQLGQVQTSFPSQTQPVP IPMSATSASTGTSNSQQGSPIMSPDTAVLTTYSSVQASPEPMRGREEEAFPSSFQLPE SVLSRKTSTNSLGYNAMSEVANNSKGGLIRRFSNRAQRFAGRRRPSSGAPASRDGSVG PSILRRRSDSNATAPQENSALTDTDEEFVPDDSMSMVGLDGANYTSSANSTHGSISAS AGAMAGPVLPAELRNGCPVKKVSKKSRPKRISLVYETESNKLSWDPSRPQKSLHVDEI REIRTGTDIQQYIHDYGLSEHIEFYFPVWFTIIYNVPESSKTRFLHIVTDNPNTLSLW TEFLDAMLRYRQQLMTSLMSFNDRAVAQYWQTEMAKEFGDQVRTPDQEEMNIAGVKRV CQNLHIYSSSSTLEVNFHLSDSRRRSKLNFREFKDFVRRMKQRNDVQRIIRNIAANPE FGLTLSEFLTFLRDDQGEDVDSNRTAWEKLFSRFCRQYRSTEADLPENVEIMTEAAFV GFLTSEDNEVIQPEPQNIVLDRPMNEYYISSSHNTYLLGRQVAGQSSVEGYISALARG CRCVEVDCWDGYNGQPEVNHGRTMTTSISFKEVMTTINKYAFVKSKFPLWISLEVHCS PAQQATMVEIIKESFGSRLVTETLEAFPDKLPTPSELMERVLIKVKKPQIKEEPVTAG NDFRGRRRGNSLNSPMMRPSVPDGTPLMPSQSLPQSPMLTPSHSSRRLVSKTRVNTIT EGQVQGMLSSSTSDNESVSEQPVKKVHNKTVKVLGDLGVYCAGVKFSGFDTVDAKQYN HIFSFMESSFAKHSRAKDQKMALDIHNMRYMMRVYPDRTRITSNNFDPLNYWRRGVQM AALNWQTFDLGMQLNRAMFDGKDSSGYVLKPAELRDIQVLPYNADIAEGKKERTVISF TIDVISAQQLMRPANLPANKSMDPYVEVEVFHANDKRDKKEADSNLVLEHDTPLKYQT DVIRENGFNPLFDRTMKYTVTTKHPDLIFVRWSVKLSNDGESYNERPAVATYTAKLTN LKQGYRTLPLLNHAGDQYLFSKLFCKIKIDSVEKKFMEAPRRVQDGNKLNRLGGKVFS RMNTSPKSTIEKSSSEKTSFDSY FPSE_03082 MAEEPKTCPSFDSRMICKSRAKDSCCATAGSSYSQVDSVEPQLD GHVRGSLPQSQS FPSE_03081 MAAATENTPQQLSDALVAFALEGRFPDDISVLPPVSETDLQPAI QALAEANQGLEAELHTINQETKQDVDSWVRNSKTLQEDIFRSKAMANEIERQSEAPDV SGEAVEDAEEKAEFLNREVQYSQQLHGVLQSIKGVHRLLSEVETAKNERRIIDSLRLL EKSWEAIDQIGVSKSCRVMKLLDLRSFELKSAIHEVFNHIWKTLIHADIETRQFAVYD AVKDEQVSLADAVIGLQAYKEVDERMEQLWRNVDAAIISPRMDIRNDVFPSIQADEDV LKLSGEASRSVDALLADLETTFAFLARKLPSTLLPPLGNFMMADVIPKLIGEWLVPAV PSSLKEMDNFQVMIKRAQEFCQSLTDNGYTGFADLQNWVDNAPSIWLGKCRETTLDSV RSKLLDGIGESKQVEKVEKQMVSIAEGKKITKTPGGAGATAETADWGADWGDAWDEDN EQPKDESKPTTKEPDSTKADEDDGADAWGWDEEDTTAEAQNTKQTTEKNDEDDSAAAW GWGDEDTAQAPEQVPATRSKIPQAQEETRELVLKEIYSVSSMPEPVLDLIYAILEDGA ALTRDDVEYAPVAATAPGLFGLPTFALALFRAISPYYYSLSEGGNMFLYNDAMYLAER LSEFADAWKKREDLTPRARNMLRLDNDIKSLQSFANRSYANEMNIQKTILRDFLGGAQ SLMQQDEMESCVELATGRIRAMASVWKSILARSVWTQALGSLADAVATKLITDVLEMS SIGQDEAYNIAKVIAAATELDDLFLPSVLTGTASAEGEVPQTAQYAPSWLRLKYLSEV LQSNLNEVRYLWFESELSLYFTASEVIDLIEASFEANPRTRDTIREIQSKPAPVAER FPSE_03080 MSAPSHFRKIQSFKTDYAPCTITQYVSDRSGMQVVVADRKGPKL NGYFTLATEIFDDSGAPHTLEHLVFMGSKSYQYKGLLDKLSSRAYSHTNAWTATDHTA YTLETAGWDGFAQILPVYLEHVILPTITDEGIVTEVWHIDGEGNDAGVVYSEMQAVQF RSSEIMDLKARRLLYPENVGFRYETGGMTDALRVLTPERIRQFHRDMYQPRNLCLVLV GEVNQDELLQILDKFEESIKDDIPSLDTPFQRPWIDSASPPKINETKIVTAEFPEEDE SVGEILVGFFGPDCIDLINSSALNVLLTYLCGSSVSILENVMVEKEELASSVSYWTDP RPNSVIWLQPTGVATEKLEFVEKRLIELLKEVASKPIDMDYMRECIRRERRQVKYHAE TSESFYATNIITDYLFGKRDGSTLADLQNLEEYDVLEKWSDKQWRDFLSKWMADAPHI SILGKPSNELATKMKKDEEARIAKRKEDLGTDGLEKFAKRLEEAKKKNDEPIPAEVVD RWSVPGTDSIHFIESDTARSGHARSVGLGSGSAQKLIDGTTQGKLPLFIQFEDVPTNF VHISIHIGTSQVPNELKPLMPIFSDNFFNTHIMRDGKQVGFEQVVMELERDTISYALN SARYLGDPDGIMIQFQVEPEKYAAAVEWIRTMMFDSIFDPQRLKASVTKALADIPESK RDGKSMASEVSAAFHMEKSSLAVAKRVLVRAVYLKRLKKLLEKEPEKVVGWFNTIRDS LFTFQNLRFLVTANLATLPDPLTTWDTLSKSLTVSENMIDIPKPVSLLNDEGRNPGSV GAVIVPMTTLESSYSVSTAQGMASYTDPRLASIMVAIGYLEAAEGPLWNAVRGAGYAY GVYFSRDINSGIISYNVYRSPDAYKAIKASKEAISKIADGSVAIDHHLLEGTISQIVV MFADEQSTMPSAAQQNFVQGVVRGLPNDWDKEVLRRVRAVTVEEIKTALRETVLPCFE PGKSNIVVTAAKIMQEGMEASFKEMGYKVQTHELSYFHDDYGLKPDGEEEESSEEDEE VEGSEGSYDSDESDD FPSE_03079 MDFLQRLARFLDRPLFPWKKLIMGFSVGQYLFESFLTLRQYRVL QKTSPPAVLSKEVSQEVFDKSQAYGRAKAKFEIVNGLYSQVQNIAFMHFDVLPKLWSW TGDLLLKWAPARFTGEISHTIVFVLTFTVISQLLRLPSSIYQTFVLEEKFGFNKQTPK LFITDMVKTQALTLVLAPPFLAGFLKIIQKTGNQFFYYLWLFFIALQVFMITIYPVAI LPLFNKLSPLEDGELKTKVESLAASLKFPLHELYVIDGSKRSAHSNAYFFGLPWKKHI VIYDTLIEKSEPEEVVAVLAHELGHWKLGHTTSLFGISQAHSFYIFTLFSVFINNHSL YSSFGFLKEHPIIIGFILFSDALAPMDLVINLLMHIVSRKFEFQADAFAKSLGYPEQL ARSLLKLQIQNLSTMDADWMYASYHFSHPHLSERLNALGWKGSEGVTEGVTDKSLDNE KEGVVKASGRDEL FPSE_03078 MTTGQLDAHLTNGHNGHAHPPATAKKTKGKKNMPNDEASRLLHA RISQLEQDAAGEKDQELEIEREVKRANRDLLQQVCKMDNMQKIDHLTKRSSELLADMR RLERENQKNKRRGDNLQKERDASRTELSKTVGLKEKLEKLCRELQRDNNKMKNENKEL QTTQKRNNTHWDEKYATLLSKLEGYQEEKDTPKKQVVDMEVDELFRVRFKSFIEQYEL RELHFHSLMRTKELEVQYHMARYEREKKNAEAESTKARHLQGQVQAFTKTETELRNQL NVYVDKFKQVEDTLNNSNDLFLSFRKEMEDMSKKGKRLEKENEALKRQKEATAANIIR MAEERQDWKKKTESAEKKTEKLRSIIQQMQQQGRKVPPGMVNTVESGYSDSHGGHEGD ESDYSDEEGEEEEEEEEELSEFDDDTEEETQGNDQATPVAYGPERPPQPVTSAATNGH FPSE_03077 MLRNAAAGARKAVTELSQFPKPGEKLHGFTLVRSKHVPELELTA LHLQHDKTGADYLHIARDDSNNVFSIGFKTNPPDDTGIPHILEHTTLCGSEKYPIRDP FFKMLPRTLSNFMNAFTASDHTFYPFATTNAQDFKNLMSVYLDSTLHPLLKKSDFTQE GWRIGPENPLAEDEASKKLVFKGVVYNEMKGQMSDAGYLYYIRFHDHIFPDINNSGGD PQKITDLTYEQLQKFHAEHYHPSNAKVFTYGDMPLIDHLKQVDAQLQAFEKIQGDKQV HEPVTLNGPKEVTLYGPLDPLVDQDRQYKTSVSWIMGDTTDVLESFSLALLSTLLMDG YGSPLYRGLIEAGMGSDWSPNAGYDSSAKKGIFSIGLTGVQEGDVSKLKEKVQQILRD ARNKGFDKTKIDGSLHQLELSLKHKTANFGFSMLNRLKPKWFNGVDPFDSLAWNDTIN GFQAKMAEGNYLEGLIDKYLLNDNTLTFTMAPSTTYGEDLVKEEQERLSTRIQAAIKE AGSEEKARKHFEKQEQELLVEQNKTNTEDLGCLPTVHVKDIPRSKEAVVVRDENANGT KIQWHEAPTNGLTYFRAINTLENLPDELRELVPLFTDSIMRLGTKDLNMEQLEDLIKL KTGGVSVGYHCTPSPTDFHAASEGIIFTGMALDHNVPVMFDIIQKLVLGTDFDSPEAA LRIRQLLQASADGVVNDIASTGHRFAMGSAESGLTRSAWLRQQVSGLSQVQLVTSLAS RPETDKLEDVIFKLKQIQNLALVGGNLRTAITCGSESVAANGASLQNFVGNLSRDPLN LKNPSPRQLPKDSKTFYPLPYQVYYGGLSLPTTSYTSSEGAPLQILSQLLTHKHLHHE IREKGGAYGGGAYSRALDGLFGFYSYRDPNPQNTLSIMRNAGQWAVDKKWSDRDLEEA KISVFQGVDAPKSVNQEGMGRFLSGITEEMKQKKREQLLDVTKDQVREAAQRYLVDGL AKGEGRVAFLGEKQAWVDGEWQIREMDVKGAE FPSE_03076 MVMFSFLSFYALEILEKALTSTSTTVFVKDKSYTFPHRYNSTKP VGINSKTVRLRLSNSPPAAQYLHHNSTRLWSLKGNIFTTAPPAVSFRFFLSPRKSRGG LAKSPRDQFIAVPDHPNIIRFFGYTTTTLAHQVNQSVSGLNPSSTNSQNKTPATVWDM VMATAVLDTYNHNVNHPHIALKKPIIRDSIEQHDLITPKQLIGQALHQRVEAIDHEMC EPGDEDTFFVADLGEVYRQHLRWKKNLPRVRPFYAVKCNPDPQIIKLLSELGTGFDCA SKTEIEQVLSAGLSPDRIIYAQPCKTNSYVRYVKSVGVKQMTFDNADELYKIAKLYPG AELFLRIMTDDSESLCRFSMKFGAAMDTTEGLLALAKDLGLNVVGVSFHVGSGASDPL AFFKAVRDAHTVFQQARDFGFAMRTLDVGGGFCGDTFEAMANVLRGALDEFFPPHSGV EIIAEPGRYYVATAFTIACNIIARRTVEDPTLDGKGYMLYVNDGVYGNFSNIMFDHQQ PTAKILRTSGKTLFETAAAEPTPVGEGFEYSIWGPTCDGIDRITDSTRFDPILDVGDW LYFEDMGAYTKCSATQFNGFSNAHDVIYVCSEPGAKALLGL FPSE_03075 MARGRPSTRGAPEASSTTDVSREPSVASGRMTRSAVTKSQVTSS ASTPAPSTEVQVASGPRPRGRPRKSVASVDESPAAKSPAPGAVPARQRGRPRKALVAE SESGSSVPTPKDLDSESDYSTPASSKVPTPAAGGNVKIEVVVPGPSTSASLATDREWE LRNSAYSMTRGKGKSRMIADSEDDDDDLLDNSRDAQVARRLQNEELKQLPTIPTRPIR RSVRSSLSTATPAQTSVKRERALTDTTAKRGRPQKKQRVIPDSDDQDIDMDAEIAAAA ALDSEELSSLSSHADEEFSDDDGSEGDGDEYASSDDEPLRSRKSRGKLPARAIASTAS LGNGIATTVPPSDMTTALHNGPSGDELDALENALGVVGSSDYDNITSAIDTGTSDTDG DAARTNVAAISRSRRGFNSLTGRSKRHIRERERLEKNHPEIKTMWQDLEDRPVLKAGK AAQPQNISRQLKPFQLEGLAWMTEMERGEWKGGLLGDEMGLGKTIQAVSLIMSDYPAK LPSLVLVPPVALMQWQSEIKSYTDGTLKTFVYHGTNQKTKGITVSQLKKFDVIMMSYN SLESIYRKQEKGFKRKDGIYKEKSVIHAINFHRVILDEAHCIKTRTTMTAKACFALKT TFRWCLTGTPLQNRIGEFFSLVRFLNIAPFASYLCKMCPCSTLEWSMDEHSRCSGCKH AGMQHVSVFNQELLNPIQKYGNRGPGKTALGRLRLMTDRIMLRRLKKDHTNSMELPVK EIYVDRQFFGEVENDFANSIMTNGQRKFDTYVAQGVLLNNYANIFGLIMQMRQVADHP DLLLKKNAEGGQNILVCCICDEPAEDTVRSRCKHDFCRACVGSYVRSTDEPDCPRCHI PLSIDLEQPEIEQDENLVKKNSIINRIKMENWTSSSKIELLVHELHKLRSDNASHKSI IFSQFTTMLQLIEWRLRRAGITTVMLDGSMTPAQRQASIEHFMNNVDVECFLVSLKAG GVALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQTRPCTITRLCIEDSVESRMVLI QEKKTNMIHSTVNADDKAMESLTPADMQFLFRGS FPSE_03074 MKYVNRENLKDQMNKIKVKTKGKKKARSTRSNNQNAQDQNGQTG QNGNRPARSVTGPQSALTDFLASHNISAAQIRSTADARRRQAAAEEATEEDVNGNGES SATGARSGRHAVRSDENEVSSKRKMEEQKTLAKIKESKAFRKRKKNTDESDDEIARAI MEEGTGPLPGQMENCEICDKRFTVTPYSVAGPNGGLLCSPCGREIAKERQGAPKKKVK KQAAVGGVGRRRAIQSRILDGDVGTKSLATLCVQTLAKNVDLADSLGDLPEHLVDKIA RMFSKRRLLKSETLPLFVQPNTEDVHIYDGSKLTEFDYMSIFQIAPRLRHLKIRCGIQ FKDEVMDYLLTRDTALETFYLHGANLLSEEKWHEFMQAKGQSLKGVQVYYTDNHFGDD SIAMLRDHCPNLKRLKVENNQKLTNDGVKTIADLASLEHLGLQLLHKTRSDAYVKIIR SIGVNLQTLSLKIVPDIDNAVLRAIHDNCRSLVKLRITDCEVMTDFGFVELFTNWDNP PLQFVDLQKCRQVDATRPRENPDNIGLCSDGFKALMAHSGHKLRELNVHACRHISREA FEEVFDENAQYPELKKMEISFCEEVTDFVLGSIFRACPNIREVNVFGCMKVRNVRVPR GVILVGVPNAQGMMMVEGSD FPSE_03073 MHLLSLLSVVTLAVASPLSVEDYAKALDERAVSVSTTDFGNFKF YIQHGAAAYCNSEAPAGAKVTCSGNGCPTVQSNGATIVASFTGSRTGIGGYVATDPTR KEIVVSFRGSINIRNWLTNLDFDQDDCSLTSGCGVHAGFQRAWNEISAAATAAVAKAR KANPSFKVISTGHSLGGAVATLAGANLRVGGTPLDIYTYGSPRVGNTQLAAFVSNQAG GEFRVTNAKDPVPRLPPLIFGYRHTSPEYWLSGSGGDKINYTINDVKVCEGAANLQCN GGTLGLDIDAHLHYFQETDACSAGGISWRRYRSAKRESISERATMTDAELEKKLNGYV EMDKEYIKTHASRSS FPSE_03072 MFSSITRLARPVLTKSLAQSPRTFTTLVPLRPSLTPMNGAIRRS VLPSSFTPSVAASADIVPSSAITEHPALGGMQIRCGPRNTMNGHTRLVQKRRHGFLVR KRSKTGRRILLRRKIKGRRNIAQ FPSE_03071 MAGPIDSPVTPPRTSFKSTDGLVPGKDSPRHLREKSPADSGIGD IFDDAATTTTNGSLLPSVTVESDVSKSSSSRKEPESIMPEDPFDSQHNRILFDAIDAL QSFGAGELNIPQLIIVGGQSSGKSSLLQSLTGIPFPVDSGCCTRFPTRIVSRRTEPDS DDYFRITIDPAEVDVPGLDPASDNIRNYECNGKILTKERFAKVIEEISTEFMGLRTGL GDDRKNFVAEVLKVELSGPQRSYFSILDLPGTFQNASTVNETDQAKVENMVKEYMNNE DNIVICVVDAPTDFDRQEILRLAKKPIKQGRFVGVFTKCDMVQHEPEAAKRIVSIATG NSTHSLGSLRDGWFLVRNRADKDPDSFDLSVAEKKLFGRAPWNLVSQRRLGSSAAKSY LGTLLSSKIRECFPTLRSTIQHNLEERLQEKAMLGEPRLSHAARQQYVVGTVRKYEEK AQIALDRPGFLHPSMEICREVSRLNAEFDKFMRIHGGTWHFEDVDVDPLVLIEERMAL QAEASAEGRLLPPHTRKTPMTEVDPSLNKAFPGLSEVRDTDDLMRTINERLSMYQVSQ LDGVINPDIYPDMYQEQVKKWTAIAECHLQRVASAVSSCTSSILDAVCPLEGDTGLMS RELRALLGSSFCRSEARAYDRCKSHCEMETKCTRLQSTGSRFGDEILNWRRFRFFEAF SNSYTGPDSNLSLCFNSIHPSLQKNMVIDVHDVLKVYYKISLDAFIRNVNMHVIQSFV TAGDGPVWGLSTNRILGLSEEEMRIVGGENDNTARRRKELEHDIEKLRGALAIVDRAT RQTASLERD FPSE_03070 MVLTDVLKRWKAINGKEAYLCTGTDEHGMKIQQAALKEGISPKE LCDNNSNKFRDLAEVSNISHDFFIRTTDQEHKDVVQQFWLLLKARAPEGLGLYKGKHE GWYCVSDECFYPEDLVEPSVVPQTGRKIMASTETGNEVEWIAEHTWFFPLSKYKDKLL RFYNENPDWIQPAHRMNEVRNWVENHLEDLSVTRPTSRLNWGIRDPDDPSSTIYVWVD ALVNYLTKAGFGTKWHADDADTGIWPADVHVVGKDIIRFHAVYWPALLMAAGLPLPKK ILCHNHWTMSNRKMSKSLGNVVNPFSAVQKWDLDPLRYFLMRNGSLKGDMSYSNESIM VIYEKELQANIGNLFNRISRNKNFSWSTLGAVQAARNGDFDNIESSVPRGDAVPDFFS LESTLNDAPTTIRRDMDEINLSNALRGVFELLREANRFISDTEPWKMTKSEDPNAKVC INWVIYECAEAVRIAGILLQPIMPTKAEELLDNLGVDRERRTIEFARKGADTEYGTPP LMTNNIKPNSFQSLFPPVAAVDLPDAPIDAPKGKGKVKNRLGQVASSLAQEARETIST SKALLVPYEAHHVRQYHAWMQDPDIQEATASEPMTLEEEYENQQSWRTSSDKLTFIVC APVTQDVSLVKASTADADGLMRGDINFFLYPFESDDEDDTTDTQGWVTGEVDVMIASP SHRGQGLGQAAVCALLVYIRKHIDGILAEYGAKELKGLMVKIKEGNKGSRALFEKLGF VQKGEVNYFGEVLMTIEWDEVLKRAWWTGAEKEFREVKYELENE FPSE_03069 MPLPNTTNEVQASSDAAEAFTTHYYQAVNRHQDLLPFYINSSSR YPISADISINGAVVPTPADYSKLLEVQGKDVHYDIESLDAHVLNPSFTMGAPENIYDN AKREKNGEKMSILVTVMGRVQYGKGREAPQKMFNETFVLVPNWEAMTKLPPRGIRKWL IMSQNFRAL FPSE_03068 MPPKTKVVPKVSNPEPKAAPESPPDTIAQRSEQRFFQTNPVEKR RQQVGLSSLTPAEKKTYTHVHLIHPAVNRRVPFSNKTEREFWKFVTKEGLPIRRLPRN YAWGTDRTGRDIGTYSPDELEQRSLKHAKLTSLQIQHRQFLSKREKQYEISAEDITAE KTRRKAMAALKRDLYGEITGTLAKDPEWDDVIPIPQNEPEGALAQIAYPDDYAEAVSY LRAVMAADECSPRTLRLTEHVISMNPAHYTVWLFRFKIISVLKLSIPDEINWLNEVAL SNLKNYQIWNHRQLLMDYYYPIIEEDDQTIRKLARSETQFITRMLEEDAKNYHVWSYR QYLVSKLFMWTMGELLSTQNHIEEDVRNNSAWSHRFYIVFSDPTASTPGSGPTDADPR VPAETLDREINYCKEKISLAPQNQSPWNYLFAILAKGARPLSSLKEFAESFVSALGED AEEVRSSHALDFLAKLYDDEGEREKAELCLRRLGEKWDPVREGYWKYRVQLLKSGAKE FPSE_03067 MVNGLNGSHANGNGNGHNISDSGSETSGESSNGSGRRRMKLNRK MSSPMAPPFMVSAPGKVIVFGEHSVVHGKAAIAAAISLRSYLHVTTLSKSKRTVSLRF ADIGLVHTWNIEDLPWEAFQQPSKKKSYYSLVTELDPDLVAAIQPHIEVVSPNHPEEI RRVHHSSVSAFLYLFLSLGSPSFPPCLYTLRSTIPIGAGLGSSASVSVCLASALLLQL RTLSGPHPDQPADEARLQVERINRWAFVSEMCIHGNPSGVDNTVATQGKAVVFQRTDY SKPPNVRPLWDFPELPLLLVDTRQAKSTAHEVAKVAKLKQTHPKLVNSILDAMDKVTD AASELIEESSFDNGSVEDLSKVGELMTINHGLLVSLGVSHPRLERVRELVDHEGIGWT KLTGAGGGGCSITLLRPDVPAEKLQKLEEQLETENYAKFETTLGGDGIGVLWPAVLKN GTEEDEEGGMEIDLEKFLEAEGTEGVEKLVGVHGDTGEREGWKFWRVESQ FPSE_03066 MAAPLDSAWKIIPTFESQSIQKTIEFYVDFLGFELGGVKPEDGH PSEYNFCSVFAGERAAANICFFKSKDDSVRPCSAYIALGTEQLDMLYNTIKVKRSDVI QDAVQDQPWGYRQFAITDPDGNTLTFFRFLEGGNPGEE FPSE_03065 MMGAPEVAEVAFLQLSVDYDPEELRDILQKTQQIQAQWIRLHQP KLLEGKPYNHTTEIWIGEHEKPYLLLTAPWESVDAHNQWIQSQENISLMQKLKGFISQ DKDSVVLYHFTPAGGNNDFRGDILARGPVKLWKISVKPECKAKLEEEYRAIESQSSTE PNQRMWAGWRIEKEGTENMVILASPGFEEVVESGIAVKFQDAQVSRFEHKPFIH FPSE_03064 MPQEVADIKKFIEICRRSDASSARIKKNKKTNNIKFKVRCQKNL YTLVLKDTDKAEKLKQSLPPTLQLEDVSSKKKSA FPSE_03063 MSTRLDNLLKSKNVVLFFGGVVSMAAAYTIWGNEGQGMFPPMAD PTGDPKTWSREECRLWLEKRNLHPDPKATKEELIDRVVANMRIPRK FPSE_03062 MAFLEDPRLRQRWNQITHDTETITENAAAGIWTFQHNYINPCFS SIAHSFEQCTAVCLGDPEERLRRRRERELSHGRAEYSFDFYDDWYEEEQGGLFGAWGN EDWDRLLAGTGSSRKHNGAETTEQPHRKRGMSYGTRDRRRKPSMDDDPTVIPKTQPIG FLSKLPWKVGGTLRYKPSAADLQDHPHRHGAGENEPLLHSDDGSDLDLDRPVTRKRSG TTGSGDTSDSYRSRGDLFPSDGEGEEDAVPLDDEVTYDMVRKDDRSGRSARTSSKGKR PAGGLAGSRTVSRTTLGSCTSKDDFRLERRSTSHSATPDVEDTPTLHDLEREEYLLRK EEDEAIERKKQAAAQLAAEKGLTVTRSEPRYFPDTKPQVAEDDVYEASPSKTACQHVE EVGDTLHVSKRGEPERAKSPQGPDDTEAPEKTEFVPARLPHF FPSE_03061 MSPPGPITTPLTSLLGIKHPIVLAGMARVSGGRLAAAVSNAGGL GIIGGFQYTPKQLREILAEMKANFSRPDLPFGVDLALPQIGGNARKTNHDYTGGKLDE LVDIIIDSGAKLFVSAVGVPPPEVIKRFHDKGILVMNMVGHPKHATKALELGVDMICA QGGEGGGHTGDVANSILIPAVADIASKYHPPLLKGLPALVLAAGGISSGRSLASSLMQ GASGVWVGTRFVASEEASCSEEHKKAVVSCGYEDTQRTLVISGRPLRMKTNEYIQKWH DQPDKIKELCDKGVVPLEYDFEQGNDFDLPHLMGQVAGAITKVQPAGEIVDEMVKEAV DMLKLGGTYLTAMPRL FPSE_03060 MSDDEAQSRNKVDALRNTRTALFPHMSDMYFHGLMKRGLGLPNQ YRWTSAIHWLYKVLKDLDNLKKNSEVHVLRLECIRFRCAKCRLPCEDLMEANHIAGHI PYVFPCGHVIGSACYNELVKEYKGEGGSPLCP FPSE_03059 MEAHKTVVAGVADRVKHFHARQEPFRLYHGSTNSTRHSNRRVDN TVDTSRLNNVLEVNQDSKTVIVEPNVSMESLVDATLPHGLVPLVVMEFPAITVGGGFS GTSGESSSFRYGAFDATVNWIEIVLADGTVTRASKEDQQDLFWGAASAFGTLGVVTLL EVQLKDAKEFVELKYRLARGPSESVKIIKEECRRWDNDFVDGIVYSKDTTVVCAGRLV DEVPISATTRQLNRRKDKWFYLHVEKVRDGLRMGSVTCVADYIPLKDYLFRYDRGGFW VAKYAFDYFVTPFNRVTRYILDPLLRARVMYSAGHKSNLFDYYMVQDVGVPYSSVPEF QNWLDKQFKIYPLWICPLRVRREEPNSGHGLHAEFAKSGTADLLNFGVWGPLQGNRRD AIQHNRALEQKVQDCGGKKWLYAHAYYTEDEFWSHYNRESYDALRAKYGASYLPSVYD KVKVDIEGDEKLMKSTAKTGWPIKGLKGVYKALFGGDYLLQKKSNETSEQK FPSE_03058 MAPSRLVLRPVARMPFMRPQRRLASTKPNPKHVHSDGNLGGPGG QQPPPQAPGGPEALKRNWPPIAAAVAVLGGGFWIMLPKKGNPPEMTLRSHRDLDSPEG LKELSGRKPNSMGGFRAD FPSE_03057 MVIDLDTTMADISTVATTACPLQKVPLEVLLRITYFLTTPELGN LRLTCRSIEQALFITFNNEFFTRKQFMISETSLQALIDISKNTRVGSNLRKVHFGLDR FAHALQVNGNNARAIRQIQLYDGQFSLLSTGYHRDMLAEAFRNLSNLEDVVIRDFNSN RRSRDGPGKQWTSYGSTTALRETGNRPGQGNGLAWGPDPSTAYGSSIFISVLFALGQA NARPKGIEYMSRCKNHLRDYAFNIPSYMEPSVTPVLENLEKLHIDIDLLEGSNFSSHD TAGNPPSADMMLRVFLLKLKNVKHLRINETHSSVTGPGMLLKWLGSENTSPSPASGPS PEYPQLEELNFGMMNVEAQHLLAVARKFTTLKRLELHKVTLRRRLPEGHTGAPPRVHM WNKFLEKLKEIPNLELRHIKVSLPQQQWISLQSRCYVSIEGTTDNASQYTGPDWKHFV EHEMMPKLTVQWPRQESGESDDEDDDESDGIWDQYALDL FPSE_03056 MSTRRRAAAKAPVDPPLDGCAIAVSGKFDDIGHSHASLETLIHK HGGTFTRSVTKATTHVVSTDDDFNSGSNKVTTAQAKGLPIMNPSWLLDTDSAGTKQNP DDYHWSSSKTNSTAKSTANGASKKRSPPTDDDEDSQPRSKRARSTSVAPSSKAKPKAT KKGAKAKLDVAAESEEEEEEEEKPKTKAKPKAPVKKGTKGKAAVKAEPEPEPESEPED VKEEKMVAEGQFIKKKDIAIPLDEHCTLPNYQVWVDPDSGLIYDASLNQTNAGNNNNK FYRIQVLKDPKAATFKTWTRWGRVGEPGQKAILGNGSLDDAVKVFQKKFKDKSGLAWD NRGADPRPGKYAFVERSYNPDSDSESDDEDDKAIKKENGENEDEAPEPECKLEKPVKE LMELIFNQQYFQQAMTSLNYDANKLPLGKLSKATITRGFQQLKNLAALFDNPNLASTE YNMSVAQATEQLSNTFYSVIPHAFGRNRPPVINTNQMLKKEIELLESLSDMKDAAEIM KVDRKTRDTIHPLDRQFAGLGMEEMTPLEHNSNEFTRLKNYLNESRGATHNMTYDVKD IFRIEREGEFKRFDDSKFSKMSSDRRLLWHGSRATNFGGILSQGLRIAPPEAPSTGYM FGKGIYLADMSSKSAGYCCAYNSGGEALLLLCEAELGDPIQKLTGASYDAGATAKNQG MHSTWGQGRTGPSSWIDAEVVHENLKGIKMPDPNIKPGNTNVANAGLYYNEYICYDVA QVKLRYLLRVKI FPSE_03055 MDLASASSDHPNNLITDLDSPLPVSATASSTPVVSQPPANPSQP ASHANSPDRKPKKSSLTCTTCRARKVRCNGARDICSNCERLGFPCSYDDGDTGALSGA LPRRRVRQACISCHSRKARCSGHMPSCDRCRSQGIECVYRPSKRARISLREGPSPQSH EGDRDDGHNDSDPGLTDPASTATPQGFAPEISFPDESFDALIGRTFVKFFNHVHHIPM FSFLHRASLEGRYNSGKVEKALLLALIGITSYLTDMGPGMKDYGERCIDDAEGLIFAD YTRPSTIKVQALVLIIKHRILSKKSPSAFMLLSIASRYAAALRLNHEAPNLCFLAQES RRRLMWALYCIDSGVSGGYRDYSLWSADKIHVGLPCNERNFEFDLPQPTERLIHDPDK PRNPQAEDVGSLALHIRIQHMRRRIMEFSRRVMLTRNTKPDELQAGIWGLQKDLSEFA THLPASFQFSESSLRLRAYSPRICIFIMIHVWWHQCQIDLYRLALGGLREALPRVILA SLDDAFLDHCQRQCVEHAMSMANIFSSMHKLNAKPVADLDMAICAYQCARVLTYAYHT NAPKYDLNLDAVMERAKICLLTIKSCCAGKTAEGIQADLERLITHGIALRVPSVTPDP HGRLPLDNNYSQQSLRQSGLVDDSNMNDPNQFVSMTPVSMSAMTDSTFPPSLVADPWV PESQVSPDLPHPTTEVQVPAKPAVSVAEIVPRQDFGISEINNAYDGGADGLGTDAGLE YGMGLDQNLWMPNNDWLSIDALQGGVGA FPSE_03054 MKVRCSGDTPRCTNCQRRKKPCTYLSRAGSTTTEASSSRDDSSS KSASRATVSPELQRSSIASSSTSNATNSSVVEGVAALSYELVVPLVNQFFDRMSPLPS FSFLHQATVTQRCRDKTIDRVLQLALCAITSIYFDKHQEEHAKWAQEAEHLILERLEE PSIFKIQASLLLIRYRAAVGEFPRAFIMAGLAARWAVALRLNYEHSKLTPVAQEVRRR TFWSLYLLEDSFCVGLKEFELFDPDTIYLQLPCEDVDFLQERHISTGYLQPGKGLEPD ILGPRAAFVRLAFIRRAIMRLSRRISMKEANLSDLFSSMERFQTDLLRLKTKLAPCDQ YSDSGSQRVRRSPQCAAMHMSWHQSHCNLYRIFLTEYPEPTPHATVEGISASERAVMK DKCLFHAEQIVQLLSDFIQHNNGTDLLDFDVAICAYHSARLILFGAYTSKDNKGPSMQ MAISKAQLCLDVITRYFRFSEQLRSMRQELERVVQQHKTWVESLDNRDIAATGTTHKS PHKLSKDAYIRQRLAIHSLLGQSDFVDDSRDAAPAPPPEQALSTTESPEDVQAAPEPP VDGDGRELYLLNDPIMDPSILFGISYGAGSDLDAWAHNAAIMQGINGYLADVDEQYMY FPSE_03053 MYQPPYLQNQVQNQVQNQEWRPQQPTYEYKPVTAQEVHPVEADI IQSDKKQRERPDYRPIPLRWPFISALILVLLALMGMVIYAVRTLDGSDPTTRLENRSF QIVNDNLFFMKRQDVVSEAAAPEATDAAEAEPETAADPGPEETGASESQPTGDTSNAD DGKSGTLTTALVEELFTTMVTKPGSLEVTSVTETITETGEITRTLVETIPGTTYVTSS EVPVEDQPTSDGGVPATNAYVPVTVTEPAQTKTSITVMESGVVRTTVKETTRTGPPIV YASVGTTTLYSVLTLDSEGKIAKPPVTKYRTSQVEATVKTIVDAPPPVTMVQTLPDGQ VITSVSTPIGTTRVTTMPPTQVVITDVSTPTGGTRIVVEATTHTLTQSDYFIGKFLPP IVAVLLAMGIRALNQAAQQYQPFAALTREGGALGREALLLSFDGWQSIILPIKLLFNN QPLPFLTALALWSSSFVAPLSSEAIGLKIYGRCSKGAIDGCALELGVSNNAAYALVGL LGALAILLAFTLSVISRHWRTGVYANPWCAVNAAALVARNPEVRSMGASDWKDLKSSI TEKRFAMGWFRNQDGRDEYGVVIVGNIDRPITATYANTPYAEGMAYRPQTRHRAPQTF MALSFWYRFTFLVFLICLLGFVGYYHFVDTFGTLPKNMKKLMESQDFGVRFVYSALGV VVIFCWEFLFTILILLGVAVITPYRRMAEDPQVPTRSVLMTPATNPVSGVFVALRIRD PLLFFTAFTTVLAQFLPILLANVPYNRTQTSDAHEICSRLSIGILLVMVVAMLSSLLV KWPDLPVDPSSLAGALWYVSDAPWVRGLEGVAAMSANKRKNAVQGLGGRWTYGPIHTP MGERMCIEHGTTGFRAQNILLDNLLALNRDFG FPSE_03052 MAPIPMITSAAAPKVLPVLLAVGSISIVGGYVRSQLTSQSRTFD RQFSQYNTNKSEFARAKTFDGSVPDPRTSLFNVLGW FPSE_03051 MVSMQRSLSSPVRIHSAPTGSSTDAQESGSPPPSFDIHVQQPAR SRRRRSPSPSPTRDNTQKHLRNSDSKDHRGHGHQQSPVMSSRKPIVAQPNMPGQPSPR NSPRVSCLKLSKDENDNESSSKFKPLIQDVSQYTNPHLSPESESTTLEELAHLVRLSK YQERKRANNRLRLQRNLVSTALSARLTRCGEIAHRNLVDSFRRDDKENFSALYSAIHD VRNSCDELRRYALLDPEMEGLANGGLGSSESLDTPTNSAALGPLKSLTPFLHDISASA RDTFLEFLTQLRTNPDYLATRICSLSSSELNSFLTYHKGLEPVESVLPFHGRSGGRVH ASAPKNSTAVDIERLLSFQRHDPLSILIHTCFANSAGPDSSEDQRRTDIWATALARLI SEPKSTGEHFLISVLNIWTAMRDWSGKSNMEWYLMKILEDGAFLLDRAEDQHGTRFNL SDWNHSDEVAAKEFYERAVNQLFELVDDEDATGIPEGLLELGNAILKKLDHKYVENTS RWLVWRCLFFVFLLGVIIHPESYGMLAEYHITPYAREKILKKVAMKAHEYVSSMWSGK PSATCVPVDVPPKIKGHVESILARFQGSRSKTPAAKLLPARSVTSLRETKEVHPYLVI SPADLATLINAIFPERRPHSASGSFRSGPASVSGMSAISQPISMSNQRNSNFDTVSII STSVSSVFSDSTTRDDYLDEQTSVTPQRYSPPAMDSELQRRLNKYEDDGYRLRLALHE MTQNLGSDITRGSCHPCAERWVVLFISSDGKSLSTNMTFDPDEELDDEENSSSTDTDE EDASDGPELDKDYHQLRDSILKLVEDYEIPRSLEPENSRTQLSNRASGLKRYKSKTRI ITTERSMASRNPYRKHVDKDASERRQSSIPESETKDDKSEAVLITMLKAASAQSKALA DFVSSHQYWKTLNQLNALASPSLRANGFAVLINIFSRGPRDSIRRSASAIEEYDAWLV WLKQSQERHEGIIDRMMRRVRAVRDKMWYVTDVRNSKEYAHSRDICQALKTMGMPRRW NSFQRSRAHMARGPSSSYLYRTESQIMDLLAASEEQGGPNKLSDDPAEATAAWLQQRG IENFCMGEERIHRFCYEVDKCISRLVGETIREAPVLWSSELYKRDKHGYDRARAREKE MSWTGDDSGSIISEQDRKFSPTSSRPNSLARDLRSLSMHNPSQQSIDSVRQSMPRASS ALSDILDGQEYFDRASPAHTNDSASTFWSPFQPITSPSSGASRGYSPTTSMTNLSTTF SHSQHGTAPSNSTFSTGTGTGRPGTSASSNETVFQHQRVDDEKTRFLNELRQSLTSLL LSDLGNQVFSRGSETDGWFDTLGQQCIDRKDALDRRARRRSEKRSSGRPRVIEKKKSF GNLRGAGDNNSERASETSEGVPQNMNEGSSTNDSTPRLSAAKEEKENKEFPFKKAYKR LLNMFCVHPNPYAKLNALYELENLIVAALQSSGQKRPRWNRSDAGSSVVTEHNTNARP TPLEGTIDNVRERRSQALQSQLATPFFGPRQTNSETRSIMSGGNVNTDIITKELQALF REASIRPKTIFRDLQFIASFVPPSVLDKQERGKAFWNTALAALKLKAEVCRTMVEMAD EVVAAHTHVRKPNENTIPDVPQSTTGTPPPPSTTYKLDDAGKMWTITAKEGFPTAQRE LALFYLSNPEYVERTTLPLSKPREVFKQTVMDKYGRLGNGGGRTGFSSGGGEGKESDV RSDPGLMCVAVHWMEAAEHGGDELATSFLRQNEFMGGR FPSE_03050 MSASSPQQSIESPGAGTPTIAPSPAGTRSRAVSAAPGPASPATT GSHSHRTPLSIKPSSKPPPPTQPHQRTALPSINKMAMSSVISPSPAPPEPPKVSMTSK EWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVGELEEQLDQQREVQEK HESDLKDKIHELELDVQSYRSRCMLLENMLERERQDRIRVETEAETLKRRLDDGIFNS NFQSRTMSAQHSFDGMHSPTSQGPRHSLPDGRPDRQSGHSFSISQIISPPETLDMNSS HDPETTLTCGNCSPNGHCACAEEVMRTAETGCGKCSLSSTCQCLDEAAEALDRAQELK RPVSPSADVSHEKRHRSSPHDTAETDYTAMFSRKPPQETFSVPTQLPSMDPMPFRDGC GFCKDGTYCVCADTALATPAMTPNDTLPPISQQVQTPPPEETDLPILAMEMTADGAVK LPRRTQPKPTERPSGCGPKGPGSCAQCQADPKSGLFCRLMAANFNRKDGSSGGCCGGK GAAGGCCKSQPKQPQQAQQPEKINLPSLPSLGLSCAEAYQTLSSHRNFSKAADDIGSW LPKLKATPRPGTRPTPPGSMMPIEVEAASIMSVLKDFDVRFGRGI FPSE_03049 MTVAPDSLTSSMAGLGVSKQFTLSSSDSSSSVDDDAPLPFPEAL PRADFLAKDFQPAAYLSALPHRHQTLEDLRSDLRDRSAAISSELLELVNSNYTAFLSL GSELRGGDDKVENAKVSLLGFRRAVEEVKTKVTERREETNTLNGELRGVRSAIEKGRK MMELSERLASLEERLSLDSLPAGEDWDEDSEDEGEDDNYGSSTAKLLTSAQECSRITK LLESMDPDTPYVIKMEERLARCRNTLLLDLGNALKEAKKAGVKGQDRVLKCLAIYRVL DAQSEAVKALRGG FPSE_03048 MKSFVSVALLPLAVQAVRIVQSNDDGWAESYIRTFNDALNNAGY DVVLSAPAENKSGSSSRDENPKDRKTPCQYDSCPANSGPAGSDPKRPDLNWVNSFPVT SMKYGIDTFGPSLWDGAAPELAVTGPNVGSNIWLQVPFSGTVGAACYAAHEVGIPAIA FSGASGGNTAFNAKPVPERSLVYAELATTFVKKITDSGKPYLPKDVYLNVNFPKVEGK CTDASKFQWVLTRINTGLLSERDTEWCGEDRLPTETEIALKSGCYASISVGDAADKTT ADAARQKIVLEKIKDMLVCVD FPSE_03047 MGHLHIRTSREVYSARRRWTTGSTDLRKLGSVIFDKIHDLKVDV ELDASSRKTNVIAGSSDTTGLQVESFQKHKPSKHVQGHRSSTSKRKSKSKVTKSAKYL PTRKRDPREDTLVIRLTNRCKKLQKARLKLENEKHDAHFAASKLRQKLEKKQKKLSKV RLLSEGKDLEIDLLREGINTIEQELKSSSRGDFDGSSEDCQGIGTGLQLCAKPTAECQ SQDSDQATPNNGSYKTADLWSQEYGATTGNSSFWNGPKTAPSIKSEPYQMFDHGGRKD LLCLKTNSAQKSSRCTTESESLIDSLESGSPIDISSDDNVVVRTSRRPLRNTKKVNYD VGAPEHWPIEFFN FPSE_03046 MASFKLLTTVLFAGTSLALPRSQTSNNPTATIDNGVVIGTTTSI SDSKTIVNQFLGIPFGEKPVRFSPPKPAKEWDTPYDASEYKPSCFMKFNYPEEKRNRT IKTFATPGPPAGTDEDCLNLNIYAPAGVKAGSKPVAFWIHGGSFSHGSGSLPYYEGSK MAGYEDIVVVTINYRTNIFGFPATYDLPEGEWNVGFLDQRLALQWVQDNIEAFGGDPK KVTIFGESAGAGSVEDLITAPPDPLPFRAAILQSGTANTNVTPNGSWDVAAKSANCDN DDFEKMLECMREVPATKLKDIIERAELDFQPISDNGTTLANFPRDIRLKSSDKNTIMA RVPVMLGSTADEARLEDFMNITIEQALRAWMPDVTSAQVSILKTFYPIGSPGISNDFD QVVRIATELGMQCPIRYVAEDFAETGIKTWRFLYNASFANTEIFNGSGAYHSSEIPTL FGTFPEEGSTDFQHELSREMQKAWGKFVRDPKNGPGWGQIPKIGVFGGGVSPDSDEKP EKALEVSNTHLLEPRCIAFNGLWTKGKTEE FPSE_03045 MLFTTTLISIASLCLGKEVTKNRNNEPDAIIDQFPWYSLSDETG FDPLKYLKAPAEDKPGPDRVACPAAIAEWFDLVDEQRQINIPGGQCRSVTEGTCRTVA CAPRGDVSVALEEVTGYMWKPVSSRCVFGGTGGIWQNNGSTLVIEMGHAKKD FPSE_03044 MSYLSRLSPIPAFPEYTGPYKVGTVDVEIPVSELEAPSAAPEGS DKIQTVQYRMFYPAVPESNEKRISWLPNPQRQHLVAYTKFLGLGPMLSEFLSFLPRHL HYTTIPAHKNARLLESLTENKRWPTMIFSHGLGGCRNSYSYIAGSLASHGIVVICPEH RDGSAVASFIRVPEKQNETITSNNRIQVHYEKISHDVSPEVYQAREAQLRIRCWELGL IHQAMLSVDRGNKLTNLNRSTLSLDQFVGQSNIHEPGSIIFAGHSFGAATVTQLMKST YYAGVPEVAAIEKPIFSPAEGSDIKKQITEKTLTMLLDMWCMPLMAPNSKPLFDLPLP VYADKPTAPGGKAILAVESEHFFKWRDHLNLKARILSPDPTAQVVAPQLFERPSGIKM SEPNFFYVINSAHLNQSDFGILFPWLTQKIFKAEQPERALRLNLRAQLQMLRENNVPI GRTFEGDLVDGTSFDKLEKFNQEKGDPCKDGINDDQAIFDTSGNNPVGFWRWIDIIGL GDTGDKKTTEKKVEEGEEEMKGELDPGDEVPGAPPSITRTMSATAA FPSE_03043 MCTCEWVRFACGHEEKRRYVACTTLSEIKDSSECPCGSVDFTVI RSLRPCEKAECTYIECMLEGWACCKCKKGPNEGHVCKQDVKPWRWEYVGCGHGYCTNC TPWRDGERNKGVQGQKNTHEGPTRKRSKKIRRSWDVIARRS FPSE_03042 MPLQLRPAVPEDIPGMCRAYHSAFSDTIIGSRVFNANKQASEKF FLKGYTDDIADPTCEVLVVTHKTSPDSKDEQVVALAKWNLPGAPIQDVPPAEAWPAAG DLAVEFFGKMTEGRRRFIGDRLHYHLELVCVHKDWQGKGAASLLLRWGAERADAESLP CFLEATPKGAPIYEKYGFKRKADEVFEWSFGRAVETYMERDAKVEKRTMTRSRTKELA FPSE_03041 MSNHLAKQLKALHKPSAPIIFPNVWDVASFNTVKSLNTATSKPV KALATASWAVAATYGIQDEELTLEQNLEAIAKIGPLAKEAGIPLSADLQDGYGPQIVS VIKRAVEAGVVGANIEDVRPEDNSFYSIDEQVSRLKTVLQTAAEAGCADFVLNARCDM FHIDLGLSEAEVIKEAVARGKAYLEAGATTVFYWGGSGRGLRTSWVETLVKELDGRVA VKLAHKTEDALSTKDLANIGVARISVGPSLFLLAQEATKQAAERILAGGNL FPSE_03040 MTVDTMDSNKSSGDESAVIVTSTDTEPQKEETTSETKPQDTKTE ETKTEETKTEESKTEESKTEESKTDSKSETEEEKKERIIVGLLTESKDLYAKFDKNGS RSWTDKYPDDLEEAAENEETQKYAVIIRKVKPKEADSNKPLDIDSIVVQSPYLKRALG KVFDDYPGIITDVTRLKFTAPFHCFVHRWEQFTQAKDDASWDEITREHITLLHKILEE ELGEIIQLRTDYFKNRAVAFEHAWALFSPGCTVWGSVRGKPVAARFDSGNYVKTQCGL VYSMSCKGIDWDGKSMGWADVNMQIRDFTGTVPFSNLSCYPLEYHPKPEAARELLLQR GRKFESLAGYHYKAYSGTAIWHVSSTKSRQENVNSRIVIDGANWEKLNPDHMIWMNYL WNEQEIAENAADSDDEGGDNYSEFGSDAVKPDVDASSRLALTEEQLIMTSPIVRGYAL KNKRWMEFYIDDVTDVKFNDQAFDSLVLPEDQKELILAFAQSQVKFKNVFDDIISGKG KGIIMLLSGGPGIGKTLTAESVAEEMKVPLYIMSAGDLGSDAYDIEENLNRILEMVAN WNAVLLLDECDVFLEARSAHDIERNRIVSIFLRTLEYYEGILFLTTNRVKDMDQAFHS RIHMSLEYPALDASARESVWRGFLSRAITLQATAEGDSAHTITEEEIKALAGLDLNGR QIKNVLKTANLLACHKEEKLGFKHLRIVLRVEGHSL FPSE_03039 MATRRQRVIAQGLADLRDFIDRADPEDVTNLTSLTDLVELFGDV PLRIMETAPTPPAQDTPPIFGSREPSDFQIRDAPHRIMEIAPTSTARDTPSISRPREA LDFWIGEMLCFRRSALCKDMTQALHNLLANGRRGRAPEQDSILQRYHVVRMGSRAPGL GHSMRWENCDRAPGVGSCHDDLRCFNPNECAINYVNCFCLDRDVFRRRDIECDQANRV FDLYKTQLPSRYAQGCSNAVTNMFFSLFVDRHDNDDWDPTVHGVLFCNYFNKFQKFTN DFYFEIMATRARGNMREFHAGRWC FPSE_03038 MADFQPKSILIFGATGTIGRYITNAIANAQPAFGQVTIFTSKDT VARKHDFIEELKSKNVKIITGDINNEEDVKKAYKGIDTVVSAVGRNVIETQINLFKIA AESDSVKWFFPSEYGTDVEYGPQRADEKPHQLKLKVRKYIRENANGLKYTFVVTGPYI DMYFTLTPGVPEAGGFDHIGKKVVLVDNGQRNIGFTTMPDVGKSVVAALRHPSESFNK ALKVQSFVATQQQILKELEKQTGGEPWAVTNYTLDELREAEKKGWAEGNSSSTLYTLR RIWSEGGTLYEKTDNEKIGLKDENLETLEDAVHRRLTTGY FPSE_03037 MRVKALIAAAMTAMVIADQHPGAEKMCGRLGPMTWDPNDLPDGV DVSQIRMCADHPMGAGNYWGWGEYFPSWVPRNPLADIPWGRMYRS FPSE_03036 MLDYACGNGVASRALAPFMSTVRGLDISTAMAEQYNKMALKAGY TPAKMHALQGDIIEPESTPCPELNTPAFFNFDLIVMCMALHHIENPNDMILQLSKRLR PGGLLLIIDWVSHSDSTTQEGENWKMDFSKLSVNRMGFGEDEVKNAYDKAGLEDWSWK WTSTPSQVPREIGGLLVVFLYSGSAVDSKTSTIEYSAKIGE FPSE_03035 MENIAGKQSYDPALKVQLFLDALAVLGERPVEEGSLIARLCSSL IIVFGDTMQKLGRTKLSEQEDRPSASISLQRSIDRLRLWSDNYGICAGDQDTSFSKSR RLRHATTEILTSISDTVLERLEPMTSSKKSEVSNTAGESTRKLQDILEVAHSALDHDA SSSDSGSAYGPDSFIEIAKDLETDTMCLMALDTLFQSVELEPFVEKTAVADEAQSWRP HDIYKDKIQTRFPRAESSLILRLSKTAYHRYLRCQKERQNQAAEVGNIDFILHARSEG SSSKFHDSGLGTSIPSSASVAETVMSYHAEGGNPIRIPPLSKEAKEGQPFECVSCGKL LSIRNNSEWKRHLYKDLLPWQCLYPDCSFTAVFESREDWVAHIADDHKLGPNWRPIIC PLCLDTTTSGKMSITKHLCNHLEEISLAALPANPDLESNAGSEDGSVSSGSQVESTSE KNDPAEPPADLPDSPILQDALDYLDKVRSACRDTPNVYNQFLDIMKDFKAELIDTQGV VHQVRGLFVDTNNEELSSMFLGFNRFLPPGYSIDSDFNLRLPDDVAPQDELDTSDIRP TGGDAVLIEYLGNGRDPEIARAAGRESLPCDAASISVDTPSTGSPIDQDER FPSE_03034 MEPVSLAFGVLPVVGEAVKTCKVVRRKLKLFRHYSRELRRVQKR VGRQSRVFSNEIHLLLRPSLQDEDVVEVMLKDQDHPKWTSQDLEDGMRNSLGDNYTSC REIIEDIGSTLTSLQSVFDCFDQALDQCDENEHPRDAIRRLREGTKIAYSESKLEQNI KDLNQSLEELKIFREQTEQLNKPIDAVPPRRTIRKHTNLEYSHIRKTRESCKALHEAF TIAWARNTRHGLNHEIQHTVRLFLNTEVQTDVYMNAVITCNSCLNERAIDVRIRSQNH DSILGLPTPASSEASTSSHDGQRKKRKVQFAQASPLPNPGRPCCFADNSETVDIPPDL SMADLCTALYKDHILPTNQTADSYCFGYLDGDMDGTFRHHIYSNPNLTNRRKVTSNTS ASAYPTLVPMSEALNQPAENSISIIDQLRIAREIVSAVLKLHSSLWLNKYFNLYDLMF YDTDGLLTTSLKTVHIASEFTQATLDGQHVTNTNIPSPLDADDILEEAKLLYGVRNLT LWSLGTILLQIGRWSALQCSEDVVAIRKLSSQVTSLGPRYRDLTRKCLNCDFGYGDDL TKPRLQQAVYEGLVCELSEMISSLDVAED FPSE_03033 MVAIRSFVVLYAAVGIASAGKCKPESISSSILSETSISGSVGFS SASTAESSTTVPGTTLETSISESTAETVSSAISTDTTETTTLESSLTTLLTSFVTTSA VITTVESAATTTSAAPIPSCPSDTEQCFGTMEIKCDSIFGGLSDNTEVKDLNECVKKC SSDTNCAAFSYAESIRTCFTTSSLPSNSDEAVLSDWISGKKGTCGQGATSTTFTSTAE ATTSAAATSTAPVADPVCSSCVDGARVQCNTSLNGLVLLGSSQSIAECFSFCAQDDDC QGVTRRQDNGACFKAIVDPDDVVAAPQEGRNSAIKGTCRI FPSE_03032 MCKSMELEFSCSTTDNPHRLETQGLLPCDNFQSCVFELFNLKRP ESDIAQNTQVLVQHYTLVNIDGDCQKCAERKTKLIQNRERISQALMKESESMDKIPGG SMKGGSGKVRNLRQIHQDRADHQPDTICASGPCKRLAMISTDGRQGMFCKTHTCSATE WNCLLDTSTTQDGVEFSVYCTAHTCDTLGCNRRIADLSTVHCTKHRRKICMGFASCQS P FPSE_03031 MAPKLTEDEIDDLIYFSRAGEQDDLTEGIKTLAERENASPAEIV AAAQDASNKSTCLHMATGNGHLEIVRQLIQYFENRPKEQKQAFLDEANEAGNTGLHWA ALGGHLDVIKLLLEQGASPALANEQNYVPLDLAYFNHKNDVAEYFLSTAKKLEDQNQE EGGLEAAVGSVEIEDDGEKSEEKKETS FPSE_03030 MDQDPYIAQAIAIPELTQRHQTDLPSSATITNNDTNTIIHNTNV GAVVSRIEGILEQIIDALAAGQELSIAFSTRKPSRQASNATPEQVHFPGRNKQEATKF ARILLILQLSHDALVSGTVLTKRHIFYQHQDLFEKQREVDDLVDDIAFTLGISRGNLN IVAASKGVLAGPLTIGLYDGSTLNPCLGDLAVFRSLCSSQFWRVSLSGPGVLVTAKGY PDLTTRSFLNLVSTRYPQLPILGLFDFDPDGVKIMRCYRYGSDRLSHEADLGTETLQW LGIKSTHLFRDYASDSATITSSQSSPSSITSTSCRNPVSYMSARERSAAISTLKKVVH PSHLDTEVSETKHELQLMLVLGVKAEIEWLDESGDLFSWLDDEIGEALISDMI FPSE_03029 MVANSTGRDASALKSRKRAADSESEPLLKQGQPFPKQPRIGSEL DKTRWRLKDDDSRHTWHYLEDDDAAKEWPQSYAEKWYLNQSLDLPDLPTPDSPLAAAT NGLDFFEKLQLPSGHWGCEYGGPMFLLPSVIITWYVTRTPISTSKATAIYNYLSARAH PEDGGWGLHIEGESSVFGTLMNYVALRLVGVEADDPVLVKARGTLHKMGGALYAPHWA KFWMGVLGVMDWDVVNPVPPEIWLLPDWVPFAPWRWWIHIRMVFLPMGWLYSKRWSCE ETDVIRSLKKEVFIEDYAKIKWTNHRNDIGVVDNYHPKSWLLNTANWLIVNIWNPYLR PNALKEKAEAWSSKQVDMEDANTDYACLAPVNATMNTVMCYARDGPDNYGVQRHIERL EEFLWVKDEGMLVNGTNGVQCWDTAFLIQAVFEAGLHKDEKWKPMLMKSLQYLERQQI REDCVDQDVCYRQPRKGGWPFSNKDQGYGVSDCISEAMKAIILLQKVGGLPEVLEEKR LFDAVDTLLLYQNSNGGMSSYEKRRGGEWLEMLNAAEVFGRIMIEYDYPECTTACVTA LSLFNKYWPDYRTKEVKTLIRTAAEWIKSNQRPDGGWYGSWGICFTYAGMFALESMKH IGQTYGTGENSRRGCDFLISKQRADGGWSESYKACETMEYVEHPSGSLVVQTAWALIG LMEADYPHVEPLKRGIQLIMDRQQPNGEWLQEAIEGVFNKSCMISYPNYKFTFTIKAL GMFAKRFPEEKLVPSWALQGNGIEKS FPSE_03028 MTRSKTPPKPQKAKPDTSKPQMDLTQDQEHEIYVAYKHVFNGSY KCYRKPSYVKGHIDNPRAHPVAAAVLEKYGRGTVIRAVLTLLQAKVFKNEKYAKERFS TTESSPELDASEPSEVVQPPLDLKQKDDTSTNIDPPPIVSEGPIEVIEPVKVEQESDV QVSQVADKSSAKEETRPDTTPSPVKTTEAKVPTSCPDISDDVRILSPPQSPASSQKKK DTVAASTASTQAKPRFITQHLVLKRMQTILEHACFDFARENMPEILAIMEWDCPEAGE LDIWMRHLGGKRFKELEKLARSKDVKIPLRLLMASMARLRNAAVHRDVVASRELTLLA GHAVQFCSVLQIPGSDALGILQTIRDSLETQLGALSGLKDEIDAELDNSLKEIARRRA ELDALEESTIQEAQKEFESQQTITWNEVDSLIPFRHNEFSLLKVPMEQLGGAPPPWYN ASFNLSPGMGIFHAPSQPDKLTRNWASSKLVRQHRARFPGYAMEALRSRATSFFSLIR RHCVDDGLETNQYREIEKGWCSAANSVFV FPSE_03027 MSYTTLAQQGLAAAEARNWDEAIDKLTIALQTSKNPQWLIARSK ALINRGKFQEALDDANLAWHSAYDRNKRPQLIEAHYRRAVAYFRLRQYANADACCVYA MRLVKNFPATEKEDPAKTKCDENGFYKVALKDAQEESATDEINNKKGPSLQQSEPSNW KDWRIASTLRMQILFAMEKLPEDDPARKLTTSAKPELKELAGLADLGITDTKAPTTQT TTKPAVVDDSPPRMQEFQNNDTMSVSIFSKKVNKDKLQVVFKDDFVSLDPIVWPNGSE RCLSFHTWSPINTDTSTYRVTPNKVELTLKKKGPGMWKQLKKDEDNNTTSSSAHNEEA EKLKLLKEARKQAMDAAVASTEASTSAVQGDESTIANDKGKTPATSEASSKHPTSSKA EKKNWDNIGDDIDSDEEKDVNVFFKKLFKGATPEQQRAMMKSFTESNGTSLSTDWDDV KGRKVETVPPEGVEAKKW FPSE_03026 MFSRRLATQSCRAIPRGFSLTRIHAQNATSYRRIISKPPPQFKV RDSFKINDDSLTISSSGRSIFMVDNEKGWTKLDAMLLRDSCTCATCVDTASGQKTFAT TAIPSNIAIDKIRKTEEGVGITFKNDMYPDHEMIFPWLLVSQAMGHQKVPKMPFPRQE LVFPKTGRTFWDKAIIQERVRKIDYHEFMKGSDAFWHTILDLSNLGLVFLKNVPQDEN SIVNITTRIANIKETFYGRTFDVRAKPDAENVAYTSGYLGLHQDLLYLESPPAIQLLH CMENSCEGGESLFSDGLFAGKLLFLQSSPTIRNLWKVMVPYHYEKHGYFYHQRRPILE LGPSENLAGVNWSPPFQDQFSSAAVDAREWLEPAKLFDRMINNPDVMYEMKMEPGECV LFDNTRIMHGRNKFDVGGGSRWLRGAYISREDFVSRALHVPEELATEYRAGKKWSRDI EDQEVRGSEWFGKTLAQVDEVIEGLKKDKSIDKMKSRDFELLRM FPSE_03025 MAEIQSSNTKPVGTAPTTDSANQRQSQQRGPSLHQIYALPAPIR TFPLPAFYPSNPISLFHVAYAWLGQLWSPPKAEPSAVHIGTWSAATSSVHITDDVSTR ALWEQGFYGKGSLSRSEPNWLKREQVRQGLADAHVSEIMTVQRRQERMRAKWERARLE QEVIRETRLKEAEEAKARETKALKAEEIKLRSQESKDIATPESDKPISLSAALPTYES PVSPAALLSLPNSLADLVQPKIIQPVTPVVSVPTYASPVCPQTILSLPNSATDIVNKP VGENAAEEFNIFGISGSNMAGLGLSVDTARSNSVNEVVANGAASVISSSSDDEGITRP KNRRKSVRFSPTVESTTFHFADPPSPKRAESNGKLNGLSDGRPISPVALNGRKEDGKT EIVNKPVLKNMEHLQLMPEEAFFLSFGLGALQVTDPASGSQLSSLDLLRLFRQHSYFP PRVEAEDPALQPDDNFLIHYAVYHHFRSLGFVPRGGIKFGVDWLLYTRGPVFDHAEFG LIVIPSYSDALWKESGKQNPQKTWQWLHGTVRVLSHVTKSLVLVYVDVPPPSKFEQAL EKGVAEALKLYKVREVMVKRWSTNRNRG FPSE_03024 MYSFTECIAGALFVLGCVVVTLVVIGVRALLYNFRNRPAPPLSS QLGQNAPHVTIIRPVKGLEPRLYDCIAASFRQDYPQDKFSIRLCLEDDTDPAYPILQK VIEDFPTIDARIMLEKEDDVLSETVNMGPNPKIRNLSRAYREAKGDIVWIIDCNIWMA KGVLGRMVDKLMGYRVGGAVKPYKFVHQLPIVVDLMDFSTPLAAEGRSLLDASPEEDH PTEDLGAEEFPKVMSHGGGRIDEMFMTTSHAKFYSAINTLRAAPCAVGKSNMFRKSQL DQATDGILNPKLDQNKNLPTGVDYFSHNICEDHLIGEALWLTDFPGYKSHGLVWGDIA VQPVSGMSVQAYTARRSRWLRARKYTVLSATILEPFTECFLFASYMSLAMTTIPIFSQ NLGIPKTWNATAIAWFTITTLWMLIDYIGYLRLHSGVTMEVDEHTPYFAKGFKNTGGI KRRPFLEFLAAWIGREGLAFPVWAYAVVFGNTVNWRGRLFYIHWDTTVDAVEPREERT REVRTPELERGPSRNKHRVD FPSE_03023 MDERFADPPTPTLEQGRIFQTPNTTTGTTSRPDPSGPVHSAPIM SGPGWPVDEDSEGGKYRNLLSKLENLEAGNRLILDDFARLNNQFSKITEMLCKSHGTK PPFIESPKASFSKSLNTPKLPNTEFEKPKEEGSPHTIIIPRTTKVARVEIEFESPEKT LKEHNPNDRGHKERGPGIPVKSTVPSISFEEFEEGRQGQNEEGERGGERGGEVDAVKM FVQQLADDDDLFACFIRYLTNPKATNRQIATKRDKTVHNLAHGFLRAATSPKEMATIS CPESSDIRATMRKMSNSTRIELYIALSQRYIKEYGDEI FPSE_03022 MTTKVWSRNTQAGAALEKAQEAIRNPTAASIPKPPSDLDELKAD SDSFTLTSFTTEDAFELGNLLYARLYPFAVQGKPTVISIALANTSQVIFQTVTGPGTA PDNEQWVRRKRNTVLRFGCSTWFMHNKLKGDEAAFAAKYAIADSNKGDYAIHGGAIPI RVRGVEGIVAVVVVSGLKQDEDHGVIADVIKNNWN FPSE_03021 MNATKWRISKACQECRAKKIKCNGETPCQNCRMRNLSCVYREKA RNRTRKVKPRTAAYETIMSHDAMESNSLEASDEGTVPPTPSADEAASIGPSGSVMDSE RSITHNAVAATHRASPSCFLQLYYGPSSNFALLNSIYHQIAGTCPNDPPSRSSVVEEG GPGLDLFSHRRLFFGDLADNQRPTLLPDDGSALLIDPDTAHRLLERYLLTYWHGLPVM SKDDYRRRLDALFQPPGIFDYDAPETIIIMLAVGLGASMTGEEAIAEFIFQKTKQGAA KLDEVVNMQVVQIHLLMIKRARPNSSFLHVGTACRKAVAAGLHKDGCMRPGYTEDDTN QRSITFWSVFFWETWQCLVLGRHSSIPDPGPVIPLPKDQKLLRSLVTLSRIMDKCVKR IYSPRHDSLLPVWNAAVEIRRELHQFAEQQLKDMKFGLVGDPSTGELGVCQAMVSTMY HHTLLLTFRPFLILRAKLNHDRASATSSDNLQLPPPWLDSACEYCLEAARNSVGFLTG SCATNILCRDIKYHGFFIEGACYALAFDMLQEKKTAHRNLPWIHSGLRCLRSMMGSAG VDAGQLPITIASIEQMVRSAGFEMKDPVSQTKQYQQQVPNSTLPGSPAPTNVAGMGSE PAFTFPSMPFGFDTTGQLNGASPAGARPEDMADFTAADVGWDIDFGSMNMDAFLSLDS AEAFNFAP FPSE_03020 MESTVRTFQVFGLTSSLLLAGVNLGSSHLTVPFLYNQPTSVNTP FFKEFYTRGALTLVPLAIFSGASSGIVAYIPPAQRTLWIVAAVTTLSQLPWTGLGMMA TNTRLNDIAASSVEQEKASQQEVVNLLKKW FPSE_03019 MQLSALYQNACATFASLSIVYKILFAIVVIFILNKAYGGQRKAY KNLPAYAPIELAIASYVLSGDGISRRFFSAISRYGGSLFGITSGHQIVADLPGIERLL TQSHHIFDSTAAQYSLCTLVFGSTDSPQLRDKLHTSLKDLVPPLERTFLNDAASTAAV ERSRVAERGASLVTFSSDPQHMERWELSAGIKVLQSEQPGIPGKVEANLQSLTRDFGA CMSIPLIYGQDLLDRHPQLLDDFWVFDNELFPLLMVGIPTWAPFKMMQDGLQARTRII DSLEGVYKQVEQERRGEPVDFDADISDVSTMLRERNKVYNRDGWTLKERAAADLGTFW GLNANAQPVLFWFLLYVYSTPGLLKRIRQEISPYVTLLGGERPEIASMDFQSLFRNCP LFKACIFETYRLVNEPTSIRHVCQSVSISDGDLQHNLSEGTYISVPLALRNKDPHLYQ DPDSFVPDRFLDTDPQTGKSTARYGKLKPWGVGAAMCKGRTFAEKEIISLGSAVVSLW DIAPADGNWKLPAMIPGTGVKKPINDIRVVIERRVLLESVGVADFVSRGVFEGVTPQC CLVHFSNFASMHAYDGPEIQHSELSSCHHRYCVKIHH FPSE_03018 MIEWTEFANLYAKVNGVSLRTTPPQSPSPPGLYNFILSPQDIRD AISAPPPPPGKGHRVGRHHSNSMSYTCNYEPSSSYPVLEGFPPGFPPSHSPPPTTSVP STPGSWRSESRHSSPPVTPNSSPADDLPSWCCGRWERTSFSPSTARPFSTYKRSTYNR APKQPAAKQDGCLDLPYQARILTGIQTLLEHACYTFAEQRLPRVLIENGWDCPEAGEL NVWMHHFNVERMTLRHVAREHDMEESLSDVMHSAKQIRHNAVHRNRVEINELAMHLDH AVDLCTILGVQEDLDKVKAIRDDTKAGIASMQATKQEVGKGMMQRMKSLGL FPSE_03017 MLPARPLLRSSVPRAARATRVPRRQVRFQSTASTSSSSSGVHLA SGIAGGFIGSALFFGIYSYTPAGRAVSSVNKVALEAQKKYDAAAKKLQEKTPDADQAV NYIKEYAYSYVGWIPGGRAYVDAAFQDWEKVRENNKDEADKLVKDAYKQFQDLSKSGL SMETASKAFEVIADLGKKVANLAGDAMSDIIDNHPQVKEKLGGNVDQLKELGDKYGPE AKKQVDETWKQVKDIFASGFSASTISKARKLIEEKVEEIKKLGDKAWKKGMEEAKPYL DKNPKVKELVEKNADALKQGNAAELFKRAKSAVDSGDLGDLEKYVKDATEKAKSKGNE LTGGWVDIEKYIKEVPNGGEIMEKLQQLSEVADKHKEEGEKLFKETVEELRQVLEKKS EKAQEIAGEAKKDAKKDAK FPSE_03016 MESLKLYNSLKPGAPVPFVPIEKGKVSWYACGPTVYDKSHLGHA RNYVSTDIIRRILMHYFGFEVKFVMNITDIDDKIIIKARRQRLLELEKNKNYSEDELR DLALAAFQAYAKSSLPLLLKDGEDIDATNYAQRRETGYGHVLAGGTISGEGKPGDDEA KVKMHLSNMTSAAEAIASGEIFPGTDEILLPYLDSLYKETIDTGDQTMFTDLTQSMEK LFMDDMDALNVLRPNVITRVTEYVDQIADFVKAIVDKGFAYEADGSVYFDITAFEKAG NTYARLRPDNRNDKSLQEEGEGSLSKGLSGKKNPGDFALWKKSKAGEPFWDSPWGKGR PGWHIECSVMAGAVLGSNMDIHSGGIDLAFPHHDNELAQSEAYFCEHGKGEHTWVNYF LHMGHLSISGSKMSKSLKNFQTIQDALATTYSSRGMRIVFLMGRWNDGVEISPDMRLQ ADNWESTISNFFINVKALLAEAGITQGVKSLSLSADGKSSEGLLGELEQAKQEFEGAM TNSFDTPKAMSVILKLVNTANVHLRDNKDADLVALESIARWITKIVGIFGLDSNASPP YEGLGWATTIASDVEPKTAVQPYADAFAKVKSDVSSLSLESGEISSLLEQNPTSEFES IAAGGSRDPEQLAMPYLRAVSKLRDELRSIVGNQNPDTKKAILALTDRIRDDDLTNLG VYLDDRPDGQASLIKFIPAAELIAAREEKVAQAAEKARKKEEARLAREKADQEAREKA KVRPEDMFKGDERYSAWDEQGMPTKMKDGTDVPKSQLKGLKKQWDRQKKAHDDLKAKG LL FPSE_03015 MAQLSPFLEPGSATSTGDLAIVLLSRDNLEPVTLEESTGAVDGY LEGATLNTRFGSFPHSTLLNIPWGSQVRASNVDTGSRGRKRRREVTDDDTPTTTGPDE EVTNVKSKPAKKAVAAASGFIHILRPTPELWTSSLPHRTQVVYTPDYSYVLQRIRAVP GTRIIEAGAGSGSFTHASARAVYNGYPKDDSDRKGKVFSFEYHEPRYQKMQEEIHAHK LNGIVQLSHRDVYGEGFNVDGKSPLATSIFLDLPAPWEALHHLSRQRPEKLKDDENWV SPLDPKRSVHICTFSPCIEQVTRTIEELRLLGWTDIDMVEISARRINTIRERVGANLP AERGNIQAPADVKEAMLRLKEINQKTKEFHKVAFKSTNTEDDSSAMDIDTPKSSSSKP NGKVAEDDFKPWMNGNLYHRPETDLKTHTSYLTFAILPREWTEEDEAAAFAKWPCGKE GGGVIGSLNRQARKQQTREKLEARKRQKQEKDTQKEGAAEETTEAQ FPSE_03014 MKQIEPPYSGSQWSQWSLHNSGLYYYRARYISLEDISSIRPTGR DWFVPNVRGGYIHYESRAANEAATQRPSIQVPELSTCSTATTPTTSDTPAPLPAASGQ NNEVVATKALPDNSLLMSGALQSEVDTTETVVVISSPTQLKRSSTTSKKQKEKKKHGK KMEVDKRKQVSNKAKVNKWLDAL FPSE_03013 MTSTIGIPIKLLNEAQGHIVTLEITSGQTYRGKLLDAEDNMNVQ LKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRSRNVRGRGVGLARGRA TVSRARAGGRGGR FPSE_03012 MASSRARLPRAGKLGALLQPSKSQGTSICPFCSITSSARPRIRT CRDALAKRFTSTSTNPTNPRHELQQHLLDIQKLAPSLVSLSRLQLALQGLRQIPGQEA VRVAILGLAPGSDAGQKAKDVLRVLMTDPLQDEQEWERQLKDHNPENPLIVRIRSTEE QQSTLTVTKSSLFSEINVSSAEWNSLNLELLLMETHLPEFARDEQTRAIEVEESVLVP KVGIPAAEDRVTPVTTPVHRAILVGDGFRGAVNATAVPVTQGEESVLKVVDLKGVDPK QVDAPFETIDVSAADKGVSLFRKSSGNAIEYERLWFNSNLPALTTWLKSGISSSDETT KPAVRELISSLLNNVLARCELENRREIRHIYQETPAGQEPTFSPTLNRAVGEWSQKAH AELQDELDLAFTGRRWRKLGWWQLFLRVDDVAMLTNEMLNQRFLPTAERELVYLTGQI AQAAKADQTYPQPYSSSGANHDLKQLGSGELEPFVSVHTRPALPKWPGHIAFTRRYLQ NETVPALQALAQRLVVQSLGTSGLTASLGALLYVSSYSIFEAGAVAALGVVWSASRLQ KKWDAARSFWEGEVREEGRKALRGVEESVAAVTNVEKPQERVVVDQQGDELIEVRELV AKAQEALGRMK FPSE_03011 MTAIMGYNQPPPAFDSHGQNGSRFPMTDIKESIRKAFPRTSELL NLLEQTKHIRSDVALQQKIVSDLESQLADSNRELEGLDRRRLADLESHKKYRDGHVMK FLYKASGKETSFAGQAEREEQNYHNTLQQAHHAAEHNSSVKAKLEQELEKKRELDQSM QGYLDLQKQLDDIYDDIFSGPTPEYPEEDEKERRSDAALSAYVTTKTALEIDQKAVDL LGQATATMTAGLQQVDRALQSGDMNHIRYLNQGRDLIQQSKTTVDQLVQLGPDVIELP PEANPRTMEVTSNLGEVWGKVDITGGRREVARCAAALDNCLSQAKERRHRLSKELKRK EEEMETARTELQKIRRSIFEEVVGADLVKGS FPSE_03010 MSSSGERPIKGYVDPNFPNPNGDWDTPIIIYGYTPAFSLAVFAA AWFALFMIIHLFQTIRFRSWYFITFPVGLLFEIVGYIARSLSAKKDPYHLLYFILNYF FIVTAPVFLAAGVYTILSALIPRLGRRYSLAPPKFILWFFITSDVIATVVQVTGAALI GVKQSNREDPTVANNILLGGLAYQVFSISVFVILTATFLFRARREISGRGKKLTIFCV AFSVATILVYLRTIFRLAETAEGLGGHLYSNEIYFACLEFASIALAVLLFAIWHPGRC VGKKVRVKDLEKEQARVQNF FPSE_03009 MPPLPPSADPLPRLVTYYQTHHDSSGNLISTLPLITQPGISITH VIVAAIHINEDPEKITLNDYHPSHPTFQTMWAELRILQASGVKVMGMLGGACQGSYAR LDADENTFERFYCPVRDMIRERALDGLDLDVEEVMSLGGIVRLIDRLRSDFGPAFIIT LAPVAMSLIDFRRNMSGFDYEALEVMRGRDIAWYNTQFYCGWGDCSNPLMYDLMVQKG WPPEKIVIGLLTNPENGHGYVPFNPLGMVLTTLRGRYGNFGGVMGWEYFNGLPGGKGR PWEWAREMTKLLRGHILSEPAQVTQPTQQQQPVPVESAPKKNKEVDPDTPGGNDVPLP KQFDYYTDGSDA FPSE_03008 MISIKLAAIGALLATAQAQIRHPDEDLILADCGIGDNKAHPKWS TSRQVNWYKDIKWPEDASTFPAAPDLSVEVPYASGKYPWNSKGTTVKLSNGVVWTAYI NPAVADGMPAGSAVTTKEGGQELNCYAYRGRPVSAALNTTVTDDAVCLSAFVCNRDDK APPRPSDMSSPTSTLQTSPAPPATTFISQPPATPSSTGGADPAPPTDPNAGKLFMSTL VSPRFLNWPNTWQAFIENFAWDRQTGKCIAKPVKGDGYTINIDCAGIQIDSDSHLTLL MIKALHDIGMNSTLFNQNPIVPGKRNATADHWVVMPEAFNLQAIDVSHQNVVGYLSYN TTYDNFLSGPCSSCDTKRFNEQFFNPILDAMKGTYPRYNTYNVEAQCSPWMACF FPSE_03007 MRRNPIAFNWRPSLRTRGLPRQFNPTIRTESTQVSRIDRITSKL PRRLQKYTSGLRNAPVSHIVSFLILHEITAIVPVLGLFGLFHYTNYVPVNYVTGYFHG HVDDGVARFERYFKRKGWFGFGQNEEGETTSSISTTHPDSKSENAIERWHSGDGRYKV LVEVALAYAITKALLPFRIIGSVWATPWFAGVLMRAKGVFTRKS FPSE_03006 MVAEEPRQRKDPAVSGNENSKQQRRRTAENLAPPFFALLFALLA FYILFSPPSSSLSPPVPVCHSTVSSSVSSSQVIPDKNIAKMSSSEQTFIAIKPDGVQR GLVGPIISRFENRGFKLAAIKLMTPGKEHLEKHYADLAGKPFFAGLIEYMNSGPICAM VWEGRDAVKTGRSILGATNPLASSPGTIRGDYAIDVGRNVCHGSDSVENAQKEIALWF KEGEVVSWKSAQFNWVYEKA FPSE_03005 MRSNMASSFRWTALLLLLGIMTCLIQLAGAVKENDFKKCNQSGF CKRNRAYADDAVAKGSSWKAPYEISADSASFEDGKLEAMVVKTINANGDTVRLPLTIS FLKSGAARVTMDEEKRRNKDVVLRDDSPVRKERYNEADQWVLVAGLDLDKEAQLAHQD KTQINIKYGPDAKQEAIIKFSPFEIDFQRDGNSHVKFNDRGWLNMEHWRPKIENKEGE EAAEDESTWWDESFGGNTDTKPRGPESVAMDITFNGYEHVFGIPEHTGPLSLKQTRGG DGNYAEPYRMYNADVFEYILDSPMTLYGAIPFMQAHRKGSSVGVFWLNVAETWVDITK DKSSANPLSLGAGGKTSTHTHWISESGLLDVFVLLGPTPSDLTKTYGELTGYTAMPQE FAIGYHQCRWNYISSDDVRNVDRNMDKHKIPYDVIWLDLEYTDDRKYFTWEPHSFPDP IDMGEHLDAHGRQLVVLLDPHIKKTDNYVASEEMLAQDLAVHNKEEKPYEGWCWPGAS NWIDCFNPKAIEWWKTMLKFDKFKGTMSNTWMWNDMSEPSVFNGPEVTMPKDNIHHGG WEHRDVHNLNGLTFQNATFQALLHREKGELRRPFILTRAFYAGSQKLGAMWTGDNQAD WGHLAASIPMTLNQGISGFPFAGADVGGFFGNPEKDLLVRWYQTGVWYPFFRAHAHLD ARRREPYLLGEPYTQISTAAIRLRYTLLPAWYTAFHTAAQDGSPIIRPMFWTHPTEEA GFALEDQFFVGSTGLLVKPVTEQGKESVDIWIPDEEVYYDYFTYDVLKTSKGKYLTVS APLEKIPVLLQGGHIIPRRDTPRRSSALMRFDDYTLVVSASKAGAAEGELYVDDGDSF EYEQGQYIHRKFTLGGNTLTSLDAEGRDTKSLKAGTWLKAMESVHVDKIIIVGAPKTW DQKEAQIESEGRTWTVQVQYHAAQGSRAAFAVVGRVGAKIGEDWSIKLA FPSE_03004 MSSDPPPNGFFEEKELKDFNLRQPPPSRNPSHVSVQQSEPAPEP ANSPKSPADKKNSAPALDTFPTLQAPVVTNDSNSLRSPIGQRADASRLDDNIELLRIE RAVSADARDDASHFKHRAHNFEPEDAFNAPIPSETMQREKKPDADATLLKFWMFLRKF PRFVRYCLYLVPGAALLLIPVLLGKFALKDDGKRRDVNGVDLMWFGIWLEIVWGVLWV SRMISSILPPTFKLVAKLFGSTNASKWKDIGYQLDLHTAVFLWFLAILISFEPTMMNH NFRDQKPHWVSIMNKVIIALFTLAALNFVEKILIQWIAFTFHQRTYATRIDNNKADVG QLVHLYEHAKAHNEKTDYFFQRGSGSASGAQTPMQTLQDNARQIFDKVGYVAGRVGND LIGRKIDSNHPRRVVNELLRTTQTAHTLARLIYRCAAKEGNDLVYQDDMERIFGSEEE AEVAFMMFDKDMNGDISIDEFEAVCNEIHLEKKAIAASLKDLDSVIKKLDKVFVFIII IITIIVFISILSGSAAAALGSAGTVVLGLAWVLQATAQEFLQSIIFVFVKHPFDVGDR VTVYGSTGDNMMGDDFYVTEISLLYTEFKKMQGHIVQAPNSLLNSLFILNQRRSNGLA DVVPLVMRFGTPQHMIDDLKERMTEFCLANKRDYAPRIITEMVKVDDVRSCLMNMIFF HKTNFQNELLRLNRHNKFVTELMTQMVNVGIQSPFRIEPGGSREHPMYWSGMQPPPYG KEPDHASGNGRGVDLHDKPVPHDRPLHPEGPPLSHVPSSYSSRRSGSATERMRNMDQS FDFQDVFDNRRDNVQAHRLASIREKERGARAEDEAERRSMASSSGVERRTSTESRRHV FNRVRTGSRSSKTPGNIV FPSE_03003 MSGLPEGWEADYDGRRWFYKYKPTGHIQYHFPKEGDEFPDFIDS FSPAPDLAPEERLESQQQVRRYGSTTAAPARLSPKKDDGGYGMSATARPVSMTWDGGL EEESTVFQPESFMYLGPGTYTDVSPLAEEEEEAARRVVAGGIEGRVDKNSSKGVSPLN SERTTPAQSTANAGPIAGEPVLVPPTVVEEIHEMPSHEPSPPPDPVGIIAEMPTDDTA QAHIEKHPPPIEMADNTVLAPIETAIPMAELPGRTSPTEKKKEEPQLAPGSLRNYSMP MQPLRIDKRPIVEAPPMIQPYKPGEIRVEIPPNPAPLRRSTFQPGDPMGNASPIRPPD RGHAGTPNVLSPPQVPPKRPLDEPSQPQIPSKQAFDQSSRANLPLGVSNNAPYVPGQE PELSHMPSVLKPARGKGPGQPVPGPTRAPQGHVYVPPTQGKHPPSMTPAPMAQQQRLE EPRMGIQRINTVPDALPSQRPQSVIQNGHQGLPPSTANQPHIPKRPASVMPDMMGTQT QAQYQSPVDSVPPRGLPRSATAGPGTQFPPYPVGNLPYPDDRPALRREDPPYPEEPFV QKPFIPDRGPINPSPPPPNNRRHSSYSSAIVSPDSRHGSMSFPTQTPSPMEHSRRAST TSSSMPNYTPSPVSNTPSTQSFSPTPPSTTANQYPQGSYFSVQDAESQGYNTAARDML RKQSLSRGVDPRRNSAGTDPASTQRGSPLAQTVQGYNAVPQGLPHTQSLPQVSRINTA QQNQRPVDPTPPPQGQQSLGRIEEYEEVPIGTISRSSTASITAESRKESFSSSAQPSP MGSRRASWQAEGPRNVPPGQFQEQQVQIPQGHMGQPMPQGQIPQAAPMPPQHPKQLQR KLSQGSAHQPQGQQMPMHDPRVPQGMPQHQGMVPQGQLVQGQMLPPQAQGRTPQPVQI NTQVQNAPQEPSPSVLPPKPVPMRLQKRSSYGPPSPAGQTAPPPNQLSQGPMPPSLKG QNQMPQQGHMAGQMPPQGQPNVLQKIHPGRVQPHVQIPQEQGSWQPSPYPVMPQNSRP VSMQPQSSQSAGSKEGKEGKKWLKWLKGGSKSVSHSPTTPVISSPISPVVGRPGWGGG EYSQQAVWQPGQPVPTGPQPGLQGNMPPPQPMQMQMQTQTQMQMPPQPMQMAPQQAPV HPRPLQRQSHSFQTVHESNQMGFQPGQPSQMSIQPRQMPSQAGQLPTQKRYSPPQQVQ PPVRQEQMAPPQNQIPSQPGQLSFQNRLSLQQAPMPTHFNDMAPKPSQAPPPGPAPLH PQHEPQAYTVAAQPAAGRPVEKPAALPADFSRPVLEAPVRSQPNNAPHQQVAPPRQPS PIEVRPLEQAPVPQFKAPEPTQSPQTSASNYLQPDQAVNESLSPHAPAASPGHSDTAT SPLSRMSSQKSYFSDAGSITTIEVAQAQPQSVLKPSIVQVKRKSTDMWRQSQEIQQNS GPQSSSDVTPRISAETPRVDTEPALPPSRLQHQQTAARPEPESQMDAPKYNNNAAPLF SNSSAPKIAQHDTREEHMYKEPLAPSKPEQPSPAYTNSIVPNEQNDRVVEVKTNEVQP APVKPAPVVEDKWAKKPVVDYSGGDWGDDDDWDY FPSE_03002 MGSYYSKTQNLDHVGGKRRRSIEDDTEPPQAKRACTAQTRDEPR IISLSEAAAIQDELIRRYPPPASEAESEEQEDEQEDLHGASIGEQLQKLTDDAMRAQG NMNDINDWFNKRVDVHNHGQIFNVEHEGNLELLLNLMKVDLSVMTARIDDMIDDIQST SH FPSE_03001 MAQSTAHRRLLQEYRALTNNPPEGITAGPISEDDLLHWECLIQG PEGTPFEGGVFPAELKFPKDYPLAPPSMRFLADVWHPNVYPSGLVCISILHPPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERRTEYENKVREGV RRMLGL FPSE_03000 MDDLSSLDWSAKPTGGQPKPPVMNPTFASMRPTPSPLASGRNTP LSTQGSGSLVSKTAPAQAKPSQDSFSNLMNFGPAKAKQNLTLAERQAQLEAEKRQKEE ERRKQQEAQFGNGQFWDALGSRGASASHSPALQPPPVSNTQKSNDDDDDLFAAFNKDT KVDNASHYPPPESHRSTPANAAPSAPPINLSDPNAWKTSGGGSNGGGFGVEDDDPFGL SELKPAAAPAAHTQQADDDDFLGDLGRPVDEVRRKQEASQPRAEPGKPIEDEDSSSSD DEAPPVRVQFVERKPRVNKDPFDKAVAQLVDYGFSADDARRALVESGDGYNAQAAVNW LLDEAHRKSKEQAQGKTSSASSSRPGSRPPGSRPPRSDSRSPAAGDQDLAKTAAAVGN SLFKTANSLWKTGQKKMQQAVADLQQEGGGDPSQPKWMREAQQQQSSKAQGKARADAT DEAMMLEAGGRPQPRRTNSRPTEQRETPQPSRGQSPAHSVGSRSSPAPRWQQQAPPPT SAFDSKSRLNRLAADDDSLSTYRSPNRRNKTPSQAPSPVPTPKPAEPEPDLLFNSQEF KPSQSLPTRSAQPSPRPTTQAKRPSPPVSRPTPRPTREIPSISPVALQTSTQHRLQGT AHFKRGDYASAHSSYSSSLSAVPPTHPLAILLLCNRALTALKTGEPKQAVEDADTALK LIGPGNGQGEVVAVKNESGIDENRDLNDLYGKALSRKAEALEQMEKWADASAVWQLCV QSGVGGSNAIKGRQRCQNTLAPKPKPTPKPSAAKPRPRPTATASLASQKSSEAVTRLR EANEAAAKEDDEKFVLSEKVDAKVAAWRDGKRDNIRALIASLDNVLWENSGWKKVGLH ELVMNNKVKISYMKAIAKTHPDKLPQDASTEVRLIAGLVFSTLNESWDKFKADNGL FPSE_02999 MMLKSIAAVSAGLVGSAHAFWRMECPGRVGLARLDPIIDPGTVS KHVHSIHGSSGFADTVTTEQLLGADCTSCRVTQDKSSYWHPALYFEDSDTGKFELVPQ VGGMLAYYLLFGDNITAFPPDFRMLSGSNDRRTYSFGDPSKPDPEKSQWEALGQTTQS DLAERALGFNCLNYDKTPEGTLYRHYMPDKSYLDANCKDGIRLELMFPSCWKGGDAVD SENHKDHVAFPDLVMTGTCPKDYPVRLPSLMYEVIWNTAAFTDRNGRFVFANGDTTGY GYHGDFVMGWEEDFLQEAVNTCTSETGRIEDCPLFNVVSEEKAKTCEMKVPSILENED CKGPLKALPGGNGHSSGEKPDPSGLNPAPTLTYAPGQRPSNSASPLPGQIFKVSSVYE APAPGPSSANTEKPAPIFSKISIPAAPALLPIPTIEAVADVGVVAIESTPSVEAQVPT TTPAPEFVPVTNTKSFYSTQYITNGNLVSKILWEEEVVYVTDIKEEVVYVTVTSTAIA TPSVGPVPAAAPPVAAPPVAAPPVAAPARRRRRGAHLHGHGRPHF FPSE_02998 MDFSTILRREVRGAGGLGLGWILAFSIGGAILLFAAIGFLLTWR IKSRDKTDATTIYQVSESVEDHRRSIFSKRLVKRKYNGSRSLSRLSLSLPPVLPPLPT YNSFTFFGGNNKRGRSSSWIEEDKFHGPRVSRSRRDSLFSRDGWLGRAPTIPTLMTDD DLEKAQEAQEMEQGIHGQKRHSVETLKPSKTAPDLPLQEEYIQISPVRAPSRARVRAS VTDTDLRDILRSTELRLRDGTSRSPSKNSRSSPTKRSPQKISSLRSTPHKNSPTKTPH SHRTTSSLDSTNTTGTVRICRVPPSPSKRATIHTIPMDAQSRQVSVSSIGSAANSLLA EAAQELILPGGLSSPSRLRGRQWEPQENAFAPTNDPPKEIVKDDSPDRRASQESEASS SLSTLYSANESEDKDQRDPFIEKKALRGLSSDSRGSLFGPRMSHRRVRNLSISIPGGQ TIFNTQGRGQGQGQLRSSFVSTQAVGGPPISSYLQPPPERFHRDGSLHARDQEGIALA FPASNSFTSILTPSVTTEGDSTLSFGNRMSESPKPDVPERMRPALQDNRHSVVTMPSP STMNSSPFDEQDMLSLLMSTGSKRTLPEPPRHIAQVDHIMMPKPVSPMPRQEFSAHLR QMSSTANTIYRDELPVDDPSVLSTGSPLRRSTSRSKKDMPTLPPPPTVPSVGSLGNSI MELRRMNSMVSSYSGQSLGSSTNEPDSPTLPMLNLTSSFSRRHTSPATPRGSTSGRQN YLNLGSPNKNSSGSVATPMPNRPLPSSRSARSNLGVEIREDDIEEGKENQEPTPVSSG LRETRKNVNVNVGRDSRDLSRGSSKSKLGTVSELIADSKREIRRKSVESVGLYDKDGF LNSSPDASGRETKGRCLRM FPSE_02997 MLPTTIRTPPQTGDYTPLAEYQTTTPESFIGGTPVLHYHLQGAK AWIPKSQCGILALFPADSSEKPSAPEGDSINGDVEELVEQTIDVFVNSEALTLFSNKV GAGVSIPYPSISIHAIKQLGPETDAERTQAVWMQLEFSDGGAEDDDFNTVDLTIIPPK SDSEGGAAKQLYEAMAACSDLHPDPNEDEDDEDDYDRIVFEGSEEHQAIEGFTGVMRG ASNGGLPPPMPGSGGWITADNLHEYFDENGNWIGQGAEDQEEELGEGAGRVRDRDEAE GAGSTESQAADDPENKRPRVE FPSE_02996 MPSNIPPSSLGVEAASDNPAGQVKPKYVVSGSGHAAHPEDIVAS CRALSAYVTKMQEDADRELREFDERIKARELAEKRRVAPGWLDSEMHILEPEKSTPVQ QQGTGNVPESQDAQNAQNAQNGNATTDQGAELDRAFGGMELK FPSE_02995 MKFTALAGSALLGFASAATTRNTTYDYIVAGAGASGLVVAEKLA AAGHKVLLVERGGTSYYSTGNRDDLMKWNKTVTAYDVPGMAYYTDSSPTIQWCTDIAA SAGCLLGGSTMLNALMFIKPRAADFEAWPKGWQWEDGVSEAAKSVFERMPGSILPSAD GKRYDDGAFKVMSKFLENNGWGEVDALNNVEAKDKIFTHPPWLISNGLRGGPVRDILP DAQALDNFNLQLHAKVLRVVRKGPIITGVEAEHKDSSREIIKLSKGGSVILSSGTHST PRILFNSGIGPKKQIRIVESGSTKIKLPPASQWIDLPVGQRLRDHPIVVVDFETKDKL RALPSTAFTDPNDETVGLFAEGSGLLSQSNQRLNFWKSVKGSDGITRYVQGTVNAPKN NTISAKVYLTHGLTSHGTLEITPQGNTNITQKPFLNTKGDRDALKTFVDELLSYARKP NSNLSVPSSVTADSIMEVSYSGNHHLGSAHMGAKNDGKSVVGPDTRVWGTKNLFVVDG SMHPEVPTGNTQAFIMVAAAHAANKILDLTS FPSE_02994 MWVINFNQCSLVEDDEDCIDRMVRAFIYNDPYYPRPDLAASAKL TDSISPAKFITTV FPSE_02993 MAAKRAAQSILPKSQPPSWTPSKRISEFIAHVSPITCPSQASSY VDSLLESDKRIRNATHNITAWRIRGGGPGHQQFNDDGETGAGSRLLQLMQSMDLWDYM VVVTRWYGGAHLGSKRFRFITAAASDAFARAGMDGDKKEEKSKGKKRK FPSE_02992 MQAPVVVMNTNSGERQTGRKAQLSNIAAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EILAQALPQLDRNIHPVVIISAFKRALKDALEIIEEISLPIDVNDDKAMYQLISSSIG TKFVSRWMDQMCDLALKAVRTVTWEAGNGKTEVDIKRYARVEKVPGGEIEDSKVLDGL MLNKDITHPKMRRRIENPRIVLLDCPLEYKKGESQTNIEITKEDDWNRILQIEEEQVK ALCEAIVAVKPDLVITEKGVSDLAQHYFMKANITALRRVRKTDNNRIARATGATIVNR VEDLQDSDVGTRCGLFEIEKIGDEYFTFLTKCQDPKACTVLLRGPSKDVLNEIERNLQ DAMGVARNVMFSPKLSPGGGATEMAVSVRLGQLAKSIEGVQQWPYKAVADALEVIPRT LVQNAGKSPVRVLTDLRAKQAEGKTTYGVNGDTGAVADMKDYGVWEPQAIKLQSIKTA IEAACLLLRVDDICSAKKAAQIGGGGGGEE FPSE_02991 MSAPGPESVPTSADPRSHRPTKKRALTPVSAQAASVEALFLKPD QNIRIPDPSAPGGSSALMRSAPPEIVTNVQGSSAGAGSGEFHVYKASRRREYERLRSM DEDLRREKDIEEFGKDKSERDRKDEERTRKNREKREKMKARKAKKGKGPAGATDKKPN TALSRGDSPSADNDAKTNTADVASKTQAGKDTSESAPSAQPAGLVIHDDDD FPSE_02990 MTKPRLIILVRHGQSEGNKNREIHQTVPDHRVKLTPEGWSQAHD AGRRLRSLLRPDDTLQFFTSPYRRTRETTEGILETLTSDETSPSPFRRNNIKVYEEPR LREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRVSGFNESLWRQFG EDDFPSVCVLVTHGLMSRVFLMKWYHFTVEYFEDLRNINHCEFLIMRKQENQKYLLEN KLRTWSDLRRERKDKKEKDEDKDCSKLPRTKTFVVTRRWGGCPNGCDHGSGYAKREDL EVMRKKDHENGGPAPYGESAHADDIVTTIVSRKQKSRGDCVESKGESDTPVTPDNDDD DGPEIDISSNHEGKSVSDINDTPSVISSSEYIQSPYLHIGRDGGGSYSGHTSAAETDN DSSEDENISLHRIASINAHQHKNASDQNDKSMYNHEKPLLKAGPERLTYTSDKDCADD SYVRSNRLGDASTDTSLDGDADHLDDLDHAEKEDRSIRGSVY FPSE_02989 MTQVAEGVCMICAIQLWEHSPSYTPTHPDKNTPPDWNPFKWRQD HILISGPTWPGEGKGTESIIIPEESVAVQKARVYSRRQATISSTGLKVNIQIENIPEQ HPGYDPDDGTRWYLCLHAACKNMARKVMNTPVSKIKTMADLWMTLDRRCVKASEDGTP VPLCLPHVPNNKPGESIDLGVGRYYIPWQAICTEEYVLDDWSQEWWNYNPLDIPHLTE ALMSNLERVESSSTHEHFTASFNNLPPEIEDIITSLLTEGSTSLECTYLMPQSQWKEI LCQVPFLWDLENDIIEAKEQEAVSASFEWNWEKLVRQLLSEVPTFVSDNDEEEEDELE NVWSYQTVGLTVPLGFTNRRRIWQVLVDMYPNDVGMVHFLDEEDQDDDEDEDENTPSE S FPSE_02988 MKLSLLFLLGGAGTAVIAGPCKSSTSSSTGQAGYASAAPEYETS IIGSVATSAIRYESPGVNQSDESDYVTSSTSAVEMPSGSNSVSNWLRTGSSDSTWLPG NTPTPLTSGFVTHGRPDGTHDSEIIVETSSDAETIRDGTATRDAPSGINTKTKHIRTR TSADSDNTETSSVSMPTNTQSGSDHRSTAADGDLPGTTQAGIDTKTSSNGQSTDAISD IETVSGSTGDINKPAVTQSGLPASKTGTDAASTQPNASSRINTDLSGDQTTDATELVV STLTNTDTISTKESSVPKASESVSGSTDIDNTVAKATTAGSEETRTGTRTFVQDDNQT TIPDTDSNGSVIVGETTSIGSSPDSSRTSTGGSDGQGTTAGSDTRAPTSNATDTRSGL SPSVKTSSGQPIESSPSGSDAPKQEPSDSNSAIQTGDKPTKDIAAVPTVTDASPPKAT DTAGDASITSVPDHISTTKSKDDGITSTLTVPPETFTPTTVSNDDWTTNTWITTTSES SDEPTVVPVLVGCPGCGGSGHGIVIFGLPPIPNALFKFPDLPEVSFPCVPGVSQGCSS PPKTHEDKKSDDDGDDKEEEEKSSKTTCIDIITASDCLVSCPTQNSNGDVECTTTCTR TQTGCSVSGITTTTSAEECSATEIGGSCTTCQKDVFFVNDKEEVEFIESGSVLERRAS LHVENSALGKRSSPAVTITDLGACTLKRTDVEFPEYPSGGELFKADTTPNEKPTDTYF NTKKWYIGRQDPNTCARTLDKVSKQQFNSLAVSKKKTMGHVYEKSMLKDFFEQILDDN VATVQGVTKGSQRKINCADLSYYTDDDPNGASPNQLKQVFDAFPSVNKYLDDFMGMDE YSNAICKGTIVSSGNLPAEISKIATSGKLVKGGKKPTTWNLVTKWVEETLQTLEKLSI GVELWNNPDVQTIVIRQNRRIHSRFVNIDNNAKNCKQDVAVKNNVWSFSAAYQNYMNE FFQGTSSFSANPIVQKAADDLIAHMDANLVALGKRTLSADEQSQLTKWTTRYAPLKTS TYKVSISWDFSYTNLKRQDGDSCALPTSTLISSAASTTMSTLSTSYISQTNQEPTATE QQTHTETGMSWSGTCPSVSPFIDVDAKRNSTDEVDDRCICRKEPLEAGPPVPFTADQL EDILLDFCDGSRTLIKPGERNSTSIFKHYKLGDTSQLFILASWSYYKPAGCVEPTADL NLNENCKTAFRRLKCLSMDKNYGGYFGQIFDEGCVYWSIDPLWSSG FPSE_02987 MTTDRHPSHPLNSPLEAQSKQPRVHNNMIGDSTDQSTVSAVKDP GSATETVFAGTNALSPRRAGFGATASQAQVSSQKQLNEYREKLARDLERREMSARGLM ASPNEKIRVSPIPEEGLATSPLQTSALTTASTESNTTVRGAPTPGPIAGTPSYPFPRM APSGFVPPYLHRPFTTLSPTGAPRSFSYGSFEGLGMGAQDKLVSSTSTPASNFTFNPS AMSPHPENPDFPNPNLYDLSLMLAAEPGLDAWWSNVVQIMRDVYKAERVTLAIPADST DIENVPWGQKATFNQHREDDLSLGYMAAKHSSSIGLSTSDYDRSGPGSITAQSVADDT MHANSATRPGLSSRHSFTAYEEKREASQTQPNAQARRPAFLARSQSNYPAGRPSREAY ADFAKLNKTTLDEHDAIEQQIPSWEAPLIGEKDGQGRVLPVLQALDYEADPLIDHSGV MRVLERGRAIALTRSYPYLADEASTEPSRKSKPAAQETSSNAPKNLSRPRSDSFSKLS SIFNSAGSSRVPSRSKTHSAADRAKSIASRLEGRLEEDIPRPPTPKYEEFEQAPPSPW SQSPAPSPAVRADPKENPFFTDAMVDEDSFNPDTGTDDYTGIRPPEAIGVDNSWTVLH IPLSHVLLSKPTRTFKLDSTLMEQKSHSRTKSENISAQSEEGGRNTPDSLKRDKSAPI AIISILSPIIPYPSNLKHSLEHLAPHMATTFSLCRHYSNLETELAGLQRKRPQTAGFG AVAHDGRPLENMAYLTADEIVPHQSLAGSMTSPSDYSGVSKSATGSPLGTPGWDQGSG GFVSEKRPPPTSSPAAAPQGEGYFTSRQRPVPTRHETFGGTGTPRIRTSSKESSPAEK RSTRLGGLSLSQEGSDQSISVDNKTARTSSNESTTDSTKRVSQGDSLDVSKDALHPID DDNSAQNLADTLKTGRGNRQGHSMLHSYGADFASTFQSLPPSSTLSARPTPVSAPSRS GSLTTTAVDMPPPSDRLKGLILDSLPAHVFVALPQTGEIVWVNSRYLSYRGQTAVDLA ADPWGSLHPDDRDEYLKAWGHSIRTGEQFSRTVRIKRFDGAYRWFYARAVASKDKRGV IMQFLGSYMDIHDQHIAELKAARQEEIEASEAKHRLLANLIPQIIFTATEDEGITFAN EQWLSYTGQSFSDSLGLGFMDFVHPDDLAKCRIPTTQPPTPGGQRRDGQNSTYQQESQ HSEATSTSTIQASRTRTPQDSPMRGTQQVLSRHNSSSGSSAYEWPTADLTELARKGII KVATDSAGRVSYTTEVRLLSKTGEYRWHLIRCVEIDTIDFGSGASSYFGSATDINDHK LLETKLKEAMETKGRFLSNMSHEIRTPLIGISGMVSFLQDTTLNEEQLDYTNTIQTSA NSLIMIINDILDLSKVDAGMMKLKHEWFHTRSMIEDVNELVSTMAIAKRLELNYLVEA DVPAWVKGDKVRIRQVLLNVTGNAIKFTAEGEVFSRCRVYMAEGSNVDDNEIMLEFSV TDTGRGFSKEEADLIFKPFSQIDGSSTRQHGGSGLGLVISRQLAELHGGNMTGRATPG KGSTFTFTARFGLPTAEDHPNLPDSPPPTGAYTPRPEVVDNVPSSIKPLPPPRHLRNV EIASPGGTTSEFTSPGGLSVSSSNLSVRSTKSQVTDRSSATSVTGGLARFSEAAKASG QDLSQMKLEMPSGRSSPGTHLLPSVGSPEDFRPPMYSILIICPQFHSREATTQHIEMT LPKDVPHQITALASVKEAQTLISGEDPIKFTHIVINLPSPEAIIGLMDDITKTQSIEQ TTILVLSDSVQRQAVIKLAAGTKYAQLLSDNLVTFIYKPVKPSRFAVIFDPNKMRDLS IDRNRSTAQQMVENQKASYQEIERRMGNKGYQVLLVEDNPVNQKVLMKFLKKIGVDVE IAVDGAECTTMVLSKPHRHYSLILCDLHMPRKDGYQACREIRQWEAANRYPPMPIIAL SANVMSDVQEKCAAAGFSDYVAKPVDFIDLSRAMSTFF FPSE_02986 MPAHRTGVGKVTLKEGSKNPESGSLPVVYNSSFLDLPTSLCNAL ALAHKNSRLGHLDTTPMPLALQPTILALHAQIRAVMTLP FPSE_02985 MASATGVPERFPSIEDRETEPLLGRPGDAAQEDGVPMIKNLVLG TGIVAQLGVVLLTILVWASVLSKPLILFSAHPLLQSLAVLTLAQSVLSLQPTHTAEQK RVGQRVHAILNLVAFLILVAGVTIIEYNKFANNGAHFHSVHGYLGVITSIVLLLQYGV GFTMWATPSLYGGVDNAKAVWKYHRWSGYTIFVLLLATVTSAAETDYNKNVLKMKLWA VLLLSIVTLVGIVPRIQKQKLGFRGPTST FPSE_02984 MTSIADEIEYKLNNVDVSAVRPDDIDKTFRSTCIDLISSGLGGK VLGYSDQWFCEAANLLNPRAPIAQPGKMVFTGAWYDGWETRRHNQEPFDYAIIKLGVA SGTIEGLEIDTAFFNGNHAPAVSVEGVFSQDDDKVVSWGGGRGEWETILGIQECGPSQ RFAWKLKTPDQKAYTHVRLNMYPDGGIARFRLFGHAVPVFPEDKDAIFDLAAAQNGGI AVSCSDQHFGTKDNLLIPGRGKDMGDGWETKRSRGKDHTDFAIIKLGAPGYIEKWIVD TAHFRGNYPQKVSIEGCEWTGNGDPVADATAWRVFVPPSKTGPDQEHEFESDEKEKKG PVTHVKLIMIPDGGVKRLRAFGRRAV FPSE_02983 MDLGDSFGGSDGQPDEKPRPLPADLPTSLDDRRHVPNEHLITET EMYDGWQGQSQFLTTPALAKPLNFGNLSLNDTDYENDITKGPSDSDTRLMEMLAAQAA HQAAPAFENEDEVLNSQTLSETEKKEKLQKALNMAASNGDVDRIRKLLGGRAKEYLDL DAEDEDGTPPLIYASCFGHEPVVQALIDAGADVNKQDRNQWTALMWAMTNRHKGIAKL LLDNNASSDQKTSTGRTAFDFVPPDSEMSFYLHDAGYNIGNAGTDDFYRPGFSQDRFE EEMAENEMRRRLMMESARDLEVDLGNVGMDDQPEPVDEFEEEQQEFDWNRCLHDQMFV FQEHELERILDIVITNMTPQRSPSQKPVPANMIFLSARYAHYHSSPELLERLLVSAMD YINDVVERCQWDMTILAFWISNATLLLHYLKKDPGLFHATGEFQAQLAELINEIFILI VRDAERRLDKVLDVAMLEHETIPGFEDITFQNEWKLFKRKTQVKEEPLEKRFRPPSPK QRAKPAPRNVTSLLSSTLFVLDLYDIHSVITSQIISQLIYWIGAELFNRIMSNRKYLA RTKAMQIRMNISILEDWARTNNRQAEHFEGGEMRPSGETTQDAARRHLAPVIQLLQWL QCFSSIDGDDMEALIITLQQLKRLSPQQLIHSANHYRAEVGEKGLPKSCMKYLINLQK EAALKRERRRSGIPSPQKSGQDSTPVTPVRGRSNGNHLETPGSVASPAQDDVSDDDEM PEHLLLDPALMLPFVLPSVTDMLVSYGAGIGGVNRERERKYIPTVPPEFLEKLEVAGG TRKGPMFGEADWENEEV FPSE_02982 MLPSKFGSVRQKQPTERRTSLRLDPSIVALRYEKTAPAEPPIMI VSRDPSRLSRRPSSSSASSHSSRHRPIVIVPPGIVAPPAEEHPALRGSNPASFSSSPS PRTSPVSVEEWKRDSGVARNKSTSTIHEEDEDDYEAVAYKSRIPAVESNVSSLISSIM SQPSTTAQQMDDPFVDENSIFEPLTSSSSEALCLLYNAHCMTPPRASHSDDASPTSPF RSTATSTPATPTRPSRGSISPISAAFAEYLPVSMPSSPTAPVPQSSCRSIPSLPRCST STSSSSAPTAPSRSRSGSSRFGSFSQMFAAPIQIQPLWRGPTSAGESSSSSSNSNSNS NTGRGAFSSTSSTPTASAQSTISAPGATASATASAPATDAALAKNLAGSELEQSHPSG SGQGSSHFWNRSRSTSTTSNNSASNATLADTSSNNDLPLPFAFAPLDVSIPCESLLDD AFMTSVSFSNRGSIMFATQEAVAIDSAIKHHQQNMASIDSSSSTSTYSSTNVDTLATV NNDESNSGCATPTPTPSTAVPHDLTPTPTTPTTPKFQSQPLPRPSDESNDMNNSIQGA DDGSGSPRDPTSGPDIRVLAADVEKESQKVRSLYTVGDGSRGEPGRRHSYHERLEPTP EVPSEENELDPVPRHLSPVWQMPASGSSSSLRSRGGLEDWDDLEGAHVDRYGFITMPP QPGSRMGTPSETRSASGSPRKRNILQKRDTLSSTLSGSGRRTPTRKVSARSLNTQHSE LSVSTSLRSSRSVIRQASNLLPHNRDRRWMDEAGDMLNSAPSLQDIVDEIQADRLTEV MKRKEWERSEKWRRMAKVVRKGGEGEGEGMNFEFDARNPKLIERTWKGIPDRWRAAAW WSFMTTSAREHGGIAAEDKIVMEFHRLQLRSSPDDVQIDLDVPRTISRHIMFRRRYRG GQRLLFRVLHAISIYYPDTGYVQGMASLAATLLCYFDEEKSFVMLVRMWQLRGLARLY RPGFEELMEAMTDFSANWLNKEVASKLDELCIDTTAYGTRWYLTLFNLSVPFAAQLRI WDVFLLLGEGSIAARPDSRRSENKPTASGEYDILHATSAALAQALREVLLGAEFENAM KALTSAIPIKDEDLLMKVVKAEYKQHHSRRKT FPSE_02981 MLTVKQPPSYGYKSVHDLPTPPSTSRLSPPLIYQEPATKSLPVA YRGHTSPSQPMSAPHRGLPPPAAMALPPQQPTTVGVPPPPPPHHQPLPPQPLPQQPQQ QPSLGPLIHQQRDRGQLPAPPQQWQGAEESMKLWLQARTEEDRTRQEEERTRQESLRL EQRRVEMDMLRTSIQAGIPPPMVPLVFAGMGAGGLPPHTALEWAQQFMPPGQVLPHAQ ATSAQWPLPPEHQRESQSQPHTQQQGIPSASTQAAGYAYPPSPSRPRGQTVSGIMGRP MVIKPGHIDIPNVPQLTHAHAMHQNMQQAQQQHEPQAGSSIYFHHWQPPNTQAGGSSN RPGSPSGETPRKRKATGHALSPTRSEQQYRSPPSFNQGSSSNAPYRGPSQGHSRQRSD MSPFRVTGLGRSRRESFGGPLRRRSPIRRSPIRRSPVPTPMPQQERPGNEHSDSRQSV SAILSEEPQPAGQYPGPTRSGDESGSQYRRRSPDDSKTLENITETSKSRDSAGDR FPSE_02979 MTSSACQHHASSLFNGPKRLHAHPLYDITATQCRRGHMASCAMF RYGSLKPFYKTSKHA FPSE_02978 MDSAPLVTDDLLDPPPRIYERLRQIAGYTWDENQRPFHSSYDYW HVVGTRFVSSVPSPSSSHNASSPGSALRLHSGRPSPSEPYVPISNTDGYDTQAITPPA SSSSNNPNDAPYVEEKVVARLSYHALREERAFHIARSLIANSDPTGEHIVKPLDLIRL NPLPGDRAQVVVAIYQHPGPNYLLEVLDMGPAFYRARTHGETFTSLRKNEPELHDPIS LQYFLDFAIGAAQCLELLHHNLGMIHGEIRGDAFHFNAEKGQVKVMSFGSGVRSFEHG LTSTGWSTLSKELGARNKLLYISPEQTGRMPAEPDSRTDIYSLGVLFWTLLTQRPVFE GDTPLDIVQGVLGRRIPNVSTVRLDVPDVVGRIIQRCTAKSVTDRYHSASGLRYDLAM VQEFLGDGDWLALKEWRIGTRDVSSFFMLPAIMIGRQQEQAELSKVIDRVAKSHAISL KGNTNRFSDGSTLSNDAAAFDDMSSEGASSVDGTNYRSGSFTHTAPSETKMPKTSFNP LFSDTQTVSGDTIASSPSGTLPRVARPWERHQSISIETRSLVESSGPDSQTRHSMVES TASSLSRQLGSAKFRRRDYCEVVTIEGAGGLGKSCLVQSVLADARRRGYCATAKFDTA RRTAFGPLLKLLSSLFKQVWGERNTETPFHQGLKQFVRPVWPMLHRLLGLPEFLLGPP ETTIGRSISSSLQFSSSKGSVRSGLKRRGSSPGGSPKLVARNPSVASQSSSDFLRTGT STKTMRLMNIFLDVLRVFTAHKFICFCLDDLHFADDESMELISQIIASRMKMVIIMTY RTEEITWEKVQSMIHLPENEEIPRSGSPLVTRISLEPLTEDDIVQYVSTTLCRPKEEV LPLALVLQSKTAGNPFYMREMLSACHRKKCIWYDYRDSQWHYDLDRLFEQFQGEKDYD TLDTAFITHRLSELPAAARTLLAWAALIGNSFSFELICRLMSGEFDYHDDDRGACCAT FTHKSYSQGEAVAGLQAAIQAYIIVPSETDDRFRFAHDRYIHASADLQECNSRKMHFI IVQTLQKYHGSEIKQLDNIASHICAAVDIIQRRISNRRQYRKLLTSCAQDAAESGAKP TAAKYYKTAVSLLQDNPWTEDREDVSYDETMQLYLRTAECYLYMGNYMTANDILSTIF ANGKSTFDKAPAYVLQSRICAQSGDSVAALKSLQDCLAGLQVIVDDEPSFKKCDDKFE QLSIQIQTMGQEKLLNYKQADDPNVASIGAVLSETISAAWWSDSLRFYHLSLMMVEIH LERGAYPQSGMAFLYLAMIALSRFNMAQFAVDLSNTSQDLLYQSRDPFFLARGLMLYS MCVGHVHLTMPMLCAQMEDAVEYASVAGDRQSIIMSYGVSAIFKFFGGENCADLEGFC QYGCEGIPNWYSDSRGGSVLIAVKQLCRALQGKTRTANALEVMNDETHNAENYKNWLI SCNNKGSRSITFYQSFEIIPLFLYGHYERAVEIGSHAIEQLSMLWSSYNSRVILLFYG LARAGYLLQKLQLPCSPDETFSSQVEVVIKELRRYAKMMDEWCTLSDINYLSWMKFLQ AQIEELSGNYGNAIQNYEYALDHATEHDSIFDEALGNYLMAGMFIRRSARRSARAALL EAVGLFRQMGAVGVSKAVEEEHSLLLHGPTRNQRTTDTGVQTDFVADTASVQYRTVEA EDGGDLTRIPSNTMNMPDLNKGERIGAWRGSMNMQAEPGAGLPALDMIDLHAILVSSQ VISSVLQVDELLKTMCDVILQTCGGSATLAAIVVQENDMDTWCVAASGDPERGASAHI PGIPISGTSLIAENVVLYSTRFLESVFVPDLVSDERFGNVNDSWLQRNPVGKSIIAIP ICHGNKPLLGVLYLEGEPGAFTDRNVTVLQLLVNQIGISYSNALAMKNVEKISAENRS MVAVQKRALAKALEAETKAKNAEAEAKRNVKLAEEAAKAKSIFLANVSHELRTPLNGV IGNSELLRDSELNRDQLEMADSIRVSADLLLTVINDILDFSKMEADKMKLYIIAFNPE DMVREVVRAVSYSNREKTSKKNVKIVQSINLPPMLIYGDPIRLHQVLGNLIGNSLKFT EDGSITIGARLDKETQEYATLTFWVRDTGIGIPPQQLAKLFQPFSQADASTARKYGGS GLGLSICKSLIETMMKGKIRLESEENVGTTAWFTVTFEKAKPDVAAGDVQTKATSSTD RYFAAASPVERAESPNPYLDLSKVPKDEIRICVAEDNPINQKIAIQYVQRLGYKGVQA YDNGLKAVEGLRQKAKEGKPYHVVLMDVQMPVLDGYEATKLIRKDSLDAVRTILVIAM TASAIQGDREKCLAAGMNDYLAKPVRSEILKKKLDAYLCVEHAPPKPEPSPPVPQRST PSPHTLVGAPTAKPNSSFVLPSPVVKPSVGTRGSSNASLDPMQEEAVFNQPVDHTALQ AQESPVYGKEQREPSPLSIHSSQPPSTNMTAPSRMSSDDRSIDTASIADSSTSQSQKR FPRKLIKQRRSSESNSERPSLTGFSSDKSRSVLKKPQRQSTTNLVEEGQKAENSSFTP GKDSSKRSSLTSSASSLKDRIFPH FPSE_02976 MANTNVDVLVIGMGPTGLGAAKRLNHINGPSWLIVDSSDKAGGL ASTDTTPEGFLYDVGGHVIFSHYKYFDDCIDEALPKDDDWYTHQRISYVRYKGLWVPY PFQNNISMLPKEDQVDCIEGIIDSALECRVANTKPKDFDEWIVRMMGEGIANIFMRPY NYKVWAVPTKKMQCQWLGERVAAPDAKLVTKNVILNKVAGNWGPNATFRFPARDGTGG IWIAVAETIPKDKKRFGKQGEVTKIDGEKKIAYFADGSTISYNKLVNTMAVDQLAEKL GNQELMTLTKQLYYSTTHVIGVGIRGERPERIGDKCWLYFPEDNCPFYRATIFSNYSP YNQPQKDAKLPTLYLANGEKAASSEAKEGPYWSIMLEVSQSTMKPVDVENLVKDSIQG LINTEMIKPEDEIVSTYHRAFDHGYPTPTLEREGVLKQVLPKLESMDILSRGRFGSWR YEVGNQDHSFMLGVEAVDAIHSGAVELTLNYPDFVNSRQNTERRLTQNFVFSTPQTNG IPSHSKAQ FPSE_02975 MSADEKIRVSGETPRSTSPVLPTVNPGLEKSKPSGASIHPTFYV IAWIGFSSSVILFNKWLLDTLNFRYPVILTTYHLTFSTIITQVMARWTPYLDGRKTVK MTARVYIRAVVPIGIFFSLSLICGNLTYLYLSVAFIQMLKATTPVAVLISGWILGVSA PNLRQFLNVSAIVVGVIIASMGEIHFVTVGVLFQMGGIIFEALRLTMVQRLLSSADYK MDPLVSLYYFAPICAVMNGVVALIWEVPNCTMAEVYHVGLFTFFLNGLCAFMLNVSVV FLIGKTSAVVLTLCGVLKDILLVGASMMIWGTQVSPLQFFGYSIALGGMVYYKLGYEQ LKGHIADANRQWADFGARKPVLRKVTVLVATVFLIFTLFGGFAPSYSSEVDPATLANE VSNRFGINDAQHV FPSE_02974 MKFLKVGRVAIITRGRYAGKKVVIIQPVDNGNKPHPFGHALVAG IERYPSKITRRMSKTRQEKRNKIKPFIKVINYNHLMPTRYTLELEGLKGAVSNDTFKE VSQREDAKKTVKKVLEERYTSGKNRWFFTPLSTLYRPCAHWHLGRAVHRHRPAEC FPSE_02973 MPAAPAYIISRVADPIFGVLIGLSAAATRINREEKDKGRTTQQT LEDARRRVGLSSKSS FPSE_02972 MTSVCLSKHDINILEKIKDPESNPYAGIVLDSSLPRDPNITDAS VYERVVEKEREIIRSIQSLEAQLKSLSAEEAKDVAVKGYQQSLSAVEGMISEYPNYAS ARNNRVQILRRLYGDAMLLSDNKDNSMPLIENPDQTERKKAVTTALGDMKVSITLLSP PSTTTPISPQVARTLSMAHTQRAALYLKTARLLPTRSLDIEEALDESRWERLDFEEAA SRDLAFGGRYGNPIAKGLAVSVNPTAKLCGQIVREAMKKEYGPSFGG FPSE_02971 MSPSAITNSPPQQAVQPTTVPIASSLDKADNTFAVQPPPNFTGY DHITWWVGNAKQAASYYTNLFGFKPIAYKGLETGSRYFASYVIENNGVRFVFTSPLRS EAHFPDDEPISKSDRKLLKEMYAHLERHGDAVKDVAFEVENVEGVYHKAVQEGAVAVQ DPQVSKDKEHGGVSTAVICTYGDTTHTLISRQNYTGPFLPGYRAWKKHSATVTLPEVP LVRIDHCVGNQSWNEMDSACAFYEQCLSFHRFWSVDDSQICTEFSALSSVVMASPNNV VKMPINEPAMGKKKSQIEEYVIFNSGPGVQHIALLTHDIIASVTALRARGVDFIDVPS TYYTNMRHRLKTERRNWELKEEFETLERLNILIDYDEGGYLLQLFTKPLMDRPTVFIE IIQREEFDGFGAGNFKSLFEAIEREQAERGNL FPSE_02970 MADSDGEYVGDMSDDDLMDHRVSNDNPQAPKGKSSKSRKGDSAR AWEVSKRTWETGLPEEDQDGVLSINVLEAEKRKRLLRDTTPLQRGIIRHMVLVLDMSF AMIEKDLLPTRYRLMLSYAVAFVREFFEQNPISQLGIIGMRDGVAVRVSDVGGNPAEH LDKLKGLESQDPQGNPSLQNALEMCRGALFHAPSHGTREVFIIYGALLSSDPGDIHET IGNLITDRIRVSIVGLSAHLAICADLCSRTNAGDGSQYNIAMDEVHFRELFLAATTPP VTRTVEQSTASLLMMGFPSRTLVPNGTTSYCACHNRPCREGYLCTRCGARVCRIPSEC PSCDLTLILSTHLARSYHHLFPLRNWIEVPWAKATTSAGCFSCLAPFPEPPKNKGHDK SKEDSGAPKTAKGVSESGRYACEVCGQHFCIDCDVFAHEIVHNCPGCQSLISKTDGAA ISGEHKGTAAHTNGDVAMT FPSE_02969 MGSTEFGNFHDFCRDSTLPVCNLLSPKNDQNGEWGGCELKGISL SGGRHLGNLGSILLAGIAIATAGFLLLRSEKKRAAVGRREMQLFLTGYIIISICEIFS VGEFPLNSTVRVAFSAIHIGMIIATCWILMLNAVVGYQIIDDGTPLSIALIVISAGVL LVGTGYIALDTGLSWTGYWDSSHDGPKNRNIALYVLYQLVPLIFLVAYFVLEAILVVR ILGETRPMIYLAAAGLLFAIGQVFNYAVSKYICDGTSGKIDGALFQTLFTLLSVIMVW VFWSSITEDDWPMPVTNTYP FPSE_02968 MVFGRCSFNPHWNFVMIKTNYRIGILLFTPTSLDVFALDNKPSN Y FPSE_02967 MAGRTGSIHGLTGKALIIFVSLGVFLFGYDQGVMSGIITGPYFM DYFGHPSKAYVGTMVAILEIGAFITSLMVGRIGDIIGRRRTIFYGSCVFFIGGALQTL ATSMPMMMAGRFVAGFGVGALSTIVPVYQSEISPPHNRGKLACIEFTGNIVGYATSVW VDYGCGYIENDYSWRIPLGLQCIMGALLGLGSLVIVESPRWLLDNDHDEEGMVVIANL YGGGDIHDPKARDEYREIKMNVLLQRQEGERTYKDMFRRYKTRVFIAMSAQALAQLNG INVISYYAPYVFESAGWVGHDAVLMTGFNSLTYLMSTIPPWYLVDRWGRRKILLSGAT AMAISLSLISYFLFLDIKWTPRLVVLFVMIYNAAFGYSWGPIPWLYPPEILPLSIRSK GASLSTATNWAANWLVGEMTPILQEWIKWRMYLVHAFFCVVSVVVVYFIYPETCGVRL EDMDSLFGDASTVAGTPSLHGTETGSLMRGGSPVGSSRGLIGPSSAIPGLSLDPPTID DDNKSQMQSGADERGVGGWLSRVVGRNRSDSGSSAQGRYAPLGQQEEGRRNDR FPSE_02966 MASTTQISHAYRSLYRNILKAVQYSSPARFVARDQLRRAFREPG ATYDERGIKRTNWFLEAAAKEKGMEHRILKNLLRVQKMRFRKRGYSSYDPLKYADNET KVANATAYNHYDMTIAMLNKSMGTLLR FPSE_02965 MSRQIASQASRMASQAIRSSTPVCRSSFRVATMAYASRLAVCPN LSQRNFSTTVSRKKGIMPDTEDPAKPLTETEQVEPTAAVADITEQEYHEIADEYLDNV VNRFEELQDQREDIDVEFSAGVLTIDWPGKGTYVINKQPPNKQIWLASPISGPKRYDW CLLGEGQDQKEGTAMGDWIYSRDGSSLNQVFLEELGVDIAIPGEE FPSE_02964 MINAFLVFNGQGQPRLTKFYTQLETSIQQRLISEIFTLVSNRPA GSCNFLPLPPMLAASGTSHTSSEEQNDVPTLVTYRNYATLYFIVISTSTESPLALIDL IQVYVEALDKLFENVCELDLIFNFETLHSTLSEMIIGGVVIETNLDRIVSGVKAQGTV AKRPVNEGRGPTGLGSGLGMGANFAWSGR FPSE_02963 MFSTLVRRMASSSKESLLKKAPLTVATNPYKARKMWPPNFKQLT VQQQLRFEKKYKRRIIMASRAPRWIKFVKTAQLLTIIAALVWLFFYSEFEWWGQKYKP SEEIRKKTQYLFGTVDPEKRYERRADAPPFAPPKDEPESK FPSE_02962 MASTDAVPKAMESLHISKTKELKGTEKRDTLIAIEKKYQKIWED QHVFEADSPSTTEVPLHSVSAAELREQQPKFFGCMAYPYMNGTLHAGHSFSVSKVEFA AGVARMQGKRTLFPMGFHCTGMPIKACADKLVNEVKKFGQDFSGYKEEEESVVEEAPK AQTKEDITKFKATKGKAAAKTVKMKYQFQIMQAIGISTEEIHKFADPQYWLQHFPPLC REDLTNFGCRIDWRRSFVTTDANPYYDAFVRWQMNRLKELNKIKFGKRYTIYSIKDGQ PCMDHDRSDGEGAGPQEYTALKLKVLEWAPKAAEALKGKLPEQANVYCVPATLRPETM YGQTCCFVGPKITYGIFKVNETDYYVMTERAARNMAYQGIFAKEGVIEQRAEVGGADL VGTLVDAPLSLHKEGVRILPMETVLPTKGTGVVTSVPSDSPDDYATVTDLAKKADYYG ITKEWAELEIFPIIETPSYGDLCAPFLVKKLKIASPKDTKQLEEAKELAYKEGFYQGV LKVGEFKGEKVEVAKPKVRQQMINAGQAFAYSEPERKVTSRSGDDCIVSLMDQWYLDY GEESWKKTTLDWVENGLNTYTAETKNQFEGVLNWLNQWACARSFGLGSKLPWDPQFLV ESLSDSTIYMAYYTIAHYLHNDIFGKTKGLANIGPEAMTDEVWDYVFCRGELTDEVLN SKIPKETLEKMRREFEYFYPLDVRVSGKDLIPNHLSMHLYCHTALFPREYWPRSIRAN GHLMLNGEKMSKSTGNFMTLRDLTQKYGADASRIALADAGDGVNDANFEEDVADTNIL RLYTLKEWCEEMVQDQDQLRTGEFNSFQDALLINDLNAVTKEAVEQYANTNYKLALKA GLYELTSARDFYREACAAANIKMHKDVVLRYIEVQTLLLAVFAPHWSEYIWLEVLKKE GTIHNARFPEIQEVDASLSAKRDYVRNTASNINSAEGHQLKKKAKGKETSFDPKKPKK LTIFVADKFPAWQAKYIDLLKEMWNTETKSVNDKELNGKIGKMGEMKKAMPFVQNLKR RLQAGEPASAVLEQKLAFDEKETLQQMVPGLKRTGGLAVCDVIAVEQGTQKGVSLTDG GKEVDISAPNAEHAVPGQPTFYFENVEA FPSE_02961 MHASAAVLAFVAVAAAAQTPVAPVATPIATPGAPTNGTKPGHGS GSGSGSGSQTPSGAAALGLSGLAGALAIALAL FPSE_02960 MSDDEGISIYDEVEIEDMTFDEAMGVYQFPCPCGDKFQITLEDL LDEQDIAVCPSCSLMIRVIFDLDDLPKPPTSGASDGQVPITA FPSE_02959 MGSQSKLALPGDRTLKGIISQLTGLYVSNRTRISRTVWITLFAA LVNRIRLAISEQKAASVREAAQRAARRGTTSSGSEETPRKKVELNREFFRSLLKLLKI VVPGWRSKESRLLMSHTFFLVLRTLISVRVAEMDGAIVKALVKGNGKEFLKRIVWWML IAVPATFTNSMLSYHQAELSLKYRSRLTQHIHDKYLSNLTFYGISALDDRIKNPDQLI AVDVSKFSNSLAELYSNLAKPLLDMTIYTWSLSKSVGGEGVVFMSLLVQLSANVMRAL TPPFGKYVADEARLEGEFRFQHSRLIDYSEEIALYGGHNAEKDTLDKGYFTLIKHVNY ILRRRFYHGFMEDFVIKYFWGALGLMLCSVPVFIKMPGHIAMNMGDRTEAFVTNRRML LSASDAFGRIMFSYREVMELAGYTSRVATLLDVMTDVQAGHFEKKLVSSSGIEGNEAV LKGRGTVHESNDITFIDVPIISPNGDVLIKALSFTLKHGDHLLVVGPNGCGKSSLFRI LGGLWPVYGGTVYKPPFHAIFYIPQRPYLSRGSLRQQIIYPDSLRQMRARGITDADLV EYLKILGLEHLPGLYVEGWDAEAEWRDVLSGGLQQRIAMARLFYHRPKYAILDECTSS VTLEIEKAMYDTAKSLGVTLMTVSHRRSLWKYHSHILQFDGQGNYVFTKLDADRRLKL EDEKEDLEVRLRQVPELERRIAELTAA FPSE_02958 MDFDKLKITDRKIIVGIDFGTTYSGVAWAESRNPSRRTCVTQWP VSSSNREGESSDKVPSKLRYNGDKIEWGFSIPITAPQDEVIEWFKLDLDPDLQAMSQS LSSDGARCSRNVDKLVTDFISQLGDHLLYTLREKLGDSIVNSTPLEFVVTVPAIWSDL AKDKTKKACQKASGLSASQQQVHLVSEPEAAAIYALHGLDPHGLKVGDTIVVVDAGGG TVDLISYTITGLKPILEVQEAAPGSGALCGSTFLNMRFAKYLRGKLGKEEGFDEEVMA EAMEVFEKKVKRQFTLNTAPDETYNIPVAGLANNKTLGISRGRFALKASDLKTIFEPV VLEVIRLVKDQITASNVPVRAILLVGGFGASSYLKERLRISVDNSIQIMQPPNAWQAI VQGAVLKGLANAAPELAVVRVKNRKARKHYGTEWRARWDDKLHGHLKSNRTWCGLDGC YKVLAMEWFITRGSEVSENEPYFTSFVWTGPVSSGRIRKIKMTIYNDQLPREAPVARD DNVKTLSHVEADVSHIPENQLSRRQGSDGQWYYELNCKIEAVYLSASTTYTLLYNGQR YNTVTCEYV FPSE_02957 MADAKKHNSPPSVPPKEPIEDSKPASGTSNRPKEESRNKRRPDS TLGQKENKRENLDISCSPEKRLHKTSSANPKMLDSSEQDDWVEIPSRELVQEPNGQDH QAQTQSALTDLMELDSSPAAEKALGDDSASRSAAMAKANLPHSAEFQSLDELAGFEND NVAHYNEQLREQNCQLDRRIQDLQRQLSTLTTDKQIAEEKSDTLSEKLNSLKQRMNSN MTEVEISSEALADKVEDLGKENRALREQLNDAQSHIFSLQPYRKELTSEEVGQEYDAL VEQVQDWVQKFMDPWLDDHQEGVDSLLGNAKKRAVDATRFKHLLYQYPDLLHGASFPE TDEDIITSVIMRYLHDHIFQTVLYGMISRYVEVISFIEKEMQMSVEPKRDLFSVRTWT AEAYNALLSSPQFKGVRNERREAMTRELANILKIFFKKDQFNWFCQNTCQRIVVPAMR LYEKLQISTNHFYLDVNSFIVRAGGELTTSTDFIDTVENLDCKNVLQNRKTFNIGKLE TPPSKKELYHRLHNVCTVVPALYMRQIGQRDAIKDPIVVRKQQMLVAWGPEEKRKAYQ ESGERTLVSHLYGFKGAGEGWASFLR FPSE_02956 MPWQPLPRIAFAVATFPFPASSPADLPLEIGDELYIIEETPDGN WLRGYLVAPPSLLAGLTSVKGQTLEARVFSGIFPRSCVEVREMLGEPDDDEDDDAASD GPAIDGDMPRASDSAKSGVTNNSARKSRKEGNRSTISSGRTPFRELPNGTLGNLSVPV IRDPDAPRPAAPVPMLKIGDETPTSLSEPLIDEIASCLREWHSTNLHELLLSRQYGRL DQLSQLITSLNLHRQQFLYNVLTSHEYDRLREKTVWDLVRVNKLCGGEVIVRDPEARG RVLTADDCIVKVTKLQSVMSLLDEPPQSTSELTALHHLMVDIKGFAGASIEETSLVFY LVSKPAYGQPSVLSEGFTVRIPAGGTLVNLAKHVQTKTLFTDLSSQDVGDVPSAEAEL FLVVKVLASHQIIPPQSLSRSGTAPSASYSRDPTKPNSSGGIKSRRSLMWGSKSSRGV SRGSPVSRMDAVAEQYDGRHSMSGSESREGSGPPSTAGSKTGSPTDGTQTADRMIGLG VLRLNPIMKQDDEVEHLMSIWSSSLRHAGENDADDWEPLVKELLDSPSGHYEKSRRGD RLQLQLRSFNHSDADILIKSTPTMLSGVAKTNKMGFSGAPTRPRSDIYFTLNDAILGK QNLLSRFGGSATAMPSSVHGNNLQITLEVRKTSGERIDNCIFASSNTEPLSTFKSIAA ERGEPWNQTLRLSLAPSDVSQAHIVMFVSDMPNPPFAIAHIPLWDQGAFIRDGRHGLL LYKIDEHTSTAQPGPTGKGGYLSLLWSPQGKDDDTADANGPLAVLRTDTYLCSTRFSQ DRVILGLLKWRDLQREEVPGVLKQLIFVPEIEVVKLLNDVLDGLFGILVEYSGSHEFE DLVFTALVRVLGIVHDRRFNLGPLVDQYAENLFNYPFSTPCLMRSFTRLLQNPSEPEI ARKLRATFKVVRHILKFITHARNQQKEKEAGIGITSNTTGFTRELRAIFKALDAMMRN TAPALVGTQTLAVQHFHQWLPELAGLLNTEEILHIAIDFMDSCTDVKGKLILYKLVLI INYSKLDLFAHPDQKLALSTNTVRWITPHWGHTAEVTDQWRDQIRLCCSVLASQIDYL GSEIPDHIPKIIDSYLAILAAPGKPKDRLSLLFPSSYPFPTKPVNDDLQFDEALVELS AILSSISNSPSGMQLELAEDDLTTLLENLLRVHMSILKGEAYPLEWLSVHIHHHKSTM RTLEYLAGTMLESFLPDPEEAESFNTELWKLFFTTLLKLVGSTSLALETFPEQKRRAV WKIAGDVREHGAELLRRTWEAIGWETSSDERSRYNLSKMGGYQVQYVPALVGPIVELC LSVHEGLRRMAVEVLQTMIVSEWTLSEDLSIIQTETIDRLDLFFKEKPLTESILQKLF IHELLERFEPLADIPDEPLYTAIREFVGTIDEFLDLLVAVHSSDDTGEASSLINHLRL MEFLRDMQKEEIFVRYVHQLADLQAESRNHTEAGLALRLHADLYEWDPTSQTPALPDP EFPAQTQFERKERLYFDMIKYFEEGDSWSHALAAYKELQVQYESNIFDFSKLARTERA IATIYETISKSDKIIPKYFKVVYKGLGFHANLRDKEFIYEGSPSERASAFTDRMQEQH PAAQIVTGGDVDDVEGQFLVVSAVTPHRDLSHQVFQRARVPQVIRDFLLSSHPQTFSI STRRNTTGPVKEHSAEKLVFTTADAFPTILRRSEVVDAQEITLSAHETGLERIVRKTQ EMTALEHRVAEGNGDHAQLLLDAVSVSVNPTSENSVACYRQLLPEPKETEEDVEEEED QEVSEVELTPQDSAIKMALVDHAIMIKRCLAMFSRSSNELLTQKHDELHRCFESTFAP EIAHFTPPQPQRELVATPSPTWKRSSRSSSASPKNKNVGIVVNGVTAEEASVIRPVSK RGTRLSFLGGSKKKEPELPTEPEEVNGDIETASNLSRSLSQGQSKEQGRRHSFFRAPS QDEKHPESPGVLSGRGSFDQQFGGGDNSNHSDKPVENKLASKKGSVRKRFSMLKLGRK GKGNGMMGSLDEE FPSE_02955 MVQITQLLSGIALASGVFGSPIERRAVISHDAVVGFPETVPSGT AGSLYLKYKPFVKTFNGCVSFPAVDAQGNTSGGLATSGSSESGCSKSTGQVYARGGTY NGRYAIMYSWYMPKDSPSPGLGHRHDWENAVIWLSSQSTSASVVGMAVSQHGGYDRRS SGTFSGNSPLVGYTAIWPTNHQMTFTDTKGGQQPLIAWESLPAAARTALTNTDFDSAN VPFKDGAFESNLGKAAI FPSE_02954 MGTPFITFVAPSNLEGYKRGAPLQEQPPSISQNFLDAMEVREAV FVEEQKVPVENEFDNDDPRSCHWVVYASINKVETLEIRDEDGNVMHPRKSSTRSTPIG TIRLVPFPHDPHPENGGKYWNGVLQDENGDKNGHKNGDASETSTEKPYIMDRKTTFHN GQEPYVKLGRLAVIEEFRGRRIAGLLVTTVLSWLRDNPSYFDPSVTESGLSQLDPVIG TELKIPQWAGLVCVHAQKQVVDFWKKWGFEVDEDMGTWWEEGMPHVGMFQRLETGQKT VRLD FPSE_02953 MSDNGEIEVENSALYEVLPKDVVKEVGNVKLFNKWDYDVEVRDI SLTDYISLRNPVYVTHTAGRYATKRFRKANCPIIERLTNSLMHHGRNNGKKLMAVRIV AHAFEIIHLMTDQNPIQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAI SLLTTGAREASFRNVKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR FPSE_02952 MVCAPAAKKQKKKWSKGKVKDKAQHAVVLDKTTSEKLYKDVQSY RLVTVATLVDRMKINGSLARQCLNDLEEKGIIKPVVTHSKMKIYTRAVGGTD FPSE_02951 MSSAEPQKVLGMPPFVADFLMGGVSAAVSKTAAAPIERVKLLIQ NQDEMLKTGRLDRKYNGIGDCFKRTMADEGVMSLWRGNTANVIRYFPTQALNFAFRDK FKKMFGYKKDKDGYAMWMAGNLASGGAAGATSLLFVYSLDYARTRLANDAKNAKSGGD RQFNGLVDVYKKTLASDGIAGLYRGFMPSVAGIVVYRGLYFGMYDSIKPVVLTGNLQG NFLASFALGWVVTTGAGIASYPLDTIRRRMMMTSGEAVKYKNTMDAARQIVAKEGVKS LFKGAGANILRGVAGAGVLSIYDQLQVLLFGKAFNFKRRPSSPARTSQLAESVGMPPK QTSKTGRGQTKATTKKSAKASEPEPEPQSSNPFEISDDDDDQAGPSTREVQVVEEEEP DKTIPAELLTRLLHEFFSKDATRISRDANAAAGKYFDVFVREAIARAAVEKEVGFLEV DDLEKISPQLLLDL FPSE_02950 MADSIMPLDRSPSVLGCLVSRVTKYSQPSKNVFDGKTQAGVSSV YKG FPSE_02949 MQFSQIAIVALATVAQAVDVQVVAVGRNGATNATGLKFWPEKIT AEPGTMVQFQFWTGNHTVTQSTFDDPCMPIGNVNPSVEGVYSGYMPVAASMSKGMIPT YTITIKDKKPMWLFCSKAQHCQGGMSMVINEDSSSNSTKTLTGYKEKCKGTTVSEVVP VKSGGAPSGGSGGNGTIPGSGSGSGGGSSGGDGSSGDDNSGDDSSDGGSSSGGDSSSD DGSSSDDDSSSDDGSDDGSEDGSGSGSGSGSGTPTSGSPLTPGSAGTPTAGTPVVTAG AADLSAPLSMLVAVGAAALCVL FPSE_02948 MADESTLRQRKPQPKNDSASEVSQPSTPTKKGKKGKKTSSSKAD QDDTWDGYSPYVDILRVISFLFVASMGLSYVISGGESYWWGHKNKPEWMTQKFYQELI LGPPPPTYMTLDELSLYDGRDPDRPILLAINGTIYDVSPGRRMYGPGGSYSYFAATDA ARGFVTGCFAEDQTADLRGVEETFLPIDNPEIDSHWTPEELAELKVKELEEAKQKAHA TLKHWVDFFANNKKYSKVGYVQRDPDWLEKEKPKKLCGQAQKSRKARKIPQNKQ FPSE_02947 MDQPTSPGGSSGGPLQAVTADRVNQQRDRESVFTHLRSGSRESV HDKISQFNNLSVTMQSKQLERKTADAALKRAMLGREEAESELRKIREETKALRKAIDE GKERERKVGERLEALMENYGRAKETHAHTQALWEKEIRRARKETFKTQSSIVKLQEEL KSARTNAKMIDENLKREKARSKVREQEAFEARYQIVGVQEQLDQALERIKLVEQERDA FKTAAKNEEVARIAAEGRIPLPPQEDDDEFASPRKVRKSASKEPRMSLSMMDIISSEA SEAEIEELAIQLQWERQRAERAHEMIEFLQAECHLRCCACSKSNRRTSVEAPRKKRRS SIGLEGPNDKVIKLDSQALEAEKRAASPPRIQEPQYEAQPRDEAKAEIEPETQAQPER QQYLEEPPRVLPKARKEPRRSTIFCPKEGIFRTVSEQEAEIIEAQRKVEEVEAQRENQ THDESQDVDEVVVEEVVEGETFVGNNTEMERRVSAETENNYRRYARTPSVEPPAFAML AAERTSLASLLSAPHNGAHSAPIPQIPTMPDVSEDVLASPEIRPHTTNAFYTVTTTTT VPMKDDNARNSASFNERLRTPSQNSTGTTFDLSNPALTPTMTREEALAKIRERRGRAR SMAQGTATPARRMVSGTERRDMSAPTGKVAGKGR FPSE_02946 MTSIASSETGPLTVEPLSERNVSRIPLILPHERVFPIQIGSELF KLSGASLSSDAPSYFSQYFVCQLESARERKDESSSSLRTLYIDRDPSIFRDISLHLQG YHVQPRDGEHFVRLFSDAQFYSLPKLISQLYEESIFISIGHREFQIPREIFKDPGNSP NYFSLGFAAFFSRPDDLFPGLEREGLIRPPSILPPSVPKRSADTFAELLHFLRGYPIH IRNETHRQELLSDARYFHFKGLEQRLIPHSLSYNQTTRRDEIVLRLENIQKSGISVFV SNTDPLAGFVQYTRPYMEEKPAELVLEIGGETTKLHFSGGNAKAEFFRDTKARVAKLF ELVSSKLSSFQTNQSPALGNALLTDDYVRVALEPESAIILDGKDYLEDFIRNESSGSD YPRKRRRVDGDSEDEEWVVKTGQWRLRIQHAPNGRGIECILVATKLDAMTSQQARNMS RGFLGS FPSE_02945 MSDIDEMDVDVSAPSKDVTFSSEAKQGKRSAANLPVEAEDSLPW VEKYRPTTLDDVSGHQDILATINKFIEQNRLPHLLLYGPPGTGKTSTILALARRIYGA ANMRQMVLELNASDDRGIDVVREQIKTFASTKQIFSLGGASRSGNAMAGFKLIILDEA DAMTSTAQMALRRIMEKYTTNTRFCIIANYSHKLSPALLSRCTRFRFSPLKEGDIRVL VDKVVEEENVQVKGEAIDALVKLSKGDMRRALNVLQACHASSTPLRAKDAPKVPDSEI QRENITTETIYNCIAAPPPDAIKEIVSTLLKTSDVTSCLNTINALKVQRGLALADIIT ALSEELVKLEVSPEVMITWLDGLANIEHRVASGSSESIQTGAVVGVVRSGVELMSR FPSE_02944 MSDPRRDAPPSLTATVNANAVTTTTATVLPTSNGHAKGDDVPDA GLRSLDHYKRALPKWRYDLRQQLLPLIRWETPYLAWMQEKMRTPALDSYFAITANLGT HTFFMIGLPICFWCGYAAFGKGLVHILALGVFWTGFIKDFYSLPRPLSPPLHRITMSG SAALEYGFPSTHSANAVSVAVYALLILRSPENTLPPTTKFALECLSYFYAASIIFGRL YCGMHGFLDVIIGSIMGAAISLLEFYYGPPLDEYMHSSSWIAPFVAALIILVLVRIHP EPADDCPCYDDSVAFAGVLIGLEFGTWTYGKISIDPWETHAHGGGAVDITHLGLIANV ARIVFGVLVVFLWRETMKPLLLGLLPHLFRIIEQVGMNMPRRFFTPASKYKTVPAGSR IDTLFPSPSDFPRMVESIRNPTTRGRSVSIGPQSAADAYETLAYRERKRRESVSSNHS LNSKSSNVELQNTHEDHSGKGAQTSGSQPNRIVEYEQMMGTGEVVMTPSAEDDRVGIF VTSPEDRLGEREMFSQLIKPRVRYDVEVVTKLVVYTGIAWFAVAIIPIMFELVGLGTN QLREQ FPSE_02943 MSFSLRGKHCVITGATGAIGSRIAIAFAQRGSVVTLLSRSAPDA RLSLEHQLTPYKPEQDRKDMGDEFPEAHRFMRLDATKPSTFKGFFGSSVGPVDVLVNC AGVSQTSFIKRTSDEDIQNILNTNLQSAILASKYAKMNPHGCIINVSSLMANKNGAGA SVYAASKAGLVAFTRALATEYSPRSIRVNALLPGWIASPMRLSPMWEESNVNVQPPVL DLKPDIQQQYLKDCPLRRVGQPEEVADAAVFLATNRFANNCVLNLDGGLSAV FPSE_02942 MDTTSADQAPAQTQPATASSAAAPASAQQPQTQQQAKKQTVSSE NAPQRRPPTITRDRHNQQSLGASLNTSIKQARVLMVGAGGIGCELLKNLVLTGYGEIH IVDLDTIDLSNLNRQFLFRHEHIKKSKALVAKEAAQRFNPNVKIVAHHANIKDDEFTV AWFQQFRIAFNALDNLEARRHVNKMCLASDVPLIESGTTGFNGQVQVIKKGVTACYDC TPKEAPKSFPVCTIRSTPSQPIHCIVWGKSYLLNEIFGTSEDQAAFDHSTDADNAKEI EELKKESAALKQIRDATGTSEFPQMLFDKVFDADIERLRSVEDMWTSRRAPEPLKYET VLAQASDAMANKNMLLEDDQRVWSLEESLVVFNDSLDRLSKKILELKKNKASEDPEPT LSFDKDDIDTLDFVTASANIRSHIFGIDKKSRFDTKQMAGNIIPAIATTNAIVAGLCV LQSYRVLKGEYAQSKEVFLTPFAAARLLAPDRSREPNPDCPVCSVYFTSVVTDLSRAT LKDFVDEIVKSKLGYEGKEFVVNNDVGTLFECFEDGDDENLPKKLSDLGIKKDSFLTI IDQDDEDTFVNVVINIQEGTLDGDKKPVEAKFSDKPEIPRRPKKPQPAESNSNGETNG EAVDAEHKGVKRLHTEDGGQPLKKVKITPPGAEIVDVDEDQNEGHVNGGAIVIDD FPSE_02941 MSDDEVDTELLELLRQHLQGKVEIHDEPETGVLQSAEYVYDSCI DVAVDMRASKKAAENIYKQMQQKSYSTATWSEHELHPKAKDESTVNFIFTMDLLNFSF WSELPDDERFAIEYRGKKWTGYWSLVAALQRALDENIPITDPFYWQNEQECTLESLRR VFRSCTEEEIPLLEERLDCLREAGQVLCKYYDGAVIELVYAADGSAARLVNLLAQDFN CFRDEHRYEDGKMIRLMKRAQILVADLWACFNGESYGEFRDIDKITMFADYRIPQILM TMGALYCSPSIAAAINDKKMIESGCAWELQIRACSIWCVELIRREILRQHPDAHVNAI LIDFFLYDSMKELEAAGKEPIPHHRTRSIWY FPSE_02940 MPTTRRSAASARSRGLPAKKQSTLSFSNKVTKPVPKNTKKSIIS PSVSKIDPSQLVKEKETDDIVDDEPAVAELEAAPEPVKSELELQAEKVTDAQIKKYWK SIEKQWSTPRLHQQDVSQNERVLRYFDVSSQYGPCIGMPRMKRWKRADRLGLNPPIEV LAVLMKEESKGNDQIETAHMDEILNSIAVET FPSE_02939 MAAALLPRLSSTPLISAISFRQFTQKLFPTLSIAIPGVSLNLPT IDDIWESVLRAVPKNKVSHSRKRHRQMAGKALKDQNGLCNCPGCGQPKRTHRLCQHCL EAHITDIFSIATTPKAVISGSGSSTLHIHDTTDPSFPLKQSISDAHKLGCHHVCASRN GNVAASAGFGGEVKTWKVDNDTGEWSLSGEIAGASTKPGEAWALALSEDGSYLATTTN DGRINVWDIVDEKKPKIREYETGSAGSGSFGMSVDLSRDGKFTASGHQNGSVYIFNND TGRVLYSLSGLAKPVRSVAFSPGNTRLAAAGDAGIIAIYDMKHGEHIGNLTGHSSWIT SLDWSDTGEYLLSGSMDGKVKVWSIERTTCVATHSETDKALWSVKWLPKTVRSEMFCT AGANRSLSFYREATGG FPSE_02938 MAAAPPNTIYPESHVGFDSITSQIEKKLLKRGFQFNVICVGQTG MGKSTLINTIFASHLIDSKGRFQPDEPIRQTTEIQAVSHNIEENGVRLRLNIVDTPGY GDLVNNDRCWDPIVKYIKDQHSAYLRKELTAQRERYIQDTRIHCCLFFIQPSGHSLKP IDIVVLKKLSDVVNVVPVIAKADTLTVEERQEFKERIKEEFAFHNLKMYPYDNDEFDD EERALNGQIKNLVPFAVVGSEKSIIVNGKQVRGRQNRWGVINVEDETHCEFVYLRDFL LRTHLQDLIETTSQIHYETFRAKQLLALKESSAHGGASSRPISPAADRELSRNSQRMT MNGY FPSE_02937 MVKSGFVSILLGSIALPAVAFDDLEEMPESWCLTYLSTYLVTIS AAASSPTKGQFPSIESSARSDASIVFNPSSLIFPEETSFATIMTTIAIESAPPSSTTN PSTESEPIVLRIVRKAPDNNRMQRRDVGGFIGTPSEICDNAEEFRLVGAQLVAGNAPI YFNGEDYKVFGAGNGEVPSGAVTRTFFRDGGILRFQNSRIVPNGEAGFCQTPSDGQVY ITFSSQPAGCIPVNLSVIGVQDCQNGVESSSVIATNSEAEQIPSVSSSGPIISASSSS ESITPEITTRPAAAVTTQPNGVVTTHNPIIVSNPSSTFQWSNISSSFEPPPRTSKSSL IHFSPSIFTSGSSTSVEADSSTEVIKPESSTTEGLTSTDALPASEIGTSTEETSTEVI EPESSTTEGLTSTDALPTSEIETSTEETSTADLEPTTETASIETTTTDLTTFPTTQET ATTEVTTTQETTKDTTTAETIVTTTEGLTENPTSTILTSALDSTTETTTEAATTTTTE SFAQIVCPSNPQQCISTFQIQCDTVIGGIPLSSGSSTLDECSQACFRDPSCVIFTHSG TQCFSTSDPSNNAGSFALQGWTSGIRGNC FPSE_02936 MALGAAVVGAVPPLEIQGTDFVNPETGNKFQIVGMAYQPGGSAG YDPATGKDPLSNKDKCMRDAALMQILGINAIRVYNLDPNVNHDECASIFNAAGMYMMI DVNSPLPHESLHSLAPWESYHADYLNRTFAIVEAFGNYPNTLLFFAANEVINDEKTAE DAPQYIRALTRDLKNYVKNNLKRKIPIGYSAADVREVLWDTWNYLQCSDPEDEGDMSR ADLFALNSYSWCGPEATYETSSYNDLVAGFESSSVPIFFSEYGCIEPAPRYWNETQAI YGKQMSSVFSGGVVYEYTEEENNYGLVKIDDDKVHILGDFNRLKNQFARIKWESVQSN PAGKNSSAKAPECKSSLIKDSNFDSNFTIPDVPPKAQGLIDNGIKNKPSGKIVKISDW NVKLDVMNADGSAMKNLKVVPLKDDESNASGNNDADTGSETTDDKNSTDSDNKTSSNS GDAKDGDDDEDAAGLNRPLAWAMAFPLAVMMFAL FPSE_02935 MSKNANYGDDGDDYSSSCCIGCCRAGDFGTHDGCGPCYCRRSFK QDHDRTIYTGWSLGQYYPGEKEYGDTSPVTGEDKPMSFEKRLGGMKQASEQQAQKSGE NKAIKIETDKSIKTSKTSPKKINK FPSE_02934 MSAKSILEADGKAILNYHLTRAPVIKASPLPAPTTHNPPSRLAS LHFPEDANVADILNQAEVTYPWLLQPDAKFVAKPDQLIKRRGKSGLLALNKSWPEAKA WVAERAGKEQQVEHTTGVLRQFLVEPFVPHPQDTEYYININSVRDGDWILFTHEGGVD VGDVDAKAEKLLIPVDLAEYPSNEEIAATLLKKVPQGVHNVLVDFITRLYAVYVDCQF TYLEINPLVVIPNEDKTSAEVHFLDLAAKLDQTADFECGVKWAIARSPAALGLTNIAP SADGKISIDAGPPMEFPAPFGRELTKEEAYIADLDAKTGASLKLTVLNAKGRIWTLVA GGGASVVYADAIASAGFADELANYGEYSGAPTESQTYHYARTVLDLLLRAPKTEEGKV LFIGGGIANFTNVASTFKGVIRALRDFAPKLIEHKTAIWVRRAGPNYQEGLKNMKAAT QELGLDAKIFGPEMHVSGIVPLALVPGKWEESKAQEFQA FPSE_02933 MAAASSNSLSANDNIQRFTASSRPLSPLPDHALFTDKTRCLVYG LQPRAVQGMLDFDFICKRSKPSVAGIVYTFGGQFVSKMYWGTSETLLPVYQQVEKAIE KHPDVDVVVNFASSRSVYSSTMELMEYPQVKTIAIIAEGVPERRAREIAHVAKKKGVT IIGPATVGGIKPGSFKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNELNNIISQN TDGVYEGIAIGGDRYPGTTFIDHLLRYQADPECKILVLLGEVGGVEEYKVIEAVKQGV ITKPIVAWAIGTCASMFKTEVQFGHAGSFANSQLETAKMKNEQMKEAGFHVPATFEDL PSTLKKVYDDLVSQGTIVPQPEPVVPKIPLDYSWAQELGLIRKPAAFISTISDDRGQE LLYAGMPISDVFKEDIGIGGVMSLLWFRRRLPPYASKFLEMVLMLTADHGPAVSGAMN TIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTRAFDKGLSPRDFVDSMRKAN KLIPGIGHRIKSRNNPDLRVELVKEYVLNNFPSHKLLDYALAVETVTTSKKDNLILNV DGCIAVCFVDLVRNCGAFSAEEAEDYLKMGVLNGLFVLGRSIGLIAHFLDQKRLRTGL YRHPWDDITYLLPNLREAGAPGAEGRVEVSLPSAPIPPPTDQPPPQTELQTTMSFFRI TLHRSAIGLPERTRGVLAALGLRRRMQTVFHPVHPQFAGMILKVKELVRVEEVDRVLS QREVKAARTPDAGFYVEKAVPRM FPSE_02932 MAPARQQPAPPPFSKDEKVLCFHMDMLYEAKIMDVQQGEKPSDG YKYKVHYKGWKNTWDDWVLVDRIRPFDDEHKELAAQLHAQLKHNIQRSTKPPKKGLRS GAESARVSEERSGSATVQGGRGGRRGKDWELEQGFPISNVRGGTEEAQPSESVDPGKS SRKLRFGSIKEPKDLLTAHPSENHRYVTDSGKLVQDDRYTAPLSVKAEPKQPRRKHSK PSKHATKPKRRSFDQPDQLEMEDSFHNKPMINLPVPDHIQAMLVDDWENITKNNQLVP LPHSKPVAKIFEDYLAHERPHREEGSSSMDILEEVVAGLREYFEKALSRILLYRFERH QYMEMKKLWENTESDLEYTNVCDVYGAEHLARLIVSLPELLAQTNMDQQSVSRLREEI GKFNVWLGRNCETYFANEYETPAQDYIDKARSF FPSE_02931 MANIPDPTADLDWTYDGSIIEHFARNAEAHPERTCVVETKTSEA PERRFTYKQIYEASNILAHHLTEAGVTNGDVVMIWAHRSVDLVVAIMGTLSSGATFSV LDPLYPPSRQQIYLEVAQPCALVNIAKASDEAGPLAPLVRRYIDEELNLKTEVPSLII GDDGILAGGEINGADLFAQVRPKAASRPDVTVGPDSNPTLSFTSGSEGKPKGVLGRHY SLCRYFPWMSERFNLTSESKFTLLSGIAHDPVQRDIFTPLFLGAQLLVPSKEDIQHEK LAEWMREHKPTVTHLTPAMGQILVGGATAEFPSLDRAFFVGDVLTTRDCRQLRKLAEN ANIVNMYGTTETQRAVSYFEIPSRSKDPNALDVLGNTVPAGKGMQNVQLLVIDRQDRN KICGVGEVGEIYVRAAGLAEGYRDNAALNAEKFITSWFVEPNKWVEAYANLNKDDPRL KGYVPRDRLYRTGDLGRYLESGDVEATGRADDQVKIRGFRIELNEIDSNLSQNPLIRD CKTLVRRDKNEEPTLVSYIVPELNEWPKFLKTQGLEDVEDEGTDIGPTKVYFKRFRRM QTEVRDHLKGRLPAYAVPTTYITLEKLPLNPNGKVDKPNLPFPDIAEQTEDATEEDLK RWEGLTPTEQTIATNWSELIPGLNAKTIAPQNDFFDLGGHSLLAQQMLLSVRKTIGAN VSINTLYEHPSLSGFSAQIDRQLKGGVGESEEDKNPEYARSLDELLKGLPSKYQSADP FTIRAKAKPVVFLTGATGFLGAYLIKDILSRTSRQIQLIAHVRSVKDPKGAFTRLRRS LEGYGMWRDEWESRLSCVVGDLGQPNLGIESSVWNNLAQTVDVIIHNGAAVHWVKRYA DIKTANVNSTIDAMKLCNEGRPKTFTFVSSTSVLDTDHYVNLSDKQISTGQGSILEED DMMGSRTGLGTGYGQTKWVSEQLVREAGRRGLRGTVARPGYILGDIETGVCNTDDFLV RMLKGCIQLGVRPHIVNTVNAVPVNHVANVVVACALNPLPNGVHVAHITGHPRLRMNE YLSSLEYYGYKAPEVDYTQWKDELENYVTAGGQAKDQEQHALMPLYHFCVNDLPANTR APELDDSNTVKMLKEDAEHWTGIDESSGYGISRTDIGRFLHFLAETQFVSWPTGRGRP LPEVNLTAAQLEAVGAVGGRGGSGAPAATAAAAKA FPSE_02930 MAPTEAELLADYLIQPSTLTAITTLEQFKALFPRPLQSSPQVRS LFRDLQAQRADVLDQVAENIADEAKRSLAMRREVLRAKREAEREDIDAEIEMERALFG DASGAASAKHTLSSVIPELEGAAGALEAEIEKLQEEEAALLESVQQTVGALSDLRYGK FSNGQISDEVIDGLKNVEAACKNKS FPSE_02929 MRFSSSTVLAALPALAAAQDSPVDQYKAQFQNVIGQFASYIPNP AYHDPVAAAEAKAGSMRLNVLTLDNWKETLYEPVSADATTPEEWWLLVSGGNKTCYGR CGTVEQAFNETAAKFALLDKTPHMAYLNCDNQPILCNSWSAGPPSLYVFEMLPEPAAV EVYSKRLNLTTTTSDTLVALQASGSKEEFHLHTSAWFHPVNGKLAEHGLAIPFGYLMW AMNLVPNWLFMLVVSFGSRAMMSRRMQGANGAPQAGAQPAR FPSE_02928 MNPQMGQQGQGQPQGQQAGAGQAQQRPPMYHPNQIRTLPTLSEE EKSKYENGLQGLWNKANGSPQNSPEHIAARQKIIEFSKMLISKINQRRTQMAGQNAQN QQARPQQMQQNAAGQATPQAQNQQQAAGATAGNQVAGATTQQNRIPEHILQHIAKINF RAPAQVAEKSGADANKWVEEIKQRYTRALMTMDNSKQKVAQIDKLINDRAAAGTPFKE DELRQLQIRKEQQLKAHSDAHKWVDSVRKQQGNLQGTGQAQAQAQAAQNAARASQQGT QQQPVAAAGQQTAATQQQAQPQQQQQQQQQQQQTQPQQSQPQTQAPQNQPQATQVQNN QNNAPPVNAAVEVAKQQLAAARASPANGIPVPAPGQVRPAQGTPQPGQQQQLPQAPVQ VKQEPGTGPVNPAMPQAAAHNQAGTPTQQNAPRIPTPQQAAAAAAPTTVPGPQRALSH SAAIEMANKTINTPGSAQLPGRPAAAGTPTPSSAVTQGVTGPNIPQQPTPQGHPHAHP PQPQQTPLQTKMPIPKVLPEKATAVPQGVAVGGGVNAGRPTMTQGSGTLGGVMNQPAM ARIPAYNHEAEGDHVLSKKKLDELVRQVCGGPAEGQDGNLLTPEVEENVLNMADSFVD AVLHAACRNSKERGSKVLEIRDIQLVLERTYNIRVPGYSSDELRTVRKIQPSTGWIAK MSAVQAAKVMPGKGE FPSE_02927 MPPSKAPNNNGKKPAGNNVQKSKDVEMTDSTKPKGKKPAKDADE EMTVVVPPSKSKKSDKATADAEGDVSMEEEEEEVKVDPVVQTIADIKSNFALIDRAVA HFDARFSLRALRSISIIRKRLTPDIIAQVVSDAFPATANSSAIVKPLLAAIEREDVTL GRQSGSEMDIDDAKTSGKNGSKKEAKDLIPEIDIFLGILVQVYLFDSKQFQRGADFSK YLSDRVQSLNRRTLDSLSAKVYFYYSIFCEQIAPLPPSPQSPVVAIRPTLLAALRTAV LRKDVDTQASVIVLLLRNYLSTSHINQADLLVSHTQFPENAVNNQVARFLYYLGRIRA VQLRYTEAHEHLTAATRKAPSSSCALGFAQTATKLLYVVELLMGDIPDRAMFRQPTME AALQPYFLLVKSVRVGNLEDFETAIADHADTFRRDGTYSLILRLRQNVIKTGIRMMSL SYSRISLRDICIRLQLGSEESAEYIVAKAIRDGVIEATLDRERGFMKSKEVGDVYATR EPGEAFHDRIRACLALHDESVKAMRFPMNQHRLELKNAQEAREREREMAKEIQEGDLD EDDLGGEYDGI FPSE_02926 MSTATELRPIEPPSFSIPSQHATASPPLNKHNDTVNMDEAIHLA FLCRKSLVDLDQHLPGFNPSHPFTVDEIIALPLNKHRIDDALHDLPRRRSARHNLAVA IAPMLYPVHEGSLTAIIRFDADRVRLRAWAALQRRYDMLMATIRNGHTTQGLSSGAAP GVQAPVWASRILSQGAWDPAKHPVSLDGVPAIPMPVEIAHEADLAPFLHHLESGGTHE LHGHEKGFELDGGRGEPYYGVQGAEFRKGVVYEDGRMDLCKMVVGPDHVGKLMDSLRP NEFVRHFLLGNNIIGPVGAYEIANFISDLPDRMDTWYLAGNCIDGPSFKILVNAMVKS EAITNIWLKRNPLGPDASEDVYRLITGVKNLRTLDLDQTQLGDRGVTDLFSRLAGHEM PEGGKLPLKHIYLNGNGISTEGAKAVGKFLLSPHCGLTSIYMSSNPFGDDGAEALAQV LPKAPYLARLLLQSIGVSTKGAIALCKAATGHPTLEVFDLGQAYATQDLGQAYNYIED GAVPAIQSLVQTRSPLAYINFGHMPMAPLAVMIIFEAVLKSPSLVCFSAYSVLPDPRL KPATFKPTVDTRFANPAEQTKPQIELNKVVQEHLAANVKARYGEDTSYNQFMEEERRW LVSDKTDVRKIDSVYRNRDAGLARRRLLTLIKNWEEGDETLDRVMGAHAPTCSLRRH FPSE_02925 MPQKRKRAEQDPADSDSQSASISASALKPKPKPKPTSSDPQTKP TVARRATRQTPVLPPASSARTETAVPPPSIPTLLSSSPNTTSPDQKRNRTPITRSRPS KAQSSTTAPDQAQAQTQAQSQSQISPPVLRWAPLEQPGPAKRPSSATMTAVPPPRPTA AGRPLSDLVAPEITYHVPHSHTNSLQRPSALVRPHGPTAQPPRMLERPPPPTVTPVHP PKPPPAPSVRPPLRTDRNIDKVVLGNMCFSTWYPSCYGKEVLGESSGHPAKSGSKEAG AKGQATGGTSPPAVDRLYVCPSCFKYSKELVAWCHHVHVCERKAQIPGRKVYIHPQGR RKILVPYDNKASGPKRRKGEGNIRYVEELVQDEGEWSIWEVDGEKDGLFCQNLSLFAK LFLDNKSVFFDVTGFNYFLLVYTPPTRPSLISTEPELPLPRIVGFFSKEKMSWDSNNL ACILIFPPWQRKGLGALLMGASYEISRREEILGGPEKPISDLGRKGYKRYWAGEIARW LLSIELDTKNPENEVLVDLNDCCKATWILPEDCLPVLRDMGVVEEAGMGPSKPEEKLV NDTEADESKQDGTRTSPAADGVNKDVVTVVKTVPRVRIDKQAVRRYVAENRISLERVC DPAGFEEGYAIKAPAISEDEVEDEE FPSE_04201 MASSRVFASRLASQMAVKAARPAVRANVAAASKRTISGVSPLQA MKRQTLLQATTRNAFQVQRRAYSSEIAQAMVEVSKNLGMGAAAIGLTGAGIGIGLVFA ALLNGVARNPALRGQLFSYAILGFAFVEAIGLFDLMVALMAKFT FPSE_04202 MALSHVFFASTQYIIIPQSDTFRFPVDVHLLGIEIRTVFSNLMS AEGGIYNASDHYHHRNSIRCLSLSHEVMHVHQQEQSNRHVNIQSYALNDWCLLQLLKI VPGDSPEAFDRLFLRCRTEDDGQMSKTGQERASLHTSDSKLDLKHLIQYPLSIAESRL ANEREDQGHVRVLIRFPGLDHNLMVPVSNRYSAQQSKACNFSSIDTVSRPPSTIINCT RNAHLFVATMEKSQDFKSLQESVQKSLVSTTKTVNRIAAEDLSFQRTVNPNVGQQLDD RTSRILELSTRLLQSTGKACGVKAPKLEDVEDIEMKWRGVVDVVDSSLEKADTALDEY TGLVKRKEPPASDSNQAKKPKSTTKVIRNANISKPQLQFERKPDNFAPPPWKPILKAK PHASVSLKESLNIVPNEAGTPQYQHPYEHEIVRMAYPKRVSREAEPIMYQPVDTTEAT YVDTYEGVLEMLGELMKAKEIAVDLEHHDFRSYVGLVSLMQISTREKDWIVDTLQPWR HKLEVLNQVFTNPKIVKVFHGAYMDIIWLQRDLGLYVNGLFDTFFACGQLNYPAKSLA YLLSKFVDFDADKKYQLADWRLRPLPQEMLYYARSDTHYLLYIYDRVRNELVAASDKT DADKDLIGRALEKSREQSLSRYEHPDYDQETGEGSRGWSSYIFKNSHMAFDSEQFSVF RALWKWRDDTARKEDESTNFVLGNRDISEIARINPPDAKALHSLLPLNASLARSRFNE IWGYIKESKAKGGRSLLHFFTSMAPDSLMRNGVPIAARKTTRLPDLDGEVTVSRLTRS QLFGDMPISTQWDASTRRPETEEDLIPFPWQKFVEQGTIEGDVQHDTVTDPAVVPAQP NHVENAGGSAQVEEDDEEFTLKRGQKRKSEAVEESDSSEGGSESSDSESDEEMQDDNG VIAIEDGSSGKAESRNARRKQRKAEEKKAKEEQLQRQEAKKARKAQKKQKKEDDKVKK FDAVPFDYSKATSVVNTKREPNTDVKGKKRVFDPYSKSADTDIKGARKAPPVRGERSA TFKK FPSE_04203 MPETVGENGNAAPGRLLLLSNRLPITIKRSEDGSYSFSMSSGGL VTGLSGLSKTTSFQWYGWPGLEVPDNEVEGMKQRLKEEYGAHPVFIDDELADRHYNGF SNSILWPLFHYHPGEITFDESAWAAYQEVNRLFAKTVIKDVQDGDLIWVHDYHLMLLP QMLREEIGESKKNVKIGFFLHTPFPSSEIYRILPVREALLTGLLDCDLIGFHTYDYAR HFLSSCSRILECPTTPNGVDWNGRFVTVGAFPIGIDPDNFVEGLKKPKVQERIAALSR KFEGVKLVVGVDRLDYIKGVPQKLHALEVFLTEHPEWIGKIVLVQVAVPSRQDVEEYQ NLRAVVNELVGRINGKFGTIEFMPIHFLHQSVNFDELTALYAVSDVCLVSSTRDGMNL VSYEYIATQQKNHGVMILSEFTGAAQSLNGSLIVNPWNTEELANALHDAVTMSPEQRE ANYKKLERYVFKYTSAWWGASFVAEMTRLSAEGSQPKTLRNVSGAVIGLEQKAQQIVT GLEKKVDELLSGNEKNETETNEEPSQ FPSE_04204 MFALRGIGKLIFGSSAQETLIELPQGQLYLVRPLSPKGYSELIF RDSAIRIRRTNQEFQYQLVVQRVFEEGEAELLAEEEGEDAEIDALASEKDEKTFLLDE ALSFRFEIRETGDKVLAWKDLSGDTGDLFQFVCDSTVTSAQVQQFVRVAQECQYERKY RKHNSTATEADLQQFEFEEEDPIPPASPLHSPTLARSIESVDDMLSKNAANSAAKRAP EVIPEVVPEQEIEPQVLTGPDANNPPEAIEIYAAVTAELHVFDPQPGHFALVDDSIIA QVSEVGKWEYWLQIESGDKAYLGTPVVADFNPVFDFEYLSFVFNHFSSDGTARSWLLR FKDQSTLEKFQEAIMQAIWERLNETKWQKMQDKEREYVLDAFGDLTMEDAPETEEEEE EEEEEELEDDRARDEEYDSDEENDSTFPKNADGEVNSQLAVGYKHDRSFVVRGSKIGV FKHTPNNHLEFSTNISKVTTPSGKLMNPKKVMLHSEDRDLILQNEIDPNKLYRMDLEY GKVVDEWHVHDDIPVVTFAPENKFAQMTSEQTFLGVSNNALYRVDPRLSGNKLVDADM KQYASKNDFSALATTEKGYIAVASNKGDIRLFDRLGIRAKTQLPALGDPISGIDVSAD GRWILGTTKNYILLVDAQQKSGKNEGKLGFEKAFAATDKPHPRRLALTPEHVAQFYHE TGKPVDFTPAKFNTGEGAEETSIITATGPYIIEWNLKRILRGMKASYKIKRYEEEVKA DDFKFGSDKNVIVALPNEVNMVAKQSLKKPTRESIVGNIRLGGGSRRGSGRIGNQESG RYKLGRDDIVNAPY FPSE_04205 MYFSTTFGLAFIGSLLSVPCEAETVNNVAIIGAGAAGSSAAFHL RKYALEENIAINITVFEKTDHVGGRSLTVPAYNDPSLPIELGASIFVGANHILVNTSR QFQLPLSKPHRLDKDDLTAIWDGIDFVFQTTEGSWGGWDLAKMFWRYGLSPYRVKKLV DSMIGEFLKLYEEPYFPFKSLSQRAEQLGLDRFTSLTGEQVLKNAVATRVNYASNLAY IHGLETLVSLATDNAMSVETGNWRIFDEMIMDSGAAVYRNVTVASIEKSKTKTQSSSS SRYVVTTADAHSKDDAAENYGVEFDNVIIANPWQFSNIKAGEGVLDRVIDEIPYTKLH VTLFASPLELNPEFFGMKSGGKAPATVYTTLAEGDEAGQGADGVGRTGFYSISTLKYV TNPKTGQRERVYKIFSPKAVTAEFLTRIMGTEIPEPVVSGKEQNENISWYYPHSFYAY PIELPRVTFEDPVIGKGVYYTSGIESFISCMETSALMGRNVARLVVDNFAGISRPEEP LGAGNEKRPLGEEMEGIKVQAEGEL FPSE_04206 MPLDQDISQGTQPPPSSSKTGHPLPRRTSPANSVTLRHHRLARD ASLKASQGSGPAAKTTTTTSPRRNSSGDSNETGQSDPNTWFDQSNQNPTATYDSNVME VDPPFFQKESDSSNEDKPYYDQLAPPKLTAAHSSSADDYRSVIDDLTVEIQKLREELK RYKNTGPDMLRKEKLFEIKCHGLPKKKKRELEATLRDFAASLDGSPNTSSSQNKKKSR HANRDNMYSGSGSKHASSSSGSNFPPADSAYASMSTGAKSSGTSLSRPSMGSQTKSSE TVENYLRDIPEGLYPRHMIMTEKERKKLVVRRLEQLFTGKIGGRHVVRKQPVQPSGSS AALASVVADTQPNVTNTSNFSIHEPPTLQTSGKEPTREARILPNEQQPGHSGKQSRST NNGSASNSNGDNTESGNGNSIGSGTNPSPPMTTLPEQRPTRPRDLDPDRTQVPADNMD YIRHLGLVPPELLAVQHNQDVHPDAEGWVYLNLLCNLAQLHMINVTPDFVRSAVTGMS TKFQVSPDGRKIRWRGGTEGTKFSSDSSGYNSQKSPSTDDTEGGSESKRKRQKTGRST GDEFQSGSSSKHNLKYDPQLCAPSESFHYKPLFAQQDSSGGQTSLDETVSSFGPPEES NIGESRWGFSGSGASSRRKRRHDGAIIYYSGAPFCTDLSGDPGDMSPTTQMRAASQTQ SDKGSFKVPPSPLRRTDSGSFLNYRPLTDRGVLSTQATTAMDIDSEDPPSLTDDTDDA SDVDLDFTWSDNAQYMQHYPLEPCGLGGVLPEDHFMVVVSTKRSKQDELSSIQMAGGG VGEATDAVVRRLTTMSTSSPVSGALRSLPTMGPLPVEIEYMSGRIKRLTPVSLPPPAI FFPPFSPSESTVDSDDDMSDDLDTSESLRDLMGQRKLQHQSDGYPDGVDLSSGDEEGD EPDDSPSQNMYAASRDPKALPNRPRQAARRTSSAAAAAGTARGASKSNSANPALSHDA SSVATAGAAESGYSSSDESR FPSE_04207 MRARSDLLALASSSQPGKSSLNQPFFSPPCDAATHRDSGALVVA VALAGPGGHASSCTLAVAGGGPECLLLPPFTTTATATATVEICLPYFQP FPSE_04208 MSKTDQKACLIVIDGWGIPSADSPKNGDAITNAKTPVMDALYKD SKGYTELEASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTIKNGELSQNDV IKKTFQSAAAGNGRLHLCGLVSHGGVHAKQTHLYALLKAAKEYGVPKVIIHFFGDGRD TDPKSGAGYMQELVDNIKEIGIGEIGTVVGRYFAMDRDKRWDRLEIALKGLILGEGEA SEDPVATVKARYEQGGDMNKDEFLTPIIVGGDDRRIKDDDTVFFFNYRSDRVRQITQL LGDVDRSPLPDFQYPKIKPLVTMTQYKGDYPFEIAFKPQHMGNVLAEWLGKQNVEQVH IAETEKYAHVTFFFNGGVEKVFPLETRDQSQDLVPSNKSVATYDLAPEMSADGVADQV VKRLGEQKFPFVMNNFAPPDMVGHTGVYDAAVIGCEATDKAIGKILEGCKKAGYVLFI TADHGNAEEMKFADGKPKTSHTTNKVPLLMANYPEGWSLKDTTEGVLGDVAPTVLAAM GLPQPEEMTGKSLLQKA FPSE_04209 MSPSSVSASAPAPAHGPHPLALPPTFSPDTLDALSELSLVLARV RAGIQSSAGITTEPAPGTTGNNAPGPTLSFKDVPGATDGLKHKLQRARAQVRELPDMD RSIAEQNDEIRELETRIEKQRVLLQRLRDEATGRDRKDDISAGDKMEL FPSE_04210 METQVQITTHSHDPWATTHQVILQPVIMDPKQRFYHHFLKSVTV LQDLVDGLPSIASVGGERQEAIDHILASIAKLQNEVADAADYTPSYDRKQYSETIKTL QDKLNETITRITPKSKFQFRRPKTNHVDMGAPENDPRLNPGSHSRVKHDATIIPGIVS PPSMNKEDTLSELPSKEAYKNYNEEMKRPSASSVRKPSFSAAKSIGISSHSNLHIILP SSASRATSSGSLTDLEGCIIDMSIPTTEGSAFPGLAIKNVSKSLIVGGRVNGAVHITG VSDSIIVVVARQVRIHECSNVDIYLHCGSHPIIEDCSGMRFAPLPTPYRTEAEEPDEN QWDQVDDFKWLKAGHSPNWTTLSENERLSDDLWRGVVPGQPGVSVEEALKKLNIPRK FPSE_04211 MSASLSPECNEVKERYDTCFLKWYSEKYLRGQERDSNECAEMFK EYQACLKVALKDRGVDKLVQEAREENKENDLKHLGVRTFNQRTACTATRNSASMHPFS ILTLGIFVAGYITARWDLVTRLYELAIFAWENGVVTRATKAFAALSVVFLAICAPTVL LARKETNLHPRRVGAGVSAREQLRRQHDGLMRVFWPTDIRRSDRPGVVVGWRNSVLDV FVVAILEDVDARNTEFHLKAGTFFRSELHPNSQITDMCGHGSMHVLGLSNATDTEAAD PSWFCATTNSNPREPQITCTKAISVQLILYDRPQPQGMQYVSLNPIALALGHDGSLLG DTVVSEGEQETRERQMKEEKRKLVEKLKQHTIFKRVPSARDRALPKIINQINWSWELE KTLQKNVGRLGSRPRRSLSVSERVVETASTMRNYVILQLWTWFTLYLFPTIRKTFVLV LMGHRIMAEMLLLLLEFRVKPGAAALKDISATAQQVEIRLQQFCYWPMQYMTLRQRKN NWASVTTSHPDYIRFYNSLWLVANDVIIGIALGSYIIENADWVAAQIGDLLRTYTVDA LQSSISWLMGWPAGLKLNGELAAFLGDLFLWVIDYWSSCIETLTPALPQMVWFIGFSS FAGASMPIAMFSDMLSTLTIHIYSFYLASGRIYHWQLTILQSLFHLFRGKKHNVLRNR IDSCDYDLDQLLVGTILFTLLFFLLPTVAVFYLNFAIARMAIISLKAGFDTLLSCLNH FPLFALMLRIKDPRRLPGGIRFELRDTHDYIRDGTSNTEGPPPTSVIYLKSIPLTFRI MFNQYFQMANRIRKHYLSPKVFFCLLTGKFVPPINRKNLYSLQYSMLPARRANIWEMW RALNTKTKPTKRMPLPYIPPLPNGGRRTSANNGRAR FPSE_04212 MAPSNDEIEQALLDATFQIYQSHPDDTTVNKVRKQTEENLSLED GLLSSDDWKKKSKELIKQRVEKLMEGWTPEEKNEAGSDGEEKMSTGTKRSSPDAESPP PKPKRQKRVPKAKPAPKPKQKPTKAAKKQESDPESEPGESSELSELSDLSEEEKPQKK RKSAAPKKAPAKAPTKRKSKKEVISEDENEDVIMGSDAGTPEKDQDAKNGGSVGEKAA PSAKVQSPDGEEEKSPAEEGEDNPIIDEEEEYSDVIDEPPKPKRKKKEKKESASKPAK APKAAAKKTTTSDDPNTEEIKKLQGHLVKCGVRKLWHNELKQYGDDAKAKIRHLKKML ADIGMDGRFSESKAREIKERRELLAEVESAQEMSSLWGVEGRGRASRSKSKKIIESDA SDAENNEGNNDDDDDDEDNSYAARRKRVRADLAFLGDDDESD FPSE_04213 MADSSISFLPLGAIIQSIVVNGVNIVQGFPAQEDYEKYNYPYFG VTVGRIANRIKDARINSLNGKEVILAANDGKNHLHGGKVGWSTRVWDGPKPVGTREVP GVEGVEGGESVAFTLTSEDGDEGYPGTVEVTVTYTTGTQKVDGKDVTILAMEYEAKLV GGADETVINMTNHSYFNPSGKETIADTEMTLPTASYLAVGDDLIPTGKIESFPGITAN KTFTLGPQEPNIDHCFVLNEDPSSVPLDTRSQPLNLNVKAHHAGSKINLEVYSTEPTF QIYTGAGINVPAVNGLPARKARAGFCCEPARYVNAANVPEWKNQVLLKKGETYGARTV YKVWSD FPSE_04214 MALNELDARHIQNMSEAQTLVPHWGYANRALPCTNDKGSCEYLD LVYSAHDYGMLYSAILWATILGIVFIWALLRFVGKPSAPAIASGASPKAGLTKVRRSI ASFTRRYLLPDACRAIFGRTTRFQVAVLTALIIYLTIWSFVGMTYHTWITPVKNMPGV YNTRTSLGPWSDRVGILAYALTPLSILLASRESILSLITGVPYQSFMFLHRWTGYIIF IQSALHTITWCIVEIRLYQPQPDVAAAWVVQLYIIWGIVAMILLLLLLVGSTPWGIRL TGYEFFRKSHYVLAMVYIGACWAHWALLKCFLLPSLLLWFVDRGFRILRTAYLHYHHL PSGNMGFQASQATITRFPDEEHGDILRFDLQNDQDPWKVGQHYYLCFTESSIWQSHPF TPINAPYVENGVVKHSYIMRARGGETKKMAQLAAKKLHASETTTTPIIMTGAYGETTM DHVTPDTNIVCVAGGTGIAYVLPVLLELARSPISRDRKIELIWAIRHATDAEWVQQEM DLLQQARKALNLKIRVYATRDASSRTSSKVRMEDEKDAKDTSRPVVSSSSSSDVIDES CACGTDVPVRKIGQGIEDEDRHPNLPKLINDFVGNTITGPTTVFASGPGGMISDLRTI VAGCNSAGQVWKGNERFDVNLVCDDRLEW FPSE_04215 MDHGAMGGDGAACKVEMLWNWNTIDACFLASSWQIKNQGMMAAT CIGVILLVILVEFFRRLGKEYDALLQRGFQRQATSHSVALAAAGCTGAVVPTRQTLTY RASPLQQFIRAFIHAATFAGAYIVMLLAMYFNGYVIISIFIGAGLGKFFCDWLVVRID LEGLEGPEDKSKGIEETTVCCG FPSE_04216 MSSYSSSIPSSFSASPTSSYASLSFNSQSSSSSRESGTVDDEVD MIKTWFSVLTDAERASALNSIVELPSLREIEPLIQALKDRKKELWKQQQELIIQPPVK PKWIMPTFPHNSQDPRWAAKWLRALRLHKYEQCLVGLTPAHVSRLEDEDLQKLGIDTV GARTKMLRAIHNR FPSE_04217 MATASPQTTNTTKRKRGEESWTSPIQFTFQLDPLSFAFPSVSAT AQTEDGSNSPRSWVTHKFRGLALESGGGAAVSSEDTDNLMEESTRKRQRPDEIMRESE IDAHPTVQEVVDLDGNSVFPSDKPLPSIESCVHVQARQPQQHHLQKQATGSLQHAYPS INRLSESKSRVKKRTASPPLRIKRPSRRPLDDSDDDEVQIVDPVRAALTWHEDEITIY DPEDEDDDGVGINGIGFKPTPALAQARAKKRKQQMAEYRKREESDARAQRSQRRGCGS GVKFKSEDQSPPRKVRFTDTDASNIAITTG FPSE_04218 MASNPQEGQPQPGDKTLHVWSKPIEQTGAAAATNAENGATSTNT RPTISEAVSMIKKDDFANIANTPCARQGFITGIASGAGIGGLRFVVKGNAGSAANWAV GVFVLGSMASYEWCQYQRREERRQMKRHIEVVSENRREQARKIQEARREHDRLEAEKK ATVKPWYKIW FPSE_04219 MPNTSLRRPQKGFRRGGKVAYHGNRTRTFAASSRNEATSADEKW ERTRLAHSIDENMGFARYESGKKREGWLVNIQPTAIEDDKTPGGRAAVDCYFIEEDGS TFKATVEFEPYFLIAVKKGREAEVEEWAKRVPGDGVVKSIRRVEKDDLNMPNHLLGYR RTFLELKFTNVNDLMAARRDIMPIAEKNKKNMNAMDAYAEVATHGNGGFDLFDDSRDD DRNTNNAHADASDFIVDIREYDVPYHVRVMIDLDIRAGTWYFVEAKHGVTKIYPNEER SLPADPVVMAYDIETSKQPLKFPDAASDQIIMISYMIDGQGFLITNRQILSEDIGDFD YTPKPEYPGPFMIFNEPDEKSLIERFFLHIKEARPTVIATYNGDFFDWPFVEARASIN GIDMYQEIGWKKDNEDQYKCAYSVHMDCFHWVNRDSYLPQGSRGLKAVTVAKLGYDPD ELDPELMTPYATERPQTLAEYSVSDAVATYYLYMKYVHPFIFSLCTILPLSGDEVLRK GTGTLCEMLLMVQAYQREIVLPNKYITPKEAFWDGHLLDSETYVGGHVESIEAGVFRA DIPVDFAVDPGAIDELLTDLDAALKFVVTVEEQKKFEDVENYEEVKAQIVERLNKLKE TPNRHEKPLIYHLDVASMYPNIMTTNRLQPDSMIQESDCAACDFNRPGKTCDRRMPWA WRGEYVPAKRDEYNMIRHALENEKFPGKFPSSPMRPFQDLSADEQAALVRKRLQLYSQ KVYHKIHDSTTIVREAIICQRENPFYINTVRDFRDRRYDYKGKAKVWKGKTSTLQSAG APQNEVDAAKKMIILYDSLQLAHKVILNSFYGYVMRKGSRWYSLDMAGVTCLTGAHII QMARQLVERLGRPLELDTDGIWCMLPATFPENFSFKLKGGKKLNISYPCVMLNHLVHA KFTNHQYQTLVDQKTLKYETHSDNSIFFEVDGPYKAMVLPTSKEEDKNLKKRYAVFND DGSLAELKGFEVKRRGELKLIKIFQQQIFKFFLEGETLADCYGAVAKVANRWLDVLHS KGTTLADEELMELISENRSMSKTLEEYGNQKSTSITTAKRLADFLGEQMVKDKGLNCK FIICARPKNAPVTERAIPVAIFSAEESVKRTYLKKWLKEEPTDTDPRALLDWDYYLER LGSVIQKLITIPAALQKVRNPVPRVPHPDWLQRRINIKDDKLKQKKLTDIFKKSPLED ITGLKGAGMDDVEDFGSKLLKPKQVGAIIASSQAATVQKRKSPEPVENPDPMSALPEV MPSASENYEEFLMYQKQKWKIQKEARIRRRQLFGDRRGGAVNNLQQTFMKQAHTTYMS NWQVLHLKTTETPGIVMAYVLIDAKIHTLKVNVPRQVFLNLKSKDLPDVEVDGCEVEQ VNYTLPNGHPSSHLFKLTVSEDIYFNESGKFSLLFNHPSVEGVYEKQVPLNIRAVLRL GNQCTIDASQHAVLGKGLEQGFDLAGLKRPAKSRTYLDTSPLAYIYISHITAGERQIF GIFSTTNDQAHVVILQKSKDSGQDLPNITKMYSEMLARRHAEAAGTNWQDCFTYQEKL HIKITQVTTRRKAHLEIGDVVKKMRKDEPRPQMMVIQSSQRQLLIHDVPILGEFPVLP LKYDIADSSLPPLGWLSVIAKRLVGHYLGLGSWILHLTALARYGDVPLCNLERDDPRF LIDIAYARRLQSNGVVLWWSPDARPDHAGYEKDDLLGPLDTVKMPNINNPGTFSSVCI DLDVRNLAINTILTSSLINELEGADSVSFNPAADDSETLTSENAFANAGVLVLREMVK AWWTEACRGSTMADVLVQHLVRWVENPDSFMYDRALHYYVQMMSRKAFQQLMADFRRV GSQVIFANANRLILQTTKAEVGNAYAYSQYIIKSIKSKPLFHFIDLEIKEYWDYLVWY DEFNYGGKACQEVVEAEEQTLETIMNWQIATFLPVRLQSTFHDWVIEFIQLMHQLKRP HNGDPDATPRLTQLPSKGLEEHEGQIILGKAFEKPLKKDIIGLLNRQKRELLHPELAG DYTFPSLPGSHLNLRNSILELVKSLMQILSLDKNITLEARLLRKELLALFEIREFSKE GTFANPSESLRLPQVSCDSCTMMRDLDLCRDEDLFGEGAAWCCGFCGTEFDRVAIEER LLGIVESWVVEWTTQDLKCVRCGALRTNDFMEHCTCSGEWKEVVSRQDVSKRLGVMKR VAKFYGLRMLSDVVEGLDQGL FPSE_04220 MAHAEDGKDDAKEWELPMKADDNTKKYDRSSPSITPVGTITSRK RKSCDTEAEMALGLSRTKRQCLDRLRGVADKRAKKSASTPRVADGPTDLIRGRASRRI ETTSFPLVFEHAILPFLSQYLPIWVGPRHVVTVTRGKSPQIKRICIMAPKRISRARKV LIVSHVQDLIPANFSTLVTFVFAQGEINRTVTWARGLSKDHKDDICSARNPYFFRDPC MGDSIGVTGNGVFDDSTATLGPCITVGGGSYWLGNFHPFLEAYEQLAQEVQVEHPSSQ DRKRCVEEGHDAMAQETSFRLGRLEVTSGLNLKTTRISHDPYWDECDADKPLVVTDWA LIGSRTSQANILRRFPSETLPPTQEPIVATTTAITPGADVLSSGRTSGYQRGNGLSKS HGRKMMRMHGSAVASV FPSE_04221 MASLPPLAPFPQSSWRQHLSSSEWNSLLQAWTSICRALVALPEK DLKTSLSRDDSIATFLSSFTEEIAEAGFTILESHSTTLPKAVFQLTSRVYSVSPPTQL FDYEFLANLARIFPRKATTPLIAQLFQQRTAPTEASLTGLKKQLIPQLEAGIKGDLKI VEARLIRLNHLLHASPDACTLFLAGSDFLDALIVCFRVMNPPLRKVILTTAYLCLIGL IDVEPAKWSMLSDQLYALKEAADAHKAGPLNVNDSLVPELITNTPLLKILLRRAEDSG AATDNFKKRITALETYRKGAMIRPKRLVRRKVDKGKGKETQQEADADIHVHRMSLITQ VQDIFPHLGSNFVSKCLDEYGDDVEKIIMTLLTQPLPPHLANADRSAALPSHSETGHT DLAPRPTPPQVPTRHNVFDDDDFDRLAMDVSKVSFGKKPGKSADEMLKDKENAPNKSA ILSALAAFDSDDDERDDTYDADDVGGTVDASNQEADAASDNNEEALFRAYQMDSKLFD RDAATRRGGPRAKLRQETGMTDEAIEGWALILVRNPQQKRRLEAKYAFSGQQAQIDRT SWRASPAGSGEEGSDPDGGSSRGGRGGGRGRGRGRGRGRGGNVAGPTGEKETESARKN KEANKGSRANHNRRDARAKKMARGGFAG FPSE_04222 MVICKFFQQGNCKFGSRCRNEHINPNDQNQSSNRFGALGGGSNN NQSPAEKYNINADTIEKDLTTEVPQWILSAYAPGRDAPEQLFGGFPREQSFEELRLHF MMGKAAGNEQQALNEAQELYANAQQQMQTALRDTRGAVEFLVSAENNHPNRHDVCREG TQGAPFGEFLVGKRPKSTIADPGAQSNAFGANNNNNASPFGGGASTGASAFGQPSALG AKPSAFGSPAFGQPSQPAQGGTAFGQPSQPSAFGQPSQLGQSGSAFGQPAQPSAFGQP SQPASAFGQPSALGAKPSAFGTPAFGQPSQPSAGGSVFGQPSQPNAGGSVFGQPSQPN AQGSAFGQASQLNAGGSAFGQASQLGAKPNPFGAPSGTNNNSSPFGNAANTNAPAANP FGAPSAGTANNKNASPFGANNNQSNAGASPFGKPSQPAQGTSPFGQPSNAPAASNPFG ASNATPNQNTNNPFGQPSQPQANGFAPQNNQPQANNPFGKPAQPAANPFGQPSNTTQS SSNPFASQPPTSAAASGNPYPPNSSRQHPPVESYSSKGADGRLSMFKGKSVMYKDGRP GIREFDGSWRRIWFPDGPPGYSADTELPSEKYDDKTKAQWMAFAQTGTFQGGLMPELP PPREFTLWDF FPSE_04223 MTVDLAHLWVYLGLLVGGGLFYGFSIVVYRVFFHPLAKYPGPFM AKITDAYQLYHAWKGDRQIEFWRMHEKYGPVVRFGPNLVCFNSNKALKDIYGFRSNVR KAEFYNAFVHPTANTHNTSDKEVHARKRRVMSQAFSESAMKEMQRYILGNVRTFCEQI GMLEGSGEDTKGWTKPRKMSDWCNYLAMDILGDLCFGKAFHMLESPTNRFALQLVEAA TTRHLLCGTMPIVNKLNLDKILFPGLAAGRARYMAYSKGQLTERTKLGEETDRRDFFY YLLKARDPETGQGFSTPELWSESNLLIIAGSDTTSTAMAATLFYLVRCPRALKRATEE IRSKFNDVEEICQGATLASCTYLRACIDEAMRMNPSVGGILPREVLSGGMTIEGHVIP EGTVVGVPHYTIHHNESYYPSPYEYVPERWLVGTLNPLTGQNTTEAEVALASSAYCPF SVGPRGCIGKGLAYVEMTNTLARTMYMYDMRKAVGIVDPAEGNPKNEWGRHRPGEMQL VDIFTSAKNGPMVEFRKADHMSS FPSE_04224 MASKYKDKDTGVVLSFNGSLVSWAHTTVAYTAFFSALVIGLYLH YHKIVQNEFYGYPQEWFPSVSATIGDRYPERSFFMIFIAITSGPRFALVGLWYLLTRK PGQKLPTFVAVMGLVRTLTCGGWTYITSTDDHDWHDILMISYIVATLPWTTGCIVLSP ANPQAIKYRKYLASAFFGTLVPLIYFFIQHKVHRVAGAYTTYAFFEWSLIIFDVAFDA VTALDFSTFDIEVRDVRGTRKGENHSSVPSAVLEKEKEKETGGVLAVRFSWPEALDIA AEIYHGYVFWSILTSLGLVVWYFPLWYMGISGYEVSVMTTISPFILANRSARSLVVNN LRVVHLLSLAGIAAYLVEEPTMRLFSVGFGVFMSCLGWAGTLFAESVHEGRLESKILG WMIGLILSSTAKFAWWTNNPIWPIMHAANGGWNNTGLVLSIIAALRFTRRTPLTAGLV TSPAKTSSSFLASLGLAGLFFGLHSLLSDTSTMILWGWEGYPIRGPYFSTHGWLTVLA MSLGVFSGVWQPRVASSWGAYIIGTAGAMFLTFFSHWSGYYGALTLATYLMAYSVPIL VHAAKTSPATTFGNGFLIYNFLVLFHVWVVAYAFVPGGQLVREHTDWVMYTMMTCIGA GVYGINVSSPQRQTPKRSLPTQQRKLFGISTIIINIMFLISAFQRFPANNHQPYHGED RILTAGIWTIHFSFDNDMWASEYRMRDLIKELEIDVIGLLESDNQRIIMGNRDATQFL AEDLGMYVDYGPGPNKHTWGAALLSKFPIMNSTHHLLPSPVGELAPAIHATLDVYGQP VDVFVFHSGQEEDPEDRRLQSLYLAELMGSTPRPAFLLSYLVTKPKEGNYNTYVSDKS GMKDVDPSDWDRWCEYILFKRLKRVGYARVSRSSITDTELQVAKFKIPESKEEIAKLE AQSDKERNRQVQEKDVPEGWRFPAMFRGDGVRQHRYHVFNEPRYFN FPSE_04225 MAGVAEKARFYLERAVPQLREWEDKEIFSKDEIRTIVQKRNDYE HRVLSPGNRPSEWSSYAQWEQSLESLRTKRCKRLKIRHLNSAHAGQGRTLAIYERGVN RHPGSSALWREYLSYISSVKASKRWRKTMTNALRMMPTDPELWAMAGRRSAKNGDMAA ARGFFMRGCRFCTTNEQLWVEYARSEMEWLEKVDKRKAEAKPGQDVLRPDREEEGDEM RLIDSDDEEEDDDLPEPSNIQAKVIDKQSAQQLKSNPAMDGALPIAIFDISKKQSFFG ANTAEKFFNLFSTFTKVPAQPRISQHVLAVLDQEYPNHPATCNVHTRQPIMGVNPQTA EFPKNLREVLVRLNKYLETTTDPAELKKKTVAWIDGYLALDTLDEGIRAVLEHTKKKM EAI FPSE_04226 MSTWMNDAVPNHNGNGFPHMNDPNSVSSMMDPSAFMANNGQFNA AQFQNQQQMGAAMPNGPGSMRNASPSFQNSVYQTNSVIPSKRPRPRDESLAGSPSQNP GMLPTSRSETPQQQSFAGGFQPGAVQQNPGQFSHLQANGSANASPSPNMSNQMRPGSV PQRVATASPHPFSPGGQQFNPQTSPIPSEHGTPQPNHYMQNMAQGFNTNFAASPSNAR PSPNPNAMAGNQMMAQQMGQMPQHMAQMQGNMYPPQMQQSQQQQGTPQQQQGQQPQGQ QTPQRQGMTDQQKMAAYQMRLQQQLQGNAAQMQAQMQAQNMGRGMMPNKQVPGIPNGQ MQQGGMRPQQRMMANVNPEQFMKNLTTLMNSKGLPLDPNPMVMDRPVNLMMLFQAVQN KGGYKQATTTPNGWPHVAQMLGLQAQNPVVPQTLRTIYERNLYKFEEVWMAQQQKQRM MQQQQAQQQQQQQQQQQQQQQHQQQQQPQQQAQPQQPQSQGALMGQGTPQKQMQPGQQ MNQGVMPQPGQQPQLQQQTPMKQMPPGQPPVNGFSTPQSQMQPQPVAMPGQTPRTLSQ SMEGSAVPDFSSAQSPAARRAGSMSQSEGRQASVAPTAVAEKMPRLAPQSDEYSPCAR ELSTFGGVDLNAFSKLGTELERWKPDVPPLHELGNIDIAALTKSLQSGIHGETRLALD VLAAASCSMNQLHFIQLRYCDELIEALIECAEDQVEMLAEHTVEVSDEIQISPYEDVL RACRLERFNIRDLPVFGTQEYELDRAVDRLICVTTILRNLSFPGEANENHSVLADEIV IKFLCVVIRYLGTRTMLLRSQNNTLDFMKDVVILLSNIAGTIEIPGREQALCLLQFLL AFAPAPNPTVSDGTLFFSQYEPSLHAYLPHAVDALAKLLARDEPNRTHYKTVFALDSA SNPPYELLTRAFGLAIAPIPDKAKSQARLPSLPSLVEVRKPFLMQGLLSAEILASLAP GHDSGVAQAWLSSGNDFAQNLFRLIRDLSQLYEQPQNQGPRAAPRKDPELVYIVVVAV ALLRRLAEKARDPNDPTSSIPAKAMPAPHGLLEALSMQSAEWSKEEVLQKLSTFFALG R FPSE_04227 MRFLASRALSIGRQAFSQQPISFTQRATLTTIKTAPARIPTFKK HVSYKPDPQKKPINPNKNKKIPYKARPLEQPMVPLPAKDPKEVGTYLRYIIKRTPSLQ IPVYRKWMSGGNRCIVIIKKVKGDHAKLVKDLSDDLDIKAEHIRLNPVTQHIEIKGDL YAETMKWILNVGF FPSE_04228 MEDVLNAVSADGSSIMPRLAPNLSRHLLFPLIQFESERAEEQGL DAKAKELLSGKIKLLEDTNMTDYVATLYCEFHGVENAPEEYNKKRQEVLSQLENYEQA TAKIADLLTQDEVVNGLRSDKVANLEFLKNQHGVTMEMVNALYDFGQFQFRCGQYGPA ADMLYQFRVLSTDNDKVSAATWGKLASEILQTNWESAVDELKNVRENIDSKLFNNPRA QLDHRTMLLHWSLFPLFNWEGAREPILEMFFSAPYINTIQTSCPWILRYLIAAVITGR GRARNSSIQQKQIKDIIRYVRQEAYEYTDPITQFVNALYIAHDFEAAREALSMAAEVC RSDFFLASSADAFVDAARHLICESYCKIFSRMNIRDLSAKLGLNPDDGEKWIVNLIRE TRLDAKIDSQDGTVIMNHPPNNVYQQVIEKTKGGFFRTQVLNAAVSK FPSE_04229 MATVKSLGLHQPSGLQHAINEQLLPPNPPSTSYTWDISTDDRCD ANLEDELLTTEHCVIWCRGGIFRKTFRFELEKEPVIQALLAYFPASKDDKSTQDDDAR SDQRPALSKALVVFLKTQAHIYFLSGTSHIVHMPFEVESAFAGPVGVIIQRKQKAESV APISLKFPRVPPNSFVSSQLTAFNSSQQTAFSVEGLGKPKALPLRSTLDNCWEAPLEQ PESRWPRLVSLTDPLLELGLVVTNQESQNVKSLRQTAKKKLSFLDAAEEVVHVEEIKI PGALTQDLSQPLIIAVTINRETSEYTVWRLTYLQHEDPFLNRQKDAKSKAARRRSSMP SAFASAPGTPVQPNLRESFGAPLPGKRQRKSERLEKPMIDLVSSLEQQDKEGTGAARR SSRRVSSMLARADLSASHDRAIMPEQPLHPSHVGARRHESQGSRLSANYAHQIHPSLS SLLAAPFYEGLDEGFHNMGLEDHEFDGLQHEILFAKLQSVPMNNSNVRYSHQPARTQT KVFILVAPPFAIDGHDQSQLLIGIQDATERRLQLLTLELGIQRGGNKGTKGTKGGKKN TPDGATVLATVIDRRHAQNVVDSCKVVDGDHSAILILSESMDGRHELSTQAPWSVLTK ISLSLLFVDNTRSLQYRGRALDRDVTQRKSEVIDFSNGSIVGVRHPRQGGIVDVVDAE GRLHELRIQLEPRSPHVRKVLDVCRSILPHALGEQIFAGWLHCMQWLGGYEESTADVE WSAMTILLLSLFLNLTRKDSKPFPKARLPARRRKPAPGSVSSIIESEDWKSLEAGEAS NSLGCPTWMMNRGWEWVLDEDADNTTSSEENLSATFISRHVSLAKEYIVSALGETAFG QAGYMPTSLAKSMESRRKVAVDVFMGLHLLLEEEKLDIMTPEFRSPGRADLRVIMSQI ARWFKWHNFTSTYEAGIQEDIDQRHDSDLHLRPAIPQPPIQPDVIAWVQARLTGDRRI SYVTPADIYYAGSQLSEAEKSQDPRWEPILPRTLMFKEFFRLLKPTTSAVQMVEAMRD AGITNSVLDSLPEAVLVPLRDAISLCQPHPPTSWSKDLLELVDRRDISLILTPGKRPK PSASKILTPTHNATWDYKLLCQSVDESNNVGYDEGEGTERQAVIRSLFKEDRRLNEAQ DLLSTSKARLVRLDPHPSWPESAYLEKQKELVTRIATGTLAIPAGRGLLYYSLRYPLI TQKFHIGGFNLNCIVKPTNTTVGVDKTQFSEEKVCWGFFHQGVAAGLAISPQAQGIDT SWILYNKPDQDLNNRHAGFLLALGLNGHLKDVAKWVAFKYLTPKHTMTSIGLLLGLAA SYMGTMDSLITRLLSVHATRMLPRGAAELNLSPLTQTSGIMGIGLLYANSQHRRMSEI MLSEIEHIDEEDEEEPLRSECYRLAAGFALGFINLGKGNDLKGLHDMKVTEKLISHAT ITKNIEIVHILDRAAAGAVMALALIYMKSEDQIVARKIDIPDSVLQFDYIRPDILLLR TLTKNLIMWSKIEPTFAWIRKNLPRPYRPQFKLQSTTKLQSTDMAFHSIVAGLCFSIA LRFSGSASPKVRDLLLYYLDQFMRIAQIPSTASMHPNGTPPYDEELTRTNARMCQDVV AISASIVMAGTGDIPVLRRLRALHGRDDAETPYGSHLAAHLAIGALFLGCGTATFGTS NLAIASLLVSFYPIFPTNVMDNRSHLQALRHFWVLATEQRCLVTKDVLTGQPISAPVQ IKMRKNTSTEPVLNRTAPCILPPIDQIASLSTACGPQFWDVELDFSNPEVRAAFEDTQ SLYLRRRPPREGAFASTLRALGSDEKGTDPMEWVFGLDSLRGISYAERAVVLERGDDT QHTGSAVDARLEMAKGIAEGTDRERLEGARLLFEWASVRDRLREPNMLDSQETITESH THHENEGLDEQETTVEDEGVWWMRDSVVESLKGMVWLASREGE FPSE_04230 MTDQSLEPSHDQARALETQQPEHEAAPTSSEPVPPTNNDTSDDG AHSSEAESINKEGDVSAKDVTSPGSNDGKANQDGDQDKEGGEEDDNDEEDEEDDDDDE EEDDDDEEEDDDDDEDDDEEPKLKYARLTQHLNGVYRNGDATSAFLVAGDKMIVGTHN GNIHIVQLPMFQSMRVYHAHSASVTSISISPYPPPLPTDTPETVSKHGAHSNASISSS RQTDSSSPAPSRRPREVSQIPRTPSNDIYVATSSLDGNVCVQNLIDMKDVQLRNFARP VQTVALSPEFKTDRTYLSGGLAGQLILTAGGGPGRSTSTTTGTAAATASGWLGSMGLG GNAGKDTILHSGEGTISTIKWSLSGKYVVWLNEHGIKIMRSKLHLESADAEDAWKRVG HIDRPQTDEWETMASVWKGRVEWIDEQAVEVDETDQSATEKSSSPATEKLKGHALASK KGIERLLVGWGGTIWIIHVHPGGVGVGRHAGEKTIGRAEIVKILRMDCIISGISLYTQ NLLLVLAYCLPEDDEDEGAVSVSNSPDKRHKSNSSTGSQPSGGLPRRQNNQPPELRLI DLNSQAEADKDSLSVSRYERLSSGDYHLGVLPARNAASAIASSRGALEAIAGIGTDMW NAAINPRSLFSSGASIRSRGSGDDSSIRGSTAGTIRPGTSRGLSPTVHSGLIKPGVKI FIHSPYDCILATRRDLSDHLGWLLERQQYQRAWELLDEHPEIMAPAGERANDNTPPTP TLNQEASDEFNDDESVIDSQVRDFYSSAEKEKRRIGELWIQELIEENDWVSAGKICGQ VLKTPDRWEKWVWTFAGAKRFDAITNYIPTKPMHPPLPSTIYEVVLGYYIQHDKPRFR ELLDRWSPELFDVKTITTALENQLNYRDVREDSIDDGERGRDWKIVMESLARLHEASG RYREALKCYIKLHDADSAFRLIRDNHLAEAVEDDIPSFIGLRVPPGKLDHMTAEELEL ATSEAIILLVDEAQHGLLRPDVVVEQLLAQKLNLYIYFYFRGLWRGEGIQEHGGENVD RLVMDSQSLVDSFSDLAVHLFATFDRSLLMEYLKTSVSYTFEKAVQECENFSYYDELV FLYSKTGQMKRALYLIIDRLKNVHKAIEFAKEQDDPDLWEDLLKYSMDKPSFIRGLLE QVGTAINPITVVKRIPEGLEIEGLREGLTHMMKEHEIQYSISSGVARVLRSEVATAQT ELRAGQRKGIKFEVVQQDPEHVDIEVKDVPTDPENPERNASHPSTKHDHRVPKPGHCA RCHEPFTEYEMETLVGYACGHVFHVSHLLEMLHGNKKMDIDLGNGSEEGSRYSVHMKV MRARLLKDKMRGGCPLRRLCTDGV FPSE_04231 MAVYKLKALASILALCATLAAATGTQGESVPSAHTETETPYTHE YGAAISDGTVIHSMTVCSIVHETKCVDITSAEGESMQPPPATTDVPSGPPGEQPSVPA GGEQTTYHGQGVSSGTEDVPASSVPIASAIVSSVAPGASETNYAPPAGVSDSAASAEH GSVPGANPSVVNPSVVNPSGAIPSEGGEVVPLPSLISTTDVSGNPTILTTLYSEPSAA VGDTATATVVTDSETVYDTAVSGTATGTDAEETDGVTPTGTPTVTASAAAKHLIPGAI LGLVGIVFVAIF FPSE_04232 MSDRAQARNRPSWSSAEGASIQKALSHQSTVEDCEENASQSPSE GGTQRLTPTSSSSKTDHTPSNVQRTVTQLTSSDNAATSKQTGNRSKNMRETRNPRSKG AHRPSSMSFSGPDPNAVAHDAFQSSPEAGYFKSPLNGRQAQAAGYAPSAVAVANYPQS PTSPLAYPVWGPAHTPAGYPPQEPFTPQQPGLPHIDHHYPSRLAFSNQRTLALEPAYH SQNQSYDPAYSETQEDMAAQNDDLPIEALDGYAEIAARLSGQCDPRLRPLYRKFDWLN HRALLYLQDQLGAYEEELIRLDSITTRHGGHMAVSGREERASNHDIHRSRNRLMDKID NVLRRYRHLVVSLEEMQKLPAPTSNDVQAYRNYLDNRQVLVEEETRFLRAPDLVALSQ GPRTNAPGSDQSAPAPLVPLRTLISAFSMSGLCLAVLLMVLPDIMTRLVMVLCYLVLI ATVLSTTGYLRRLKQLFEG FPSE_04233 MSRIPTSILSKYLLKGQIPRQGCIGTRHISRHLALNSTAASIAH TTRHLHTSPEAITPPPVQPTPLRKQLKDEAKKAKKQGKKKTKGDSQTVDGWELTVGIE IHAQLNTAHKLFSPAITSFNDEPNSHVALFDVAMPGSQPLFQKETLIPALRAALSLNC EVQEVSRFDRKHYFWWDQPSGYQITQYYEPFAKNGHITLLARDGISPQDGESVTIGIK QVQLEQDTAKTLAQTDKVQWLDFNRVGVPLIEIITEPELHHPRTAAVLVRKIQMLLNT VDACVSGMETGGLRADVNVSVRRTDGSNPSLGTRTEIKNLSTIKAVEDAIISERDRQI RELEAGRSIPSETRGWSIGSTETRRLRGKEGEVDYRYMPDPDIAPLVIGNDLVGHLRQ SLAVTPDSELDTLIAQFGLTAKDALSLINLENGSRVQYYYKVLYSIQEKLAEDMDEAE MPEFKSYSAVVGNWIIHELGRLTTYKAGPLAARDLAFTPEGDCEQVPDSDLSQLLYHL IRKQITGKVAKELLFAIYLKEIEGGVTQAIEDNDLWFKEISMEEYEQLADEAMEGEDK ILQEFANSEQFPQGKLMFLVGKMMRLGPTERIVPANAERVMRAKVETLRRT FPSE_04234 MASSWVSYGDRVRSPTPQPAVAEVKSFTRAWQKPRVYTVTGGRV AELQGSKPSASLDGGGDDGISPISSMSRAESSRVGRRVTGFLLEKGLA FPSE_04235 MPLHKTAVLFSSCHAFPAVSSLPPLRSITSSRGVRTSYAPAATC STERHRRYATVNSDQRGSFSHNEVPQWPKHSYPSPYEIFSMKSDAPYTKHRFYQLVKV YHPDRHSHTSDIDNIPHAIRLERYRLIVAANDLLSDPSKRQLYDVHGLGWTGGKPQTL NETVRSADRAWRHKAGSAANNATWEDWERWYDARDGRVRDTGHMSNGLFATIVVVMCM IGAFAQMSRAEQYGADLMEMKAQSNLAIGQQVARRNTIAAGRSKDERVDMFLKDRENL NYAFQPGKYDNEVLASHAHGHGHNGRSE FPSE_04236 MSSSTPPSHGATTPTQYTDKEDGGHYHCNHPHHYYEERNKNVQK PHQSMVSQVYNPSFFKVANPGPLGLISFALTTFVLGLYQCGAGLPGSNPFGGIGPDQA IFGLAVFFGGIAQFFAGLMEFRVGNTFGTTVHCSYGAFWLAFAMFFVPDLAIKDAYKG DDRAYSFALGIFLILWCFLTLIFFIAALKTNIAILVVLGFLFLAFLFLSMAQFLQTSH PIAAIRINKTGGAFSVICAAAAFYAGAAGIMTEDTTWVRFPLGEIDVKPRKANIA FPSE_04237 MSFLTKNVIRRAALAPRVIAFQAPRTFTTSFALQRTATDAVKDT AQKVDRVVSDKIVDGIEAGQEAAATVTKKTQELKGDAAAKAQEVKGEAAGKTEELKGE AKGAAEQAKGKAKGAAEEAKHKL FPSE_04238 MASTPVVQSLSTTTSAAWSSLPSTAASDTPLTVLVRRSIDGTSD FFPFLDIAGLGSGSDDVDSGLTFKELFATNLDGGQEIFLFSSGCQIDGVADCTRACNS SNLFFSSLETFYNCAALAAASHWTQESGPYYVSEETERNASAVMGSGTLAEFDGRPVL KSLIACAQDSCENDGLSKPCNRSIDRLSYGESTPEEIFEALDEFCPELPAEVNPDIFG PGVLISYVLQVCFAATLYLLLKGFTLWVTITQDTSRRKSEPKPYRLKRSLTRIESMIW RDSGGLSRTSIAIATTLVEFQEAQCWFVFAVQIASILAIVVNSQEGTFWGEIVVNGAI AYHVSQNGILPMFLIQICLHHEGIRNWHTFLGFFMEYLLAIVATSQKIYFKDAFALFK GQNEIKACGFNPSPRTYCAATQGIDGQGFSFFPHPLLYKMTFLILDTIAIVALVADQL AWTLRKHHLTKHVQFGSYRLGRWPRWGKQRRGLFIKIWFWRILEVAYLVINILYMISL TKVINAESFAANRWSYGQIIAVTVWGPVIVKLFDLLLSGPPKNGMRLNSGPPRLRIDN VINGRVGTSADECVIDDDNETDDKCDGPLSRPGRQEFKIRGSDTLQLGSPSRELQQEE IIEGERSVATSDDMWSRGSVKKGS FPSE_04239 MRPRLSQPVITLRVLRRCYSSATTEPLIRVTNLPAPNTGHIRIL ELNRPSARNAISKALLANLRAEIDELHSQYGPNGEELPLPQRFGGAAGVDEKGPTRAV VLASAVDTSFCAGADLKERKGFTPGETAEFLTNLRSTFTSLSNLPIPTISAISSVALG GGLELALSTHFRVLSSNATVGLPETRLGIIPGAGGTHRLPALIGLSRARDLILTGRRV GAPEAYFLGIADRLVEVVPEDERDGSAILSEARKAALSEAVRLAQEICEGGPIGVRAG LQAVAWAREEVENKMYERVVNSEDRNEALKAFGEKRKPIFTGR FPSE_04240 MEVKDDPDEDSFLDDIPDIFSVPDSDSDAPKEWVSDEETISVSP SGAKRRIMMIRPKPKFKQRRHSFDDLATINGLAKTFRDTTNSIMDNIAKGEDNEYNVL TLFTDIINFTSRYSVQYGGDKRITTLVGLANDILKTTNIEENGVYKTPTKLIRMARQN LNSLTGFVHKTGEDKNPPAVHIPQQQSPHDRTSLQYFLNKHMSDVKHQASENENTTLK RGKLRGMVDYVREANQKS FPSE_04241 MAAQDNDTYGTLPGTELPNISQNWTHFKGRIHDKSLEGCHPSRW IFELLNNKFTTCDIFQRAQQAELDVPYPWQDHAHRLIINGNQSYFTSETRILSSVCID CHFHFVFKLSWQKDHIDELCNPRQSQWPPRDGRFPWHHLAWAGSETAMDFRPERHKYN PLVARENFACSAAPCTFQVTLDISKPRMPWWWISLLLDADTIREELRIAKENDPERYM AATDDWAMQAPLNLNTYLKNLIEATSQEGARSISKRNKRFAVLFGPRCFKIFRELEFE ETVDIREDDVDEGAFKPVVPPPPDAPSGSTRIGTYRGYIEDVRAEVQCLIHKRGEPAE LCTPSLHAYLGCSEVPDVSSNVFVLIARYKLMGVLPNQPKEIVDNAYRRQWDLLPPHR RRDLIDALGQIANDLNDEDFSHYAIMQSSVYESQAPAQTNDEDTELTSQALLFFGLQP PNNHDPESIVLAFRRKVSQDPSDAASARNMLMLISNAANDEAYKNLLMAEFVEGFSLT TAKEILGLSDASFDSDTLDSIKNKIQNAKDKDAKTTYLDAMERIAEYTNSTDLKGIVA ELRQQNDISASTGVVTSSSSDQAADYDLPVGLENIGNTCYLNSLLQYLFTVKPVRDIA VNYNEFKLELTDDMIEGRLLGGNKMRMKREEAVVAQAFAQELSELFNKLETSNTRATK PSQRLANAVLLDTDTLVKAANTSAETGAANQPPPLPTRPPPGPPAKDLDDVEMANVTV KNDPDPADAASTASSQTLVEDSDRSYDKVEALPTTNDDQITDTVMEVGQDDDDVVEIS RPNHQAGGVIEVPEKENNGASPDTNMIDVEDPQTIDQKVLTALEHQERSSGTDQQDVE EVMGNIISRLQAAIRPSFINDVTGIQMEPIMETFFVTTINYTKKFDESVYQKEISFDR FITAFPSPQGGTCSLYDALGRNFDQQAIEGSQQSRYTAIKTLPPVLHVLIQRSQSVNG KNDNPVEIPETLYLDRYMDAPHDSTTFRDREESWATANRISEIAASLAAAGSTGPTGP FLESFLQEGKADDSNTTTTDDATMADGEETGEESWDFDGPVDDDFLVVNRPSKPAASA VEQPEMPQSIKTTESAIRERMESELRETTEDLGKYYAGLKNNPYRLHAVICHRGSLRS GHYWVWIYDFAQNVWRKYNDSNVEVKASTSEVLKTLSSSGEPYFLCYVRDEDKEAYVD VPRRQRPRPLPSSDDELQPKDADGDTEVINSD FPSE_04242 MSSWETAALRLHSNVAADSTPSSSHKSLPPLPKQKTKIGTVADV KEVPGEEESTKIKGWKPLSLSTPILLAVIALTILLAIAVETLAQRSASQGGLALSPSL KDMPEYARISYLYAPTIIAVLYSMIWSWIDLDVKRMQPWFELSKRDGVTAENSLFLDY QYDFVGLVPFKAAKQRHWPVFFGGTAMVMVFWLLTPLQSALLGTEVVTRVEPGTIGIR SQLLPVEDHMLRLDPEFLNHGYATGWLGQPFPPFMTSKYALLPFYIDSDETIPGTETN WTAETTKLSTELNCWSADIYNQREQPETTGREFLDGKGCNVTLSMLTIMDFSMYYVGY YSNPYADYCLGSPSCRTKDAPHQFLAVWVQGDRVPGKTLPNYNITGLFCQPTYYKQRV LAKVKSSTYEPDTDTIEAISDREILTDKEFNSTTFEYLLANGVTENIPVRDYPFTTVV EQHPRLNGTGLALPVSNMVGYALAGRDVPTTDYSSPDFLAKVYNEAHQYLFSLAVNQL LVNETKMANNTATIDFELTGVVVSRVFATCVESLLAVVALFTACILWLCRAAPSNLPM NPSSITRYIDIFRNSPELLESFSSMDNATEEALFEDFRQDNVRLLLDSKSNSTQVFLD KFIQRSSDSQSRIHGSPKGYYDPIRPLALRQWSGLLFVLALVGAMVGLSYLKEQEKAL NGLPRPSQNFEVLQILENYIPTAFATLVEPFWVLLNRLLCVLQPFRDLWKGKAKASST VDATYTSIPPQLVLWRAIKSKHMILALVCAMALLANLLAVGLGSLFNEAPMIAGYAEN LVPAYAPKFDNDSVNTFSMFLSSNLITTHLYQDQYYVAMANMSSGTPLPPWVSKDYYF HRHKIDPSRNKHTGDVYNLQARGYGSRGNCTGWPTRRLPLANGEDFDKNGAFVDVPED HCPDLVDYASRRLRDSSTDHSVGLSAIELSGTIGSRSGPQPCGRSLILGWGRMPTAED VNSTLDFSFMKCRPVFETAMFNLTVDMEGHVISYNRTSELEATLDNPDSEAQSDIIFQ VTNQYWNNPRLQFHNDTTIRDWMSYLIMASTNGSRAIVDPKSPVPDPKNLLPYVEDIY RRVFAIMLSLNEQLFDHSQEEKPTAVTRKTQEIRIFMEDASFIITMTILAINTCVALL FYSRAVPSVLPRMPTTIGSVLAYVASSRLVSPAFNLTPGNSTRTFSFGRYIGRDKDVH IGIEMDPHVVPVDPQSLKPKENSIGRLFFRKKKSKERRVSDGTWL FPSE_04243 MFAKAFTIATLASYASAHMIMANPKPYGNPSNSPLEADGSNFPC KGAVNDGASTNSYKAGSTQQLSFIGSAVHGGGSCQVSLTTDKNPTKDSVWKVIHSIEG GCPTRSQAGNYPENPNFEDPDKYDFKIPEELAAGDYVLAWTWFNHVGNREMYMNCAAV TVQGGGGSKGYLDTLPDMFVANVGNKCETQPNTDLAFPNPGSSVSKLKSKLDPPKGDG CQKPGSGSGSGGGDAEPSAPAAAPTTNPGAQPSQPATTPVPTPDAGSGDGSSGAPEIP GGAFIIVSQPAAAQPSATQAPEAPAKPETPNNGSDEGSGSGEDKNGGGNSGGDAGSGS GGFAAGTACTSEGEWNCIGGSSFQRCASGTWTPSQGLSSGVTCTPGQAADISMTVKRG ERQMRRSQRHHD FPSE_04244 MAPEVFFTVCYGHNNPPQVIKDMHTFTPAILEGYCRHRVRHADY PGVVAEKGHSVRGVYATGLTDANMSKLDDFEGPEYDKEKVKVKLLNKDGTVNEKGETK ETTVYVFNSLKHLEKREWDFEEFRKTKMKNWTRGGLAFDECKLTYAMLMCVPNTDDAD NVEV FPSE_04245 MSGTTIDEVVTRLSNGDIDVRLKLEAATTLRDSLDHYTSGQIYP PFLKRLMPVFMGILKGPCTFQSNSPEQKLRMVILEVLHRLPTQPAPPEPFEPYAEETV DLLMQLVRTDNEENATICVKITSDIMRHQHKALQGKVQPFLTLIQELFEQMEKVVREQ LDNAPHNAPSQPGAPSTPGNSQNFQSPRPGSPVASTTELGADPQQQNRPLLKGMQSFK VLSECPIIVVSIFQVYRNTVQQNVRKFVPLIQNVLCLQASAQQQAHADAAAKGTIHTG VSPAIKNRVAFADFITAQVKTMSFLAYLLRQYASHLNDFLPRLPDIVLRLLKDCPREK SGTRKELIVAIRHIINYNFRKIFIPKIDELLDERTLTGDGLTVHETMRPLAYSMLADL IHHVRDSLKPEQIRKTVEVYTRNLQDNFPGTSFQTMSAKLLLNMAECIAKLPNKVDAR HYLIMILNAIADKFAAMNRQYPNAVKLSALYREQMKAGTRETYLADQDGPPDWDETDI FSAVPIKTSNPRDRGADPVVDNKFLFRNLMTGLKNTFYQLRTCNVGSPIDAQNAPTHW QDVSYGFTAEEVKVIIKLFREGAYVFRYYEIEKPAAESQYMSPVEYMANFYMVSCSKE EKDLLETFATVFHAIDPATFHEVFQQEIPRLYDMIFEHTALLHIPQFFLASEATSPSF CGMLLQFLMERIDQVGSADVKKSSILLRLFKLAFMAVTLFANQNEQVLLPHVVNIVTK SIELSTKAEEPMNYFLLLRSLFRSIGGGKFEQLYKQILPLLEMLLDVLNNLLMAARKP SERDLYVELCLTVPARLSHLLPHLSFLMRPLVVALRAGTDLVGQGLRTLELCVDNLTA DYLDPIMAPVIDELMTALFDHLKPHPYSHFHAHTTMRILGKLGGRNRKFMTGAVPLAY KEYADDPAGFDLRLLGSKKDRAFPADMGIDFAIQKLTEFPKATKNNHNKQYDGYYKKQ ALHLIKSQLKLRIGFDQLPEDLPRLVRLQAEDLVARRYEINSTSFEVSDRERSIPKKE CEDLVVKRLLKAIMFAHSFPEFKDEASAFLLNVCKHFAIIEIGRALVDLKRNFSPFDP NAGEGPLHIDTRNLSDAIVESLASDHPDVREAGKSAIREMYDSTAIIFGSENDVGKLP FFSHLSSTFCHSCYEEEWFTKTGGSLGINYLLTELDFGDTWITSKQTEFIRALIYVVK DMPQDLPEKTRCLAQTSLEVLLKRITKDITKEDALPITQPPGQPQGAQPKQPRLSQIC QQFGNDLYHMNKHVRETAKHSLELIAKAANCEVWELLESCKDKILQPIFAKPLRALPF SIQIGYINAMTYHMSLKNDWVPFDENLNRLLMESLALADAGDDSLANKPAEFRTHEHI VNLRVSCIKLLTTAMTFEEFSNQPTKTKILGVFFKCLYSESKPTITAANDALKSVLSV DRRLPKELLQGGLRPVLQSLSDPKRLSTAGLDNLSRLLKLLTSYFKVEIGARLLEQID SIVEPSALQQISFSFFDQHAQMKIITAILNIFHLLPAPAEAFKERLIDCFLGLEEKLR RTQLSPFRLPIYRYMNRYPKEIWAYLFGKVEDLKYGRLLAQVLGHPDSQALREVAVDN VDGLITRCNELISQNNEAKFIAMVNTIHIFESLSHFPNAEKCMDKKEHLDWLKNIGKE LEKHLRQHTLPAHLRLPAYQAAEQLMTILVKSLERAPKDLDPLFNLIECVTSDELRIT QELFSFIYRRIICNDAIDFWKMTVLRCLDTYSGKSASNKMKHFLLHYIVNPIVAMDVM RNWNQLDQNKTPRLMDRAVIDAVSSKIWKVHPEMTMDDQAQPGIDHTRYEVLQLSAML VKYYHTPLQDARKDIIKFGWTFIRLEDVINKHAAYVVIGYFIAHYETPPKIVTQVYLS LLKANQNEGRALVTQALELIAPMLPKRCGTGQNSQYAPWAVAPRRILADEGQNAQQMT SIFHFLVRHPDLFYVARDKYITLMISSLRKLATPQNASHESKRLCLNMMWLIWLWEER RVEGKSQTSDTRALSQSPNTKKRKLEAEQAIAPSQPQRVEYEIPPLFRTKMIKYLVEF IAQLNERYELPSAKPRDQVSSSIPPVPLALTDLCKKAMKLLYNLVQPQYWGDLELDLF PNVTHVILASERTQTILTADPSDKEKFDEKFLTNIINTLQVVRIVLNAKDDEWIQKNM PSIQKVLEKCLKSENPEIQDCLHLSDKKFDDDRELRSIVKRILDSVPDDTPMEDADAD GETETQTSEIVVYLSQLATEAMNSSNYTSGVSILWSLGQRKPNVIDQHIASLMKALQS KHAKEHVQHYNAVASQAAGVNNRNQDPNTPSGEMSAYDLEIQTKIMIKEIQVVALRME VLGDSRRPFLSVLATLVEKSMSIELCEEILNMVEGWVFRSEGTWPTLKEKTAVLHKML SFEHRQDPTMLSKFLDLVIRIYEDPKITRTELTVRMEHAFLIGTRATDVDMRNRFMAI FDKSLSKSASARLSYVLTSQNWDTLSDSYWLAQASHLLLGAVETNANIQLYQSDFKTL PISRLLTTFTKEMEAREPASIIDDKFESFMASHRRFMVELGDIKVRDVVEPLAQLQHV DSQLAHNLWVTLFPIYWSSVAKDDRVDLERGIVALLTKDYHSRQIDKRPNVIQSILDG AAKTWPECKIPPHVLKYEAKTYDAWYTALIQLENAAIKPQVESAAVRESNLDALVELY ASLQEDDLFYGTWRRRCQFVETNAALSYEQNGMWDKAQKLYENAQIKARTGVIPFSQA EYMLWEDHWVLCAQKLQQWEILQDFAKHENFQDLLLECAWRNTDMWQDEQHREALDNI IKGVMDAPTPRRAFFQSFMSLLKFHNSQEPTTDFARVCDEAIQLSIRKWHQLPERLTN AHVPLLQNFQQLVELHDASVICQSLASTNQSNLDIKSGELKLLLGAWRDRLPNVWDDI TAWQDLVTWRQHIFSLINQTYLQLLPQQGAQNAGGASSFAYRGYHETAWIINRFAHVA RKHNLPEVCINQLSRIYTLPNIEIQEAFLKLREQAKCHYENPEELSSGLDVINNTNLN YFSPPQKAEFYTLKGMFLEKLKQKDEADSAYGTALYFDIGAAKAWAEWGYFNDRKFKE DPTDLNAARQALTSYLQAAGSYKNAKSRKLLARILWLLSLDDSKGTIALGFDDFKGET PVWYWITFIQQLITGLGHKEAPRVFQLLLKIAKSYPQALYFQLRTNREDLLVIKKNQE ARDRNARQRAQSTVSNGKASASPSMTKQEPPRPVKAEGDAGSNAAGTPAPANGQAPDP SKVAPKPTVQPGQPVQAQKKPPWEYTEEVMSVLKTAFPLLALSMETMVDQIQKNFKCP PDEDAYRLIVALLNDALAYVSRTPPSFAKNVKLPAATETNITRFAETILPSHIKKSFE ADFVTVKPTMYEYIYKLRRWRNKFEEKLDHRVPRASLENFSPLLSEFRYGKFDEVEVP GQYLQHKDKNQDFIRIDRFLPNIDLVRSISASYRRIKMRGHDGSIHTWAIQHPAARHC RREERILQLFRSLNQTLGRKKESRRRDLQFTIPIMVPFAPHIRLVQEDTSYTTLQGVY EDHCRNMGMSKDDPVLFTMEKLRGALESKSSNKPEQAATARLEVFNAIQEKWVPSTVA IEYFQKVFPQFAEFWLFRRQFSYQLAALTFITYIMYMHNRYPQKINISRATGKVWGSE MMSYMSANKPFFHNPEPVPFRLTPNLQTLMGPLATEGIFACSLMAIARCLTEPEYELE HALTLLVRDEMLFWFTGSHRNGVITESQLRDSVQVNSDSIVKRAISLAHSPVGNLPAN QTVIDAIAKAVNPMNLAQCDALWMPYL FPSE_04246 MDLTKVPRKVWEHPDPKSTAMWAFMQDANRLHGLNLKTFNDLYD WSCSKRSDFYAQLWASQKWIHEGSYSYVVDESIPITKLPTWFPGIRLNFAENILWTQG NAPGERSTLHKEDDKIAITEVREGNSSVKNVTWGELRQRVARTASALKQRGMKKGDRV VIVGAHAVETCVVFLATTWLGGLFSSSSTDMGVGGLLQRTVQIDPKFVFFDDGALYNG KVIDLRDKIKGVVEGMKECPSFKGVIVVQRFDTAQDTSSIPNTQRLEAFLSSASSTQP PIERVGFQDPMVVYYSSGTTGTPKAIVHGVGPILVSIAKEGVLHRELSHKDVTLQYTT TGWIMYLSSVARLAFGGRTVFYDGSPFVPDRSVLLRIVEEQKVTSLGVSPRWLGELMK EGIVPQKEANLNSLTAVLSTGMVLKEQVFEWFYDGAFPNHIKLANFSGGTDIAACFVM ENPLCPIYAGGCPGRVIGTPMAIYPSSADPTKPISPVPDGEPGDLVGTAAFPNVPLCL WNDTSPAPGEKYTSAYFARFPGVWSQGDFAAVHPKTGHIHILGRSDGVLNPSGIRFGS ADIYAVIEGGFATEVAESLCVGQRRPQDHDESVVLFLLMKPGIKFTAELANTIRDRIA KELTKRHVPKYIFEVPDIPVTVNGKKVELPVKQIISGSNIKPSGTLLNPESLDYYYQF QKIEDVEQVRAKL FPSE_04247 MSRFYPHAPYAEDQPLARTILTTHVIVRAITLNTIIATGITTTR QVIPFFRPKSPDALTFFPRLIRSASTGTVAALGVGTLMTYGRMRGREEIEWQDRSWRL LENPGQVETDDWTAVGAGAGALMGANAVKGLGLGWRGAVGGLGLGTVGGMLGYMAWRY GVNGGKFPKNEDAL FPSE_04248 MESFLREWRQDALNKAQYESAIFIGDKLLALTNDDQDAFWLAQV HFATGNYTRAQAFLSSQNLISRNPSCRYLAGHCLIKQSRFDEALSVLGDRNPTHLITN GASNKRKTQPRQTRRRDPVAEEEASNRRYEAAMCFLRGICYAKQNSFDRAKECYKDAV RIDVQCFEAFQQLMNNNLLSPDEEWQFLESLDFDAIHVSGDASASQQAAEFTKMLYTT RLSKYRNPAAFETAYDSLSTHYHLASNPDLQLARADLLYTQCRYRDALNITNGILQED KYNFSVYPVHLACLFELKEKNLLFLIAHDLADSHPEEPCSWLAVGIYYFSIGKIPEAR RYFSKASMMDAHFGPAWIGFAHTFAAEGEHDQAISAYSTAARLFMGTHLPQVFLGMQN HALNNMTLAEEFLKTAYGLCKTDPLLLNEMGVVKYHQDKPKEAAQYFTAALKIADDMD SDPSAWLAARTNLGHAFRRLRHFNRALAEFDEVLRQGGKDAAIFSAKGLILMEQNKPE EAVTVLHEALAVNPQDSIATELLNKALEETILQDGAAESEAEDLIEFERMLGQQKHDA AVKVNGGRRTVGRMEKGKGRVRRSRRMTVLEDENDSEEKEDSMMEMTDDD FPSE_04249 MASSQPTRWAALARDTNETKIQLALNLDGGDFPPDTDSRLLDND DSHASQASKSQKIAVNTGIGFLDHMLHALAKHAGWSLALNCKGDLHIDDHHTAEDVCI ALGYAFAQALGTPAGLARFGYAYAPLDEALSRAVIDLSNRPYAVVDLGLKREWLGQLS TEMVPHCLQSFAQGARVTLHVHCLHGDNDHHRAESAFKALAIAVKAATTRIPGKEGEV PSTKGTLSA FPSE_04250 MMKKFTRAYSSAATTLGSNPIPPSKIQYIPTSGKYPKGFNASGV LAGVKPGNTSKPDIAIVTSDRPCAAAGVFTKNKFQAAPVTYSRKLLQQKNNAGLRSVV VNSGNANAVTGTGGLEDATSMAHTTDQKVGDENSTIVMSTGVIGQRLPIQKILDKIPT AVSKAGSSHTHWLECAKAICTTDTFPKLMSRSFELPSSPGVEYRIAGMTKGAGMIAPN MATLLTILATDAPISPAVMPNVLRHAVDRSFNSITIDGDTSTNDTVALLANGAAGGKE VTSEQSPDYEAFQKLLTEFSVDLAKYVVRDGEGATKFVTIRVVDSASEEAARQVGRTI ATSPLVKTALYGKDANWGRVLCATGYALISAPGQPVNDVPEITPERTNVSFIPTDGSA ELKLLVDGEPEQVDETRAAEILAMEDLEIVVRLGTGDKSAVHYTCDFSHDYVTINGDY RT FPSE_04251 MSIEAEGSAPPPQAPEKQEVKTEKKDQSKPQQPPKDGQQQQAAP AGEKKLSGAELKKRAKEEKAARRAQAKVAQVPQGPAQGDKQAGGDGKGGKAKPKQDGQ NQQHGGAKLPIRPAGATVVKDDKPSIPDCFSHLSMARRIDMTHADKDVHPAVLVLGEH MSAFAISDSITRLEATLYAFKKVIDSYTTPPGSTFSRHFTSHVLNPQIEYLTACRPMC FSMGNAIRWLKLQISKIDIDLPDSDAKKLLSESIDNYIRERITLADYVIVETAANMID EDDVVLTYAHHHLVERTLLQARQLQKKNFRVILVDDPYERVGIDLAKKLSAAGIHVAY SSDLSALRTHLSEATQVLLAAEAIFSNGAMYARAGSCDIATVATDLGVRVVALCETIN FTERVSIDSLTYNEIDPERSTDVGFRLLFDTTRDKYITVVVTELGNSSATSVPAILRK LEEL FPSE_04252 MHRIAFWSLFGLGARFWQMGIEMRPFFSKSSLWVYPVYAAGGAS FGYWLQGVDDRQTDTLRERKNILLEKRARKAEKDAQAEA FPSE_04253 MDYSKLRAAALRDGEDEEAVTVDTRALIDKVLARYSGEWTTLRE LIQNAADAQAKTVSVKWETLPSTQVPLPSTTNRSEILKHTLSNHTLRRLVVQNDGQPF TKTDWGRLKRIAEGNPDETKIGAFGVGFYSVFADCEEPFVSSGDQAMAFYWKGNALFT KKSQIPADQATHHTTFVLDYRNNTTPLPNLLSVSQFLATSLTFVALEHIEFWIDDYRV LSLKKKSSPSVELPLPRDLEARTKDGLMKVTSVDRTSTQIDASYMAAIGWKPQAVSSS TKTDSYGAPEAPSLRSFFARLTSTASQAGIRTKAQTEENAAQVAIAEDVTKLSTSTIF LRATSASIRTSVATNFASELERATKKPPPKTTKIAILTSSYDEAQASQEAASPGALGK ATDVFASVLPNKKPGGRIFIGFPTMQTTGAGMHVSAPSIIPTVEREAIDLNARWVRTW NLEILRAAGIITRLAFANEMSDLSSRIRQVMAKEPKFSPTVIAKYMPEALHILKTFTF GDSTPSGQVGQIIEEAFWMCFKKASIETYSTRGVLQTADVRIASDEMSKFVDSIPVVP EEMKGVPFVKKLIDFGLISHITVTDVKKELETKAMNKVQLMNFISWAGKKSLSGELDP GSRSALLEVAVGTMSDDGEQGEIIALGSITNHLITNRIPASLPIPPTTIPHALTANSQ PAELRALGWEPLEVVPWLRFLFDTNSSRSEEQNLTRSPKFAIQVLTILSKNFENSSPG ARVTIVSLLQANTVVPTKQGMKKPTESFFPIVKLFDDLPIIQGCDKIKEKFLVTIGVR KTVDLETIFTRLLNASSEGQQKWSHVELIKYLASVREDIPGEDLSKLKQTRFCPAEAG PKGMESTKATETLYKVSELFEPKDAIRGLRLPVLQWPGPPGSYRPGSQEARFLNSLGL RPYPSVPELVELMSGKDEALRVSSMTYFLANHQINGYGSFQLGGSPKAMMPLQGSTKL VPPSQCFYNPRASILGFNILRRDLHDHAVKFGVARDPPMADCVNRFLASPPQDHQNAV ALFGYFASRIADLGENYLFKLRDAPIVPITRTSQSTEKPKRTQVHVSPSRVYLGSSTT YGDIFDFVDFGPEANAFLFQCGAKSEPTKLEVAHMACTEPARLLGVLQSSEKYLDLLK SLAESSSTLHRDKDLWRRMKSAPFLLAYKELAPPKKQSDDLEEEDEPIRQYQLASASQ IVILDDIISYRLFKDQLICAPEEDALETFYLSLGAQRLSSIVREEIRIGPHSDRQKLA LSLRKHVVERSKIFLFEYANYRRDAIKHDAKWLEKNLTVDVVHSVALRRTLQGQYQTH TEKRSAASKKTNNGWIMYVADEAKPDMYQIGQAICQMLLSRPNQQAYLFFEPFLTLDL YGLRNRGYNVDRILRAKAAEARIAEEERRKALEEEQRQIKEKEQQWGAEAKAAEAARE ATRVPEPLGPTMPGSWESPEQPKPTQEQQNRKSRGLFSNLTRRLGFDTEQDEDDSRKE LNKFLDKPKEIEAPGSGSSNSGKRPQDEGRVTSPAVVQQNLLNAVKSTRAYGSDSVFS EPQVNEVKEQATYCDKTPAQNITFIAETSNSMKVFVSRDIKDSSAFLSKHLTNLNVFS SLLIDVGNVYSMSPKVLHIFYDEAGGTIAFNTGGSIFCNFRFFLQLHAAQIEGRSGQA KAEAATWWWVVLAHELAHNLVSPHNSDHSYYTESFIQQYFSKIVAKAAQWTANPTPRS QTPTIAPPPSGAPPPYSGGLDRSLLD FPSE_04254 MSKGRVCLAYSGGLDTSTILKWLILEGYEVVCFLADVGQVEDFG AVEKKALALSAEHMIIENLQKEFVEQIVFRAIQCNAIYEDRYLLGTALARPVIARAQV RVAEKYNCNLLSHGCTERVWHGNLKKRIMRLTYSFSQVRFELAFKACNPSIKVITPWR LPEFCEKFQGRQDLLKFAAENNIPVSSTPKAPWSQDENLVHCSYEAGILEDPDHTPPK DLWTQTVDPLEAPDKPFDFTVHFEKGLPVKVATPDQEATDSVELFKLLNKIGHDHGVG RIDIVENRWIGLKSRGCYDTPGLTIARLAHVDLEGLVLDSKVRKLRDQFVTVEWSQCL YNGMYFSPEREWLDEAIVSAQKGVNGQVRLRVYKGNVYVLGRSSETSSLYSQEDASMD SLDTFSPMDSTGFIAIQSIRLEKYGAQKAKDGQPLSQS FPSE_04255 MDLLSSIRKSGSRGGVNFSWDEVANSSHRENYLGHSLKAPVGRW QKGRDLGWYAKAEDDSGEPDENGETEEDRREKARKEELRKIKEAEEDAIAAALGLPPP VRDSSGANAVEIDPSKRKVGPASGPPEEEAGNEKRERSRRQDGSERKERRRHRSRSHD RDHDRERRHQTKIGPGRDAEIGMEIGKGNTATDAIAMAIEGKIEKEVTDDTEMEAGSV AARDRETDAGAAVVQGLIAETEEPDSSLQQRINRTYDTHRNTRGVSTSAMCYEIDFEI NN FPSE_04256 MSNPNDPPLDEIQWRSPMAIAQMGGLHNNTILFYFAESPFFERT SNNAVVYNQAMNVPSMYPVIQTREAFETHLNTMSGLEFRVVEEPAETGPGAGTGVWVI RKQTRRKSAYDDDEITVHASYFVVGENIYMAPTLSGILAARIMTISLSITNAVTAAES VRKWGPSRGNYYELPAAKTATKAKTQDSAAATPMPATDEPSKAPIAPTPVTQKDEEKE LEKLAEESFMIHMKYGGEYIDENPITGRPGEFHLTTTGRKPPQLVKKDSPVRSITAPT INTKVEDKKESKEKTPRSATAPKPKRKKSKMVNSTSTPAAS FPSE_04257 MSKYVPRQRKHKVLARERAKENAQHEVQDTNQDELLPTAKADRE AKKAQLKAELRQEGGKMSAKKAKRQEKYIEGKLRKDENRELLAKLAEEQVDTSLFASS RVMGRGRETKKEAQRRAMREEKAGLEGGKEEREKILFEKRKERNVDEVDWSDESDESD ASEEESKPSKATTSATLVASAPQELSESKPATAPSIGSGLKRPLEVDDEGKPVIKKRQ KRGGVKSKFSIAPVEAPQPESEESDEDTSDSDGGDSDEWNGFSDDEASKKTRDEQGSQ SEDGEEEEDEDESSEESDDDSDEDMEDGSENKAQRSSAFKAWAHQARNQALGYQSIEG TTTNLEIPKPDNFVPRAPEQDPLPMELQPTQNTDRKVYSVSVTRTLEIQEARLKLPVV SEEQRIMEAIHNHNIVVICGSTGSGKTTQIPQFLYESGYGSPDGPTPGLIGITQPRRV AAVSMSKRVAEELGDKSGAVAYQIRFEGTVKPETSIKFMTDGVLMREVAQDITLKKYS AIVIDEAHERSANTDILIGMLSRVIKLRAELAAEDSTMKPLKLIIMSATLRIEDMTMN PTLFATPPPVLEVEGRQHPVTNHFSRRTQHDYVEEAFRKISRGHKKLPPGDILVFLTG RNEILQLSKQLKTAFGGPKSADGPKVQINANDAPLEVEDIEFGDVDDRNGDGDGDDFD EIITDDEDEEEDEFKIEEDQEAAPLKMRVLPLYSLLPTREQMRVFEPAPEGTRNIILA TNVAETSLTIPGIRYVFDCGRSKERQYDRLSGVQSYGIGWISQASASQRSGRAGRTGP GHCYRLYSSAVYERDFPEFTDPELLRMPLEGIVLQLKAMNLQHVVNFPFPTPPDRRAL AKAEKLLTYLSAVDSNGKVTRIGQTMSVFPLSPRFARILLVGHLEDCIQYAIALVAGL SVAEIFLPENQAIPQLAEKDDNAIRTTADVQAESHQANVRKMFNEVHKNFCFLDDKSD AMKILQVVAEFAHEPTEAWCENHFVRFKVLKEAQQLRRQITELLRVNVPAFANLRYQD QLDAPTPRQVGALKQMVAQGFIDQVAIRADLTPNPPEHYRKPRRSIDVPYVPLMPIHV GEDVESDRSVYIHPTSPLAHISMQECPDYIVYSQLQRATQLVDSTKRPKTRMHALTDV AGSHLTMLAKGTPLITYSKPMKELKTEGATVRECVVVPYLRAEGTGGQGWPLPQKKVK QRRVPGKGWVVE FPSE_04258 MDSIDVREDSFPSRSREISGQVNGVATEITSTSFTDKILITISQ EGRLSQWIQVPLTGSSSGVVEMNLPSSNKGLLPSTHLTPTTLFGGGGEERETLGQLYA AQIASQICLRSPDDRRILVLGLGLAKTDLKREAFFDLLELVQQVL FPSE_04259 MDSEGEANTAPSAEERRNVQVDNAIRAIQEKKPVPEIDFTIHTM EDNTQVSTMERVCKDVQAPAMYKPTDEQFFEDETHSKPNLQFLKQHFYREGRLTEEQA LWILKKGTELLRAEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPSETRYLFLGDY VDRGYFSIECVLYLWCLKIHYPKTLWLLRGNHECRHLTDYFTFKLECKHKYSETIYEA CMDSFCALPLAAVMNKQFLCIHGGLSPELHTLDDLKSIDRFREPPTQGLMCDILWADP LEDFGQEKTSDYFLHNHVRGCSYFFSYPAACAFLEKNNLLSIIRAHEAQDAGYRMYRK TRTTGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFT WSLPFVGEKITDMLIAILSTCSEEELKEETPSSTSPGPASPALSNDPESIEVRRRAIK NKILAIGRLSRVFQVLREESEKVTELKTVSGGRLPAGTLMLGAEGLKNAINGFEDARK VDLQNERLPPTHDEVVKHQEEERNTALQKAAEDADNDTKLQQLSRRLSTDRKNRAPTS FPSE_04260 MDSRTGAFHHGNFGIPDTSRVMSLFSLKGRTAIVSGAGAGIGLA IAHALAEAGANVAIWYNSNKQALAEAENIEKEYGVKCRAYQVNVISPEAVEQAINDIV AEFNGRLDIFVANSGIAWEDGAFIDGPTERARRVMEVNVDGVMWCAKSAGAHFRRQKK EGSALDGKKLTNFVAGSFIATASMSGSIVNIPQLQAVYNASKAAVIHFCKSIAVEWTG FARVNTVSPGYIKTEITDFISEDVKNVFKEKTVAGRQGETGELKGAYLYLASDASSFT TGHDLIVDGGYCLP FPSE_04261 MRILRPREDGSTEHRTLHKAKEFRHFRGDDDHRSIHPWEWLECW FPGGFMKEGRPPQVWSSRIAENPPKVDYRLGAEQIMADLTRNIWVYGFCLVENAEPTP EATQAFLEKIGPIRNTHYGGFYDFVPDLALADTAYTNIALAPHTDTTYFSEPAGLQAF HCLEHEAPPGHNPDEPLGGESLLVDGLQAARLLKRETPNLFDTLRDIRVPWHASGNKG IAIAPDRTYPVIEVDNETRRINRIRWNNDDRGVVHLFDSPPWYVAARQWNDIINRERS QYRFKLTPGTIVIFNNWRVMHGRTAFKGTRRICGAYIPRDDFVSRYRETNFEHDRVIR HNLNLCAGHGSSTIRKDSPTPDRLKRIWN FPSE_04262 MAFSVLPHERHLLRVLLVLASFLLAPASPAAVESHIRVFSIEEP DSPQEAPSSFMDFPSQYKKRDGSCPISGDHWCGNDLPGDFCCSSTSVCKVLAANTTTL CCPKNSRGECEIIMPITCQIERQNPLTNPQAEVKSLALNQELKRCGSKSGRKRCCPFG YSCRDDKECVLDEDQDESYAFLLPVPEYSSTSSPTATSTATSSATSDVLAIETSEAPG RVVQPPSTSAAAPEKESSVPEDEDEEDKKDGGISPTGVVTAGTVAGVCCLAGIGIFVW LKWFRKKRTDDTPEMSLTRESWGYFSDGGSPATRHLHIARGPNDKFIVTPTTAGFTPS YPPLAPIVEERSSPVELPATPVSLCMWSGFENAAVEEPKLAYVIPAKPQT FPSE_04263 MTSQHSDFDAFYDDQVAAHRRFLSQQNPWDRITQPSVLISCLIV VLTLSYNLYASTTTLQESIRLLGTSLWDGLVFIVPERLLDAIDTPDTSTTTTSLPMLR AERSRHDVKSDKMRRVLGLDRSGGVMSSVLQVRSRALSLTGSVLGLKGEPFCPPGLGN MDNSCYQNSILQGLSSLKPLPEYLSAYLEASDDSGDQDVAQTLRTLIADLNDGTNYGR TLWTPGVLKSMSTWTQQDAQEYYSKILDDIDKSVAKAVKSAQPQHPGLAVKMDKDDTT ASEHSDDSGYQSMINYSENNLPHNPLEGMLAQRVACVQCGHSDGLSMIPFTCLTLSLG LNKNQHDLYERLDAYSKVEAIENVECTKCTLVRTQQQLSAMSKKMKENGSPNEKVVEH LNQRLEAVELALEEDDFDDKTLTEKCKISAQNKVSSTKTKQIVISRPPKSLAIHMQRS VFDPSTFNMMKNSAPVDFPMTLDLAPWCLGSTGSNPLDEKSRLEEEWVTDPRKSMVAG DQGSSKLAGPIYELRAVVTHYGRHENGHYICYRKYPRRSPPTKAAEDDVPDNKAGAQS ETSTDEKEREEPEMDWWRLSDHNVSQVSEDVVLSLSPGVFMLFYDCVDPSMVMNDEDD VNMQDANEVADVYAANRLVGATGNAAPIGQGLESQKANGTPKEPSGYEEGATSYSSNG QTDETITRGSDLAANAPSLIRAGL FPSE_00866 MAGSNDLEANGASPRFEGVDSGFIEKAPTQRAGSRTHASVYILT WIFFSNATILFNKWLIDTAGFRYPIILTTWHLVFATIATQLLARTTSLLDSRHALPLS RRLYVRTILPIGVLYSASLVFSNIVYLYLSVSFIQMLKATGPVFTLIASWAWGVAQPD AKTFGNIMIIVVGVAIASFGEIEFSVWGFIFQMCGTIAEAVRVVMIQVMLSAEGLRMD PLVGLYYYAPVCTLMNLVVVFFSEGPRFKWEDAATAGYGMLFANAFLAFILNVISVVL IGKTSGLVMTLSGILKSILLVAASVVIWSTHISLLQTLGYSIALMGLVLYSVGYEQLL DAWDGLVAWGTGVNREGEMSSALRKAIMVGCLGFITVVIAGALWHYHGLSGTQVARAT TSWLGH FPSE_00867 MAASGVEDSAMDIDKPSAPAPPKFKASDLPLPSATRAAIESLAH SFKKEGAYDTIRKQVWDKFEASDYEAQVTKSILEVAEQEIERNPQQLLTLDRRKAAAL IDGALERSGVYQKAEDVISQLIDVGAIEERIRETRRADVGEEVAEAEKARGAKTDEEY ATETEARRAERERVREELRQKEEAIEEEKRRILKEERKKEEREREKAELKRQEERDER RRKREQEREERERLRDLEREKRHKERDRDRDRDRSRNTRDRDRGHDRDRDRDRRRDDD RHRDDRHKEKLAVSAELKEKLSKEEHERLEQEALADLLRESSKPSQKQEMEVDAALAP PPRKTGPVSAINPIRRERSSIAEGRKTSDAGLKAERADSETPSQAKKPTVDETKPGRS ASRAVDRDGDRDRDRDRPRERDNRRDRRSNSPRRGQSGSRVRDRRDRSRSRTRQDRAR SGVVRDERTARSRSRPKYDHYERSRSRRRERSRSRPRVADRRERSRSRARTDRRDRSR ERRDRSRSRLRTERRDRTRSRTRRDPSRPRDVRLGGADHYDPRERDRDRDRPRDRERN ERSPPRRRSRERTRDDRDQEKPREKERDKAPEKEREKEKEKPSDRRSPSRSLATASAA RPSSSKAQDELEEWKQEEVKKREKEAKAYLAAQKDARNKGLPIPGLDDKKSSGEISPD LRRRRVTDDIDRYMPGGREERRASRTRSRSRQRDATKDRDRERDRGDRDRDRDKLRGG DSYRERDRDRDRDRDRDRDRRDRDRDRSRERERDRDRDRDRERERSRDRRNRRDRSLS PRRERRDGSRTRR FPSE_00868 MTFQSVHSSKASFWLTLFLPALGISAHGHVDEIIVNGVSYQGYG STDFPYMQDPPVVAGWTIEQADNGFVSPDKYDDPDIICHRDATPAKGHIELAAGDTLT LRWSGWPENHSGPILNYLANCNGPCERVDKTKLEFFKIDGLGLLEQGTPGRYADKVLQ DNGDRWNVRIPKNIAPGNYVLRHEIIALHNALDKGGAQNYPQCFNLKITGDGSDSPSG YLGTELYDAADPGILVNVYSSSVDYEVPGPTICEGGVSSVEQKPSEATTTAKCTTRY FPSE_00869 MSTQREAVNPLRPYYIPPSIGAPAETAAKSSPSPNPFPDGRHVT AAGERYASKARDILSDLEYNDYLGDTSPSMVQNAKSLIDELLWKYSSVLMAQPFEVAK TLLQVRNQDENAVYGLPAEPEPPKKPVSPPRSSMYDFRDSDSEGEEPNYFSSNIPSTP HESPWGSSSLREPSPVKKPAIPEHYLQLRRPDSILEVIGQLWSKEGAWGVWKGSNATF LYTVLQSLLENWGRSFLSAIFNVPDMGVREDIDRMIDIASPYPWASLFVAAAAAVATG LVLSPLDLVRTRLIVTPSSNGQRRTLATLRNLPSYLCSSMLVVPTVLNSLIHPLITLS TPLVLRTQFMIDSQVSPMAFSISKFIASSSAILLKLPIETVLRRGQVSLLSSQEYVQA LSPGQPFTTIIPPGRYEGTFGTMFHIVNEEGTHEAPVSAQAAAKKGKVKTKGVAATVY KGQGREGLWRGWKVNWWGLVGLWTASVVGHGGEGEF FPSE_00870 MSASRSFATCLAKLPATACRTTQPMRFSQNIMSQASRSQFAVIP RAVRFSPVSRRAFTTSTQRRHGHIDPPKPGEELYVTFIEKDGTENKFAVSEGDNLLDI AQANDLEMEGACGGSCACSTCHVIVADDEYFDKMPEPEDDENDMLDLAFGLTETSRLG CQVKMTKEMDGLVVKLPSMTRNLQASDFS FPSE_00871 MAGLVNKKKRQPDGRLQRPTKKQKRKQLRDYNSDSEPEEAQEFD AVNLLDSDDDIHNVQVDNVGASDNEASSSDEERPALKKPLKKTKTNAKAQKPKDDEPE AESEEEEDDDDDEGSEDDEDEDMDGTNPNKRKKSKRNDPNAFATSLSKILSTKLSTSK RSDPVLARSAAAHEASKAAVDSALESKARKQLREQKKRAFEKGRVKDVLVATTNDTTG ELEVSTSEIMETERRLRKVAQRGVVKLFNAVRAAQVKAVEAEKGTKKEGVIGMKKREE KVNEMSKKGFLELIASGGGGLKKGGLEEA FPSE_00872 MAAQTKAAKLSSFALRISALVFFRLFPAHFGFAAFTLFAIYVPS YIASYCTKPKVGVLKDEIDVTVKESVTAETPLLTEDGVAIVSEPKIVTDVVDVKEKVV IGEKVPGIINILLSGAPSAARPIASFLTFLINALLIGLTADALYRARWYYPSDDLSFV RLGYVSPSEARFVIREPDQVQMPVIFEYHVKDAESTYDPKMWLTAGFVTSTDNTTDFT TTMTVPLDPAKQMTYEWRTSNNHTGEFRSAPKAGEMPDTKDGLFTFLSTSCILPRFPY SPLDHALAIPGLKNLANLLPALDAQFMLFLGDFIYVDVPERFGKTAKEYRMQYRQIYA SPDWAPVAQNLSWIHVLDDHEISNDWSSNTTGIYSAAVDPWHLYQANVNPPISEAAGP LNLRRNATWYEFTQGPASFFMMDTRSYRSSNNAPFNATDKTMLGKEQLADLLAWLARP VPKGVRWKFIASSVPFTKNWPINVKDTWGGFLTERKQILEAMWDSGARGTSVVILSGD RHEFAATRFPPPVDSQWPQSAAAHEFSTSPLNQFASPFPTYKQTDDEDVMMSYIPGGK SKFGSFSIDKLEDNRSSLYYTLYIDGKETWKATVQAPDSPEDVIEEVVPPSFWDKIKF F FPSE_00873 MRLPTLVAGLFACLATNVAATALTYKLDAHEKACFHTTTKKQGE KIAFYFAVQSGGSFDVDYVVEGPNGKIIMDGQKERQGDFVFSANVVGDYSFCFDNEMS TFAEKYVDFEIAVENESRAQLPSKQGTTPEQTSALEESIFKISGQLSTISRNQKYFRT RENRNFATVNSTEGRIINFSMIQIGLIICMGALQVFVVRFFFQGARKGYV FPSE_00874 MTSIAGSLQAALPKPRYTGEEEEAPVRAQQRGVRIVGPGQLDET QVVLKRSGPPAYGQRAGWRPRSQEDFGDGGAFPEIPIAQYPLEMGKKGANSSNALAIQ VDSEGKVKYDAIARQGHTENRIIHTSFKDLIPLRQRADAGEIDLSRPDKESVEATTER TKNALAALVSGAVAAQKPKNVNIGQRKDPTFVRYTPANQMGDNSKKQDRIMKIVERQR DPMEPPKFKHKKIPRGPPSPPPPVMHSPPRKLTAEDNEMWRIPPPVSNWKNPKGFTVP LDKRLAADGRGLQDLAISDKHAQFAEAVKMAERHAREEVQQRAMMQQRLAEKEKAQKE DNLRELAQKARAERSAAGRGRRDSRGSHDSRDSRDSRSRSRSYSYSESDRSDSEDEEV RERVKARQEKQRDEERKLRQNRMGAERRAQVMAREQGRDISEKIALGVAKPTQSKETM YDSRLFNQTSGFDSGINEDNPYDKPLFAAQDAMNSIYRPRVNVDDDDDAEAGDREMAK IQKSSRFGEALGKGTFKGAADAEAREGPVQFEKDAGDPFNVDKFLSEVDQNSSKRGYG LQDDDSRQSKRPRVDPDDDEE FPSE_00875 MKFSKLSTAFIVSLFYAPQLVAAALNATETDTQLVISNDRLYAA VQKKGGAIVKLTLDGTNLLGSPSGSTGIGPYLDCYCTPKGFWTPGSVTPKYKLFKGKD TKGKDYGGIVMSDTYTETGQVLEQYWFLRDGETGLHTFSRVAYHNEEQPFLRNLQELR TLFRPNNDMWTHLLTNTKHYAPLPGKEAKEKQVVVQDATWYMGNTPNDPYVKQEADYF TKYTFQDNWRDIDAYGLFADGSKTEDGDAYGAWLVMNTKDTYFGGPLHSDLVVDGILY NYISSNHHGDQTPNITNGFDRTFGPQYFHFNRFPGTTDILKAQADAAQYADPEWNADF YDSIAKHVPNYIPTKGRGKFEIKVDLPKGAKNAIAVLAQSGVDFQDNVFDTKAYQYWA NLDESGRATIPRVKSGTYRLTVYADNIFGQYTQDKIKVNAGKTEKKNVRWREESAGKE LWRVGTPDKTSGEYRHGFEPDTSKPLQPEQYRIYWANWDFVKDFPDGVNFKVGESDVG KDLNYVHWSVFGGKGNYPRPEQYVGNGDVNNWTLAFDLKESQFKHKKRATFTVQLAGA KTAAGNTDVYNASEPHSNLKYTVNINGKDLEPWVIPYYQSSSCAVRSSVSCYNIAHKF EFDAKWLKKGENEMVLSLPYNGTNYESAVLPTSVYVQYDALRLEIE FPSE_00876 MASGAFFNPRVLLLTTPLVSSSITLWFARDQSFFLSLFTKAPIE RQKANEIIPGYIANFYGSGPWAVLTFVGLTFTTSIRNIWSERALLESRGSLFWYGCSA ALALGHLAYVPAVAWKLRALWEDNCAGEGTDNVGMLERWLTVNNTRMFTTDLGAWVCA VVAISKTLTV FPSE_00877 MSGYSEEFKTGPAAPAYDEILPSRQGQDVRHASSRMPWWNPRYW RKGIWAAVILAFVVVLIIVIAVSVTKVKENRYPDYSPLSYSLADTYGGESFYDQFNYF TGYDPTQGFVHYVPREQAQALNLTSVSADSAFLRVDTSVDPNSEPNASTGRFSVRVVS KKTYDSGLFIFDIKHTPYGCGTWPALWLTDPSNWPENGEIDIMEATNKADTGNQMTLH TTKGCSMDVRRKSTGEILQKNCNHELNDNDGCGVRSNADGYGTGFNKNGGGIMAVEWR KEGIRMWQFARNSIPSDIKSNKPAPSTWGIAVADFPSTDCDIGSHFKNQSIIANIDLC GSLVSHVWADSGCPGDCKSLVANNPEAFNNAYWEFGSFQVYQAK FPSE_00878 MNFPGMTPPVGGAAAPPGIGGPQDPNIKAVQAAMESCFGKSVMS GVMGFGMGGLFGMFMASMSYDTPYHTAAPGSPQNAVTSMPLKQQLKIGFKDMGTRSWS MAKNFGKVGALYSGVECGIEGLRAKNDLTNSVAAGCLTGGILAKNAGPQAAAGGCLAF AAFSAAIDAYMRSPPKDD FPSE_00879 MGGKTWSRDEERLFWEVIVPQSAAAAYPDDDGCLSWEQLADEMN KLSGANARRVYTGTMLYEHHYQNIKPGHRSPKAAEFVDKYLQDAAYFKEHGSRRPSTP SNDSASASEPLDPQIVELLQDKAKPKPKTRRQRKARHPWERQGEDQPPRPFFSMPKTL EEIGAYSVTPGNRAQQQPPKGYTTPDRADTRSRPYPFPKSALVSVPGSGSVSVWNQSI DRSEIDRSQMSGRPAMAFEHTPKPSSGLLKQPADVKMEGGYWHSTYRAEQDQRSKEAM YMTSGSTSAQSPQPPTPDYASSWADPGPSRQRTHQEQWDGRLPSIREMLPREFGTPAY DSYYPHEVNRRVDKRNFSNEQGHMQAPDSTPKRRRLPDAPVRHQQDEQQQSRRYD FPSE_00880 MPRKKAVEESDSQQLPTRSLRKRNHQELEAIPESDQEETSPVKR QRSLGHESPSSNGETNGNINGFNSNGVENVAASESESLSKVDPEETPKPAPKRRGRPP KKAVNAGSPTPKASHTALFGTPTKKARFGLNPDTPGGADRSAKRKSTRALIEHVVGDD LTDEEEYDGLAQKIYESSEDEDALEGDNAISAEASGLDEAATPSKSTPRRKAQRKKPA RSPTPPRDLPPHELYFAHNKPGRAKTSNNTLGSLALLTHDEYFTIVRETSDHHEGDIE FLESLHAESFPQWAFELSQGFNLCLYGYGSKRRLLHKFAGHLHSRSRKEKGDKIVIIN GYAPNTTIREILSTIGSAVDPAHRIPLTQPAVMVPAILSHLNTTSSTITLIVNSIDAA PLRKPGSQTALAQLAAHPRIRLVCSADTPDFALLWDIGVRSAFNMGFHDCTTFAPYTA ELDVVDEVHELLGRNAHRVNGREGVAFVLRSLPENAKNLFRLLVGEVLIAIEEEGDGG DEPTGIEYKMVYNKAVEEFICSSEMAFRTLLKEFHDHQIITSMKDALGTELLSLPFRK DELEAILEDLTS FPSE_00881 MTEHLSPDSFNALDPEEQAIIQARSRSPHPYHHQSTDLPHLQDR FSLRNRQAQSAKTSDDAHHETSPTTWPSFLKDSPQTSDSGSEADDEHYLRGLPAPRSN LHKGLRGINEPLSGSSSPLPSPALSDGQTARTIDKTPMPIETPETKRLLEALKRRRRV VARRATEAGIVLALGLMVASNSQVLQLLKIWGKDIFLLGVLYAGLLFLYPIRVVAWAY RKKSPSHTIPLELPAHFDPAPILYPSAITLFVSLLISSNNPAAILPNLVLSLASIPQS VIPKADPYASYDVIAWGITCIPLVWSPEIRGNFENKHPEDFIAGETAVLLYPLHQSLC VVLHYLTTTSLLTAELQLLSIALINVLLLASSPQILILKALLWGGGLSLLVFCGPVIR WGITLARVPKWRFRRASFAQQPPFWKNFWQLFSLRRLRNEILRAEHEDAVYDTAGSSE DEGGPLLKKPTRVRTFGPGFPAPADIDSAPSSPTAADGTWSFGRRHTLPHLDSGNRRN ATHTPSGRRKRATSMSVRPFFKLTQEQATMRKWLYAGYVYASILAVVLGGIRTYVERM ALDGNEPIGWALGYLLGDWSWFRYQVGSYNLERWACLPPRVDPTEDKQCRYGWVQHVR HNDIGEANTRLLLSIYWLGILILGLIIVFRLKDIYEVDTRRKVFHFMMVGMFLPATFV DPTYAALALSLILAVFLILDLLRASQLPPLSKPIASFLAPYVDGRDFRGPVVISHIFL LIGCAIPLWLGLASLPRTGSDYLSGWEVSGRDVSLVAGVICVGLGDAAASLIGRRYGR RKWFWGGGKSLEGSFAFAVAVVLGLGAASMWLRVGGWPVAGDQPGPVAATRNVVMCAS MASLTEAVLTGGNDNVIVPVVLWTCVKSLGV FPSE_00882 MAFSDIKHDHSMEAGLLNTDREERIMSSKEALLQDSESAQITPR TTTKRISPAGKALIALAACCLLGAGVASIGGATRFCPGHLRHGDLVGKHGMSGHRANL SESMAQMVRRQNTPAPTDTEDSTTEPTNAVDEASNEASAPTAPTAPTAPTAPTAPTAP TAPTAPSDTAVPPSDTAQAPTDQASATQAEPSDEPSDAPTNAASETQGQASVTQKPSD TSEPSSPSRPASSELDEPTPNPDTGRPSATAAQSTEEDSATEDTPSATPSDEEPTKPA SNTASTQGTTLRTTETRPASTQSDPESTADDSATATGTSDSEEEPSETALSSRASTSN PPAPSATTSTAASTSTRTNSLPDPSSFSELPSETVSSGETNQSTVLDETSTAADASTD VDTATVPSSTTDDASDVHSDLTSEPASKSTQESGTASRTSEGGETTTDDQETATQTDE ATQTDEDKTTTETTESERRTITGTGTKPTSSAIPKTFTSTLEDGGVTTLTSTSWVEVV PAAEATGSSNPDLQNAAPRSVGSILGAVVGMVFGGVILL FPSE_00883 MGSDDYAAVGGGGALKLKGAKINKKKKKRDKTDLEKNLDTGSKD EEKRKRKKKDTSDNEDDERHHEDFEEKPEVQKTESQKRHEEIKKKRLLQLAESSGSRP ELLKTHKERVEELNTYLSKLSEHHDMPRIGPG FPSE_00884 MAPSYGQPGNTRDSLELASLASSSQLNDEGATEISSRQSISSSR RLSLELDDPLNSANPANPAGRMHRSYSTTSAFEYSGNMFPLSSTAGAEGYAPIGASSS RARPAGGLGGGSLEKSKNLTYLNGLSLIVGLIIGSGIFSSPGAVSSKVGSPGAAVLVW IIAGILAWTGAASYAELGGAMPLNGGAQVYLAKTFHEVFGFLFTWVAMLVLKPGSAAI ISIIMGEYFVRAFIGADAEYVNPWINKAVALVGLLIVTFLNCVSTKLGTRVNDALMFM KFIALIGITITGIVVMITGKTLKGESEVDWKPSAMFDNTSTDMSAWALALYAGLWAYD GWDNTNYVVAEFQNPARDLPRVIHTAMPLVILSYVLANIAYFLVLPLKSMDGANTVGV MFGSHVFGSVGSLVLALIVSASCFGALNSSTFTSSRLAYAAGKEGYIPSIFGTIGVGA SAHEHELSTLRTRSWFTRKMRQMFGDEDAGLFYTPVYALILNAVLTTGYIIVGEFSTL VTFYGVAGYAFYFLTVLGVIVLRVKEPELPRPYKTWITTPIIFCCVSIFLLTRAVFAQ PFQTLSVVFFVVAGVPVYFWRIRGRDEQVLRRRGHSDEDKKWYQFWK FPSE_00885 MVKVLAVLYDGGQHAKDQPLLLGTTENELGIRKWLEDQGHTLVT TSDKDREGSKFDEELEDAEIIITTPFHPGYLTAERLAKAKKLKLAVTAGIGSDHVDLN AANKTNGGVTVAEVTGSNVVSVAEHVLMTILVLIRNFVPAHEQIEAGEWDVAHAAKQE FDLEGKVVGTVAVGRIGERVLRRLKPFDCKELLYFDYQPLSPETEKEIGCRRVDTLEE MLAQCDIVTINCPLHEKTKGMFNKDLISKMKKGSYLVNTARGAIVVKEDVAAALKSGH LAGYGGDVWDHQPAPKDHPLRNAKNNWGGGNAMVPHMSGTSLDAQIRYANGTKAIIDS YLSGRHDYNPHDLIVYQGDYATKAYGQREKK FPSE_00886 MPSLDGLPNEVLHNIASFLNKKYDIAALSRANRHWYDIASPILW KREARSDRPGALHWAVENGDVKVLRRALKAGVSPSRLAYTHRPKPRIDPSHKWYNYHS SYYDDPMWRVQDDDQSVDLDASYVLHDACDCFESGCDDGIFSDCRWEAIHVAASKGRI DMIEILLDAGASIDAPSWGVCLCRPHLPIEAFSESQAVDEGELEDLHGGNWTPLHVAI CHGELDTAKFLLKRGASTVIYQGIDFELDPTAWRDDDVAHDSSRFKLTALHHAAKHNM LDLITFLLDDKYQEDINVEGPFMGTPLFQAIWYGHWDTIVPHLLEQGADLDRRLIETR LTPLMMACFSRRFDDASKLIDLGADVSTLSVHRFSILHLILGSRRFRPEDFFDPLGPP TKPLSNTSEAEIIQKFIAKGFDVNAKEAVLGMTPLMVASAGCNTDAIQALLEGGANVN ALDNDGLTALARVGETAEGSSILGLYDSAKMLLDAGATLQDSLDEVTPLNILCTRHCE PYFNREWDNQHAALAKLLIEHGANPNEKGASEGRPFTEAVVHGNLNLAQAILENGGRP EEGDLENILTASVYDSYDDGKTEFILRIDYAKHGITRPSEEFLLDLLKTALSQQLWTR AADLMKSVPIPNELCEGLIFRCLEKPSPIADEPSSLIQILLDRGQDPNELFNGEPPLY YSFKSASCWRTTPVLVNAGADIYMPTKAMPDGAFMYTITNGYQPQACQILLKHPNALR DKPERLHRECWSTLIFWEPRTGIRGVGQPNFYHIPQLNWSFTNQLISAGLRTDVKTLD DKDVKELVEQAIPKNEVLRFEGRKVLEALDIVYTEPTVIEMLNATIGGDDEDPEDFEY GSVSDEIDDTDYSGLDSDDYDGFDFFPGYMEGEEDEENDPGDQDGEGGWGEEGYSSDS DDDYDDDGHIPIPVPFLFGLMM FPSE_00887 MVSVGKPRLDKYGKILSRLFENLALFHILKRVDGPHAVTTHVPA TLQDTRRRFLKNLSYICDYRKGGDTTTSMALEDKQKSVVFWVAANSTPNDKAIAFLTE VLELLRNGPKETETEQKALNGRLARICAEFAAPRLKKECKLLHRAARYCEKYLKLDTD TIQQPGSSALLGWLSQFSYSGETDTLTLCQTAYDARHDPQMATLRTLSHQLSVAPPET AKTFQVVRHFVGRLAERVRTSACLVQDSLLLGPLLNSYEIRRVDAPNPAKVPPADGLT NLNSIIKRILPANDPRLEDMQSYVAWLSGPMNLEEAIRNMYDEDSGRLCVHSEIQMLE EFHRNQRKFVGSDRYIACSKLACLCCKFYFRHHPGTFVEPESHQKPYLNWRPVELPGG KENMHWLDQRRVLAMLSSELGSAVEMQIVSQQQPNPWQPDSVTNITSSMAAFDLSESQ GISGDDTGWSDDSASLAHLNEDDFTDGSVDDSYEHSDDESDGGVELGG FPSE_00888 MSDHQSVPQTQDGSTEQSFVDSDYSFNVPGADFGGFSSLLVTSH ESVDQWLHVSSWSQDAASLGSMDQESGFGATAGSPPQSRDSFSEAIFSSPMTGMDSHF NDIDSAPFVFSSSPVPFLDLPTASGDAYKMASLTGSPDTAAAL FPSE_00889 MLTSTFLLSLVGLAAASPVQPHIVKDPKYPSRLTSKGFKLVVNV TDPSLDFDPPIQNFELTGIHTGAGLALLGITEKDGRIFYQNGTKEERQNGEATVISDG GTPLTPNGIALVADKKNKNLFGATLNFEPGTAGVQLNRLDDPYTYLLPETFVACNKSI EYYRGKKFVVIEQARITIDKNNKIQRNIPKGCAPIRLVPQCDKLESLPKGSYSSHKYA LDSKCYKDVSKIEWKKYSL FPSE_00890 MSGTSKTSLTGATQAPATASTNVFCDTAQEAMRKARHILDRLNG KSKNSAPKAPEFAFYELVTLPGRNSPI FPSE_00891 MAQNNQAREQVEIAMSSILIRTPSVVSRFPDDIINNEEMLTTRE LSMFIDLARLENQVEHRDAELVPSISDWRRFWRLVFRRWNTTHPDNESPMFIGDLSSE TAVKVGTLVCSQPPNKAYPGPQQPKWRQAGADVFLGVSIPPQQGWLELLWKDSKGKPV KPSIVKLDMELYKCLDLAISRYDRCVQDRVEKYNEDCIVATARRRLVHFAKVGTISEP RLLAGDEAPNLLPVVLAGDRADNMANTFANLKDLRDRRAN FPSE_00892 MSSNNSSAPPGPINEVNLAEAHEGVPQDPNHCHRTTPRQPPFPY FAQFPYPYTYGPELPYSPQYNPYHHPLGYHHLRQAGYVCPFQQADFQSSQSSVPINPQ TKHHDHHVTLPNQQQARQNVTINDGMAAQDSPKSQLHLEDSKPIHLQPGPYIRESNGD SSRRSDTGVSFENVYEDEDVTEDRPPKKTIPKECLTRGEKMRLLDLLKQYEDVQRTKG ALCSVSKTYQQFYQAIFRRSWDTNSKSHSPVTLRPARSSDAYLEIGHCEKDAPSSRSD DGSRGSKALKQTIPIFLTLDLRAGEIEWRYHDKDGNRFKARDVRLHHGLGIEQAKKNV LDHQDQKEMKRICEHNIDQIVHAARRRIVKWAAAGSAAELGVDDEDRAALEILELPSE RFLERFQEVRVLRDYIVRRPMPSYEG FPSE_00893 MTQVTPAMLSARASSLSLRQQAMSSSAILNNNNAPAPTSVENKE SSKSFILSDMKGRDTRDNRACASCISKLAPGEVGQVNWHLETGQACRLCQVEKLEPEA FTKPFCDFLQENPTIFHTVDYFEKKLKALGYEHLSPRDSWAGKIQPGGKYWVTRNGSS LIAFKVGKAYKPGNGVAMIGGHIDALTAKLKPVSTKPVKAGFVQLGVAPYAGALNATW WDRDLSIGGRVVVRDEESGKTTTKLVKLDWPIARIPTLAPHFGVGMMGENNKETQAVP IIGLESSQRAATKVLGPVGSFVNTQPPRLVELIANELKIQSYSSIINWELELYDSQPA QTGGMDREFIFAGRIDDKLCSWSALTALLASNENSDDGVIKLVALFDDEEIGSLLRQG ARGNFLPSVVERTVEALNPDTYGPELIGRTFSSSFLSSADVSHAGSPNFLEKYLSEHV PELNVGVVIAADSNGHMTTDSISTAIMQRAGELGDCRTQTFQIRNDSRSGGTIGPALS SMMGVRSADVGLPQLSMHSIRATTGSLDPGLGVKFFKSFLDNWEKIDAEWH FPSE_00894 MPIEPPTFPNTDVLVGVLSRDHPPTEECPSQKKPPERRRGADVF LSATTKAANDMVDFVWKDSQGKLVNPSHVRITAGKYTSAMYLAIERYDNSLTKAYDEL NDARIINYARLVVLFFAKEGGGYGTVYPRWFKPPTLKEPELARPRARTLAKRWAELLD MIEG FPSE_00895 MYKNRSEADKEAQRAYVQEWKTKRLAGMSPEEIWAEKEAKRNYG REWRKRRKEAMSPKEFEQDRMRKLERARQRRKTRTEAERVATAEKENFYKQRLAASKS DEQKEAERVALNDHRREKNKALEGEEKEAKRQQEAEMVEGQPVKRSRRSEKPRMQKGR ESDARL FPSE_00896 MDLLHTPQCFPFLLLPLPILSRADLQSMPATLMRPGDTRYEVIC ALGSSPRKRGQPAFVTINKTIGKGEPKVSFDFKDNVGQLATSEFIEWEDSLGVESLKA KAMVQHDMHEQKRIRNFNTELIIRSARNYIVEVVKAGTPQPAAPRVPHLVLPELRRPT STLELFKQIHAENAHIIAFAESLSHSEAT FPSE_00897 MEDPWGSPWTNDSPPKIDLPAPPPNAHFTADHLGSSQRASPALA PWNDEDDAWGGWTEAGKDGSPRWGRSPGLRPIGGSPSGSRLPSPTPDPWGRPPTFDTV RSKKEDNGDSAISLGEGLRPILGRVATRSPSPARSFKENTADIWQQPDPALSIRSLTP LPNEDEGRPGSPDGAPRPALQPEMRQPPLRQSSNKVSELVEMFDDISKRNHSVSPVDP SMRKVSGNASSAGDVASELGANGLEQHEEDLKTKSDSGMELEDDLKTEKEPEPKLPEP EAKESESEPEPESESEPETETRFEDASEELPDHEKEVAESETKELPKEEGDQTESDSW SDFESPPQDTLQKETQEPEPEAPNEQPLVVETSTEEETPAEPAPVRPKPPSEPFAIDM SKLDDLFPSVDTSFPSPEPVPDVIIDDSFTAISERKAWYLMSRPGSMRKHNMGDDENY VRVGWNNSHVREQSIRIVRRWMEEDSITGRVVLGRRGGAAGAKIFNWDSSAPSTEFSI SELLARKNHSRQTSAASKGVASPTAASFGWGHAPLPSPTAAAPPSVPRTSDVSEPEPA STTESSPVEATKTSPVSKTRPPPLESPPRPCITEEPPSPIKPIPLEPTNRPISISQPL PFPSSPTVSEPQSPMNTTALLGNNRPVSISQAPRSPLAQPPTNAGWGDDEDDDDDWGD MVSSPIVETNGGFASMNAIVNAERANDKENTGKSLIAGQSPMVESFKDEPEAESQSNG RLSMDTLAVPSQDLAPNNPRLTGHHWNKPQALQNAALGHSRSTTIDLLTSPRKVPMPG HLRSTTIDLGNPRSPLNGFSSANLTPTEAKSSVEQTNKANSWDSWGLGLIDDSNRPVS PENDSAAANQKPQTLTTPLDTLKGNSTPKKPRPMSLPPPPRPQTITESSEDDKIVANI LRDLPDLSYMLR FPSE_00898 MPLYELSPNIQESEATGRKRSHDEFTGDPMAVDASEDVKLLPTG SDKLDGEHTATNGQRAHSPASSELSEPGTCTPPRASPTPQTPAKKQATQTTIASASQS TTTLPKRKKLTAAEKAEKGKEAARVKAEKEKEAARLREEREKKAAIRQVEKVKAEAEK AAKAKEREEKKRQLDEEKKRKEEEKEKKARQQPTLGAFFKAPITPKKAVETNRPKNGT PGESSLAKDTPKAAKTEYQKLFQPFFIKDHTTVAHIGPEMDNETREVKSNILDESVSG LREEELSSSRFDPVRLFALPSKPCPRGMLHHPVRHIMEQVFKETEKAENAGPEHVDKI MRDTRRKLSKVPIKVISFSQDVRPPYYGTITFKPFALGKLNMSQLARKPTRRRLPLDY DYDSEAEWQEEEEGEDLDVDDDEEEMDDEDDMDEFLDDSEDAGLSRRIFANTLEPNST GICFESGNGATNQVAHDHRMEFMHDGLQQTWGIDPFSTQYWEPEVKNKTAKITKTAQA SETTTKMPPPPTPSNAFAALTGGSSSGGAVKLVKSELLDGVKRAILDNKTLSKVGIID FVYHQFRDDASRIEVKNTVELVAEKTGKGRTKEWSLKSGHEIAS FPSE_00899 MLEPQAMRGPIDQTVGYGHSRTRTSSSNAYPMQFQTSHLAQPGP NFSQSRRSPSVNTFSTASSIPPPAAYRSSSQSDLRRSTSSRSGGASSQPSSYVALLRK QKGTVWCDRSQYEDPRLLAQQRAAKMRATLEVVGGTRSASGGAGGRTSTGLSTTNKVA AKIPKIRHHGKNPVVGYAPGENHVGVGGVPMRLSATEVEAESSEDENMSNGNRPHHRR TGSSGRSSTTSSRRNLAYRSSGGMGSQHSRRWSPGDTPERTGSLVEATPEDVADDAAS GRARSMASGSSGERADNVGELSTAPRLASNSLMHSALTREKSTKSADELKRRGSVDER TSTLTSGRLFIANPD FPSE_00900 MKFAKELEREAVPEWRIKYLNYKVGKKHIKAVGRALARTTGTPR GIRPIRTNTFTSPQTPRQPFTRENTAHASATIASPSAVAPPRSIPTPKTPGRENESLK GSSDNRHYGSFIETPPEPSPMDDHEPKHRDFQLPAPALTVHEHDDVHDNDLPGSPATT GSSDHMQRSTRRMDPRRTSSANVVATIAQPDITKPPQTPGENAKDSPSHLRRLFTHQS TKSGGRDADMQNFDLVREREREFFDFLDTELQKVEGFYKMKEEQAGQRLDILRIQLHE MRNRRIQEMADEQVREANPPKKGAHENGNGKLNGLMDPIKAKIFPVGPNSKALQKMSL TPNVNGAVQADAERDYIRRPHQHEVPYRTAKRKLKLALQEFYRGLELLKSYALLNRTA FRKLNKKYDKAVNARPPYRYLNEKVNQSWFVNSDVLEGHIRTVEDLYARYFERGNHKL AAGKLRSLNKKPEDQSIGMFQNGLLIGTGAVFAIQGLIYGAQLLYDEDDQLRLRTSYL MQIYGGYFLMLYLFSLFCIDCMLWNQNKVNYPFIFEFDQRHHLDWRELAQFPSFFFLV LGLFMWANFSRYGDPDMYIYYPVILIFFTVVILLFPAPTILHRSRRWFAYSHWRLLLA GLYPVEFRDFFLGDIYCSLTYATANIELFFCLYAHYWQNPVQCNSSHSRALGFLTALP PIWRFLQCLRRYKDTRNAFPHLVNGGKYTATIISAVMLSMYRIHNSTTHLALFITFST INSVYCSFWDLFMDFSLIQPGSRHWGLRDILALKRRWWYYFIMVVDPILRFAWIFYAI FTHNTQHSTIVSFMVAFMEVTRRGMWTLFRVENEHCSNVSQYKASRDVPLPYTIEPLM ARASTDGSAAAQETEGTAGVTSFEEPSRQGTAESTGVSLGQAEEGVSRRRAGTGAPPP PRRTFSRMLAEAHKQDFEKKRRPLEQTHEDPTAELEQSDDEDDADEAVSLLEMSEAED LARATSSRYH FPSE_00901 MPEIKIWHRTCVVCKNVEAVQQTVVNTTKDNNNNKDEAKTVVHT FCPGETLKDAVANYRCRACRSAMTLESQKLQVAIMERLGQEQKTERSSSEEEYWTAPE DSR FPSE_00902 MDDYNSTACGDGLFGPSVWTEGCRGGFDFTLSFQESILSIAPSA VFFALAGPRAYYLFKTPDKAKRHATYTPKLITSATYAVLQVVLLALVPSAKELNTRFS LAAAILNLLAAFSIVLLAHVEHVKSVRPSFLLTAYLFVTLLFDAARLRTEWLLSLNVA YAATLSTSAVVKLALLVLETVEKRKILISSDKPISKESTSGPFSRGFFVWLNSLLISG WATVLTNNDLPTIYEKLSSEKLAVRFGKAWQRASLSSKPSLFKMTVSVLRWELLGIIP PRIGMIALSISQPFLVSNALRFLTMPASDSTTNLGCGLIGAFAFVFVGSAILTAWYEH LTFRAGAMVRGGLITLIYSKMMKLPIDDLAESSAVTLMGNDVETLIEKLHFLLVESWA NTLTVAIAMYMLAAQLGAVCVAPIVTGIICLFLTGSIGKMMVSRQIKYQKATQDRINL TNEVLSSMKPVKMLGLSERFKSLISQKRDDEISTAKHYRLINVYLNMITNCNIGMTEA ITFGAYAIAAKLGNGETFSAAQAITALSILGVMMEPLSQLLGSIPGSFSVFGCFTRME DFLTLEERVDRRQIQGRYKQPSRDSPRPLQETFQSTAMKEIQVGADGSRILIADGNFN WGEKVVLQDINTSFPSHKNGSLTMLVGPVGSGKSSLLKAILGETTSSNGSVSLNVSEV AFCDQTPWVMNATIRANIIAESKGYDSAWFDTVVSACDLTIDLGRLPEGDLTQVGDQG IKLSGGQKQRIAIARAVYSRKPIAIFDDVFSGLDKVTEQIVFTQVFGKDGLLRKNGTT IILATHAVNRLPESDFVVVLDKDRKLIEQGKYSNLRSGHGYIHNLDISTHDEHNGHAQ TEAQNEPEKVKPDTEVQTPDQEATEVPSDRTVFMYYFKSNGLHNMALQALLIASAGVL TAFRYVWVTWWGDGKGRESTDVGYWLSIYAVMSLFEGLLITAAIALFLLVIAPISGKV LHSRLLKAAMSAPLSFLNNSEAGSLINRFSQDLRHVDIILPIACSIFLFEVAACFGAA GLAMAAVSWFAISIPFVVAVLVLIQRFYVRTSKQLRLIEIEHKAPLFSHFLETISGLA TIRAFGWTQPYTDKVLTRIDDAQKPSYLLNCIQRWLTLVLDMVVAFLTIILVIFAVTL REKINPSLLGIALVNMMRLGTNMKGIVLNWSILETSLGAIARIRMFCSSAPNEEKPGE NKNPEEAWPRYGGLEVKNVDVQYDHTTEPVLRGLSFSLKPGEKLGICGRSGSGKSSFV QALLRMAEVVNGQITLDGEDISVIPRHLIRQRLSCLTQDPFVFGDSIRANLDPCNASS DDEIRNALERVGILSVIQAKIDSDRILLDEKMDENFLSHGQRQLFCLARALLKKSSLL ILDEPTSSVDTQTDARMQEVIRTEFSECTIIMIAHRIDTLLDLDKVAVLDRGSLVEFG VPQELLTDAGGAFTKLYRANKGRRTEG FPSE_00903 MPSKYQPVASDDVELESHLDAPLLDLDPLEDGPADGNDTFHPRS EPVPSYRSSRWGVHSPKQIVILVSVIKFAVVFSGMLLMLPTARLIEDMFCHIHYNDTS TDIIDEMKCKVDEVQSQLGYLFGWNGLVTSLIGLIVAFPYGTMSDKIGRKPIVMFSWI GIAICFLFTPFSIKAFHGSLRDRPYLLVLGGFFQVFGGGVPVLMSTLYSIAADVSTEE NKSKHFLWSAFGATAGGISGPAVAGILMNKYGPWLPIYLVLFTVPIIMGVLVLLPETL TVNVKNQQAQSGKRGPSTLKEHMSHGVKDLQQSLNMLKNVSVTMILVTFFIQNARYAA YTTTMSQYISKHFKWAMADVSLVLSPMGILDLIILAGLPKVGDRLMSSRFRMTAFGKD LFLTRISTVMLVLGAFWQGLSPSVVMFFFGLFIETFGAATGPLARATVTHYVQPEYTA RLYALIGMIEVVGSFIAGPVLAWCFDQGLKRKGIWIGLPWFYVSFLCFVALVALYFVK PPKKRSREEEVIDNEGYETDDYMPDDPLRLR FPSE_00904 MSSSPLRIGFVPEHFSTPLHFAQKHFGLDATLIPFPSGTGHMVT AIRSGEIDVAVGLTEGWIAGLGKEGVEGDGGYRLVGTYVETPLCWAISTGAERPEITS VDSLKGGKIGVSRIGSGSYVMGFVLADQQGWLTPGAAEKPFKDTVVLNTFENLRNAVN SGEADFFMWEHFTSKKFYDSGEIRRVGEIYTPWSSWKIVASTKLTQDGLDARVNDLFG KLDQGIKHFNENQEESVAYISSSLGYTEPDAREWLKTVRFPTKTEGVRSEVVQNTVNI LRKAGVLAEGKGIEPQSMVFSR FPSE_00905 MNVPKGPRRLKGRPGGQNKSTDQQRPDTLYVINDDDGSVMRFPV NERRMRSICSKWQTLPSFTNGCKRLRIEAVNFKDENAKDALRSIIDIIHRRDGNRRHY TDANPRLLFYSALIHESLGASHNLTYPGDKEYYLFFPPRRIKHSIFDLMHESKYLYRV RDWLLLAVVADRLKLNPIMGLIHNSLALFCRADRLDMPRELRHGSMNDVEWALIKELR LIDDQMLQTRLQYVERIFHALRLLSHQVENMDKGILPTQEQFEVYQEYSVAPCSECYS ISSKDFHQRLPKASLWPLFDNSYTMYQGSVIDVIRKIRGIGEDMVDEAKRHRQRGRYC NQLTHLYRNTRKLRKELIC FPSE_00906 MSAFSDEESHTRERQHGHDTDDVAVPSSPLLESRSRSPVGLRAR LGLSGVARRTVGFALLLLTVFLWTMYNFIASYIFSDETYNKPFFVVYLNTSVFAISLI PKFFNYLRKNGFRGLRHDANQVWIDYKHGTNYTKSLHRTEDEQATERLIAQGYGSTDA APLNEKLSFKDTAVLSFEFCMLWFLANYFSSACLEHTSVASVTILTSTSSVWTLIFCS LFGIERFSGAKIMGVAASLAGVILISTVDLAEQADENRGSFPHKSSTQIALGDAMALL SAVIYGLYVTVMKRKVPNEDKVDMQMFFGLVGVFNVVLLWPLFFILHWTGIEPFELPP SSTIWGIIIFNAVSSFISDISWALALLMTTPLVVTVGLSLTIPLSLIGEILQYQQYSS FTYWVGAAVVFVSFIVVSRESHDEEDLRQENDLERSVGVEQVYES FPSE_00907 MTALQPDVPRHPQPRSQPQLDQHDRPLAESIAIATRSVHAKLNK LIIARLPLALPPIAADSSSYITGLLHITPIYITFETLWRDIIESGSPIDCEINVDAHF PETTPTGPSNTPFSEIDAHEFTDRSRMLALLQQLYLPGLMRSDRLKADIANLSGWSNS TVEEQLRLVEQNGRLGDFLQHIKRTVQNRPHVLLSYSYILFMALFAGGRFIRATLESA GDEFWDRLPSPVLPSLVPCEENTRRTKRASGLSDEQIPQDDFHHHATHTMPLRFFHFQ TPEDGEDLKREFKRRLASMEGELSPREKQDIVQESICIFDNMTLLVQQLDRLCDDPSR EGNDSPTSSLLDLVDQFHPFRSRLRDSVSIAKDRIQRSPKLSPNRTKLAWSIWKYHGT ASSPVEPESGIKIPIGHPGLPNGDTSIELCPAFSKSMRFDKTLPRPTRYPAKSTGEEH DLNECLQVASKKLNSIGFINWLIILTVGVIILGTLCSARHGRDVTMVEA FPSE_00908 MSSLDPDTFPTPSSSSRPKGTPSITPRRFNRFWQPRQVQTQPLP KFRTALGSLDEADTNQQPISPQSLFSDNILPSSPTERFQDDSRKRKLQPEASETEGDF KRPALNLDDMPPLRLTRSSSSNLNNNNGLKASDSFSSQASLESLDSCRKATLSSFFKT SRGVAKTPKKGNGVKTADIAPEEPQPDEELVNYAPRPIRKFANRGFGSQLLNREHGLG YSSGQPLLHTLASDPRTETARFHSRCIDSYECLAPSGSRSIPFCLASAHNAPVTAVGD EEGCVRFFDTSANDDPNEEKDLGVHTVHDNAIWDMDFSNDDMRLAATTGDAALVMDVT TKTVAARLSDGHYSSTRQIAWQQGQSIGNVLTTSDKAGRIRLWDLRCPYSNLRSFSTV GCSETGRRTVTMRDETLTPLQASTVNTLDNTHERTAHGKTSVASVTAIQWLPAGREHL LLSASEAEAVVKLWDMRYINRRHQEKSIPLSSTAVPSNHAWRSYGITSLALSSDAARM YAVCKDNTIYAYSMAHLMLGHAPELKDLATKRRPIASQGLGPLYGFKHDSFAARTFFV KCSMRHKSLSHSSDLLAVGSSESSVVLFPTDERYLRSACAQRAHLLDPPGSAAPTPSR SFSSAAGAEPSSSTIPIFRNGTPLIHGHTREVSNVSWSYDGKLVSASDDSVVRQWQED EGRARYFRQVGDFGGERYFAGWADVGDDWDVEDDE FPSE_00909 MCRFLDTRRGQNNADGFGIGFYTDPKLGSAPCLFTSTIPAWNCT NLQRIASKTASHLIFGHVRATTEGSLSEDNCHPFTHGSLMWMHNGGLGGWKYIKRRLG ERLADKWYLGVKGGTDSEWAFALFLDTLERLGHDPSTCPETGFGPTILREAVKRTIAQ INEMTDAIPESILQNEDVDTRSLLNFAVTDGHSVICTRYISSSKDEAASLYYSSGTQW TTRTQSADDKQYQMERKDRGADIVLVASEPLTFERENWVNVPTNSILTIHRQTVMVHP IIDKYYERDPHHVRSSAFVQAKGLTSNEKNRSDAASPVGLDRQQQDLDGYRKMMSGNI GFGQSRSLSPDVCRQTRKPAPVAAPVPVPESIPVPQPAQVTPPAEQSNKPTPAQGNIK VKRRSVQLVDSNQGSNDYDQISDTDEPARADVRNPKKLSQYFPELTLASHAG FPSE_00910 MPCFIGIIQFLRCRHCLLFKLGCTNNCEELCPTEKQVPLVATNY LWLCEDCHERKANVNLDDRCNEWADLMTDIPKGDAQFRSMMENAIRSREKADDVACER SRVQCNEEIQWVAEWTLEYGLMLYDVLFKQMWDPQQAAERIQQLRDLRVWDLLVVKDA LRSPKVLFEEQWNETYWFISDQFAEQYLLRKQQQKPPARKRPPVPLFPWKDNEDERQS RESSMSSQGLDADGDVTLTDVQDEPVHTKHDSATPSSPIEGDGHPVTDDTAMD FPSE_00911 MTAAMAQPTVTVKDPSAVRKRRRRAPAGGASDDCFSCSKKNIKC DRRRPYCSQCLEVGSECSGYKTQLTWGVGVASRGKLRGLSLPIAKAPPVTREPRKHST ASSRSSSSIVTHGTEDETRRMRQGPIDIPAVTQVASAPTTPFNMAGYDYLSMSHPEHA APMHHQGNWGNINYSPAQETSHNMHRFPMPLVTENLSSSIDPLTPDVGYVSPMSQSYT RDDVSYVHSPSYMYDSYTTSTSSPGPQSPPSSLYVEHARTFAPTSCPSLAHAPSEMSS SLHSHTDTFDSHLGNRIVRDCDSFGVPEVDTYSASYGSMPISWHSPTMQEEDVKSHHS DFAPSGWPTASENQSVHVSQDLISKMPFFMDYYENIMCPSMVFIDGPHNPFRDHILRL AVNSQSLSHAICALASCNLRMKRKLSLGRDTREISEKLMADKHAAEDMADTQPDDLSL AEEYQHRNLAVHLLNEQLNDRTKAIHDSVLATILLLCHYRMVESGIAKFHTQFAGVSK ILALRAQYLTASSNSAWMEAIFTYFDAISASINEREAQVYPGFNGIPDIQLLPIGTEN LVGCDRELFKTISKLGRLNQLSQNRPVQNTSTPARVQELPELSPSSYISPLGHARRES LTRFNVSGEQTGETSILNNSRFSTILNDDLSTPTSAQAMSYEENRSLFWHEWKEARLA LQNWNFDASRVSASLPEPCSQSQLRDLESLSEAFRYAALLYTERLVSPSLPSGHQSIQ SLVSQVVYYATSLDTGSSAEKFLLWPLFVAGCECVNELQQNMVRSKCRDIMFRSGYMN NLAALEVLEKLWAQDWSGDEASVLGARTGDQRGPFNWTKCIGGPGVEVEWIMF FPSE_00912 MKLSLVEVLTDIADLISETGTTTIVVAYDSRFEAKIKKESSYLY RRPT FPSE_00913 MPENPWISSIKQQQRDQKHMSVFQDFFETILARVWLLAREKWML PSH FPSE_00914 MEQYILDNARRFDDLVKRFRPAPIKVKPSDEESNPDITIATRIR PIMDDEKEEGQLIGVFPRQNLPGAVDLHELRRPVRGPPTLVSSNYRVDKVFNSDNTTE DIYQELIEPLVPWAWSGGVSTMFAYGQTGSGKTHTVSGLERLIAETFFSKGIPGTRNI SVSIIELAGNSAFDLLNSRKPISILEDSFGSTHLAGASEFVVTDADSLIKHINNAASF RSTAPTQKNDSSSRSHAICKIRLENPELPQSDDGLLYLVDLAGSEAARDVTEHSTQRI KESREINASLSVLKDCIRGRASVDPAGLVAGKKAYVPFRQSALTRVLKHVFDPAAERS CKTVVVACVNPSFLDVGASKNTLRYGEMLRVTMPKAEVQKYDAAKPSTWPHALLQDWI LKNSGAPPVSPSYLAPEENGMQLLRLPVPEFITRCLKTPGVTLEQATAFQAKFWRLHI DSYRIKPKANSKKASAETEKYSAENKGLSSRDARPEMVGVPFKERLRPGMVVSWNPAD DTRGFYRLPGRNLVMILSPEGDGSYRCAVTVPAIMTGAFEIYMWQQVVVSVDTMKAEV LLEYDIGTRYYYETV FPSE_00915 MASATSFYDFKPLDKRGQEVPLADYKGKVVLIVNTASKCGFTPQ YAGLEKLWTDLKGKYPDDFVILGFPCNQFGGQEPGTDDDIQEFCQLNYGVSFPIMQKT EVNGDGTNPLWVWLKDQQSGLLGLKRIKWNFEKFLVGRDGKVKGRWASTTKPESLEKP ILEALAEKPAA FPSE_00916 MEDRRNIVVVGGGVIGSTTAYYLTRHPKFNSALHTITLLEAAPT VAAGASGKAGGLLALWAYPDCLVPLSYRLHAELAAEHDGPQKWGYRQLGCGSFEAVVS SDKVKSLQTNGSANHGQDGKEWEKLPKQNGAAKELLKAANLPKDLDWVDREIIESWSE MGAPGKTETSQVHPLHFTTSIAGLAQQGGAQIHTNAKVIKINSSKTGVESIEYLDRNT DEKKTIQNVTDVVVAAGPWTNRVIPRTRIESLRAHSVVYDVNLSPYAVFTDIQLPADF VPEHRAKMGQKRRHRGNVDPEIYARPFNEAYACGEPDTNVPLPDTADQVECDEAQCDD IISYISTFSSVLAAAPVKAKQACYLPRHIRFGQESGPLIGRTSVPGLFVAAGHTCWGI QNGPGTGKLMSEYVFDGVAKSADVEKLDPRKFKV FPSE_00917 MVNRESIVPNSGTFEALQSHHAPLRRSRPPNIDTMAAEENRVPL KAEKASRRESRLGLRSLFSRSKTPKDLTIPETPTSNGTFGSRTSLADSNPYFSSQLDV TSHDMPTSPLSPRILSTMYESQQSPSAHAQPRSAGISRIQSPAKVTRGPLSSWHAPPL FKAFPQATKHITLPATSLAPEVILRMHERRTSTTRDDAAEVPEGEVSPAEKTKFKKKH RRNTSGPAPKFEWTNKIYVLVTAGYLLQYAAEGPFDRLPERILHLTKDSAAFASDVIP GRHWVVQISSAAEPDGTPNAESRSLFSRWNFRATERRHASSLLMVFESAVDMEGWIAT LRRTIETLGGKKTLTETGKPKAEDEAAPLRERPSQRTLIVRDPNRLSRIATQNSQSPQ SPESIPSPRFLPLQGRADTSHSIANVTEHEPVADQPLDDISTTNSFVSHDGRQLDNLR ENSHRLSFISSGQRTVVTSAGSSPESSPVVDKFPVTGESKTFLEASPLSPEPKPRPNA AAILDRRQSMQVLSPFVELQGPANIRPQSSYGAQDPKSPTPNFSVPNTSNRRYSFAKN IAQEFGMAPQTGPLEVRSLGRRAPPTTLPVARPLSMVADQPSPMEEMHERPVTRHGDE TQPTMPTSYDLASLPQIPYSYDMHSRRSSLAPIDEPVVEANRPTSSRRHTDVRQRRKS ENPGAFPRNPANSSRPSLEQKTRSRSSLIGADEAARRRTSLDAYSDRQSDSMSAKVRA QRRASVQSVMSDRASQYSASTDLPPPMAPESLPLAAPPPTVPLPPIPTSASNPSLVPD TGRKNLMIRRSMPQLNEVPPPMPPPTCALPPIPPKLQLKA FPSE_00918 MPAIPPSATIPSNSGHHGLLAARQNDDSRTSFTAVPTAYKSADT SLHPGAVAGIVLGAVAAFLLLLYIIYMLLHRGPVVRPMGDGASTVASGYPMSTVTGDT STYLSFRTRRDRRPKNRSRSRGTSRATSRSKRTRSRTTVQSRDRSRRRGSPLVSESQG SRVIVDPPAPRFVQDSMLSSDNEIVVEEEHSVSTPPRRSRRRSQERYRRDPLMGDGYR SSRDYSPRDYSPPRRDSRRRYSRDR FPSE_00919 MLASRLSRALPRASPLAARSAAFTRSPLASRFARYESTESDGKV QGAVIGIDLGTTNSAVAIMEGKVPRIIENAEGARTTPSVVAFAEDGERLVGVAAKRQA VVNPENTLFATKRLIGRKFKDAEVQRDIKEVPYKIVQHTNGDAWVAARGQNYSPSQIG GFVLNKMKETAEAYLSKPIKNAVVTVPAYFNDSQRQSTKDAGQIAGLNVLRVVNEPTA AALAYGLEKEADSIVAVYDLGGGTFDISILEIQNGVFEVKSTNGDTHLGGEDFDIHLV RHLVQDFKKTSGIDLSGDRMAIQRIREAAEKAKIELSSSLSTDINLPFITADSSGPKH INMKLSRAQLEKMVDPLISRTIEPVRKALKDAGLSAKEIQEVILVGGMTRMPKVAESV KGIFGRDPAKSVNPDEAVAIGAAIQGAVLSGEVKDLLLLDVTPLSLGIETLGGVFTRL INRNTTIPTKKSQVFSTAADFQTAVEIKVYQGERELVKDNKMLGNFQLVGIPPAHRGV PQVEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSESEIEQMVEDSEKYAEADK ERKGAIEAANRADSVLNDTERALNEYADKLDKTEADSIKEKLTTLREFVAKNLSGEGT ATAAEIKEKTDELQVASLNLFDKMHKARNDNSGEQSTSEQSQEGEKKDETKP FPSE_00920 MDQGSALNPSPDDGQLEPSTTMHPYPATVIAFIFILIVVSLFIS ILRQLLERRLSQRRGWFLNTTYPPPSPAASSSGGSSRRSKKVKEQKTRPISECEIESR QLLFSDAADRAERGSLYDTFQLPGPHASKLKPARSMMELNGGSPLEGAEEKEGQKKAK TIHWHGVNRLNTAWGWMS FPSE_00921 MDITKFVVQGRDQSLLYGDYSTYHKHCTKRVQSCRRKLGISTKN RGKFRDHDKVTAEQIAQNHEYVHLLLLASERSWAQTMAMKASRATDTRGITGRTRSHI TSRLVKATSAADNLVEALSQTDVSGASQTDLLEATAYARLIRGSSGFEKKSWEASLQN YAVARVIYSALASATTGDLYKDLLTETIDPSIRYAAYQLKTPRTVPIPIIARKAFPQS DAFLVDQINDIDPTILKSDEAKAKDGIASAEGAPKTLTWRSREVNIEDAQIAMAWGAV LAAKERLAANLAEPKGRGPYELAGAYDEILMLSQDAVDATKQAIDEMRAEGVEQGDAR MQSLQITRTAVNFETISWRIGRSRVLTGEHDGALDQYGSPKKGKGKAAQEEAREKDIP TGKKLTKLKEKVALYDGILQNIKSITELPGVANDQGLAGQIAAYVKYFEALKALAIAR SHATVGNPAAALALISHADGLVEESQSNVPASDESSNKTPLNLSVSAEDVEYLQNLLN GELQRHRAIVHVENLRKKHGLSTSDAVKRPLIETQSQYPSGGVNLDHIVEFPPKLSTV PVKPIFLDVAWNYIDYAGKASPKQAPTAAKPEAPKTEESSAPQQAKRGWFGFGRS FPSE_02509 MATNGQPSRSANGVPPWNPAVLLQPNHRAASSPNLANAAHGQFS PSPLQQAQMYQQRPQMSMNSNNSSMVFQFSSPNDTPSAGPSSRSSTPGSYANGFNGTG NFIERMNNVQHRSVVPQPKRRRTEDSDGVHQFMTPQGHGGGGILGQYVTDKRKEANSA TASPSMMTVDLTSGNDDDEVIVQDPREEEVCYGMIKARLGCSRVPSPKPGAQSIWGPN YQPAIKVVLKRQINDTSLKIQAYDHTRQIIGLVEPQSARAVAPLLDSNIHLRTDVRIP PQPKRPGEEPGQPTSRSFDLDIVMYGPVKYARNVGTHLGKFGQKLTAPYLVQKGIRVQ NPHVLEYRPPPPRSYPPNPTHEGQTTSYGSTITNRTVEEIRSEVMGVFDSLTRNDDLP EMEPSSSILTPLLKHQKQGLFFMMTREKPREAQAGEKTMVSFWQDKWGQGGQRLYFNV ITGQSQARPPAETRGGILADMMGLGKTLSILSLIMTSAEAACAWEQQAPVQPEAPEQK PTKHEVLTQQPTLALTPLMQNAKTTLLVCPLSTVTNWEEQIKQHVQPGALTYHIYHGP NRIKDPARLATFDLVITTYGSVSNELSSRRKGKEGQHPLEQIGWFRIVLDEAHMIRES STLQFKAICRLQADRRWAVTGTPVQNRLDDLAALLAFLRLHPFHDRAKFLRYIVEPFK ACDPEIVPKLRILVDTITLRRLKDKINLPPREDLVVRLDFSPDERSIYELFAKNAQDR VKVLAGTHNGGQALGGNTYIHILKAILRLRLLCAHGKDLLNDADLDTLQGMSAEMAID IDDDDDDDNKPALSDQKAHEMFTLMQETNNDACIECSRKLGSNESSNIEAEGQDDILG FMTPCFHIICRTCIKTFKERVKSVTTPGSNSGNCPVCNAYVKHAFVQLHRREVDAEHD GPAKPKSRNAVKNFDKYEGPHTKTRALLEDLLKAKAASEANPDEPPYKSVVFSGWTSH LDLIELALNANGIVFTRLDGSMSRTQRTTAMDRFREDNTVHVILVSIMAGGLGLNLTA GNSVYVMEPQYNPAAEAQAIDRVHRLGQKRPVRTVRYIMRDSFEEKMLELQEKKRKLA SLSMDGQNRTLDKAEAARQKLMDLRSLFK FPSE_02510 MSSGAPRPSGRTSANDYRDERPRGSAANLKSDRSDPRKGPSPQP NFSSAHKRSASVNPRATSRHDEERRFESRRVTERTFEAQLERLVPRTTSPERAHRRNA ASESRNTSKAPKNDIPDARQSRAGAETPQVPWNPEATLLPHTTAPLASRISIPPLAST IPQAPQPKPLGELSLELQEAAIVEDLLFVFMGYEGQYIRFAKGYNPNEERDRLSGPSF RTLPGLDPSLQDLTQSMLRMATYYVALEAFVDVQSREEFGSVNHALCASIRKFLQDYL VMIAQLETQFLTSDTFTVHVLNIHTMSTSQMMLQLYSLAHELLKKNALLDDETDESED SGGDDFENILETLRDGGELVPGNMTGKKICKGGVVLGLITKRLESLSGDPSARALLTT LLRDASKPYMNMLNGWLHHGSIHDPHSEFLIKEQKSIRRERLEQDYTDEYWERRYTIR DHDVPPQLEGVKDKVLLAGKYLNVVRECGGVDVSKVAKDVPASFDDNRFLENVNNAYA HANESLMQLLLTAHSLPARLRSLKHYFFLDPSDYFSYFLELGASELRKPVKSVNTGKL QSLLDLVLRQPGSLVSLDPFKEDVKVEMNETILTKALQRVVNITGIEQGEALQPLANQ PVDNDKNAVGFTSLQLDYSVPFPVSLVISRKTVWRYQALFRYLLSLRYLESQLSTTWQ TQTRGVSWAHKGGSRKLEIWKRRVWTLRARMLVFVQQLLYFCTAEVIEPNWNKFMSRL KTKDESLDSSLGVSTRTVDELMQDHVDFLDTCLKECMLTNSKLLRIHSKLMQTCTIFA AYTNWLTRELEKSDPDLSGTNKPSTMTADQWKRFQMTKAVQRGSTSAQGDSAGSSEAD ARIDNLFEIIRKWESNFSRHLQILLDALNHYAATETVVLLSLCARLSTANQGTEYAGL RQEEEST FPSE_02511 MFMARSEYGMFTLPPLWAYIDRGINTFSPEGRLFQVEYSLEAIK LGSTAIGVATSEGVILGVEKRVTSTLLETSSVEKIVEIDRHIGCAMSGLQADARSMVE HARVESQSHAFNYNEPLRVESCTQAICDLALRFGEGADGEETIMSRPFGVALLIAGFD EDGPQLFHAEPSGTFYRYDAKAIGSGSEGAQAELQNEYHKSLTLIDAETLVLKTLKQV MEEKLDAKNVQLASVTKEKGFRIYTDEEMAAVVERLPAN FPSE_02512 MDRDQATSASAPANQKAPANSNQQDDGRDDADRKRNNIRKRTKT GCLTCRKRRIKCDEGRPICNNCIKSKRQCEGYNQRVIFKHPMGAIQGGPYGPAYYPHG SAHLVGNLQPKPPSQGLLPTIAPKPPSFDYNGHQPAPYGHYAHGQGAAQTSPNPYDFN SSAAAHPYGQANGMVAPEPPMAYNRAQQDPRDQYGMLPGQYGPPYAIDGQADPLAAKK GSVDMGRTESDPSIDYEDIDIPEDDASMGESDDDTQDPRQVLGPVMKQFNGTWDTNGT RVRTFSTFAQCNILSDYTATARITELKDPAMLQIFMHFIQVTGPSMSLYERHPFDHTG ENSFDPTPKGANNLWSYTFPVISLNHPALLHSMLALGALQIAKLQNIPATAAMKHYHL AIRRIARNVNTPLRRTQPATIAATLLLSYFEVWSSDHTKWCNHLLGARILFSEIPLRN MSKKFLPVKRFKQAEKDAQNQNQMDSFFPGLSIPSQSELNDHDYDLLQAISGQNITAE DYGLGENQGIDLSDSVTDRDIEHYENVRDLFWWYCKMDVYQSILAGTRLFMKYESWSQ CPPRAPISKLEAIYGSYDHLMLLLGRLADFSSKDIARKKRASQARGPPPGGPGSSPPP FNGIVPTDGNFQVPRGFTPPKESSPQSDSSEDTDLDESYRVALDEWESIKRGFEAYES KLGPEFQPLKPEFSDKRDSPFGMTMQYRTFSVAGIWMNFYMGMINLVRCHPSMPPAAM QAAGMAASQTGPYSNKLGRIAAGLSDDVSQATEITTLVSAAFIESCFCLFVAAIEYRD EKQRHWVIRHLRDITRLTGWQSAERIATGCESSWMKAAQLGRGPPYIRAPETEPVPVA RWQAPRQIDRFIQEVETGEIRRQIHVPKTERAQFALGLLSVERDFEALDLKDDD FPSE_02513 MSPYCLGHELMVCLAVLPQHGKLFHPWISCIGLVPAVLCEISKV DDATLISADQDPSRVQVKCSTQVQAPNRSSVLMKERLIATTQASSSHRDRALMRGGLE MGAESLAVLPNAREPADCGKPLQLGVYMAIVSH FPSE_02514 MAGAQTMMWIAFGWSVSSDGLKAEAPSKNNSAPGTKSKKRKRNN AASAQENVDSSTVADLWESVIEGKKPEKSQQQKSERSAKRQRKQGKAKKTEDEKPEEG KEDAIATGGEAQPKPKKDKKQKQKQNSEEESTETTKSPAKDAVVKAPQPPAPKLTPLQ ASMREKLISARFRHLNETLYTRPSEEAFSLFDESPEMFDEYHEGFRRQVKVWPENPVD SFLKDIRARGKARQQGKGKPGAPPTPLSKTPLPRTQQECTIADLGCGDARLAEALQKD GKKMRVNVKSYDLQSPSPLVTKADIANLPLADGSINVAVFCLALMGTNWVDFIEEAYR ILHWKGELWVAEIKSRFGPIRNKNAPVTHSVGNRKKNAVAGKKGKGKGADNDAEHDGD LAVEVDGVDDRRRETDVSAFVEVLKSRGFVLQGGREAIDLSNRMFVKMHFIKGASPTK GKHVKEQEAPRGKRPIIRRIDPIDAEPELNEAAVLKPCVYKISCCDEQYSQQKLMSNL YLSNYA FPSE_02515 MTLATLRESIQAESTIPPTSQHIYHNGRLISDDTQTMEQLQIAD GEMLALHVRDMRGSTGVPEQARRPQPRRQARNEQDPELIRLQILGQPALRQQLQSQHP ELASAVDDPARFAQIFLDSQNREQRERQERQREIERLNDDPFNIENQRKIEEMIRQER VMENLQNAMEHNPEVFGRVHMLYVDVEVNGHPVKAFVDSGAQATIMSPSCAEACGIMR LVDTRFAGVARGVGTANIIGRVHSAQIKIGNLFLPCSFTVMEGKSVDLLLGLDMLKRY QATIDLAKDKLCIQGEEVPFLGEAEIPKDEEAAAIQEPTLPGPDGTTIGQRSGAVVPP GQQQQPASTAPAQAAPPAQAPAPAPAPAPQSHPAAQPAAPRTNISPQAIESLVSMGAT REQAIQALQAADGNPDVAASLIFF FPSE_02516 MGSMEVKNEFASAARDIIFSGSVTDSREKKEDEDDGAITDGDAD DDMVNTKRNFSAMRIQKRNSEAGLLKKVIPFHWAPMLQPLTENDIDTCVTLEEVALSE TYRSPREKIEYRIRNGICYGLFNTVRPTDVKKISLSTMQHSRPVEGGRCDGAKHVMFA HVLATLGTHSVITDADMAMPENWRDAKASKGSPLGHQSSGRTICLHSFIVCPEVQGVG IGKTVMKSYLELMNESGVADRVAIICQPYAIQFYKCFAFKDLGPSTEALVGQGYHAMV LELRGPKRKTKEEPTRKNKEDSKDTKPKKPS FPSE_02517 MSAQLAPYKQEFLQAAIAGEILKFGSFELKSKRISPYFFNAGLF HTARLAGAIATAFAKSISEAQKENGLDFDIIFGPAYKGIPLASSIAVKLGEIAPENLD RVSYSFDRKEAKDHGEGGNIVGAPLKGKKILIVDDVITAGTAKREAIDKIRKAGGIVV GIVVALDRMEKLPATDGDESKPGPSAIGELRKEFDIPIFAILTLDDIIAGMKNFASEE DIKRTEEYRLKYKASD FPSE_02518 MKTTWKDIPPVPTQQEFLDIVLSRTQRKLPTQIRAGFKISRIRA FYTRKVKFTQETFSEKFGAILESFPRLQDIHPFHKDLLNTLYDADHFRIALGQMSTAK HLIETISRDYVRLLKYGQSLFQCKQLKRAALGRMATLIKRLKDPLMYLDQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLKSITRADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAIAHLRSAIMYFMDLSEQCGYTVSAQIALFKSIKP LFSNKLVFVVINKIDVTRPEDLEPSVQEELQGILKSGEVELLQLSCNTMEGVQEVKNA ACERLIAERVNQKLKAGTNTSGAIGGRLADVMSRIHVAQPMGGQTLETFIPEGIKDRK KYDKEDPERRRTARDEQDENGGAGVFNVDMKADYMLANPEWKYDKIPEIYDGKNVYDF IDPDIEAKLQALEEEEEKLEAEGFYESDEDDEDDEEAEVLRKAELIREKQQLIRNEAR MKKRLKNQAIIPRKMMKKPLSEFEDALDQLGVDTTDIADRARERSRGRARSRSRMGTE DPDSMDVDGHTSTPRERMRSISRPASRAPTASRRDDGVPDDVLRSKADRIAKLNQKRM NRMARQGEGDRHTTASITKHLVVGKRGMGKTNRR FPSE_02519 MDEIAPEYDVVVLGTGLTECILSGVLSVKGKKVLHIDRNDHYGG EAASVNLETLFKKYGNFREGEEPWKQYGRLNDWNIDLVPKFLMSSGELTNILVSTDVT RYLEFKQVAGSYVQQGASNKATVAKVPSDAAEALRSPLMGLFEKRRMKSFIEWVGSFD PKDPSTHKGLDMNKCTMKDVYDKFGLEATTKDFIGHAMALYLTDDYITTPGQAPEAID RIRLYGNSVARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTNIDEIQYDGDKA VGIKATMTGVEEMKFETKAKMILGDPSYFPNKAKVVGHVLRAICILKHPLAGTNDADS AQLIIPQSQVGRKNDIYIACVSSAHNVCPKGYWIAIVSTIAETTANHHVELQAGLERL GKIEEQFMGPPIPVYEPLEDGSKDNIFISKSYDATSHFETNTDDVKDIYRRATGEELK VEGLREGIQVAEEQ FPSE_02520 MASPSTIRSLAGRMAQASSTRISSAVSQVARFSTTAPQCKRKTK DNNPKRGVSSLYGSGPREPLSMSNIPLPKPRDFKPKIEVDPNHGLWGFFPGQGKLLAT PKETEEHGRAWTVEELRKKSWEDLHALWWVCCKERNMLSTSRAELLRTKVGFGEREID SRDEEVMKTQRAIKHVLTERYYTWQDAVDVAMNDPEINFESSDGQAYTPSAYEDETNA AEWTQPEAESEAAKQIDPVATEAQEAKIEKELKK FPSE_02521 MNPRGCFEGRAYSEMVSSYSGVQSTPSSAFLATVIAPDTPIEQF RQAEQGFATKVAWRGLVCLSKMRRARIVIISLLSAGGTRSSLFEHGQKCLNCTNALTT PFVSGSMQGWPRYSSIRQHGNENINTQ FPSE_02522 MDGPHYPSNGINTTSSGQTYPSPTAISPNQLQTGSPLPQTLPPL QPPPTSATMQNMYGSHPHTPRTPGTPNTPGSASNMPSYQQQQTSQPQNRAGLYSMAPN QYPPPQGYGTSAAMMPQATTAASHPQPIAPAPAGGRGPPVLRPMPPGGIMSQPGVSSP YGPASLMQPQSVMPEGEPPTHVVGSQGRRGILPSAPGRPAAPAAGTGTKNTVIPVKDA DGKFPCPHCTKTYLHAKHLKRHLLRHTGDRPYMCVLCRDTFSRSDILKRHFQKCSIRR GNPTGASHLSHPQAHVKKNQQAQKAAGLAHDSDLNHLNGLSSLPGDNMVHPFGMVPVS DGMNNMAQDQSQLSRSSSLGRLDNGNNADRRNMNGQVMGASQPYGADPNMNQQQMTGY SMPPAQNGMPMYGGSNQNPPSGLDWSQMFQPGAHQTYNNTSFNPNLGQTQIGIKTEPN SGPGTTGDSASDTLLSNLGMHSHHTPYNLLSDQILNFFYPPNQAIDPSSAGMNLYFSP DNVKDFLDKYTHFHIHMPFIHVSTFKVKEAYTGLLAGMCCIGACYSDNVTPSNVREMM DFLVVALQRDCKMMLSNVELQTNQLGHASRTDIEQLQAVLLTCILLLWNGNPQQRERA RQIYPALAANVRRLGLFRPSSDPATISPMHQIDFDRNAFGIQHWNWDTWIDQERSSRL MFGVFLMDVAMGLYFNSQPLFDVMELHLPLPCDDVAWDADKAEDCASALGLHGPDVAR QKNPYGTQRAKQPEMDWALKALLHPSYQIQPGSTNLYGKFVLIHGILALIRRAQIDGH AAQLSKFGTPPPNDWMTQAGGNSGRGTPVEGAAANVDPQSLQALVIALTKFKSNWDAD MANQFPPAVAGSSNPRRHGFSRDGIHFYWLCNYLLKHTQAADLRLAPDTRLAQVMQLL KSLKAWVMNDGASRGEELGSVGEIDEQYGAMDLTLEMAKLFKPLPQVVEDAGTASVKT ELGNGTAV FPSE_02523 MLKAYKNLSSKTRLGVGVAVIAWGAAGLMLTDPLEKSLGLTPTE EDKAELDKYTPKIVTVVKDEKDSK FPSE_02524 MAANYNQVLASSSQPRLEQLPVELMDKIAASLSLEDFKNLRLSS PRIAECTKPQLALTHFDGVPWRTDGQRLHNLSLEPSCARRIRSVKFNMARMDEEEVEE VVQETINDMDLQARWYPYLETQYEFNGEIELPLDLVIPALKRLPNLDTISLTWTVCPW KGHRDIEDIFDPETSIELAEDEIYNTQQSVLGALLERNTPMKSLTIKPFMHTDVNIPP GLEANIPTVLGSVTQLHVEMNYGVPHLWPKRFDTFISFLPSLRDLCVRTHLVENPASD LDFFITKRLEHLEKLKLSCLHFNFVNFANLIKNHGPTLKVVKLQSLMGWCDPFNPAEL DWDMMFRLMRDRLEVLQEIEISGKFSDNVGWHQLFWREDMQWATELIRNWGEMSQPLE KYILEGGEYPRPRWSI FPSE_02525 MTARKKKLSISSIGGGNKKENMKGNGRSNGGGSGWSFGRWGSTR TASTNTLSNLGSPSAPCTGAATANASTNPSPSPSPSPGPSGGQIQGQSYYSTHVVQGQ SQSQSQSQGHGHYFRSHHHLHQHAKTNSAPSHASIVAYKSALNKPVVTVVPLSDSNSV SSVSSSTSTTSQSSSSTTTTTTPTSSCGSPTTTATTTPVSSPSSSTTSPTLRSTPTFT TPVSVSTPKSGSKRTVHFRSATTSISTSTSTNTSSAPSIPILSVVPPPGQDGGDGEAR RPAMQRVSAFLPSWDKRSSNTRSSLFGWSSNRSSASISVANHNSLSRINTTAANASGR VQKEAFWPATLDLECEKAARILKSFSTDGYLVPADEEEDSYSTTSEPRSPKRVTKKIP QRVIQNAAGIAIFTCMRSGLYMTGSGGSGILIARKSDGTWSPPSGIMLHTPTLSFIIG VDVYDCVLVVNNLAALESITKPRVTLGEDVGLVSGPLVSLDSDESHIKWQDMGNTVLT YLKSRGQNQAVNLNGCILAERGNENERFYASQVTQMDILAGNVARDVEEVGPLSEVIK MAEGRTDYDASVIDKIAAECAPGDAMIATPKSSTPASPRTAFGIPNQDDPDPFGVLAL EMAGLEIREAGSRLRPTSSQFDFNPAPSSPAFSKFNRQSIETFVSKSNRNSYMSSRTV KSQMTDAGTQTDVGTTPETSPSPGQSEDGHDRSIRLQIPEVTEEEEEVDYTKVDLTPI RHFSGAQSPFSTTSHSSTMAVEPDTLKVEPRDDTDKASSNYDSDKDDKSSRNADDEGD SESDAGEEDEEEPVVFEVAAVQPARTQAVASRMVHAKGNMVIIPKRVPPPLPMRNPGR ASRSSKSEMGDVSSLRSPLRKEAFTEADLASDNEHTDSESSPYLKPKEFKVEKTKIET KSDDDKSSESEVEFFLAEEVKTEGAKTEDTKIEEPKEEVPATELKVELKDAQTSPRIP QEERPDSPSTPDKKHTSSVYTGVTEDRWSVDGTTLTTPTSDRPFSVINDTTEDETPRK PAKEAEPTEMNEKHEELEKMASKETTPNTITVV FPSE_02526 MVSRTKIETPTKKQQQKQKQQDTGGHVPSPTTSDAAASPATPVA SSPRYKLRARKPREGSPTATPSRRNNGPITPSPYEYLYHQNNGTAESVRKFRNRTMSS TAGKWREEQVLIICPGSRTTMAQLGCSELTPPARRMPTRMFKEGDQWAPYHKTKRTTI VNGVEEDEWLEDVDEDEGAVYPIQAGRIVNMSALLAFLDHVHGLLTTTYHNTPIMLMA SPQWTRPDCETIARYVFENTRTPALCIIHSGIATQYGLKWNNMTVVDIGYEKVDITAI HDGRVVNHMGVCATEADEEISGGEVFTRSLVKLLQDKGFNHDMAEQLKKSNICEVLPY NGTEDNLMELPKENTAGVSAAAPAAGPEATTKAPEAPKPADNGDEEGGNADDDGVLDV AAIVTSGQTKEFLAKKEKEKEKGKGGRKKGEKETEGAARAARLPNSKRTHNTFSYEEI IQEDVPPPVKEKEVVENPTNGTTEAPKPEGETNSAQPPQGEGENATALATEEPKPEEP KPADAQEPTQSIESKPETEPKPDVQPVPETPEKRPKRIRRDVEVGLERFTFAQRREID RIVNAIYRTIQGIDDMYMRPACWDNLVFVGNGARLRGLRENILQTLNARHLVSPSTAT MFTSELPSNVATPTGTGAQTPTGSFTGAPHQLSSSGVNPLLQAATTAAAAGNTGTNMV GTPQPAGSEAGGPTTTHHFHSQTPTSIKTAMLPTYLSEWTKNGFEESMFLGAQVAARI AFCLHSNMDAQTIDAQRLMSLSRVDYNEFGPKGIRTHSMLG FPSE_02527 MDPIGRGAGISPYGTPRGSGAHPALSSSWRVGSPLAEAALAADI AACSDEEYDDSAIDDDVSVSDLPGDPIMYRRPSGVAFGGSRPIMNPQIYDEPGLTALE RKQSRNAERSLLRDNHVLPPKHGHRQRDGFLTRIYQRLFSTKIPQDEEQAPAVSVQPP SETDPLLGSRVDALSPEHLNETWEHAVAEHRIKTTWQREAKTIASYSAPLIVTFLLQY SINVTSIFAVGRIGKLELGAVSLANMTAAITCLAPFQGLATSLDTLCAQAYGSGHKHL VGLQFQRMTCFLFVLAVPVAVFYWFSEGVIRALVPEPESARLAGMYLRVMIFSIPGFI LFEGGKRFTQAQGLFRATTYVLLIVAPFNVFLSWLLVWKLEWGFIGAPAAVAISTNLL PIFLFLYVRFINGRQCWGGFSRRALSNWWIMIRLALPGMIMVEAEWLAFEILTLMASR FGPEYLAAQSVVTTITTLSYEIPFPMSIAASTRIANLIGAGLVEPAKKTGVVAFAAAC LIGMFNLTLYTTLRYKLPLLFTKDDDVIELVAAVMPIVSVMQVFDGLAAGAHGLLRGI GKQSIGGPANILSYYALSLPVSLALAFGLGWKLEGLWIGVTCGTISVALIEYIYLLRT DWHKAAEEAAVRNAAG FPSE_02528 MDRPAKRQRSTEFEAHAPESLQRCISPPRKRARKPVAIPSPWQL TWIQDLPESENKDAVSLQDLLGDPLISECWEFNFLHDIPFLMNAFDPDTRHLVNVHLV HGFWKHEDKNRIALENAAAKFENVNIHIAPMPEMFGTHHSKMMVLFRHDDTAQVIIHT ANMIPKDWTNMTNGVWKSPLLPRMSNTQILTSSPEEFLVGSGERFKIDLLNYLKFYDK RKIVCKPLSDKLQQYDFSTVKAALIASVPGRHDVHDMSETSWGWAALKRCLRHVPCHQ HGDSDIVVQVSSIATLGPKDDWLQKTLFDHLSRCKDTGLGRPRFKVVFPTADEIRRSL DGYASGLSIHTKIQSPQQAKQLEYLRPMFHHWANDSPGGTKLPDGPVLESGRKRAAPH IKTYVRSNKSSIDWGLLTSANISKQAWGEAAQLTGEMRIASWEVGVLIWPELLEPGSV MVGTYKTDVPEVSRSPKEDEESLPVVGLRIPYNTPLQRYTSEEVPWVVSMSHTEPDWA GQSWV FPSE_02529 MRFSPLATLAGLSAFVLADEVKNPVSEPSVFNGKTVPPLLELTP ANWEEQTKKNKFLMVKHFSPYCKHCTRFAPTFQTLYEFYYTSKPQVDDPEATFTKYYD FVFGTVNCVAYYDFCMEHEIQSYPTSILYEDGKVFESLRGIKNMTVLTTTIEKALAKT HPGRPELVELPNPGDKVYPAPKTDEKPAESATEKKEAEAPAKAAAEAEKPLDAAAEKV ASEPAGKTEGKSLDDVTKMPKDEDKSDKAEVVEKTAQDENKHSFGADWKVPSTGQMLK KPKAQNPTPKYNLEGISAPLTPANFDTLVTNSKDPWFIKFYAPWCSHCKAMAPTWQQL AKKMQGKLNIGEVNCEADHKLCTQMGVKAFPTIHFINGAEKAEYKGLRGVGDFVAYAE GALEVAGGVLDVDAESFKELEKTEEVLFVYFYDHATTTEDFKALDALPLNLIGRGKIV KTSDPELFSRFKITTWPRLLVSREGRATYYTPITPDEMRDVDSLVSWMKSTWLPLVPE MTAINAKQIMNHKLVVLAILNRDDEDRLQNSISELKNAANEWVDRQVQEFQLERKKLR DAKQMRIEEAQSRDDQRGLRNAKAIKIDMDSTRRQEVGFAWVDGIFWQRWIASTYNID VRDGERIIINEEDRHKFWDTTPTGNQIMVSHTSIMDTLDKIVYGPNPISPKYTISSFA KFFFDIKMNFVDHPFLSIGFVIAVGFGLYSWFRNRTRRSRGTFFRDDNMGLKDGLLGQ NGNAKSD FPSE_02530 MDFFKKIGEHVENALKGDDDDGDKKPQQQHSQSQSHGGQSQQSS YGEHSQQSYGQSSYGGQTQQSYGQSDYGGQTQHSYGQSSYGGQGQYGGSQSYGQSQGH NEPQGHGYSQSQSQYGQSHEGYGNQSQSQGGYNRPESSGRPQGEDQPHKDSSHDAAAQ YLQRQQAINRYRSFAGESSGNVKWYVDGASYFWAVSQAIEQAQESIYILDWWLSPELY LRRPPAKNEQYRLDRMLKTAAERGVKVYILVYKEVAAALSCDSAHTRTALEALHENVR VFRHPDHYPTGYDFQSELGKTVKALTSFDLFKASGDALKAVYGTAGDVVLYWAHHEKL LVIDNGKIGFMGGLDMCFGRWDTSSHPIADAHPGNLDEIIFPGQDYNNARVYDFANVK DWDQNQLDRTKSSRMGWSDVTISMTGPITRDMVDHFVDRWNFIFKEKYNKKNPGKYHA LELPPRGPSGGGDRRSRGEDDYLGGLTEQFSRGMNRLRSFGEHDAPRHSDREHGSRGG NMPRIQMIRSCAEWSSGHPLERSIQTAYIQSINEAKHFIYIENQFFITATDDKQRVVK NKIGAALVDRIIRADHEGQPFHVWVLMPAVPAFAGDLHDDGALGTRAIMEFQYDSISR GGYSIIEKLLKAGIRDPSRYIGFYNLRNFDRINTSKTMAETEARTGVSYEDARKEKDR ELGGYPEHSSEREAHEEYQGSRGDFSSPRQGGGYQSREYGGRSERNEYHQESRRGYGD SSRGHDDDYRERHSDSRHGRRGSDSDDDRRRDRSRGGHSGHRKSNRRGSDSDDDRRRD NYGQTQRDPYGHPRADPRFARQGGYSQHDSESRYNRRDNDSDDERRGDNYGRQSQPDP YGHPGADPRFARPQGGYSQQGYGGRDEYQGGYSEQSHGGRSDYDRPPRQEYSSSSRYD GRPQSQEYQGGYSGSSSHDNRPQQQSYQQYQQGASKVADRTLDTISACYMDQGPRVTD LRWEGNPEDEINAFVSEELYIHSKLLIVDDRLVIVGSANLNDRSQLGDHDSEIAVVIE DPTPCDSYMNGRPYTASRFAASLRRYLFRKHLGLIPNQRWDQPDKNWTPVDRDPNDYD WGSPADLLVRDPLHPDFHRLWTNTARVNTETFDRAFHPVPTNKVRTWKDYDSFFTQYF IIPGKKDQDVKAELKKGKVEYGHIVQSEFPGGVQEVKQWLSRIRGTLVEMPLDFLVDA GDIAKEGLALNSLTDVLYT FPSE_02531 MTEVRTVTEMAKSGTVAIFSPGQHGHLIPYLAAIHASCITHDRT IAEFLPPLSHEKLLAWWKERIAEVADGKRLIFILLNQTEPGVRPKGPDVVGVVMLYMP SSETGPFRGVVEKLFVHTACRRRGGAKTLMSTLESEATKRGRTILLLETETGSPGSEV CKKLGYVELGQIPKYGLSPTGELKDGTFFWKHLAL FPSE_02532 MSTPPPQPGPQPGPGQIPMPQPGQRPTPEQIQAMQRQLAIDAEK NGMTVPEFIEHIKRQAQEQMRMRAQQQQGGDHGHGHDHDHDHDHSHPHQQQQQGRSQP ITPGPPNPKALALAAFLRGQDLKPRTVIMNGERKDMFRVKRALRALQSPAYEKARKKN PLLPEITDRASLENTFKLLPLSMLALRVSKIEPQAGPNGKKPKRVKGQWTVKVEPQQE AQDDMYYAWLWEGSQLKRKIYAGLALLAIFAVVLYPLWPLVLRQGVYYLSWGLLGLLG LFFLMAIFRVILFCITYFTNSPGLWLFPNLWEDVSFMDSFRPVWAWHETEKKKKKKKS TVAVPGTSVNPALAGATGLAPTSATTTGTDTQVKTGDVQQRHYEAPRVEELEDDA FPSE_02533 MGDRLTQLQDAVDQLAQQFVACLHYVNKRHDLEILSPNDKIREV KDIPKEVDSLPPDEFRAGMVELSQDLIVKEQQIEVLISSLPGLDNSEMDQERYIKELE EDLKIAEAQRQEAIKEKDQILAELDGVIRSIRRP FPSE_02534 MGSTQDMTPVKPVLFFDIDNCLYPRSSKVHDLMADLIDEYFSKH LELPWDEAVKLHKEYYTSYGLAIEGLVRHHQIDPLDYNAKVDDALPLEGIIKPNPELR ELLEDIDKSKVTVWLFTNAYVNHGKRVVRLLGIEDIFDGLTYCNYAEQPMLCKPDPRM YEKAMREAGIERVEDCYFVDDSGLNCEKAKEFGWTAAHLVEEGVPAPKTPVSQYQIQH LRELRNIYPQFFKSTSN FPSE_02535 MAQEVAAQGTLVSRNELLGLADNQRYQIEQYEKIVRLRDAILSG QHPTIKPSNLNASTNSSSARPIDISSSHGASNNQSDKRQQVGVSAPASSSSAKNTKPY GTGSTKIDPIFLEKSDDLVKAELRLQRQKLERVLKDEVEQKKATTKSAVQGEPVVEFD LSQVLSEALTRVQSTTVPDTTVSAADATNLDNASDSFDDNTFYSSQHNTPSSVLTSRV RNESEEAQVPASEPRPPMNYHSNAILQNRPTDTEQIHPEARNRPQPQPYSNPATFAGS FGADSTTRPGQVPGLTAYIEDKTGPRQDASAGQTLSNLDIDRHRGNYGSAQQPPREQY IDSRPPSPLRRINVRSSHDAHTSPLANARRRPLAPEATSASSTGTPAQIAALRNEPIA VTSPESSPQGGPASEKEKRKTKKKKRKADRQAPEAEPTPYIKPEPRSPSPLNAPSYIR PNKRQRYTQQQPDEPGYEEIRYEPRPAQYLPELPHIRQERDDRMPISYERVGFPSQRA ASTAVPGGTVYRREYADDRYVQGGSYAVEQSPLVPAHHQRQSVGGTAGPQVRPHDTYQ QRPSWPYSGGHEASPSALRGEGDVFMTPHRPPPTRIIVDAYGREYIEPPRPTIIRQSA APHARSGEPEVIYERAPPRRPQGAETYDEGGAVYRRRSPSYMPRRVVTQPEYISQDYR DQRLHEQPSRPLGHPGEFVEVMAPPERRRLEDGTREYITRPPSVRPAEPVRYEVARDY GRLQSVRPEVPVRQYAPSVHPENRREAAQPYGREYGALPVEQGVVRQEYSTRPVERYY NQQMRGGEEIAFIEQPRGATQEIVYADDARREVYR FPSE_02536 MSYYQRHHRYAVISRINHAVVACSGDFANSWVAEKGRVPVSGHS CYAKTFEGPVQMSRAISFEVIIWQGQSARTSGVARDGTPDETLRSQELLIFNDESLVA EPVPRALGEKPACMGLPSNQLPCSPSDKSHRHQTRALSLVKDLAGSESRDDKVHVSDT CSGKQTQRLAQELAGGGDPSSCSKVALCPSFVSVSVRGNGTRDSQ FPSE_02537 MNKLANMRHWPERMTPNFSMGRPNMPMNALNHPKNAAQPGQPMA SPQAADTTILYSFNIPFASDLAGPDTEDILHATTDAVLRWTHPEDAPDDVQIHQLPIH VQNLNTLHTMCQDLTNGPLPVDAYVLSTMPKNGKGQQVATVCLSGSPELVHKSRETIL NDTPISLRCTTIDIDGHLVCDLNAGLLKTDVTNHLDYISKYCGVDIFLLGPKLTPVVD GMTGDAELRMDQRWRVAIYGDILSSEHAKARVLIQIDTLLGRIVDMTKLDVSCHQLVC GRHRKNIKLIESSTGTAIYFPPPFSAMYRYCPPNATRRDPSDILITGETPQAIELAKQ KLHETVQRIRLYVKDVTIPAAKIDSILLGRLDKVRKILEANGTFILFPSLATQRNMVR VQGSEGLHVERTVREIMSLAGQFYSAGWYIQHADARQFPGPRDMRSMLVDICANSDAD ISFDRLNFAITGSDDAVKSALQVISEMKFVSQSQYQIRVKIELANEHKEFVSGKKNGK INKIMGQSNVQIIFDGFNEYNFNIDVMAAAYDSMKQGLTLVEQEMPASISFHVPDQYH KRIIGIGGQHIQRIMKKHSVFVKFSNAMDRGGMGREDDDIKVDNVICRTPARNAQNLD AVKNEILEMVDRADSEYTSQIVSVDRLYHRQLIARLPEIDGLEQKYNCKINFPSTEQA SDEVTVNGPQWQVPHCVDEFLGMVPDKHELVLARTPELIKFLESPEFVHDLVPKLKSQ HEVDLSVQQNTDELTEDGKPTITLLWGFTRNNAGGLRDAMDFVQSQFATSGAEINVVK GALPRPKSDSFEDSLQYFDSKLLQHAPAPVAADSPIKTGFGDEVARERSSILDRLRKP GSMTSISSFLDRRKNSSHSGNSNFFKGSSNVSKSSLISIESTRSFNADRNPWNDSGVN LPDNDDPWAPRTFGTHMDNKLSIPQPGDVTPRHSTRASGDSGRPSTSHSMNSGYPAPI GPFR FPSE_02538 MSQDQDMTTSIKNLSIKTKEAPAQASTKPAAKKKNAKKVVADSW EDSDSDSESGAEDESNKPTPTITPAPPPPTPMSPVGDLSWTSMSSSPGPGPRAGTDPD KRPEKTDAVARRMIVAGLGLKAPKQTEEQKAYQRSVREQEKKKREQEREEERKRQEET EKAKAAVWDD FPSE_02539 MIPRSRHSGRLILERSLVHVTRTSSEAAAARWASSPAYRNHHIC GRRLPAIQPRLVAAAFSTSARVAKEKDNSDKGFFESAIEPLTEPLSEEEAKANVENKR KEADGTILAESKNPGPDSPGSGKNDGGSQSQDGKAGSAAGGAGSGSGGDNSGGDGSRR GRKPSSEKALQKPVVPEVYPQVLAIPIARRPLFPGFYKAITIKDPEVANAITESIKRG QPYVGAFLFKDENEDEDVIRNPEDVYDVGVFAQITSAFPIHGQEGALTAILYPHRRIK LSSLLPPGGQDTAKKTDSKTDTKAEPAPEPIPQKPAEEEATPEKKGDVVASFEESAVE KKPDQVAEKYEPTSFLKRYPVSLVNVENLVDEPYDPKSPVIRAVTNEIVNVFKEVATM NNLFRDQISTFSMSQSTGNVTSEPAKLADFAAAVSSGEQKELQEVLGCLNVEERMQKA LVVLKKELMNAQLQSKISKDVENKISKRQREYWLMEQMKGIRRELGLESDGKDKLVEK FKEKANSLAMPEAVRKVFDEELNKLAHLETAASEFNVTRNYLDWLTQIPWGRRSAENF GIPHAVKILDEDHHGLKDVKDRILEFIAVGKLRGTVEGKILCFVGPPGVGKTSIGKSI ARALNREYYRFSVGGLTDVAEIKGHRRTYVGALPGRMIQALKKCQTENPLILIDEIDK IGRGYQGDPSSALLELLDPEQNSSFLDHYMDVPVDLSKVLFVCTANMTDTIPRPLLDR MELITLSGYVADEKMAIAQRYLAPAAKETAGLQNADVTLSEEAVEELIKSYCRESGVR NLKKQIEKVYRKSALKIVQELGEEVLPEEEALTEEGKSALEEAEKKSKTEATVEGKEA KGSSSNETGAATEKPRKALKVPDSVHVVIGKDNLTDYVGPPVFTSDRLYEVSPPGVSM GLAWTQMGGAAMYIESILQAPLRPSTRPHLEITGNLKNVMKESTTIAYSFAKSFMVKQ FPDNHFFDKAKMHLHVPDGAVSKDGPSAGITMTTSLLSLALDAPVNPTVAMTGEITLT GKVLRIGGLREKTVAARRAGCKTIIFPKDNMSDWLELPENIKEGLEGHAVAWYPEVFD LVFPNIDKEQANKCKICEWKAQQKKSDAEPAEEED FPSE_02540 MAQAGVIPSEPSQPLHTPTALSGPQGFFRKLFSRQHGSGSLSKP VEQPKDIQHEDESTDTNKGGLISRMSRRVVPGLPRSQTFKRQISERRTNLSPVQPTPD ERRAVSMDRRSNRARSSSAQPAINPRLSAPSFLASPLDETPRFVPSISVDNVADVSSQ DSTTESLHRDDDVTLADDCPVQDSLSTADTHSISASQYEALIHEELEKTWILNLSMHF RDRSRREKFFVTYRERDHLWRRVTISLDYRDAPPNSLEMDLIHTHFQREKSAKIYEAI RESLRDIQFYDTVTNLKLQTTDGRLHVHVVEDGNEIIQYPTVAQIKHLGCRRIRERDI VFESHMSGFVYKVNVNGHTLIKKEIPSPDTIDEFLYEINALNALRFSRNVIHFYGVVV DDDDQHVKGLLINYADQGALIDVIYEHCKDGDYGLPWKTRERWARQIVEGLSDIHESG FVQGDFTLSNIVIDGYGDAKIIDINRRGCPVGWEPPEATPLIESNQRITMYIGVKSDL YQLGMVLWALAAQEDEPEAQGRPLILGPEVNIPDWYRQMAEVCLSDDPRMRLQAAALL EMFPQPGESEGRGRLNPPESVADEGYTLQQYLGDGYHPNNLPQITAMEPSSDWSYVNQ PRPDASPARYEPYRYTRGRSPPSPLPSNCNNYDSHRGSYDVAAWAANQNIPSSYSDVG PDGTPVDETPLADRTGLVDYDALTAPDSTMGKRETPATSTTTPSPTHSVHGKALPNIN RQSLVQEQEQRIDSDKGKAEVPHRELVTSVTKPGNISPGDNDTVVREHQQDRRKEEMA KDDIKTQTNVIPPEKRAGLVEGKVKVARASEHNPQKSLEDLPKNDDRAKEPQLLKDGN KTETDQRTSVDEKDVPDGKAEAKAEQTTAKMQGSTAPGAYQTTPGCTKKKDLDAGKAD RAPEREATDDHVKGFGFSKPIEDPRLTVPDKPGQKKHTNYDKSTGVKPPRGL FPSE_02541 MATATAAGKNASPFPDGTKDYVPLRAGAAKKDANKPHISDTPMT WSNWPQHINWLNTTLVVFVPLMGFISAYWVPLQLKTALWAVFYYVHTGLGITAGYHRM WSHSAYKGTTPLKIYLAAVGAGAVQGSIRWWSYGHRVHHRYTDTDKDPYSVRKGLMYS HMGWMVLKQNPKRQGRTDITDLNEDAVVVWQHKNYIKCVLFMALAFPAIVAGLGWGDW WGGLVYAGILRVCFVQQATFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYHNF HHEFPSDYRNAIEWWQYDPTKWSIWLWKQLGLAYELKEFRANEIEKGRVQQLQKKLDQ KRATLDWGIPLEQLPVVDWDDFVAQSKAGKGLVAIAGVIHDVTDFIKDHPGGKALINS AIGKDATAIFNGGVYLHSNAAHNLLSTMRVGVLRGGCEVEIWKRAQFENKDITYMNDS AGQRIIRAGSQATKIVQPAASADAA FPSE_02542 MSVSIPPSPGEETPAFDLNLQHGHKDLVQAVAFNTYGDRCATGS VDGKIRVFNRHKDGTWRLCDTWTAHGGEILEIQWLPATVYPNLIASLGIEGWFRLWAE DPSAAPGRRFCTGRAGNGKPAFDTRSNKAPYRSFSMKHNEETRHTYLALLATDGRLTV YENDQPENLSEYASIDEFSVAPKPNRGEELAFRVRFDPNPEPCYTALRAGVPSDSLGL VVATMDTVKVYRSRDIVASSMGVQQTQKEFYLAVELSGHRGLVRDVAWAPGNIRGYDV VATACQDGYARVFRIETPYSDDDGKSWSAADLLRSAPHLSTRESTPQLRTNGTATPTE KQATTPQLQPSHSYHQHHNSSLSASLAKSGSHNDRQWSGQPGQVKHKFAEISKLDNHR TPVWRVGFDDDGHILGSTGDDGRLLCYRQTPNGAWAKSSELAVQKARMATP FPSE_02543 MAHLLNPLATASQLYHKSSFSSLPQDLQDTIFITSQCLTQVAGQ LLELPQSVTAQANVILARYWLVGSPMANEFSDTSAAALYLVAKMGPQPRSSRDISNVY AYLLSENSSFLHVQSSPKNDPRSYYVPEADYHSFQTRILAIEARILYTLSFDTHVSLP HPLAITYLQTLDFLAQPKSIISLRTIQYLNTALLSPQMLYLTHQPHALATAAIYNAAR DVGAKMPECEWWEVFDVDREELGFLVVGMRSVENYLRKFKEEMPDLSTSMPTRKLIDV ELQKRGVGSGNVTAVDEEQELMNMMDSK FPSE_02544 MPETTTPQVPASLGENRGIKFSFKTGNARYQCVLQDRSVYERKK ATRQNSSDSVSSTDSTQTEKSSH FPSE_02545 MPNHVILASGAVVAVSVAVATAVAIFESPEVRRYADDVRRRIAI ALHSMGEGIDPPYREPRFNRPEDADGFLQTRGGAEAGVDADEETRRRQREELLYWNSV MLEKQEKDQKERGETSPSVADSQRRGSSFDDFLRQDDGAEQGTYVFNTGADTRGMDEG LRRRGDGPRGFTPLYTNPFADEHHIDHDEINDEPEQTRQIAPAADEVSDIYSATTQDK DEKPTPAVLIDADPTPARSESASTATLEREIGVDEYMTAGQENRDEAYASIQAWAQNT STDFYSPLPVTPTAPMSEPEIISDDGMLTPTDSVSLVGSGEDIANDAQSSRADENGRY YDVMSESSGMATPASWSEIGSVISESDAPVPVRR FPSE_02546 MPHLPPITPAPTAASIFSQAPVMTPAIQVPTHSGPRDGPDIPGA GKFKAIKLRLELRDLSHPGSAVFLSSVNAAECLAKAVQHVLALLYESPTCPTTTIPTT RSVTVILRSMSGVAYTTGSELDSDHKEIHFSTDYISNIHPISRRSDEINGVLTHELVH CLQYNGHGHCPGGLIEGIADWVRLHCLLSPPHWKRESGGKWDAGYQQTAYFLDYLEDR FGKGTIRRLNEKLRIQKYEEKPFWTELVGRPVEQLWGDYKEKLES FPSE_02547 MADTKLTDQQVADLNTILRSDSPLDAKVQYVTIIKSGIKQHNVP ESSVAQLFEGLRAATTSQHAALVNAGFTALNHLLTRLSRQDPKLLSKEAARTLPLVAD KLGDQKDKFRSLASHSLVTLFSVAPADVEKYVRNTAMVGKNPRAKETSMHWLLQMHNE NGLPFRTYVPVLMELLEDADGMVRDAAKNTVIELFRSAPNAAKSDLKRQLKTFKVRPA IEQAIVKELIPTSSRPETPAAPVEPTPEPAPRKTFSASTSSAAERPITPGIDTKPEVL EPLYVNTNRELDDMIKEMAWFFEGKETEHNWLKRENSVHKLRRLIAGNVTDFSDTFLA GVKSILDGIIKVITSLRTSLCKEGCGLIQEIAYTFGPAMDPLIEQLMQCFVKLSAGTK KISSQLANMTVNTILSQVTYTPRLMQHIWFACQDKNVAPRTYATEWLKTILKKEGHHK HHLEHTGGVDIVEKCLKKGLADANPAVREKTRSTFWVFWGIWPAKADAIMADLDGTAQ KLLNKDPSNPNSSKAAEPVARPGLGLSKSTMGTSKPSSIREAMMAQRKANAAKNLPAR PGSAMAQLSPEKITTTASSASSKSSGTRSRPETGGMSGAPMRPSRKRPEMAARPATAG PYSVRDMDPGSPESVRSKTPKPRETTPKRTVPRTRPGHASHASESSIASPSSVRTGQK SAASPRASPTKLKQSQSTMLSMSSPSRADEDFTMLVPSMANFRTSQRPAPPPQRAASV PPEAPEELSTPVMEIAPQSISQAAAEPTSEPALEPKPEPKSEPEVIPTPVVEPLAEAV DQIMDEAVKPEEPVHTIPEPVVEPTPEPSAPMHVEQVPAAPAPTLQVYEDPFTDEQTN SKPTFNLPVLEDKPVNADSASLPSAHAQSPVTQNVEAPDRAKQSLRLLESGIKRIKAK TLDVHGFRKLQSLLRDSKGIFADDKFEALLIGLFQYLEDPLSGTSPEKAQDIKAQILA TIRLLLKKERDNFQPHVSRGLESLLETRSAYDIRAHIVSGVEVLADELVTIGDGSEIV VVLTKRLQNVDSSTTEGSRILSTGMHVLRTMLDKRPNFMPTGTELGQLAALAGRCLAS ADSGVRMDAVQLCVALHSRVGEQTFWDALKDVQDDPKSLITYYIVKRQREQAPTIAA FPSE_02548 MNQRTKSADQSKNKHNNADLNEKDQFDETEDFDELLAGLKNGQG DDPYIPLDFENEDSDFDEADEDCEIPLDLQESGFTETTETTEPAEPIAPAEAFKKGNK PKPKPTQKRKKLRHLCMVPSAADLLAQTDKDNPIPEDDSDLLSF FPSE_02549 MASIVEDYLESPGMEQETDDVFPCKGCGDILEEGKAFELAGNRW HLDCFRCNTCGTLLDSDANLLLLGDGSLICNNCTYSCSACGNKIEDLAILTGEQAFCA TCFRCRNCKRKIENLRYARTSQGIFCMGCHETLMARRRKKSKAAAAAKAREKEHSPMI TEKSLPALPANAIPPNAFSNDRVDPDSDTATELSPRPRNHTRHESSSRSSSRPARSPE RKPDGLNLPATTYRSNRNSTMMSRTENSNDDGFLISVALDPSPHPTPKSTSDTMAEGK SKDRDYFGAAKPPSEKRSDSRTSTPHIAFQEKGRQASTSEHDTPPKLPDRKHSKPPRP ESMKPSPAVDEKTQKTSGRRPPTEDFKLQEAPKSKKLLSRSSSQSSGVPAETSSVRTS NGQPGKAGHSGLPHSDSNATTPASRSSLESRYLDEEELRASMDSSTRTSSRPENAKPL GRKDVPSSSSKSGNYSSKSRKYSQALTERIANGSSRQQSRQESPEDSTPTRQTPSRSI SEHKKTDTYMQPRSAPAPPNRGHAAGKEGSKDDGSSPKVSPKLPRWSSGGDLNMDEDM ARILGTDEGSSSILRRVSNAVRHGRTGSVESPHQMHPGNRHTRSISETTRATGSPRWP RTPVADDYHAQDISSPMSLTAGDDPAFLKRQLRNSEQRVAELERQFTTEKDLKSLNKK LVEKRKTVSVLDTQTEIMIRQLEVLAGYVERAKETKTPVDPRDLEESAIKEFVQKLDK VKSAMSATIEQLHEERDLLCEEKDQAIADRDRALLEFEQLSSKNAQLADMNNDLTHQI QERFKSQIGGDIKSPGALGIYAGKGLNASSINLDAASLSTGATLVPADEDTIVEAGPT VVQVRKGQAKKFNWKKGSKGLAQGVAKGVNRAVVAFQNDRERMQQQGGLSGENIGMPY NMTVAQVEAPAGLPSNGHISHEKHGAAGAQARRQEERERERQGFGFFGKKNAMPKSGS AGTMNNVEAAEPPTVLFGSDLAERADHERRQIPSVVTRCIEEVELRGMDQEGIYRKTG GNSQVNMIKDGFSKDENFDISDPDLDITAVTSVLKQYFRKLPIPLLTFDVYERVLESI AIVDEDERSDHLRKTFASMPQRHRDCLEFLMFHLARVAQREPENLMSPKNLAVVFAPT IMRDTSLEREMTDMHAKNLAIQFVIENSNTIFEDA FPSE_02550 MLMCYIHEIQIDDPVLKRSDVISIGVPDSSTIGKIAASGYSNGK ETKKRRAPYFMERQLETGKSDVVGVSRYASLAAKGSDHLSVMRKIKPDVPRSSSLAST STTNKAVYSIVMVARDTSSLAKCDIWFDYKLTQAIRPFDAHIRGKLKFQGRGRDKLQW KPSGPLLSKPIHAAMPTGLCPFLTLALNIFSGSLVS FPSE_02551 MAAKHQNEGADAASEAKKPKHGFRVGPENLPDGPWRRKVTKVKK ELIHKAKVKKAYAKIKAREQENAQPAKTSEPAHDEAPAEGAGEDEQPEEEGEKMHPTR HLMLADEGKAQENSVGEPSSDGNRRRTRRPGYYEKQLGKAAQLRQEQEARQAEFQRRR EEREQKMADRDRYKKAMAKTRDRNGNKKLGRESSLLLDKVRKMVAEKK FPSE_02552 MPIFQTDLDNHEPEPEPEHVEPSPVNLPSSGLRRLSEESIRTEL CEGLVPDSPPRSKTPQEVEGAENAISDRASLIERLKRAQSPPINIPNRHLESVIQHQT SDIETKHPQTPTEPSTLLAPAQITPEKTDSYSDFDNRLRDGLSIERPRSALHSGNFSE EEAVAKDHTTPVAKAHNGRYPAPAETPWIATSPPRHFAPFQHDKGVPFSASAESFRST NSPLSSSLSSSFIYKPPTSPLVQAQSSEEHDMSLPRDPFSFAGYVGAGHHTPRSTASS PWMSPSPRYSLPQRVSSYRREAFPYQAHQPRRSLTSTPSFMQPGASPPTPALFRPRRS SVAAEASPLQHASMVGSYEESILRGRMSTTPSKPLDFVAQIGVLGKGKCKSSLKCPPH VSLSFPAVYYSYSSTSHGRSNSDDGPSPYVGQIDLENGLSNPDDDHRAKKKAQSRYAE RRPAEDMMDIGLENQASENLSRRNSRASRRSGSGSAKAPPGGSYRIPEKGQIQIIIKN PNKTAVKLFLVPYDLTGMEPGTKTFVRQRSYSAGPIIDHAPTTAEANTDDRPILRYLA HLHICCPAKGRYYLYKSIRIVFANRVPDGKEKLRNETTWPEPRYTPYKPIRVMHPPLP TQSGPAAMLAADKASRRRSVGFLTGSSHNFDAMDNMFRTNDSPSGGSAGGNTLPVDPI PFYLPGHARSSSDVSNSTNTTAALGNDSRFPGESQSSRPSTKDSSNDQSAGHTLYEKL NKGEPGYGGNAFALNRPGSISGAEGLLSQRLRSLGVKQPSPPETPRQDDMSP FPSE_02553 MIERTIAALESRSLHRIIHKSSNPSRKLHAGFWQHGAAALDLSG SLPGSIRAVDAATPEPETKQLQANLFASVLMLDFLYPTSTLPPLRCVYHGLPNPQHAQ RTAIVPSRRAYSSITPPPSNEEEDATDRDVSPGSDSKPHELQPEEGTNIQIGTETMMP SKGQTSLELLKRIMTTKGRHFQQAWDLYSGLETHERRAVRSSLVSYLSQSHSIVETGR ALSIFRQVPVEEWSNNLLSSGILLLLRSGDLPAAVEAFKTGLTSNRRLSSGVEFLMAD TINSKNWSVALDVWASYYKGEVTKTRPNLERLQQLGSLAQKGDLYFDFRAYLVGEGKD QHKEIQNDMVAKRALSALRRFFAILALREPCPPAQASIILEALRDNNEYNTYLNTMFN RWYDKLEDRSTMEQLPAIYQKQRELPNASPAMPVYRGMFKVNFPNNRARLEELHHDWV RFKGGLNQWGYEKFLKYYASRGDVPSVKKLWDQFIKEYPELLRESRGFNSLINVHAQV GDVEGVKEVLGTMVDRYNVQPDLDNWNMLLKAYMRTNDYDGVLDLFDQISSQHEPDSY TYAHVMAMSSKKGDLDTTLEYFTKSQQAGVPITREMGLALVVAYGRNGQLLEAESLCI EMTHRKIASQAIWNQLLNFNGVEGKIDKVYELLRRMRELGVEWDDQTYQFLLQALVRV NRIHPAYNLLKRAVDERLFLVTPEHYAIVMATGARLGEAQLVENLFHQLKKSELPVTF NALVALVTSAANKKPGADRTRGLANEFVEYFRQAAEAAQSGKAPSEDFADASNVASLK LSTPEIGRAIALLVELREFGSIEELMGLFVQIFPQYQTGQQFPPEIMSALMHAHYADE NYDKVLELWEKTWQDVYKSSRKRSGDGIAGGTEYDLCRVVDVMARAYAEKEDGKGLSD TIDKVIAAGFKLTRQNWVIIIRNLSELGRWERAMYWCETLLMPGWDGWAYKRDIPAKR RNTRLLSPPHKLVLRLQQKWLEMRKMAAWSPDVSRLLSTVEEKYPRLHHAFTTSEIES MPTTYSVNGKEISAGELDGVLQGLPYQSLLKVKESLKRDLEKQRKVERSLGTPKETVD KAEWKKMLHDKVHRYSKMWFSARKKAYDEQLTNLRGFDSTGAVISIEAKEMGQEDADH RATREQFAYWNEFWDRYDQRRHGYVPKQPTRPSGYHRKNPLEAQKIREWRNNRNGRNT YDN FPSE_02554 MTARVAPGSSSYTEPSTTMSPTADHDVLRGRKRERSMTRGSVRT FRAAPADESSNLRGRSRRRAASPALSYTSRPSSPRRVPSPTRRRLLHARLRREHCPSR VASPIEQQLQQQHRPVRRRQRTRSQSRGPRLEMELGSQQHTDGFSGLRNEVRASSSDT VDEAKSDA FPSE_02555 MPRSRPATTGYERLAQADDFSDDSDEDPLAQSYASLQPAAASRY GPVSQPRHRSGMASPKSLTSSSQPKFRHRSGSSAGVDLKAINARLERWADEIASRFKR KGKNQQGEEERLEIHYSVFQPPEGIRPVTAESTAVPHEGVMSKAEFETIVESVRSAIR QEVHPSMISQGSSGSYFARNPDGKIVGVFKPKDEEPYAAGNPKWNKWIHRNLFPCCFG RACLIPNLSYVSEAAAYVLDCQLRTHLVPYTDVVWLASKSFHYPFWDRRKFHRKKTPL PAKPGSFQVFLKGFKDANVFLREHPWPDQYWSGFRTNDNQNKKKKRWTESCRPSGNAT PNDGYNSDDEEAAQGANLLGPDNFIWTENLKESLREELEKLVILDYIMRNTDRGLDNW MIKVDWETGKASIASDPIQLNMEPVAEEEGPRPVDLSQQGPRATRASYPYKTQRPMSA SSRKHVGNEPAITVGAIDNSLSWPWKHPDAWRSFPFGWLFLPVDLIGRPFSQKTRDHF LPLLTSTSWWTQTVLSLKRVFQMDCDFQERMFAKQVAVMKGQAWNVVETLKTPDHGPL ELTRRARVCVWDDLVDVPVAVPMRNTSSEVRRNPHVRQSMDEADIASSAPASNPPIED LLGLASAPADMPHPGRFELSSPTEETVPSLGEQPSGETNFLASTGLQQHSGDVTERPA ARPAGVRNSYQGPVRALNMYEPARQHTSRQQRRYSFANAAARHNSNSIAQQYYGDTEH YTDDLEGDLGYAAAEGQMGNQRKVIVERLEAVKSRNPVFECW FPSE_02556 MPAGPSRLPHWTLVFVPMINGKDGTPMISGPKVNSENDGSRDEI SRLEVMHGVLSGLFDRRLIFPPIRSPRRVLDCGCGTGDWAMEVATQYPDSEVLGIDIS PHMIPENPPDNMELQVDDLNGRFTFKPNHFDLVHSQMLAGGIHANRWRSYLRDIFRVL KPGGWCQMVEIYFNAQSDNGTLTPGRPCFIAVVKTISGKSRTTPRPAGTIANGELDEK CGLYRSRIETHDITDVWLVEQYDGMMFYSCFEADRRAVPRDYEIGVSNRDNVSQLLSS LALYPFTQMLGMSFTDFQLLVAQARSEASNPAFKAYFPL FPSE_02557 MASRHSMQLFRAVRTSQSTIRQPVNRISACRFYATSTEDAPAPL LSKLKADLKTAMRAKDTPRLTVIRAIMSANLNASKTSTPIKTDVQLVALIRKIQKGAQ DAAAEAKAANRDDLVQKEEDQIKVLNEYITNSGVESLTEAQLKAMVQGAVEASKAAGV QAKSIMGDVMKRLSGALEGKDVDKKELSKMVKELTG FPSE_02558 MTSRQNISSGSAFEEQIGYSRAVVTGDWVFVSGTTGYDYATGKI SADVTEQADQTMINIATALESAGASVSDVVRVKYILPDRVDFPKTWPVLKKWFGEVRP AATMVQSALMKDEMKIEIEVTARIGCGKQDKQATVGTSRGVSN FPSE_02559 MVALLSGAVYGASTIAGGAYLPSTIIDQFKFQDWHLLQTSLGAV ASSAIAEHFGYIKLKPRSSSPIGLFGQYDGNVIGGFLLGAGMALSGSCPGTLFAQIGA GLRTGFYALGGAVVGGIAYTGYVAQAAKAQREKADVKPQTVTLDENLGLSKDTTTAIF ESVCFNAIAASVAYTAGSDWSLFSAGSGLFIGFSQLFSILTRRSMLGISGSYEEFGNH FWWLTRGDSWPSSRQNTLFATGMAAGAWALTKTFPSFVPIDIVEVDPWLAGIGGFMMV VGSRLAGGCTSGHGVSGLSLMSTSSLATMTTAFAAGSLIAPLAH FPSE_02561 MPRALTLQHIEGAKPGKVYYPLQIKDVPKPTPGPNEVLVNLSAA ALNHRDLFMRRHLYPAISFTNPILADGYGTVVALGPDVNRQELLNKPVILTPMRGWDS DPAAPEDSRKFAVTGGSKLTEVGTAQDYIVVSEEEVELAPEHLTPAEGAALPLVGLTG WRALVTKSNAAFPGANVLLTGIGGGVALQVLQFAVAKGCNVFVTSGDEAKIAKAKEMG ARGGVIYKNEAWDKELRAQLPADRPYIDAVIDGAGGEIVSKTVRLLKAGGVIVQYGMT VSPKMDWLMSANLQNIELKGSTMGSRKEFRDMVAFVNDKKIRPIVSRTVKGLDNIQGI DDLFQDMDKGRQFGKLVIEWDSGNDAPSKL FPSE_02562 MPSTHNVAKPWDTEDIDKWRIEPFTPKDNLAGPFTEESSFQVLF PRYRESALKESWPLVTRALEKLGIACTLDLVEGAMTVKTSLKTSDPSAILKARDLIKL LARSVPAPQALKILEDGIACDIIKIRNLVGSAQKFVKRRQRLLGHNGATLKTLELLTK TYILVHGNTVSAMGPYKGLKEIRRVVEDCMVNIHPIYHIKEAMIKQELAKDPKLANES WDRFLPNFKSKALSQRRVPHKVNDKAKKVYTPFPPAPEKSKVDKQIESGEYFLGKVGK ERAAQEERREKQNKRKEEKAKEREADFIAPEESRPKKKRKKTVE FPSE_02563 MSKARGAGGVDQIVKLIVGAGQASPSPPVGPALGSKGVKSMDFC KEFNARTAHIITGTPTPCRVTVRPDRSFTFDVRTPQTSWLLLNAVEAPMGKKGKRKGA GKPGHETVGTITLKHVYEIAKIKQSELRLSGLSLEGLCRAVIFQAKSIGIDVVA FPSE_02564 MAGYGTICLMTYTNKYLCLTEEQQQGEDIPDDLVDEENVALKNV TKKERHGFNHNEMQDVCGPVPTLESLPEFYQDKIQQRDEWYRSILEGRHEEVEFLKHL LEIGDKTAVRIQKSRAKFGPRSHNRTERGIRGHSRTNKLDLLIATTNIPWSDEEGAQL KSRCTSSSYLAYKLRVTAMKRHKDSRKTRHVDQRKSQAIEGEAQASQNGNPNFNTTPT NSSGVPVLLGSVNPQYGLQQVRITVKFRFCRGTFQQP FPSE_02565 MASPNPSQELSHSQDASEAPKLSQQSHIVVPEFKDDTPEDTSGV RFSSAVEEISPTQPAAVEPSPSNLEQEDGDQSSPFTEVTADQLKAFTKSLHGRPLQEL RLNNCQFEAFSLPPSRVPSHEDESGQSTRLPTPTSASFQSPHSSPQISSLASPPLTPA GSGQASSDRKSREGSKANEPPIITPQPSSSHDRPSAAPDRRPSAQRAASDHVVRRASS AEEQHQPHRRGMFGGGAGSVPASRESSPSRSSASHFYSKPFTPGGDINDPYAKGRRPA PAAHTTKHSIDPRFIFSRKKKHSSPHGSKANLNEKRGSSIFGGSARNSSEELTHNDSV TTGSLHTGHGSMADLKRFFRKGGHHNKKRESSPVPSIKIGSKAAPATPKSTQQLPFGD DHGLSSKYGKLGKFLGSGAGGSVRLMKRKDDGTVFAVKEFRARHTYETEKEYNKKVTA EFCVGSTLHHGNIIETLDILQEKGRWYEVMEYAPFDLFAIVMTGRMSREEITCCFLQI LNGVTYLHSVGLAHRDLKLDNVVVSSKGIMKIIDFGSAHVFKYPFETDTVPAKGIVGS DPYLAPEVYDSKEYDAVAVDIWSLAIIFCCMTLRRFPWKVPRMTDNSFKLFAADPTPG HDPRKLIAPSKSTNDLTTTPARDFLPEDETKKLPQQDRKADGPSDQANTASDSKTSEP KPSGSGEKKEVIRGPWRILRLLPRESRHIVSRMLELDPKKRARMDEILQEPWVADTVI CQQFDHGEVVPAEDHTHILEPPANQQPEKK FPSE_02566 MAGANIVALQATSIKSIRALAPLLDRVLVQRIKAETKTASGIFL PESSVEKLNEAKVLAVGPGALDKKGNRLPMGVTVGDRVLIPQFGGSPVKAGEEEFQLF RDSEILAKINE FPSE_02568 MKFLTTVIGLASAANAHTLFTTLFIDGENQGDGTCVRQPKDAST ANSPIYPIDGAAMACGRDGSKAVQYICPAPGGAQLTFQFRESPSGHKKGAIAKGHRGP CSVYMKKVDDMFSDSAAGDGWFKVFEDGYSVKDDKWCVDRLNENKGLLSVNLPTGLPS GYYLVRPEILALHSAPEGDPQFYQSCAQIFIENGPEGPLEIPEKYETSIPGYVTKKDP AVTFNIYDKHGEYPIHGPDVWNPTSKETGTKKAQKDGLVPKTCLAKNANWCGKPLAKY SDQDGCWAAAKKCWDEVGDCWDNAPPTGGYGCDTWNDYCQEINDACKAKDFQGPPAFT GKEHYVDTPGPIPASYGKFKAIAIGVTKEIKNSNSNPKPVEVDYESPSYEVPSKTTKA VKAPAATTEASLPASNTQSTQETATRTWDEDEKNTLFSDTPVIAPPLPAVTFPVKVSE DGLCGGENGQTCEGSRFGDCCSREGKCGRKTKQCGCGCQKVFGLCNEK FPSE_02569 MSSNRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIR TWFQNVEQHATEGVNKILIGNKCDWEEKRVVSTEQGQALADELGIPFLEVSAKSNINI DKAFYSLAADIKKRLIDNSKNDQPAASGVNVNDKSESGGSKCC FPSE_02570 MNHSKLLPNARAILVVRNRLTSSGNNVNARFSTASSKLNETTSE TRSNYTPRPRRQSTTTTNNRFNSGKKQQWQSSSPREAPNSTTKHKLVLSGLPTTLLPA DFNRLAADKLAGWNEIISHVHQERDPWTMEPLGVYHITFSSSAAASLYKDKIERLLRL SQIKFQSKNGLWTSNVPSVLLDPGKDPEKELERFTILPGSYQARIKTKQSRVQGKMAW QHVIDQIIKSSHIRTLRPSAVLIELPEKSFSAAELKTIIYQDGTESGYDWATETHIYD LSKVTDLGEKISRSTSRARRNDAEFRQRHTTRFIMVCETPETAWRFIRSWNQRVLEYD QGEGIVLRNRVKASYIDI FPSE_02571 MLAKSIDVKVRPLASASLEKASLHGAARVYVSKETLLSLTGTLE VGKHCILTRLESAATKDSVREDGPQQELQREASLWSIKEKNLSPNVAVMTRAFQEAAG FKIGDLIRISLAGTTPNADEIVVQDVTEKTDKTSDDFERLEEYEKAAKYTPPWEALIA PAFVRAELVFPGMVVEATLSSKWRRNFKVISVNSHTNSLGRFDPGSTNITIADENAAN AEADAQSGGELVVTGVPGMAPQLKALNGFLSAFRKTLTNPLERESCAFVIHGGHGTGK SFILDRIAATRWGKVHRIKPLDKIASMRETFKLAQSQQPSIVLIDDLENLISKDRSNR DSVIDLLGEELDQLATSAVSNDSLPQVVVVATCSDFLTDIPNQLQRSTRFDNHAPLTI PRIQERLEILEFLDLPINPAEKQSILLDLAQRTHAYSPLDLRRLCTRVRYVMGLRLRE AGDDTFSTERFLETSDINKAKKAIRSTAMRDINLNPPTIHWRDVGGQDVLKKVLNRMV KYTKDPEGSLRPPPKGLLLYGPPGCSKTLSAQAAATESGFNFFAVKGAELLNMYVGET ERAIRTLFARASNAAPSIIFFDEIDSIGGQRSGSGAASRSTGAVNMLTTLLTEMDGFE PLSGVLILAATNRPESMDPALMRPGRFDQLLYVGPPDEATREAIFKVHLRGLPLAPDV NIPKLSRLADGYSGAEIKAICDETCMVVQERHDEDETEKLELAMADLTIVLERTPRNI TKQMIDGYEKWHKLHKRG FPSE_02572 MAQPVPHQPTDRKRVKVYELRNNDWFDRGTGFCSASFETLDDGR KDPRVVVESEDHPDRLLLETKIQKEDGFQKQQGTSIYTLIVWQEPSSGVDMALSFQEA EGCAIIWRFVSNVQQTFHNHLPGADDGLSDDLAIDVPPAINLPTAELGNLGDIESTMR MMSTTANGRDALAKAIMAEDFIGKIVPLVEMAEDLESLQDLHRLCNIMKTVILLNDTS IIEHAVSDECVLGVVGALEYDPDFPSHKANHRHWLGNQGRYKEVVPIEDEQIRRKIHQ TYRLQYLKDVVLARILDDPTFSVLNSLIFFNQVDIVQHLQANAAFLNELFGIFSLSNN DQKRKKEAVLFIQQCCAIAKNIQPPARQTLYNNFLAHGLLQVINFGLRHHDVGVRVGA TDIMISIIDHDPQMIRQTLYRQVHEKVTPLTDSLIDLLLVEVDLGVKSQISEALKVLL DPGPQQLQNENFQKANGELYSEEMLQTSTDPRQEVFLHAFYEQSAPKLFKPLVDLEKR TDMNFSVQQASMFTYLIEILTFFIRQHTLRVKFYVMNNDIAKRVSQLLGCPEKFLRLV AIRFFRSIIGMQDEFYIKHLTEKQVLGPILEVLIGTMPRDNLLASACLEFFEFIKKEN VKDVIKYLVVNHRDQLMTLSSMPTFRDIVLRYDQTRGYTSNVDYFMEGEDEMGRRPPP NTRLMEQITVDQEQEEYWAGSDNEEEEDNSSKEGEKTPSTNGSISSKLLVDYASDEET DENADPEATPDSHSDVASDAVGSPIDSSLGGGVPPPERLSEKRRREEEEDDDEIGKLM HNKRRNSSGSISSVSSNPRGLTKRKSFTASSSSGSSKKIAISLSSGLKTGSGPGSNEE S FPSE_02573 MSILSSIKRARDHKDAGKIKEVEQSKPIKPTQPYRHVPTHAACD SVSSGPGGALRHDRTKIRAENRKRTAKAVAETIHDNQAIQMNFPGSATSSPFTSGSAS TAYQASEADYEGDISPRSQSPVQAYGFSPAPLFPQPPSLKGKEVVRDSQFGMSYSVSP DPRDRLAQRFQTGVMI FPSE_02574 MTVATPRELPEFLRPLWAWIEATPVYVLVVLFIGLITLAVFELY VILHLVAPKPRPVHPAEKQYITSHPTTGRTQPQPLPCWYDRWLAERQDSEQHIKPSEA YPTPDAGNIEPAQVRLSVVFPAYNEEDRVIPTLVEAVAYLDEHFGRTTAPPTSSTSPS SKRHGRTAPKEELGGYEILVVDDGSRDKTVDVVLQFAQENGLHDILRVISLERNRGKG GATTHGFRHVRGEYILFADADGASRFCDAGKLIEGCEEVVDGSYRGVAIGSRAHLVGS EAVVKRSALRNFLMRSFHLVLMILTPPATSRIRDTQCGFKLFSRASLPHIIPYMHTEG WIFDIEMLMLAESAPATPVLGHDGSVIGTSPGIKVAEVPIEWHEVGGSKLNVIQDSIK MAIGLAVLRASWMFGVYRRRLT FPSE_02575 MASILTTTEAQTRRREQYHEADVVVVGAGVFGCTMAFALANQGR SVLLLERWLKEPDRIVGELLQPGGVASLQKLGLGHCVEGIDAKPCYGYTIFYHGEKVL VPYPGIDDEGSPTHAWGGHSTGDRSTRPSGCSFHHGRFINKLRESCIAHKNITVVETE VVKTLRGEVSDQILGVESRTMNKETGKKEQDYYFGQLTIIADGYDSKWRQEVLKTKPK VCSKFYALELIDCDLPQPGHGHVIIGNAFPILLYQIGTHETRALIDVPQGIPEASPEN GGVRGYIRNFVLPALPPSIRPSAEKALEDGKIPRSMPNSWLPPTKQRANGAILLGDAM NMRHPLTGGGMTVAFNDVVILSELLHPEKVGDLGDSKLINNALEELYWRRKPLTGIIN VLAQALYSLFAANDRQLRALQYGCFTYFKNGDTDGPVALLAGILQRPFILAYHFFSVA FLAIWLNACSVVGCGILGIFKFPLAIIDAVLILWKACIVFIPIMWRESFQ FPSE_02576 MASPSPAPPRAVVHALRGVLLTTSCSVILLAEERRRRLNIARAA LDNAKKLHTARVNRNATVLAESYSNKREFPIEIAHDFAHAPTTNPLRRRRQRIDSLEN DLSRPKEIISIEEFETTLSGDFGSGSSSQTSQRRWDEWDAARKELSRISDTNPFIHNG YSTRLPPDAKLSALQPRTHKSRTRTSATRHTKAKATESQHANEALNRQSKSILQLDLI TTELETSGLSNSLRAEKEKNAVELLEELTSREAEEPQEILSRGIRLLQSIASSCEYGN IPKVLKALRPICNDASLLAVPFFDSLKQNHDAEGVRHFLRGLSSFQPPSTGTKNGNEW IMRLLMHNWRKTKDFADIQNIYGLLQEGGLFEDDVFPVSTQYAVRRRVILIALDAGDD ATASAEMAFLSNMRFETSGIDVKLRGRFIVRDAELGHWDKAVSELQTFGPKVKESAQF QKVLSWLTKVYCKYHTSSQVDLFVKELVDNHDMMLNKPLAFFVMEKHGRTRNLQALTT WVQFCQDGGLEMDQVFFNEIADKCCKHWSLSRVDVVRMLKDVRISKSWIQDPLLTRYV SDGALHDLHKPLPGERADAFGLAQTLPERRGDSITIYERAVFKHMNTLALREDWSGAY SAYLEAVKEGLGDSSRCLRLAVVANIHLEGPHSYTGSRLINEAHNKKHDISGALVPML IARLEAGDNAGKLLQENLTKGQKIHDSVYNKAARVLTQKGNPEAAIMVCELAARENGM GELAYSKYNFASLIHTYTGQGRYTDLLPLINSFVSKSEWWQGSKECKESVKFAMKHVA SRAAKDREHEGSYKQVILRLEGALEHIKYLRATNRQDREILTKEVIGAFKAVDEPAAF EGGFFPDAQPGRPPSKDKRNMDWESSTRRDSATQTISETRHRIVEDGLSSQNQKADDI QT FPSE_02577 MALPAAPPPKSPLGRYRLLSPTASIWVSPICLGTMNFGNAWKEL MSECNQSTAESIMDFYYEQGGNFIDSANNYQFQETEKWVGEWMSKRGNRDEIVLATKY TTNFRAGPGSPNIMLYVHWYDGSTTIPELMQSLNQLVLSGKVLHLGISDTPAWIVSKA NEYARCNGLRQFSVYQGRWSAASRDFEREIIPMCRAEGMGIAPWGSLGGGKFKSEEQR NAQDGRKVEASEQEIRTSRVLESISNRKTTLITSVALAYVMHKTSYVFPIIGGRKVEH LKANIQALTLKLTQEDIQEIDSAVKFEPGFPHDFLYRKGQTIGGPDVWLLQMGGTIDH IQPSKPISPVKRGE FPSE_02578 MFTLPVYSTPFSAIVILTENMMRQNSLQSIETTISDAIDSDDQL SGILWHMTRDRERSYSSSPPIKEEMIKDEFPNVQCILETPQKRNGHEGSLELSPADNE KDQCIQVQLPLKLERRLKIQRTESIRSDEDTQSVRSVSVNTDFGDDQLSHERPSPKPP SQLFKAIITTKLRPVPKGAPRTVERKGQYTIRKGVRIRDYRLPGPNPWPRASPSNSLE YQCRDGFNEKEASLGYPQPMVAWKRRAMYKEEAWEHDRPHLQRSPSLGALNPV FPSE_02579 MAPATQYELSPSPTDAVSSIAFAPSSGTKLLVSSWDKKVYCYDI AGGAGETTLINTYEHRAPVLDVCFGANDNEAFTAGMDWAVNKIDLETGEKTQLSKHAA PVRSIAYSPTFSILVSASWDCSLNLHNLNDPSSTPIRVSLPGKPHALAASPTKIVVAM AGRVINIYDLKTIADLFATGSSDLQPWQQRESSLRYLTRAVSCMPNDAGYATSSIEGR VAVEWFEDTPESQARKYAFKCHRQAAPDGDGDIVYPVNALAFHPVHGTFASGGGDGTA ALWDAEAKRRLKQYQKFPNSVAALSFSSDGKYLAVGVCPGFETGQEDYNGAGQTSVLI RELGENEAKGKGAK FPSE_02580 MSLTNCRFYEEKYPEIDSFVMVNVKQIAEMGAYVKLLEYDDIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDIVKCEERY NKSKMVHSVMIHLAKATEIPLETLYQAIAWPLNKKFGHALDAFKLSITNPEVWNDITF PDEVTAQELKTYIGKRLTPQPTKVRADVEVTCFGYEGIDAIKTALHTAEAKNTEETQV KVRLVSPPLYVLNSTCLDKSLGITRLQEAIVDIRASIEAAGGHLTVKMEPKAVTESDD AELQALMEKRERENAEVSGDESMSDSDENIPETM FPSE_02581 MALGSIWARLRGNGQPSLARSTALRVFGFATWIPVIAMFNLHVA ELTFVDGASMYPLINDEKDSTLQRDVILNWKWSPQENLERGMVVTLRSPLHPETIAVK RVVALENDVIKTKAPHPLPTVRVPQGHVWVEGDGPPGSSLDSNTYGPVSKQLITGRVT HVVFPFRKFGALPWREHKRPLVE FPSE_02582 MPLGLQQQDSDLAFAQSTTTSGGSTTAASDFAQSPEHRHAAWTG RRSESRSSASLAKEQNMTAQDVDVEAEGRPPYLHAMIAGGIGGSTGDLLMHSLDTVKT RQQGDPHVPSRYTSLGQSYYTIWRQEGIRRGLYGGWIPALGGSFPGTVMFFGTYEWSK RFLLDHGVQQHVSYLAAGFLGDLAASIVYVPSEVLKTRLQLQGRYNNPHFVSGYNYRG TIDAARTIVRLEGASALFYGYRATLYRDLPFSALQFMFWEQFTTWARKYKQSRDIGVS LELLTGAAAGGLAGVITCPLDVVKTRLQTQISAPTEPRATRDHHATSQIRHISTSSPS THRPRPGAVALDTSSVFTGLRMIYRTEGVAGWFRGVGPRGVWTFIQSGCMLFLYQRLL RQLEDFMPNELKEM FPSE_02583 MSSSNQYTQLSFLPTDSNDEDSTHRENTEEEMKLEKKRYPGAST WAPAEERLFEILFMRQDLPMLPPTWDVDLRGIPISDVVFETSEDYPPIIYAHLKNFAA TQCLTRLVDLTSRIRTTCQSGHRKRAPQHIKRELDRYLNWAAQDGGYMHLRIVPNIMT EVIDTAIPENEITDYISKRMRLLAKLQREFLRADRNSQFWDVVKPSIFKSPKNTTDPK IKIEIDDRSPSCTRWLTPAESLASPHPHVERVSTIVESHEVDIKVEPGLKSPSIFATA QAFEATRISKVSSPPYSSPSPPTSGPQTPPERTYTRHPPVVYGLFILNTSVLVLTTDS SRGPDSYVSFHVQVDFFDEHQGVWNALTIALVACLARDELRTRLTDFEELPVLEDSDP DA FPSE_02584 MAEVAKTVARQLPGFKLGQKQVFLPNHVITFLRKEHLPPNEATF QVPLRFTKFDLRDYLWNLYDVEVTKVRSYVKQQPLTQRNSHSRSWYRPKPLKVMTVEL AKPFQWPELPEDLTPWSNQLWKMREDLMEKRNDEHLQQQRFKIPLKSKQPMSKERQEL ADMAKKLMSGEERWTNDVVLDPKWEKILNNEQKAVQPTKEA FPSE_02585 MAKDSHRPSVLFESPDKSIIVLDIPTSLEESQVLPSQIPRRRIV SAEPPATPYPTPEPRQHGGGDHSALASPAAQLAELMTAATVSSALEDLSNSYSYSGPF HLDRLIEPQPPPSVSVLPPLLPDKAEPLHGSIEALRDSFHSSAPKFDLVVLDPPWPNR SVRRMKDKYATVSNLTEMHNLLLQIPLPAHLAPDGLVAVWITNKHSIHDFLTSHTGLL ATWGLEFVTEWTWLKVAASGEPLYDIESTWRKPWEKLIIAKRIGSKKPEALKPKVVVA VPDVHSRKPNLRDLFQDVLGKECLGLEIFARNLTAGWWSWGNETLRFQQPEHWKDIE FPSE_02586 MSSAGAAHMEQASSMTEVALESNIQNHGSAQALTKSKREPSVSP TDQEAATTKPHMKSLEYIWKSGVAGGLAGCAGKTVVAPLDRVKILFQASNPRFAKYTG SWVGVASAMKDIHQYEGLRGLYRGHSATLLRIFPYAGIKFLAYEQIRAIVIPDRSYET PMRRLLSGSLAGVTSVFFTYPLEVIRVRLAFETKRDGHSSLSSICRQIYNEQPMEKAP APRLPNAPAPISATAEATAATVEAIAPRTGLINFYRGFAPTVMGMLPYAGMSFLTHDT VGDILRLPRFAKHTTLPKKENHPEGKPAPLRSWAELTAGGIAGLISQTASYPLEVIRR RMQVGGAVGDGRRLRIGETAGMILRERGLPGFFVGLTIGYVKVFPMAAVAFFTYERMK LAFGI FPSE_02587 MASAADLDGLVLLRQSISSGAPFIPSASADASATEVPLSQATNL RFPNQNITVPIDGPTRFVSHDKPVDLRSIYFAWLNREVAIPEYNASATKLNDELSGAG SSGKVQNLGFIERLDLITWLEGASEESEYIKPLANDKDAATTDAATTAKTGAVNSASQ ARSGRGTMDPRLVGIYNGERKMGDRNTVLRGAKPTDFSHVRKLAAPFIQKKSQSGSAS IPTNPSLALNQKVPTRRPDPIILLSPSASSLIRLSNVRSFLEDGKFVPTDASGNTATM LHVQRLVPSIDPNRPMRFILVEGSEAFKPEYWNRIVAVLTTGQTWQFKNYKWSDPNEL FKHTLGVYVGWRGETAPDNIRGWGHRVLSTGIDRWRGEGHDASRFRDKEIVEQIWRAI EENMRYRGWKKDRAPSSI FPSE_01425 MATLSHHQKHTVNQSASPSDTFDQDTTTRSHPPSQATTPRSSPP LEPPPAPPVDATINPSIVSANTTTGSRSASASGTGSGPAPGTNTPVYVPYNPHQGHHR HTSSVSRLNNRSSTGLFALAASAFDRTQNAIAAISEPSVRPRQSSGALSRLSLLTSSS PSSEPSSPDKYHRLRSSSNQSLSSGATVDGKIAPQALQANNPPSQPYTTTDPNHPLPY KSSTAAKMHQTSSRLLRMTDDDRPFTKDFKDLFSTLVVSLLPLSAHRVRLTKVEYTFL SEDAINNLGSLKFSQSNRMPDPKDPSRIVTTTTTTTFSMAKDMARSICQRFLEARFIE SADGKYQQVYTMKGSVWQLTPKGITVLDRFCSRNGIQQKQVSELANLASTQLVLLERD PQTDKLLHDHGTIEVIFRRFAGSEGRNIKSTVNAADSDSLHDYRDGLTGVKMAAERKV NGKTYRDTFTGKATTDWLMDCSTIVDKRETVEVATLFVEFELMEPVAQDRAYMAQNPG CNLFQPTKYAIYQLSQRGKDIVSGAASRGRASESEGGATSQRNGITRDSNTQRLDKIL NDPALRLLFREQLRDTHCEENLSFYQDVDEFVRSCKAATRAAQKAPNTNAMDGIKEIM ASAYGIYNAFLAPGSPCELNIDHQLRNNLATRMTKAVGQDVAMIDTLQEVTSLFEDAQ NAVFKLMASDSVPKFLRSPKYEQQLRNYEFDVVGRGPERSQSRSNRK FPSE_00941 MYAFNAAALLALVGAAAAKPTMSPAPMPTGSKNGTVTVTSVVDV YTTYCPGPTSFHMGGKDYIVTKPTTLIITDCPCTVTETHPAPTWIPGHPGYKPEHPVK PEHPSKPEHPVKPEHPVKPEHPEVEKPGKPEHPTNPEHPEIEKPAQPEHPTNPEKPES EKPGKGEDVPEEPVVTAGAGAVQFGLGLAAFLGLMAL FPSE_00942 MTRSTFTTITPLPSNLSRQQVVDFLHDHLAMIDLNPLIIERHQI SPPSHAPEDEKKCVWYSMTDRIDYLPGGIASGQVTYTAAFFDSSDGLQTHSYAPMGLD LRGRWSVGGTMPGERPQPVELGLGAPASGLYLREDVDMRCNLLMVSFVKKTIKKSHGT LVEKLSERTSLKSARHSMQNFGASSPGTPGTLQTPTPPASEYSGAPTKGANGQYGTAP DLSSHPAYINDTPSSSMGDAHQQNGGPIASGHAQPLGFNQLRHDQSVTAYRGDSRPDH YAALAGQSPYNNPNSPFDERETFAELDDGTYNHARHERTGPAELA FPSE_00943 MNHSPIPNARKRTQFQDDPVPTPATLKKRRLDELVPGSPSTPKA PNAINSAYSAVISNGQNLWPPVDNNLQIPTEQRSVVSPSKPVSQPVAQPMFRPALKAS ALRGTIWDTGDAKKSYPLPKKVGVSRPRTAPPKKPAAPRGRPRKKKIEEPAPAAGNEL PAKQESIDELSTTNGSLSLPKPTPKSILSPKKGRPRGRPKNVTFGAGRDNKNGEVFFE DLPSKPKTPRKAANSEADEIVCAMCLKPHSQAPNQIILCDLCDFAVHQECYGVPDIPE GDWLCKSCTQEDMLKTPKKTAGVQPPAVKIAVDVPDIANLDQHVRSLQRVLLDRCTGR RRLQLSAQLEAEEKVYQLVEQTVVAGEGNSMMIIGARGCGKTTLLEKVISDVSQEHRS DFHVVRLNGFIHTDDKLALKEIWRQLGKEMQVEDDLVNRTNYADTMASLLALLSHPSE IIGTDEGVTSQSIVFVIDEFDMFASHPRQTLLYNLFDIAQSRKAPIAVVGCTTRLDVV EMLEKRVKSRFSHRYVYLSLPKSLPAYWQVCRQGLIVDSDEAEKEGINTYLEGHAAFQ EYWNNKIEGLYRERSFQDLLQYHYYTTKSASAFLTEWILPLSALSANDVTLKIPAVQA DVESLTPPDSRLHLLSTLSELDLGLLIAAARLDIVAHTDTVNLAMAYDEYSSLMGRQR VHSATAGMLAVGGGVRVWSRGVAGIAWERLISLGLLVPAGIGGARNLGHGGLEGKMWK VDVALEEIPAAVKLSAVLARWCREI FPSE_00944 MSHQEPHEAVTPAQKLVLFKSPLIGRSDSSDNGLPTKQPTAPDM SLFGNRYDDDCPQFQKHEDASLLELFYDLFFAANYTVFCETQGVNSPDRFKAYVGYFT VLWITWLTTSLYDVRFVTDSIFERVARGIHLGVMVGFAVVAPKFKPEDQDMKTMRTFS IILMVSRLALAVEYASILWHIRKFKKQALPILLQIGLNFVLAMIYLGTTFRFTNHNSN VYITWYVLAFVEVVLTFALAYIFPVLSFQGTHLMKRMGLLTVIIVGDGIITICKSVVT IVENPDSWNAETVGVVLSSATTIYVVFLIYFDWMKNPYLPKFRQQLWTIIHYPLHLAL CLFIQGFTQLVIWTKVFNVIKTIDLFGGINEDDIPVDSISDVTTKIMRNIFETIVKNF FTLFPPQYIETQEAVITALDKFSTINDTFWVPFFDWTETQLDKDMPDAKQFQILTESL QGLGNAMTNAILETFKINLVNEVQEYNEAHNITEQTGAEFEADLSNRLDARFHLIFNY TYIAAGISLIVMVALAVMSRTTRWSKWAITRHIIFVLLGIGTSLVAIVKYNQERAEKY QTSGWMIPVITLVWVTVLALTHIRNPPPLFFKGSKAFWNKENEVQTYNRVMPSEQQAE CKAAPHTQITSSV FPSE_00945 MAYDDRDTIVVSGENALEPQESVSEYSLPLSVPDQGLDDGSHPI VDQLPDASASSPAQQETSTASTSKGIKKAKSKKRVVVKKAARKSKWNQDNILTDPKSP LASADLRSILSNPMAWEILENEERAEILALFPDSQHILGAGTEDACPDFASLMNDDSF RYDCAAYTENIAQGRHDPEWLAQAWAAHERRKMGDFDEHLDNKFKDDWDVDLPPELKT TRSPSVSKEENDVKMQGTEHVTNGNEDQAEASMANHTGTSNEPDPEPEKDARANELPK DDAAQEISLCIATGHQNPGMEIDGEGATNEPVAL FPSE_00946 MTSTKALCLGYDGVHPFSKVEIKDRSSVQELLRTVLDPLGPFFS PEKARVKCPGATAVRFDQTASEVEGICRPLWGLAALLAGGGDYDGKEWWIQGIKSGTD PESPEYWGYPQDNDQRMVEMCPLGWALAVAPDFWSNLSDKEKGNVEAWLGNSINEKNM PNTNWLWFRVFANLGLKKNGGKFSQERLDSDIEHLETFYRGGGWSNDGPEGIHQMDYY SSSFAIQLLQLLYAKLAGDEDPKRADEFKRRAQQVALDLIHYFDDEGRAIPYGRSVGY RFAMVSFWGALAHADVELPAPLTWGMVKGVVFRHLRWWQTQNDIWTSSGTLSIGYSYP NMYMAENYNSPGSPYWACLAFMCLATPEDHPFWTSEEGSASGVIPKVKALNQPGHIMS YLGGHCMLLSSGQACSYPMKGTHAKYGGFAYSSAYGYSVPPGLFSLEQYALASQLGLS DDGGEYWKTRRLCEYAGIEDREGAPVLVSVWKPFSDVTIRTILVPPVEKTPNWHLRVH HIKSAREVMTADGSFAICNVNTTNGRYLGPYDKEKHEGTSPKIIGNYDLNTPDGWTSG KLGAFAVSKGAVGIKALEPTQGRQAMLVNADPNSNLIESRSTIPTLQHTIKAGESVWY VSAIYAKPSGVGVSQESYLDGWDKTPDVPGWLEKEMSA FPSE_00947 MGIAGVLKKVVRNDAMKTDPEEIYNWRVFAVVAGSCFGGMLFGW DTGAIGGVLAMKATQERFNYTPEAKVTLDQNIVSTLQAGCFAACFFTSYFTEKYGRRW CLIGTGAVTTIGVILQAASTAQGSLPVMYVGRFVAGLGVGAASSLVPLYVSECAPRAI RGGLTSFYQLFIVTGVMLSFWINYGALLHLSAPTVYALPLALQALPAILLIACMLAAP ESPRWCARKDDWERAKSILIGLRQLPETHPYVQTELQEMSAQLEAEKRLTGNASASTL WKELVTIPGNRKRAIISVLLMVCQQMTGVNAVNYYAPQIFQSLGMTGTTVSLFATGVY GIVKVLGCATFLIFFADSLGRRRSLLWTSAAQFLAMYIIGIYGRVEPPVAGAGISAFG YVAIVCIYLWAAFFQFGWGPCCWILVSEIPTARLRALNVAIAAATQWLFNFIVARTVL TMQKTMGPAGYGMFFMFGTFDLLMGIFVWFFVPETKGLSLEQMDELFGVADVQGKLDA EGPEGARTPSIREEVAHIKSNKS FPSE_00948 MASSPRKTSIEVLPPEILLPIVTSLPGLDTLWDLLRASPNAWRF FNKNALFIVENILSGPNAILPPVVAEVVRAVILARSKALPFQNLRDLQRRFLFRLFPC FPSIGQSKDNLINIGPEVLSAAAPSVSVLRSTVATACQISTLSQACLSSYLERLRDPS FRPMHCLDPNMNYRGSLGLGNKWVPVWDRVFESTPAKVVDAGQPNWVEEMRAVRALWV VQLVGEVQRQMDTLDWPEEDVDKLKGMSPADMIDQNHFDEARQKSEEVKSLMHYIATL DEAKQDTYYRLPPPPPFVRWTTASPSRNERFRKFIRYRKDGTPLSISFPTDDNLWGRT EECLNREAPGMFIYRELNSPWTDQISASPIRGVKFGSFRRLGFAFWETWRMHLLGMHR DLDLRNAPSTSFYMFAWESILPNDEVANLKAELREERHVGLQRCELERKQIQEEDQNL E FPSE_00949 MHTKHQVPWRTTFTTIALLLIYFFLLSYTSSLPRVGLSPRAVTA DGDQLQQNAVGGLTKRVTDTYSKSHDKGHWLHCRMSMTKEEAKESNRGESLEAPFYLQ VDGLEEPEGWSPREASSSLFKDDLNEALRALSIPIDFHHESWSHTQAVAIFNEPSWLI DDRDDVDVDVDDVEQGVWSDAAWMQWAILNKHARDGKGKTIGTWHDRITMTIREDPDE LYGILGSPNGAGAAYLLLNHKERLGLKIINKVDIFVAEGSYEVTSTSISDVPESIMLL FHVTDV FPSE_00950 MGYELFQNQQSQDLILKPALLNQHTAIRHDTADLSNKTNRLHRI YMKEAARYARGIVEGVWGPRQL FPSE_00951 MKRPIPRSAITLMMVLMALMIPYTSALPSLRIMPLGDSITKGNG SKDQKGYRNRLREKLIGRGTSVDMVGSLKHPPTGMADNDHEGHSGKVLAQINTYWKLS IAARPNVVLVHAGTNNMDLEEDLEGSPQMLESIIDGLFQYAPDTTVLVAPVIWANKPR MQRNTDRFNPQVIAMIKKRQEAGKHILEVPIDITAADLWDEKHPNDSGYEKMANAWLK AILEADKRGWLKTPTKIDAAGLPGVGLGIGGGAGGAQEEIVGKIWKKQGTVFEGFWTW KSVGSIRNTAANATREKVILADLNGDGIADYILADDDGTMRAWINGGKPNDWKSIGYI NPDWKSIKGNMIRLADVDNDGKADLIAVYENGAAKVWKNVDNGKKFESMDSKWATGLE SRDKVRFQDIDGDGYADYVIVYSGGAVKWARNTHNNGKDSSKKNWGAEATIAPGPAGI PEGSTRIQDIDGDGKADYLVVYDGGAVKAFRNTLKEGGRNWDDLGTVAPGIGGVTGDM IRFADMDEDGLPDFLAVADDGSIRMWKNLGITGTKGQSIRFADLTGEGRDDLISVDAK GRARAWINKGDGQWEAIGEIAPGLDEDLSDARIEFVDVNGDKRADYLIIYGGGAVKAY LNNGNLPDPGDRRIWQDGIVISPGVGEPGSKIRFADLDGDGYADFLVLYDGGAVKYWQ NNKNIPPRNGGRIWKEGIVVATGVGEPGSKIRFADLTGDGKADYIVQYDGGAARGYRN NGKIPIGEGRKWNDMGTIAGGVSPQGPVHYADINGDRKADYLVVSDGGAVNAYINNHD WAPKLPDKPDQPVPSEPGEGSGGDGGDGGSGGGGDNDGGSGGGGDDNDGDSDGDVVYI DPTIWDSKNPTAGCQPPCTLVLPPWPLSSKTTISFPVLTETLKETWPETTDGVIKYRT TTITVRITLPPLTTSEIGVSNIIITGSTSKSIPVKGSVIPSPVTLTEPTRGITYTYKP GPMPTGEDEIGPPPGIVPGIVVTAGPPGPICKSGCGNLCLFGCAPGGGGGGGGGGSIG CIGGGCPGPGGNCVGAGCEKDKDKDDEEDDDDDDEDEEDCATETNTACHQVCTTKPCA TVCNTYLGCDCTTSRVTDYWVSCQSSSCTTTSSEVITGCFLTATATTTEASCPLITLD HNNDLLGDDSNIYGKLGTTYKTTFSATVIVSKRAYPVNDGYVTVEKTAYPIPDVDTAT RTKMRGTSAIILPSLVGVGFSITNKDFPVGTTSYPKATTTVGESTSTTSEEPSPTSIA YPTNTLINQEDGEGYCFEDSSSYEEFTIEDAQRVIGSFCDSSYTLDPKNDFGHNSALE NDGYTVIVSAKWAPDQEGCGDKEPFSFSEGAINHDLCPRAWNLNFYCDDPENGDNVVS YGGAYVLDLPESGGCLLLSLFAYDTSTMRLKALPKGAESIIPSRMNVTRAGHEPKWKD SNRPGIKQVWPVAEGSTPGGRKALKPARDD FPSE_00952 MADADSNPSTATHNSPRTWLLTSALSPLAVRLIRLLLSHGDYVV ACLPPYEIDHEDRSAEFRELVNECKSSRKDREGWKDRIRGIRCDGASMGSCGAAVAEA VQVFGRIDILLCCKSDAVVGTVEELSTTPFTQNLVRDQFESVFFSQVNFIKSALPQLR SQHTGHIIVLTSTGGHIGTPGMSIYTAATWALEGFCDSLAYEIAPFNIKVTVVQPNKE ILSLTNRLTFAPQLPAYDQYQESAPSIRDILANVLNTHPDTALPYPTSPADYGPSPMS PPSISIEPDVAPGEILHRYPKLPPGAADKLVMETVHALSAIGGHENPPARHIVGYEAA VAVKEKLKTVTEELEDFVEASLSVDTFESELQAEARERKPSDQSPQGPPSSVG FPSE_00953 MSSHDIPSYKLNDGNEIPVLAFGLGTAHFKKDCQSGLDQHVVDI TTKAIKAGYLYGNEEELGEAIKAGGVPREQLYVTTKIPAEKKGSAIDAFDVSLKKLGL EYVDLYLIHGPWFAETDEDLQQRWSELEQIKESGRAKSIGVSNFLQVHLEPILKTAKV KPVINQIEYHPYLQHGDLLDFHKKHNIAVSAYGPLTPIVTAKGGPVDPLYTKLAEKYG VTESEIGLRWVIDQDIIALTTSNKVERLDGYLAKLPKFKLTRDEIAEISKLGAEKHFR GFWRNKFDENDRS FPSE_00954 MSPAMRVLRFPRSDDKAAFVLIQVTQKGSKPLDLKLVGTEGEEP YVTSLKHGKVMSLRVSNCPASESEWQKILQSLFQQEPLPDIQATATVQSEKSISITLR KEVQGITQRLGAITLSHDPDEAIELFEWCGAAAESSISSKQAAADLAVKSSEADAAVT QLQSQLEDLIKAKCEDETLLLKRFRDLLNEKKIKIREQQQALAALAANPSMAGHSQPS QAAAVEVEQPKPKPKSKQRSRQAAKSRPAKRKAPATRRVEESEDDAGVDTMDVDVKQE PEDTDPGNTTEATASVDSDDEDNIIQLKPSQQIYGSTSVAASQEKVPAKAAEKPPPPR ALPFTTKKIAPTPAGDETESDDEL FPSE_00955 MEPLRLKPRQPVEADIENWDDDDFVVESDDLSFRSPSTSTNIPS RPTSSRRRDSGSSHFSFRSEAEGEEETHVHIPGDDEKSTLDAIAAAQNAGIPLPTNVP SSALMGGTIKRLGGRKIRKIVHDDWENDLEIPDSSQGLKIKRPEQPKSPDTSRHVSFG STSTHTSPINWGNSPPTSPFDQDTRRESGHSIQAFQSMQSIQSATSNLSAAINLDRFK DGDDDDDFFDGGDTIRASKSHQLPKPVSFITPPTPQRDVKPTKAASTDDDFETDLELP SDGKLKLSMRKEIPKTPANHSDDLDWGEGSLGTRWGGTRRDTRSARNSAASALSPSIS SSITAESEDETFDGLVLPPGPVNWTERLQQRRKSKSPNRISEEPIVPPKKAPAEADKP DFLDGLDIGEGDVFDSSKLTLHKNVKVKETRPASPARPKTALSLTFTNKPVNSTRIPR LNHHERTHSTSLEPVSESGGPIPQRTRRSHSRMGHSAQSSIASMPTPTTTSPSRGMPP PPPPRRREVGTRTSTNSLRNEPTTTSAQLLKQKRSMPAIRASNTPARQSAYRHDRPPS RSESNRPSSGIRPKTPTERQRQGSMDSPATVRKTHLPFLPAGASQSQSQHVATKRGGF RRHDSDTSIGSIDLRPSSRTISRSTMRSPSPNHRHRATADTWERLSKPKNKKNFGDGH ELDGFDDLPTSRETETRYLKQPTSSGPKVAMRNKLYQNVLPDRNLVNSPSHPAPRPSF TPHFARDTAASRIARETALAQRIPSNGPLTPLNSQRTTHLSSRSNLTPTIPHHSNIRS RKHKRPQQTKPHLISNLNSGKESKMVNGMFYNANTYRWEGNENALNVFEPPVQTPTQA VASTTTREKDSATPRPALITNISATKGVQVVGGMVFDPQNMCWLKLDNPAQPSSETSD TMDGFDALDDDDEDVFKDIPDLEDNTVDDEGVQGRTSDIKDEWLVGEEFDVGPEFIRR QREEEDRWRRKCEKWIGRGSRDREAWRWTIRELVSQFDDLAM FPSE_00956 MGVGRRMKKQGPPQPLSEEHFAKLKRKAGLPADPVVDTPERKKR KTVTKEKVFESKKNGVAKKDVKKAKVTKPVKAAAAPNSASAPKVNPRSKKSKKAPVPA LEDLSDDEEMDDEFDLDDLEDGSSDLGGAGLKDDFLESDNDDDSVLDSDEEGGKGTRA MFSEDEDESDAEEKLTAANIEGLTRKLDLQRAEEAAEAEAEMAEQALQTNIDGDKPHI LSDEDSDDELATKTQALLAPDLQLLRTRITENIRVLDDFAKLSEEGRSRTEYVSQLLK DICSYYGYSAYLAEKLFNLFTPREAFAFFEANESARPVVIRTNTLRTHRRDLAQALIN RGVTLEPVGKWSKVGLQVFESSVPLGATPEYLAGHYILQAASSFLPCMALDPQENERV LDMASAPGGKTTYMSAMMKNTGIVVANDPNKARAKGLIGNIHRLGCRNVIVSNYDARE FPKPMGGFDRVLLDAPCSGTGVIAKDPSVKTNKTELDFMQLPHLQKQLLLAAIDSVNH ASKTGGYIVYSTCSVTVEENEQVVNYALSRRPNVRLVDAGLTFGKEGFTSYMGKKFDP SVSLTRRFYPHSLNVDGFYVAKFQKIGPTPASASNARDRTTGPADETEFIDKTPIATD DEESGKKSDDFGGWDDDEDKEYMEKGRRNAMRRRGLDPNSNSKKAKAKKEKESKK FPSE_00957 MSETAFAQTFLGSLESRPVRLSADHVEDPKSFPARPPYIIPRMP NAMSKPNNLAPGSERSITVSLKSLRNPPLSIKLTSQPLDTSILDIKNNIQKQTRIPAA KTKLLHNKKPIPDSKILKEILGETDMSVEFTVMVIGGAAAIPPEEPEAAPEAQPTGAH ALQTDEFWSDLKGFLMQRLKDEAEAERLSGLFKSSWQSNQANP FPSE_00958 MDCITAFNDLKLNKKYKFIVYKLSDDYKEIVIDKASESRDWEDF RETLVNATAKSRTGAVGKGPRYAVYDFEYNLASGDGIRNKITFIAWSPDDAGIQPKMI YASSKEALKRSLTGIATELQANDTDDIEYDSILKTVSKGLAA FPSE_00959 MQRALNTRARASALSSAATKYRAGSLSQQVRFAHKELKFGVEGR AALLAGVDTLAKAVATTLGPKGRNVLIESSFGSPKITKDGVTVARAVSLKDKFENLGA KLLQDVASKTNEVAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRGIQAAVEAVVQ FLQKNKRDITTSAEIAQVATISANGDVHIGQMIANAMEKVGKEGVITCKEGKTVADEL EVTEGMRFDRGFVSPYFITDTKSQKVEFENPLILLSEKKISAVQDIIPALEVSTQQRR PLVIIAEDIEGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDLAILTDGTVFTD ELDIKLDKATPDMLGSTGSITITKEDTIVLNGGGSKDAIAQRCEQIRGVIADPTTSEY EKEKLQERLAKLSGGVAVIKVGGSSEVEVGEKKDRFVDALNATRAAVEEGILPGGGTA LIKASAQALNEVPTANFDQQLGVSIVKNAITRPARTIIENAGLESSVVVGKLTDEHAA DFNKGFDSAKGEYVDMINAGILDPFKVVRTGLIDASGVASLLGTTEVAIVDAPEEKGA GGPPMGGMGGMGGMGGMGAGWMNGAQWFACYDGTGVVVFQTHLLSIRLSDHGIRLHTL YGISGYTLRAIIHMRLGIVYL FPSE_00960 MWDSTPPRTPLEVTFEDSDSEPGTITPDYDAMSPVVAHLEKLAV THEDEKIEDSTTSKYEPSLESNTSVGDDSPPESPIEAFLPRPDTPHQSHCPLNPEGKI TVRNGQAYTSDEIKLMYLSVAEARHNGELPATTETSLDVHAVTDDFNSLVHLRRVRGN HLEHAPPSYVHLREQIALGIQQRAASQHRDNQQEAARRVNHYLQAVEHEQRYYNASNA MVRRPIEHGFINVDDDMCSMVEHTIEQGIADATGPLRMNVGRFSANVEQHTGLLKQQS NFIQAQEYAVEKQVLLAAKQKSLAERLSATLQQNAGILQHQGNLTQHHAGMLQHQSNI VQRQDSLTEHHAGILQRQDSLTQHHAGMLQHQSNIVQRQDSLTERHAGILQHQSHVVQ QQDQALQKQYDLLQNQSFSLRKESRFFKKQNDALEKQNQAVREQLKIQHDHIARMQDL LEPQAYNNYATAQNLASANQLVSNLSYELPQVIKKAFEDSIEETARMHARQALESAVD IWQQGIPYGMSANESVSSSDTTVDAYDKPELNTQIWAAYKKPEKKKATTDAEFPERSE RSSLYRMVSKFKRRRIAHN FPSE_00961 MSKAEPADQVKFLVSCIGHTSNGRPDFQAVADELSIVSKAAAQK RYERMLKAHGISRPGALVAANNGDGNGDSPPATPTTPGKRKAKGETSGSAKKPRTPRA KAKKESDDDEEPKPKPKASATKRKVKKEEEVEKKEEEQDEASAESPNSLSDAPASGES FPSE_00962 MPAKKSSGEDGSPTGLTDGELRFIKAIFDNMTQKPDADWDAVAQ TLSLKDAKCAKERFRQMSVRHGWRGDSATASPRKGPAATAGDKVTKKPRAPRTPRKPA AKKVAKKTEPEDDDEDDEDVKDEVKPESKNVKSENEDEDMD FPSE_00963 MADNKREPTAAEAMLFFSIVKHTRNKADVDWTAVATEAGFKNAD VAKVRFGQVKRKLGISTETTAAPRAPATPRKTAGGRVSKTTTPRSAVKGKGKNNKVKK EEESFDIFDDDEEMPKPPVKDEDHDSEKTPEKKSDDQHNFGDFDDTDIEYPFFQ FPSE_00964 MTPNSENGMARFLMAILNQKNLKDIDWNAVASDPVLLQPITNGH AARMRYTRFRDTVRGHQPQKRARAEDKSRVTKSKKTQKPKNQSLAKPNSAASIASYAQ VHQSPLIKQEKNQGYLAQFSPASTLSPYPTDRRDDFDHRFLTPCSDDMQGLAINPASL EDLRISNGFGPSMDSAPDFMAQPLHDPIVVGQSPFHTFDPPYDLTSFKPTISGDPHGS PDVVDFNGTSSLADCSPGWMDHFNDQSF FPSE_00965 MNILPNYISTCTMHNDKLYSTLLDASEPSFIRIRHFTTYKNWND RADKDLFFTILSVKNIGVISGSEWTTIGNHMRSLGYGFTNEGCRQHFQGLRRAQHKSD IPGPNGDTVRRNDPTMNPITRRPGPGRGRPRKQPPVPVPGAPGEGAPHPPLALGNDHG PPPNSAPPYPQGAQSYDPAPAGHQPTHPQQVQAAMRPGSISSAPPTPQAPTPGNAVPG PGPVTYPSPNLTPALPQNPPHLHPLQHGQPGQSNQPGQQPQQPQPEQQSQSDQQSQPD QQPQPPQPQQTQTQQPQPQPAQQSQPSQQPTPTTQPQPQPDQEIQHDYQSQELPQETP QETREATDADTISQSQSQSQPQPIEQNHEPHAIMPQDQLQPEHEDIDADGDADGEVDV DSDEPSAKRQRIGSPQPAKDDDMDDEAVLALAAHSGPTDFASDFATYGEA FPSE_00966 MPGKPSDWDNADFLLDLVVGLYTGAQTNKGLTPAIKESIEEYLK TRGYTTSFDAVRIMAKRQVMIWDANVHEDILISLFQHIKPSSDDWSNVMSDLQGKGYS FTESALRAYFCFLLLASPVQFIMPPKATRGWDAASHEDLLLALLEEMKPNKAILTSVA DKMRTKGYTYSFDAINQHVQKLRKNRDTAAIQNAGSDTATPRKARATAPKTPKRAPKR KAPAKSASIADDDEDLEDMKMQLKMEDADVDDDNLLSPKGAKRARNVTPKAEPDADDE GDSGEA FPSE_00967 MPPAEKKWDANAERDLCVAIIMGAQDGERMRYNWPKVHSSMESL GYSFTKDAISQHFSKSIMRDFKGRHGEVSAGNSPAPASTPTPKKTPRKRATPAKGRKK KVESEDEDEDAVDSPLAKKMKHKKEEIDDDVKMSKTEDDRGATPEKEARFGQWLAGSA GAPE FPSE_00968 MYSGFGGGYDPANPEHLYNMARHGRRPIVQRFDEYYRCYPLVMA PGSERPELNYGSKIILPPSALDKVSKLHVQWPLLMELINGEKGLHSHAGVLEFIAEEG RAYIPQWMMVTLGMDVGDMIQIRTTSLELAKMVKLQPQSVNFLEISDPKAVLEKAFRN FATLTKGDVFNFSYNDEVYDVAVLDVKPETDKMGVSMIETDVSVEFAPPVGYVEPERQ SGTSTPRSTRGGAPAGGLLHNQGTMAQSINYSAIAPSVTSNVTNFLGEGQKLVKKSSK ASTPKPATPVPTVDIPGMPKRRDGAPAALRLAPNKLFFGYEIKPVKTDADKEKEKEGA NRPHFAGQGQTLRGAVKRKGEGDDKTKAPEKKGTSEGNRLDGRRPR FPSE_00969 MTSRPSARPKRDGENFARTHHHEDESDSKRVKFDVRNPSTLAPD AREEDAILDADVIGGSGTKRGAVNLDGYDSDSENEDFNTRNEKRKKEEADAAKSGSKA PGTTAGDDDDDDDMFAVDDDDVANGDNNVPEGDDVEESGGKKNKVRFLDADKIDGVEN TSRNKEGIRLDDDGSSEDEADVELAIQEEGVDEEVGLGGLKKHAPKVEAFNLKQEMEE GQFDQDGNYIRKGGDPDAVHDNWLQGLSKKEMKKAAAAHEKREAEARKQRLEDDAIIV SELLKTLILNLERAETPLEALARLGKKQIKPKKIPKWKLKKMNKGNEGMDIDGGEEPE DPEQKKIKASIDAITEAADKLLSRDHEEIYEQERELLVREYRKETGEDWVEPAKQDED DEEQRTEVKPGTMWEFRWADGRDGNSQQGPYDGATMTAWKDAGYFPDGAVEFRPVEEG QNWTTHVDAFE FPSE_00970 MAPIKVGINGFGRIGRIVFRNAVEHPDIEVVAVNDPFIEPHYAV YMLKYDSSHGIFKGEVGNQGNDLVVNGKTIKFYSERDPANIKWSETGADYVVESTGVF TTIDKAKAHLQGGAKKVIISAPSADAPMYVVGVNENKYDGSADIISNASCTTNCLAPL AKVINDKFGIVEGLMTTVHSYTATQKTVDGPSSKDWRGGRGAAQNIIPSSTGAAKAVG KVIPELNGKLTGMSMRVPTANVSVVDLTVRLEKGASYDQIKQVIKEASEGDLKGVLAY TEDDVVSSDLNGNTNSSIFDAKAGISLNDNFVKLVSWYDNEWGYSRRVLDLLAHVAKV DASK FPSE_00971 MEAAAKTVQQLTQRILPEKPHHLSYSADWRYHVPANESRQKHPE EWDITRLQYSTLVSEADRGVLLTRSYYDMRVEPPKPVPRDVGILAKGGEKKKLSLSDY KNKKTSGVTSDTSTPEPPSARKREPERALESKSVPESRKHDSQRQRDHHLDTKPQKTR ELPVVDMRLPPKPPASLPPRPVSPDSRKRASNVDDDHRSQKRPRPDVPRPVDDRSRSG RDDPPRRKDRETLPPSDIPSRDKPPASSTLSNGRGVLKGTNTSAARNPSPAGRARGDS VNGARPGALGNTKGTPAKTDTASRSSVPPLLSPLHLNLESQNSADKSDRRRPREDAAD TVRATKPKKSEAPPPPPPKARNESARDKSPVRLPTLLSPTLPSALEAELSRRKVSAKT SDSKLYDDRDKDEPSERMSEERHKSTTARKAPVEHEEEEEEEEEEPREKRRLIVTLRI SKRMRQTFRRILALPPKRRERSESTEAPPVSQAKKRPASTENTGDTIAVKRPRLPSVS STLPPPPSTPSKKGAAMSRVSSSNSHAHTPGDISTVTPVPVGSSDRPATNGADVGRND KADIRAVNEKHSRFSSLGRRLKHEGDIANQRCNKLASSGDSRGSDTSRKQYYALAVES TVAFMASFHLLNVSRNMQHKSSDPGPWASLIKMVDYLQKETRRDTRRYQPMYALVLIL QAITVDEFLKSFSNYEHISKDDIHAHQAIRYRNWNMVRDVYEGISNSRLRADITPWST VEEVCQASMRVIRQWCHDENVDWTAAFDPQEASIRVARQ FPSE_00972 MAGRDHPERSTDYRDRSTDRYRGLPRDSTDDFRRNGGREPDNFV PRSHTSTTGNASGSDGEKGVPGFQLLMELFGEMSNTRGELMKAEARLSRTKKDHNISA SRPTEFASLGPLKHKELQRGEEEIARLQRKLVLLYPKLQTELNSYVRASVNPVTQPDT PTSIWNQSDLEKRLSELHRSINTLGESRLQAEITALREVFDEEKEKNKAMAKELEAQK EKSQSLEEKINHLDRVIRAIKPTVEVQVSSELDKQIRPRMTSLEDNLNEGLSNNVEAT KQLQILVDGHTEQLANLASKRSDNLVAGPSSVTVAQTAGSSVTAQLVRLEEDLKIRGR DIDFMMKNKMEPALSKIRDIEKSVEQSMHDSKFAKSFVQVQATHIQTQTQQKLSIFES TARDQAKKLEENFSTLETTTRDHTKKLEENLSILESTTRDKAKQLEYKFSTLKSTVHD QAKKLEENLSSFETTTRNQTKKLEENFSTLESAARDQANKHSNLNATVTRLNSELQLA LKQQQKQTDDLGQKLTSASSASTAQASELSSLQSSLKKQQKKSDSLEKTVASLETKIE YQPPQGFEKLAAKMQEYPPAADLNRMLADFPSSKDLKMLIVDTPKLKESVSKLKACKT PPPPPSLPNPNSLMTEETITQTVNYKIKQLDIATMKEIRQVFESFAKDMDNRQGLTTN SITSLNDRILKVNEGLEESKQQAKMAKEVGESLQKRCNEQTADLRRELGAFVENFNNL HAGTRRELEDVQFRMTSVHDRVINLNTKNWFDNMAQHIQNYMPNHFGGQLNSLEIRVS NLEDHCNGPEIANKRRRIANGSGNALARNGTL FPSE_00973 MAAPVMTVSDSKDLRGLNLIAAHSHIRGLGVDATTLEPRASSQG LVGQEKARKAAAVMLQMIKEGKIAGRAVLIAGPPSTGKTAIAMGMAQSLGPDVPFTTL ASSEIFSLEMSKTEALTQAFRKSIGVRIKEESEIMEGEVVEIQIDRSVTGSAKQGKLT IKTTDMEAVYDMGSKMIDAMTKERVMAGDIISIDKSSGKITKLGRSYARSRDYDAMGV DTKFLQCPDGELQKRKEVVHTVTLHEIDVINSRTQGFLALFSGDTGEIRSEIRDQINT KVGEWKEEGKAEIVPGVLFIDEVHMLDIECFSYINRALEDDLAPVVIMASNRGNSRIR GTDYRSPHGLPLDFLDRVAIINTHSYTPEEIKQIISIRAQEEEVDVHPDALALLTKIG QEAGLRYASNLITTSQLVSAKRKAKQVEVGDVQRSFQLFYDPARSIKFVAESEKRLIG NTGAVDFSVGASVSNGEEKMDLS FPSE_00974 MKISTSLITGIFAIFVTTSASTIGRHEDSYPNFIREAEQTCITP GVIPNITGSQIRTVGVVLFQGFDMIDVFGPLDPLQLMSLSVQKLNLHLIAETLDPVTT KPVVMNKFDSSFFPTVPPTNTFDDELDLDLLIVPGGSGARNPNLQAVTSYIAKMFPKV KILMTICTGAGVAARSGVLDGHMATTNKNAWATMKDMGPKVNWVSPARYVIDGKIWSS SGVTSGLDLIFAFIATFWGKEQSERLAAITEHVPREATDDPFSKHYNITPTEAQPCSQ P FPSE_00975 MGSSQPPPDPFGPFGYQMASDQDPPIADPPEPAPGAPLLSENDN KVLSTFFDDMTTDHYNVSYGEGLHFSDNWFDLPPQFMGSSTSFGQQPGSSLGEPSSQG LSHNIHEFHGLTSMGSHMMPPPPPPPIHSQHQSEHGRASDDVILAAATLVQNNGLNQR HQSNGVDNPVQRPIGPPVGHLRHQPLEEFREEHRRSVAASEQEHYPDWNMGAHELRQH RVPPIEYQWGSDANFNQITYTPSSEKETSESLSKDQLEMLNCLAQSQSAGNTRPNSPL QAKAQLPHSRLSEFSKVQEVNTPPRKRRKSRNSKDAPNDETQDEAGIAKPVRRRKPKA ERVGSITSHVVNEVSSGKRRKSAVNAAKLSRENLSEEQKRENHIKSEQKRRTLIKEGF DDLCELVPGLRGGGFSKSTMLAMAAEWLEDLLKGNKALEAQITTLEEHP FPSE_00976 MPVLDLRPTRKGPLRLDSVPEILRPLIRAYLLGYASAVAPRLLT LVLQHVAKRRRKAANQLSLDLDDSSFFRSAIRIVKTGFNPQRFPTFCAILAGGTTLLQ EPLKSILEKTAKGLTTFLAAWFGLRLLHSYEGRAYTETVPPKEGSPPNTEPQTVRFAG RTMDLTLFAVTRALDVVVGDVWARHKARRLASNKWTKTERFVSRFVDPLVFASSSGLV MWAWFYHPKRLPRSYNKWITSAASVDLRLIEALRRCHTGEFQYGKETGQAHLLQGMCV DYEWPLAWGDPAVVVPIPCQMVHMSSGPSCEYHAISRFFRAWKWSMATYLPLTLALAL RNPSRKALRRAIVSSCRSSSFLATFITLFYYGVCLSRTRIGPRLPGGTTIERRQHMDS GICVGTGCFLCGWSILIETEGRRKDIALFVAPRALATILPRRYSLDKEWRERLVFAIS TAIVFTCVSENPDRVRGVFGKMLKMVLSA FPSE_00977 MTETTQSPEDAAAQRAAEQARLRKERREAKLKAGGSARLNKITG LGGRIPGEPEPAIATTTATDAPTPAPAASASVASSTAQHADPDEVDISEHYYETKRAD TSRASAPETPEPNISEDQLRQMMLGFERGNGSGTASPAPGGVEEDPMMKMMSQLMAGA GLPAGSMPPFPGMPGAVPGQGQPVPQTAVRSSASTNLWRLLHAIVALGLGFYIVFLTP FTGSKIERERAALAGTTPADPLIAAAEPEMETELEHRKKLFFWTFATAETLLLTTRFF LDRRGSPPAGIVGTVMQFVPQPAKGYIEIVMRYGQIFTTVRSDMLACIFVLGAVAWFK G FPSE_00978 MVRLREIPRTAAFAWSPGAGKPILVTGTRAGAVDVDFSDESKLE LWDLALDDQQQGLELQPVASISTDARFYDVAWGPPNEDHPRGIIAGALENGSLDLWDA EKLIAGDSDALISQTSKHSGPIKTLEFNPLKPQILATAGAKGELFIYDVNDIDNPFRL GNAAARSDDIECLAWNQKVSHILATGGNGGFVTVWDLKTKKASLTLNNNRKAVSSIAW DPNNSTKLLTATPDDNNPVILLWNLRNSNAPEKTLQGHESGILSLSWCQQDADLLLSS GKDNRTIVWNPSTGERYGELPEVTNWTFLTRFNPHNPNLSATASFDGKITVQTLQNTN PDTSKAAAEKNLDDEDFFRAAQDQPQDASWSLAKAPNWFERPVGASFGFGGKIVVFKP NATQPGQKRSSKITITNFSVDSDVSSATQKFQEDIASGNITEICSDRVENAKTDEEKA DWEVMGTLVGENPREKIVEYLGFKKDDLTNGSAEETTEEAQEEDEAKTSSEDTGEKKH KRVSSFFDGAEGDGDDFLSNLAATKGAKTDNPFHLFSDTDTSVEKSITTALMLGNFAK ATDLCLKEDRFADAFLIANCGGQELVDKVQAAYLSRKTDMPSYMRLLGSVITKNLWDV VYNADLESWKETMAILCTFSDPAEFSDLCEALGDRINEEGDRKDASFCYLVGSKLEKV VSIWAAELDEAEQAGLKEESGDSTFSVHARSLQNFIEKVTVFRHATKFQDSETNLDSD WKLSTLYNKYTEYADILAGHGQLETAQKYLDLLPSNYPAAELARNRVRLATKKPAAQP AARVPASSSRTTSRAQPTVGYQPPQPALVPGTITGSGPANPYSNIAPSPVAPRSTGSP AQHYQPPTNPYQPHNSFAAPAQHGYGAPGGYQPAAQPGYGAPAQPGYGAPAQSGYGAP AQPGYGAPAPLAPPPMGGPPRNTTPSSVTPAAKVKNMDNWNDVPLVTKAPPARRSTPN VQPITSPFPGQPGTSAPPPPGGSPYGQRTGATPPPPPPKGSAPPRVQSPLAAPPQNFQ APPPPAAGGHNPYAPPPPAAGAIPSPMPNALPRTASPYNAPPAAAPPSNRYAPTPTAQ PQQQFSQPPGSVPPPGATSRPPPAGSFGAPPQQSTPGQYAPSPYGAPPSQPSAPPTGP PPMNRPGPPGGPAGTASPRPTPPPPQSAPAKARHPAGDRSHIPPSAQRLVDILNQDMQ RVASKAPATFAPQVKDTQKRLGLLFDHLNNEELVKPDTIEQLTELANALEAKNYDGAH KLQVEIQRDKTEECGNWMVGVKRLVSMSKATP FPSE_00979 MSDAARWKATVHVGGLSQMATQSHVLDAFIPFGEIVEVKVPKPD APNSTEAHRGFAYVEYEDAADAKEAIDNMDQSEFFGKVLKVSQAKAPKSADDGLGSKT AVWEKEGWLAEHAADDEGAVADSGPDPMQGLEQLDVAGPKPE FPSE_00980 MRSTAVLRMFRQTPRMLRPVPKEDQAGHTISQRLRKLKQIPPEL YPLAVVVGFALGAAGYSISRKFIVDKNLRLARQGPAARAANSGHGESEEH FPSE_00981 MSDDGQELVTKPFKFVTGTDARFPNMNQTKHCWQNYVDYHKCII AKGEDFAPCRQFWLSYRSLCPSGWYQRWDEQRESGNFPVKLDA FPSE_00982 MSPRNLNVLITSFAGLGLPPTLVLPLSPSTTVTELREHLDDRLP TTQSRLILTTLSNKQLPNSSDSPISEYLSTTDDEFLSLRLAVPLCGGKGGFGSQLRAA GGRMSSRKKKSQEDHGSSRNLDGRRLRTVNEAKALAEYLAIKPEMEKKEKEKRRERWE QIVQASEQREAEIKSGSKSRLDGQWVEDKEESSERTREAVLEAMKAGNYRDNLLGTSH GSSSTQPSNEQSGSDDDKEASSKESTPPPAEESKADKGKAKAFFGFDEDDEFMSSDEE DEGK FPSE_00983 MLIPKADRKKIHEYLFREGVLVAQKDFNLPKHPDIDTKNLFVIK AMQSLNSRGYVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEIVPATHIKQQRSHAPPR GMLGEGERERRPFGRGRGGDRGDREGGYRRRDAGEGKEGGAPGEFAPQFRGGFGRGRG AAPPS FPSE_00984 MNISDSPLFKEFARPILIPEPRRSRAFYSPLIIVDTFVQHLLDT AKLETTQFKISNATENSFYLTIQGRLVGTGTIPSTINAMEATLSFNGSSFGEVKLPQI QTSYWGTDFAVQEQRVDISNHATYCHFVRSLIVDKDTCLRVQNNECTIRALRTSSTCS VRIDMPLKAVDGPHLALKKVSRSGKHVKMVLSTSYSGPVELSHGLCLFELRNGPGEVL AELKGDLNISQSENELVLHGTANHGVIPSQMVRLVGVGVEEDKRSWLSETIREVDVVF DLEPEQAETLWF FPSE_00985 MAPWLSRRGSQPNNSSNLSNSDLITRIHNTALHTPTTLPALYSN NLQAASNGNRFELSFSNNNNGDSSSDESDLHPSRPSSSRPQRPPHTRSMSQPFPSLFS SKKKRQNSVGAPPPDLGFADDDVVMPRQAPKTHARTPSHNGNGPAGNKDFATGNCMTC GSLVRWPRDLKVFKCTICTTVNDLEPLGADHDGSKPRKDANHGPSQANTPRVAHVSIE HTKRLVNQSIQSYLTKRLHPIPKPPTEPPPEPPVQSKLSFSSRMQATGRNFSPIDPKK GATPSSKSPAIQVSHYTFDEEPKLRTNPVRTNSSPMPRSLSSSYSDRPPVQKMLQDNV PRETRKPSSDISENDPKRIFKALEEYVVACFGSFECINSSFSTHPRPAVRNASEATRR KPAPPDEPREQRGHCRIPSENHALREQRQPRTAPPVEDSTFDLDPKMLLLGDFAENGT WWMGNQEESRPRRPSAHRVDRSPPNATVHTKTPQLNWGDLMAWYTAIVSPAKGWFAVY EEICQEQNFQTPPQRELQSIERELLQGQEHARRVLLKATEMLLKRPGRPLKDPTDLRF LLVIIENPLLHDNENLFHGIIQPENNSPSSANFARSRMGSVPETGPLSGQHSGIIKRI VGLISNSSPECHNQLISWFARYHPFRFIKTKEIASGFLTYRMIRQSGKKQEVKVDITA GLIPQMQEGRSGAYLYDEINRSTSSKKAKEPEKKIMYGEDWQIRASSRVLALLFTANN LPHNRKNNETSSPSRERYTGAHAHGQILPTSDFYNSMIDYTDLVADFENWESRKSKFT FCQYPFLLSIWAKNHILEHDARRQMQSKARDAFFDSIMSRKAINQFLELNVRRDCLVD DSLKAVSEVIGSGSEDIKKGLRITFNGEEGVDAGGLRKEWFLLLVREVFNPDHGLFLY DEDSQYCYFNPNAFETSDQFFLIGVVMGLAIYNSTILDVALPPFAFRKLIASAPTHGT GASAHAKPPMRYTLEDLAEYRPRLARGLRQLLEYEGNVEETFCLDFVVDMDKYGTQVQ VPLCRGGERIPVTNSNRREYVDLYVRHVIDVSVTRQFEPFKRGFYTVCGGNALSLFRP EEIELLVRGSDEELDINSLRGVAEYDNWGNKKPDGSEPVIDWFWETFQEATSQDQRKL LSFITGSDRIPAMGAAVLPIKISCLGEDEGRYPIARTCFNMLSLSRYASKERLEKMLW TAVRESEGFGIK FPSE_00986 MDFATSFKNGHMGAKKFWRENLPRLKYHNPSVPMIVNRHSQNSK KPTLSIYLRKPDATTPAPETRSQPASSRSNLSKATPADTDEKIITVDMTEKHSSHILE FVLAETRAVPLKPTKEEIRELQEINAMAKQAEVDRARMRGLREEKQREEAMLKRARAA GGVAEEEGS FPSE_00987 MAMKANMVEEAPPQEKQPVCDRCHDLQHYSTKSDTAKLPMYHPT VESLRETIEESPHKYNHIYHIIDAADFPMSLIPRLNVLLGDIPIRTRNRRSRASKFQH DRKTELSFIITRGDLLGPKKEMVDTMMPYLREVLRDALGRLGSRVRLGNVRCVSAKRS WWCKEVRQDIWERGGAGWMVGKVNVGKSQLFEAVYPKGRMGPVTDNGRISTYPREVLN AESIAAEPIQDKDVDVGDLLPPPQPPRNYPDMPLVSSLPGTTASPIRIPFGNGKGELI DLPGLARSDLELFVKEEDRQSTIMKSRIIPEQISMTPGKSLILGGGLIRITPKDPNLV ILAYNFTPLEDHLTQTDKAIAFQDQTRESAGVPSIMLPGIGDKMKHAGTFKLSHDVTK KRAGPLTRKNAIGLNVDRLPFRVLSTDILIEGVGYVELVAQVRARDVALKVFDQQQQR IRADENVWKKPVDTSDPFAAMKFQRRDTAPVVQTRRKEPEPPLDPGWPAVDVFSPEGR FIGSRQPIHGWLNNKPRVLKEHRKSRHRRSMKGAKKKDKFEKRAAN FPSE_00988 MSQRQQQRSAPAPSQTQTETPTQTPETREQAPQILRLRGAHTSN GRSVQWAEDVVDNEGLGRKSSKVCCIYHKPKAVDESSDESSSDSDSDSGSDRDGAQPA GGKRQGCGHGHGHNHGRGRGSGKGKEKRAPSPNAYEKVPKPKPKDGSSETKP FPSE_00989 MAICASCRLALRRTINSSKSTTTRSITTKSCTAASILEKPTWSV RSLLPSSSSSEQAENITPSQLHHLLRLSALPLPTTPADEATMINTLQSQLQFVRAVQR VDTTGVEPLRAIRDETPEARQEVTIGLADLQEALDREVRIGHYQRARRVREKIESNAE KWDALSTASKTAGRYFVVESGKKDIEGAE FPSE_00990 MKYCPSWNLQSRTVADTLPELLITSTWHFFIFCFSLQLFLDTPL HEISQSWRKTLELSSKRFRSNPSPALILVLAVGNDLLLTHRNVQAQKQLQSAGGGFSF FGGREDKYQEAADLFTQAANAFKMQQQNLEAGKAFEQAAQVQTDKLKEPDDAANTLVD AFKAYRKDDPQAAARCLNVAVDRYCAKGNFRRAASHKENLGELYEVDLGDAKSAIESY ELAATWYEGDNAAALANKLWLKVADVAALEGDYYKAIEKYEKVAEQSINNNLMKYSVK DYLLKAGICHLASGDLVAAQRALEKYRDMDPSFGAQREHQLLCDLCEAIEAKSQEQFT DRLYQFDQISKLDKWKTTVLVRVKNQIEEADDEFA FPSE_00991 MYFVSTAFLFGSFALQSVLGRPALQERQSSLDSFIKSESSVAIE QLLCNIGSDGCHSQGVASGVVIASPDTDDPDYFFTWTRDAALVFKYVIDRFINQYDTG LQTKIQQYIASQAKLQGVSNPSGSLSDGSGLGEAKYEVDLSPFTGGWGRPQRDGPALR ATAIITYANWLIDNGYTSTASDIIWPVVRNDLNYVAQYWNQTGFDLWEEVKGSSFFTT ASQYRALTEGAALAKKLGKSGDNYAAIAPQALCFLQTYWIPSGKYVDSNINVNDGRTG KDANSILTSIHNFDPALSCDTTTFQPCSDKALANHKAVTDSFRSWNINKGISQGSAVS VGRYIEDVYYNGNPWYLATLAAAEQLYDALYVWKQKGSITVNDVSLSFFKDLVSGIST GTYASDSATFKSITDAVSKYADGYVSIVQKYVGDNGALSEQFDKNNGRPLSATDLTWS YAAFLSAADRRAGIVPPSWASGAAAVPQQCGTQTVAGSYSLATATSFPPSQTPKGGAP SPTGTQPSTSPTTSPTSCPIATSVAVTFVETVTTNFGETIKIVGNIPALGNWDTSKAV ALSASDYTSSNPVWKATISLTAGQDIQYKYINVKKDGSVTWEKDPNRTYSVPKTCSTK ATKTDKWQS FPSE_00992 MDAATIEETNRIRISLGMKPLPVPGENTTQNESMYADGDAPSTL ESRQAQAYDNYNKVMEAEKAKKKREEKAAAVRKAREQAQRNAVLEGKGFGEAEEGGDL SAKDWLIGQKKRQKNIAKVRKLEEELAAAEAEAAAAVQYTSKDLAGIKVGHDTAGFLD GDEQILTLKDTTIDENEAEGDELENLDMREAEKLADRLDTKKKKPGYNPLDDDDEEGE RGILSHYDEEIDGKKSKKFTLDTSGVIAELSDILDKPVDKTRSGQNVSLDDIIGDAPI SSDYLNPSQIKVKKPKKKKNKKGTRQKPTDDDDDLFPVETEPAGDSMDIDSKSTTIKK RKAADDTFVDDDDLQATLAIQRKNALKKRKKTRPEDIAKLLKEDENESEPVEEQEGGL VLGEVSEFVAGLSKPGDNEERKPRRPRTVSKSPEPDEDHPMGDDTQGVEEEMPQTSVI EETEETGAVEEEKAVGGGMGATLALLRERGLVKEAKGDEEYSSLRNREDFLTKKRLLE EELDEQTRRQRERDRQSGKLDKMSVREREEYARHQNNWRDQQQAKRLAELYATGYKPN VNLKYADDEGRALDTKEAFKHLSHQFHGKGSGKGKTEKRLKKIEDEKRRESQSMFDAS QSAGMSLAAQQQLKKRKEAGVRLA FPSE_00993 MSSQASTKKDTVEIDNSKILESSTPKPLKAPSAKEPAKKSEPNQ AVDEMVGALSAEIEQTMEEMKAVWEVMDAENEELYVGPEWI FPSE_00994 MPADETERLISPTREEGDPTESSPLLNRTDDDQNADVAEHDGDS EPSSQPQSRGWSFWPRRNKSSTKSSWRWPSIIAMIILGIIVILIIILGFLVPPAVKEY AEKAAVVEPTNLSIENITSDGIRARIRAKVYLDGSRVDNKNSRRIGKAVTGIMRKLET KETTVNVYLPDYADALAGTAVIPPLVVDIVNGHTNELDFVAEVNPGDAEHIRKIANDW LDGNLNKLKVVGKTKVHLQSGVFPLGSHDVAESMVFEANEIPTMPKYNIERMDLHDRP VDGHGREVIEADVSLKIHNDYPVSFQVPTLGFEVLVPNCDSTLPSISVADAITDPIDV DAEADVRASAKGTIRDIPESLIKACPHSESSPLDNFMDRYLHGEDAKVFVRGKKLNDT NTPEWISDILESITVPVDFPGRSFDSLIRNFSLSDVDFKLPDPFADVDDPDGEPKVSG TAQVLAALPPELNVNLGVDQIRSNADLFYKKRKMGELNLRHWQDANSTRIHDEENNET LLNITSRLVDVPLTITDGDVFSEVMQKLLFGGGDITLDIKAEVDVKVKTVLGILTVKK VPAEGKIPVNGFPGNALGKLKPEVGEIEILETTESSIQMRALVNLTNPTPYAASIPYL SVHILHDGKLLGEAISRNVTFNLGKNTNLPVHAIWDPLRLGGENAHEVGRNLLSDYVS GENTTLTARTHKKSIPNVPIIGKALSKINITLPAPRITVPGEDRQDGEKPRFIADATF HLFSSTASFTLLSPLLYNTLYIEHINATALYNHTEPIGQIVHDEPFPATPGRSQTPRL PVEWSPSRVGYGKLKEALGGSLKLDAVANVTVRLGSWTETLYYRGKGIGAKVGL FPSE_00995 MKTSAFRIARGAAAGLQKRAYTKATTAPRHLMTIADLTPTEFAN LVRDANTRKIAVKGGAPASYLNAGLAGKTVAMMFSKRSTRTRVSTEAAVTMLGGHPMF LGKDDIQLGVNESLYDTSKVISSMTSCMVARVGPHSDVATLAKHSSVPVINALSDDFH PLQTIADFLTLAETFPASNSKGATLGLNGLKVAWVGDANNVLFDLAIGCVKMGVDISV AAPKGYEIPDAMRQLITSAGDGLSSPGKLIETNVPEEAVKDANVLVTDTWVSMGQEAD TQKRLKDFAGFQITNDLAKRGGAKADWKFMHCLPRHPEEVADEVFYSPRSLVFPEAEN RLWAAVSALEGFVVNKGKF FPSE_00996 MASLRSLTASLRWVAPARGVAAVRYSSSSTSAIAYKALRRRSAP LPVRDNPPAWSAQSAVSNILYETPVPSTVPPKRHILNCLVQNEPGVLSRVSGILAARG FNIDSLVVCNTEVEDLSRMTIVLTGQDGVVEQARRQLEDLVPVWAVLDYTAAALVQRE LLLAKINILGPEYFEELLNHHREIAAGEYDVDNAHEAIERSISDTAKDFHPSKLAVSQ ALRHKHEHLKSITYFAHQFGGKVLDISNSSCIVEVSAKQSRIDSFLKLVAPFGILESA RTGLMALPRSPLNEASQEAVTMEADDVVDASQLPPG FPSE_00997 MDESERRTVKRSRFDQTEPEPKRTSRFDRRSRSPPSRRSESGRD RSPVTKDATPETKKSPTDAAAAAAAAAARINASLQARKGIQHVDVPPIRSADTESPPP PSTSTPQTSNKIAPPLDTEMYVADGDYIQDIEVNDLRNRYLLTKGATQKMIKDETGAD ITTRGNYYPNKTMATAGKPPLYLHITSTSKAGLEAAVAKINELIQQELPQLVDERRFR RRDQEQVERDEFGRRKWPEEKIPISLEPVHGFNLRAQVVGHGGAYVKHIQQETGCRVQ IKGRGSGYLEAATNHESDEDMFLHVTGPDANMVEKAKELCEDLIANVKEQYEEFKSRP PRYGGDRYGGDRHGGDRHGGDRYNGGGDRNHSHGGSHGGSYGGYGGYGANTGGAANSP APAAGVSSPTNAADYAAQYAQYYGTTDPYAAYGGYANYVAMYQQYYGAQAQAQAPGAP GATGQSASPPPPPPSEAAPPPPPPSSAPPPPPPSGPPPGTGGSYGSVPPPPGL FPSE_00998 MSHQRYPSHDPVKEPHSISLKVLRLSRPSLVTQYPIDSPSSVGA SLKPAPIPASLAYHSQVTSNPTPFLLSPVVNLPVSFGSAYVGETFSCTLCANNDLPPD AVKNIRDVRIEAEMKTPGMGAVQRLELGPPNGQSEADLQSGDTMQRVVSFDLKEEGNH VLAVTVSYYEATETSGRTRTFRKLYQFICKASLIVRTKVGSLKAEDTQGHGRWVLEAQ LENCSEDVVQLEKVVLDTEPGLRYRDCNWEASGSAKPMLHPGEVEQVCFVVAEDGAET GVEVTPDGRIIFGSLGIGWRGEMGNRGFLATGKLGTRRAAR FPSE_00999 MESSSTPLAEYFWIAGVESVSYQDPNSQPAPAVPVESTIIEDGE PEDEWTNGGQPKTNARHSRQNSASRLSKMSLTERFSIQTLDDTDGNTKSNRSSATIRA VNPPDFSNGNDDSNGNSQTPAPSGTLGEGSMFMGDFDFDKALVKFAAEREVFLEDLTF SAGAKVQARAPMVNPRMERIRAEESDSGRLSPLRSIKGSIRRKMSFRDMNSVRKQPSN RISTSRAASIRTTRRLSNYNSVIPPPEPLNTDPDMHPLKRRFEPVLLDRYPPQEAGDE ISRRGRFPDYVPMFAFPNDIQIVSSDDRPRSTWHGFTMTSDDNSKLYGITIIIWIALN ADVAEDVEKKCEEWRQSHMSEEERELAASLGVRLAGERTHLSQLLAKLPTIPSGSPAR ERLEDEISTVEEKITLMTDMLRPLRHGAASKIEGLTAGESGLWAPRAYGILGRDAGNM SFWKEWLKAIVTPMTDGSVLRIPPSSPRVGRWQPLERYVVNLCTEAFNPLGSKTQVEL GVRELRLYARKEADNEIPGSRTIDIYALFRCLSLENIVALFEYAMAESRIIFLSSHTS MLHLACHALANLLYPLKWASIFIPILPARLLSALEAPCPYIVGIERRYDNIELPEDDY VLVDLDKDTIDATSQPVRLPRQARRKLMSLLQVAAPHKLRYGVATGPPPYAMESFPYD AFSTENGSLFRTSTPKSTLGKWVSQSSSGFGEADPPNEIQPPIFNAFASAHVENGKSD RPSTSKSGKTSPQSSVSPVSINFPPMPSTPVSRSDSGFALASTLREKRSGHFGEEKMR RSSSFGVDKHPPFQKPGMPFLNGHQANLSISAISVESQNSVIGGANGGYGGGYAPSTY AQSTLAASTIMPSMQIQPVRNTETTVWVEGHCFNYMPKDNTSMCTICNDLAEGDGVYR CTGCKIVSHGRCLGYCSLICPEAFHADRVRAAFVRCLASLLYTYRKYLGRPSKQQKSN GQLYAFDMDGFIKSLPHDQHDYAAMMRETQCFNEFIHDREMQPANDASIRLFDEIIMA KKARGRSGLATGLSRLSTLRASHGASTYGGFGPARGGSNSKIPAFLGDISDHIWRTAS VPLPKGNFPGEYKTIVTRTPARLDRSLMREPRSIQGMPRVEPRGTRGLIRKQVPNMFG TTPPT FPSE_01000 MTRGGRTSSVPRRPVDLTSNLSVAEKNDLTTLITAITEKLHNDI SVIFDSPPVTPTLGDFSDIENVDIGHHHWLALSLQTDGKENVDPRHSNLSKNIPKPVP TDKITAQSPGSEGSEAITPQLRELKKEALIFFRKWQSIFLQRLRDISVTEPNGSQPNM RGRGRGRGSARGARGGRVARGGRGESRGGLTLATGPPKTPSTHIDRELSRRFPPIPTS LWTLPLEKRKLLLHISLLIVISLQEYLAYARMTLLNLTSSLNLPLKVLHEEEKRIAQG LSQLAVDSVIEQASQQSQDENKPVLKSKARIAAMTGPFRLGTQLIAVGIGTAHGGHGL RLPTAAGLLGPMADNGPSTGSMLGIYSARPTCKMIESFSREIQDFGLMPLRCESQGDY MDATAIPSTARRLRLVIAINGWVTDKDNLTGSWKILGDNAEVYILRWEMNVLSNIGAA LETVIRSSAWTAAKQAISSRTIFKNLIEATWPMELMKVSKIIDNPWSMGMVRAEKAGA VLADAIMRSKIQGDRPVSLVGFSLAARAIYVCLMILAERRQFGLIDSVVLMGTPAPSE SRVWLTMKSVVSGRLVNVYSESDYLLGFLYRTSNIHFGLAGLQKIQGADGVENHNVSN LANGHLRYSLLVGKILKDIHWEDLDLNA FPSE_01001 MQVCADYDCFYAQVFENKKPELKKFPVGVKQKNCLATCNYNARA RGLTKLMSVSEAKRKCPELVLVDGEDLTPFRDMSKTLFNFFKSFSWNNKAERLGFDEV FMDVTDIIEYNLACLNLEFLENSFFFLSKNDPERGFQCDLTSVAGCVEGTASPTPDTK EPAYLRLVLGSHLAQFLRLQVEEKHGFTSTCGISTNKMLSKLVGSKNKPRNQTTLLAT TEDEVIAFVDTHKLRKIPGLGFKTVHALGTHAGADMSKGISEIPELSDNPVTVADVRL HPSISPSTIETLLMGPGAEKGVGTRIWGLLHGVDGTEVKEASDVPSQISIEDTYKGLD AITQITEELHKLSCSLIRRMRIDLLVTEPGTDTQGAQKWIARPKTLRLSLRSWAYLHS AQGQAYGRVSRSGPLPNYVFGFKNDIEYIAEKLVSDALLPLLRRLSPERGQRWNLQML NICVANMVFGAADDKTGVGRDISVMFKRQDEVLKPFQIKEEQDVGQGEEHEHPVSEGE DEDGEDDDDDWYIGDNASCPLCGNSIPMFAVPAHQRYHEMEGS FPSE_01002 MASSQAPLKKPRLSLQIKTSCSPATRSSRSYAVDPKDPTAFNTL SNVYVTTIERAAPSLPEPITAINTLQAFSLETPVERQDPKHRVVTPYVASYPETPSSD TAPSPHPGLEINYPSTMTATPPLSAGPVDSHTSKAFSFSPADISAAPVHKSHLHVEKR TLTRPTSLAELATQAPYTHPRSLHSILRNSPLPPPTAIPPPSPRRQSRRLQDKANRRV CYNNPLTQEIVTNKYTKSHIDLLVEEASPHSPPCVPPQPQNAIDLALAFMPNEIQDGG QTPGPFEDMRRRMTGLGVPSTPSSPMSPAGPRGISKRKKREKKRRWVWTIGQEEEDDE HVGGSIAALRAEAAKSRDADEIKTPVTAIRSPPFTFLTMPTPSTDRFERLDSILSENN DVEMSDTSSCLTIPEETRYTTAEMDLDIKTPIAQHDDSESSDRLLLPDSNVGGFSLKR DSPVPPDMLRAE FPSE_01003 MSNDDDYMAFLNKANQDTGAGASTQAKTVFKAKDQGAEVPKPIV DVCKKIVYTSDADEPFEEVSLKWQGKNGLPTETEFAKLIDHSSPESAEIQILDPLSWD SHGKYTDVIEAVREASQGNDVRVYQVTRDSTRIEYWVISHADGKLIGAKALSVES FPSE_01004 MSHRKFEAPRHGSLAYLPRKRAARHRGKVKSFPKDDHKKPVHLT AAMGYKAGMTTIVRDLDRPGAKANKKEIVEAVTIVDTPPMIVVGLVGYIETPRGLRSL TTVWAEHLSDEIKRRFYKNWYKSKKKAFTKYAKKHSENNGASITRELERIKKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQVNGGSIADKVSFGQELFEKPVDVSSIFEQDEMIDV VAVTKGHGFNGVTARWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQMGYHHR TSVNHKIYRIGKGDADDNAATEIDVTKKTITPLGGFVRYGEVKNDFVMLKGSIPGVKK RVMTLRKTMFPQTSRRALEKVSLKWIDTSSEFGHGAFQTPAEKKQYQGTLKKDLASA FPSE_01005 MANHHASPTMMQMQQHQGQVPPPPPPPPPGVQPAHFAPSHQIAA MNEAVWLQIGSYSEVLRNPDEAMQAYERALQANPSSTQAMNAIGVLLKGREAFEKALE FFRAIVQLDQNNGEAWGNLGHCYLMTENLQKAYDAYQQALVNLRDPKDPMLWYGIGIL YDRYGSYDYAEEAFSQVMNIQPDFEKANEIYFRLGIIYKQQNKWNQSLDCFKYIVNSP PGPLTQEDIWFQIGHVHEQQKDFDSAKAAYQRVLDHSPNHAKVLQQLGWLHHQQSNTY ESQDRAIQYLEKSVNADNQDAQSWYLLGRCYMSQQKYPKAYEAYQQAVYRDGKNPTFW CSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQITDALDAYQRA AELDPNNPHIKARLQLLRSGGTNGGPPPTAPQPADVHPQAYQAAGPVGPQWGGSTQQP PAAGPPPPPQGPGENWAGRLSNINPPPQPPNPYEARGAEQFRGPAPPLRQPSPQQEQL RQPYNDPGRGGPRRGQTPPPPPPPGHQYSQPPHAPPQAQPSAPPSERRVANPSWVGAA PSASASAPPSNNINGPNGPNGPNGMAPFRPTNSPRPDGRPVHDNRMPSPKSAYPQHQA PPLYNQHPEMSTPGNENGPPGPAGPPPPPPPPAVAEAAMQRMDDRPPSVGPKRMREWE EESTAKKQAIEENRVRLDDGRHRRMSTPPRDNYRRNSSEARRPEEVRRPEESRREEPR RPESAPRHAVESYHPSDAAHHPPSHTSGPSHLPPMQQGPPTPMSGVVHEKPPVVATPK EERPPSLEHAPPVRTAPVSEPERAARKMEVDEDYDDSGEDEKKTAVVTNGSGPSSAAG DAKTSTPANAGVNGISAAPKVE FPSE_01006 MSPTNAEYALSESHKEMLEKSLLDSDPEVASIMKDEIQRQRESI VLIASENITSRAVFDALGSPMSNKYSEGYPGARYYGGNQHIDQIELLCQRRALEAFHL DSEKWGVNVQCLSGSPANLQVYQAIMPPHGRLMGLDLPHGGHLSHGYQTPARKISAVS TYFETMPYRVDLDTGIIDYDTLQKNAILYRPKVLVAGTSAYCRLIDYERMRKIADSVG AYLVVDMAHISGLIAAEVIPTPFKYADIVTTTTHKSLRGPRGAMIFFRKGVRSVDAKT GKETLYDLENPINFSVFPGHQGGPHNHTITALAVALKQAASPDFKAYQEKVVSNAKTL ENTFKALGHKLVSDGTDSHMVLIDLRQHNLDGARVEAVLEQINIACNKNSIPGDKSAL TPCGIRIGTPAMTSRGFGEKEFERVGKFIDEAIKICKEEQAALPKEANKLKDFKARVA SGEVQKINDFRKEIASWCSAFPLPVEGWRLDASI FPSE_01007 MSITNGQPAWQPPHLQHTNSNRSLAHLDDVPARTQTPIDNSSVL MPEAQPDIEDENRRALFADLYRQTEDKVALLFSEDGSYNYPAIAALRRCPPPPANIIP PTTDHEPIKEPPLKKAKRTIDEDDYDDDDDEDDDEPSQPAPKIAAANTLLSPSKSGSS PVHSVTSPGKHGDKSKDQDSSQLKDKDGEDSIKKLEEARTATEAAARRSFHTIFHTLE NDRAAMLEQQQLEDSEKQLQAEMDHNHHPNNNHNTVPNQGTLSSANLGASSLTLKHLI ARIDMKRDQVRASDAELRLLMNEVRKNRSKWASEENVNQEELYEALEKVLTELKAHTE YSTPFLVRVNKRDAPNYYNLIQNPMDLGTMTKKLKSLTYKSKTDFVVDLNLIWDNCLR YNDDMNHPLRRMANGMRKEAEKLIPLIPDLAIRSRAEVEAEERRKQNGGEDDGGEDSD DEPIMSSRGRKAGAKGTSKARKAPNDQKEDTPAIDQKPILQVNGLLGKVREGSEVDGS NGFGTPPIGGSTTPLGLNGHSGIGSNADAMDIDGPSINGLALNSAFSEATEQAFEDEE YKVWKQTTKKDRALMAKERFQLFKDNKFNTEASALVRTKAGMRRFLKRQREAEAEGII THALTNPATVASDDPAVKPAETLAEEIEEEVAKMVPDYYDTLSNIPDIHPKLQWVEDN EGQVINQHEEFLRLVPPGTFIAPPGRFTKKMDENIHQIQETRKLATRISVIKQMQVQT QVYTNQFPKSNSDPFVEQDIEPHFIADDGPVMAAEACQDALKRSIAKVLYHTGFEELQ PSAMDAFTGIAADYFQKLVRTFNVYNESEKKAAPTNAEGSRFQPRFTPEEVILHTLDE NGTDISSLELYAKDDIDRLGAKLDGLHERMKLHLTDLLRPALSQDAGVDGVGAFKDGS EQFVSGDFAEDLGEDFFGFRALGLDKEMGLDMISVPLHLLQTRVRNIHQMQTQTTGEA ATDLFEPLSVSDPVTKENIQEQVGLVKNFFLAKLHANGDQPLVEDEDLPTKQKKPRPR LGASGKIVTAQKRSPKEQLALAKKKKKMDAAAAEARANANASPEKGANAPPGKKKSMT STTGPVPNPAIIALAPSMERRDSMQSQGNASQTDKDDTIGMMSPESIAQ FPSE_01008 MRIRLPVAGAFLVLLLIAAYAGLTSIQLGQYVNDKVLHFITFFI LTVVFYWIVDTNRRRVMNMTLVACTIVLGVGSEFVQSFLDNGREFDLYDIVANLMGSL LGVGLCSWYHKRMLERKRRRRYSAVPGEEQGDVELGEGHESGVVEGASRSRTLEEEVD NWDENQIDDDWDEEETHDVPANTHTLDDSADIGDLADSMTYDWMVLYDFMFNPIHALP TTLMESDGISSFRDSWKWYQFMNYLSKLVLSQL FPSE_01009 MRVHVQQQSPSVSQVVEGTHLQKYDKKSAKEWEEVFEKFPVHLH WLVTDVTRPLMSGLIPDYPIHCSKAQQYTWARVLKTALAFGPAKYVRCYHSYGAIGDG RPTSSSSSPEDEIPLSPPTLTYRELVANSVPDLVEQEPSVLLLPPGLEELFSAPLNRP GDGCELCSHYMNGHCPASSDFIKRVAYLRKCLIQVLLAKGILF FPSE_01010 MASKGKETATSKDATPTNPRGIPYAPFVDKVEDYVTTRDDVEPT LRSFQEMISKYQFMEMNLQKRMGGLKEKIPDIQKTLDSVKFLKLRKDDDEAIETTFEL NDTLYSKAKIPATEEVYIWLGANVMLSYPIDEAETLLSSKLSTAKTSLSNCEEDLDFL REQITTMEVAVARVYNWEVVQKRKDKAVEEEENKKVKSQGD FPSE_01011 MLQRRTEVHRASLNSDSRRNLVNERQDKSAEHLGFLPNNLRTRI ERKLKMQKGWADQSPATLLRRYLAIDNPEPGLQKSIAIIRSMRLPIVVMQMHIRRQVV ADPIGMKEVAEALPSEEQWQRLMGIMEYNGYTQESLSQYVDILFAKTDEERCHLFMAD SNAKPAFIFGYLLRLGSGISQVSTLDSLLAYFKRRLKTTAEKKRVASVRSYIERRAWN QMQGFSTQDAMENMGRLAFHCRRIEPRRLIALAEIIADFIVDLGANSHSSSGLFTTDE ISAEAYHAQCKFFNAALSTIASRMGSGAEHKSIPYAYIWAAQRVLLAMSDSLPQPLAV DRSGFQSIRAVLAGMPKNRDEMHAASRHSKSWPPYLTPADGIDEAMDAEESWSRVVRA GMMMQEAGFPKEEVDEVMDILYGLAPDGSPTIQQRIQINTDRKMSAWAASIRATRNSH EAWQRFRNPPESGMKPGALEYAAMFQRLYAREADPQPGTFPGDNQLNYPMDEETNLTE LEKLRLQPPTPGELYSMMREAGIRPDEQCLRILVSNAENIAEAHRYLEDGSTARKNYA ELATAFPSTKSLKRTPLPLFSAYMECLSKIPNLGGRNLLRAVRLAERRLSGRNANWSS FVWAPILKHLGQHHGRLRLTLEAQLRLLLYVIDHIDAEKSMNLAIFDQLAKTVRKIFR REVETLAATLPMGNADKNAFAHHYEIQATDAGKNSKHARNAQELTALSMFQIIGERMK GLFYSVAVKERQRSRPEDSLHVSHVDMMRARRDPVMPSVAHNLMLSLAFAGQIRAMSD LMKWLIQEWSSPALQEEIQNMGELPQDLDMIETLCAFRAFAEPLVRPRKVEQVMHGVM ENAAWEWPDDSVVEKYIESHGDGVKELRDVVMWARDRFLYHRNNRITDVNDLNLDWTR TQQKNLREQLDAVNELRRKVFATDYDEWIEEEEEEEEANEEADLTEWEEAEAEAEERE EKTARDRAV FPSE_01012 MRYNRTRLAVAMSLLLTVQSAQAGPMWTGREKRNPGVFLDVRME NSQAPTAAHDGNGSGNGDQTTPRPIFETLNSTPDPNPLFSETPGDDKKKGPYSDLPEP VEVIPVQPTNVAPQPTSEAQAPTQEEPVNKPTFTQNTSAPVITTSIVPKDPATEVEKP TSATDQPQYQSPDESAVVTETTPPTKEVTTSANEAPTYEPAREVTTAAPEVPTTAVAQ QPEISETKVLDSTVDLGTLQPSEGENTKPSAIQETAAPEAPSSPATTPKDEQPMTTPV EDVTTTLAYEPTPSEHLTTQAPGDTAFPINPKHPSNIYPHPPTTPAADVPETSAAETK PAATTETVSVVQPKDPQVETTSDVQDQPAPTTEVAQPEGGVPASEPVQPNNPAPTSEV KQTYPPVETPDDQKPAAPTEPSPIETSAPIEPQNPVSTPEPVQPVAPTTSAVQQPEAP AETSPVQQPAPVPSNDEPQYSAPGSNTLPAVPAAPPATTAVVSNPSSPETTSVVKVVP TGSPADGVPTINTEVPTGTSAIPAPAYASNVIDARTYNEEFAKLTPETACVKGQAACI SGQMALCGDDGAFELIPCETQGEKCFALPLYNVEGGIKIVCEDPATAAKILGGTPGGG KDNTAPGNENQDQQPVPTSTVEKKPEVPVVTVTTIVTVDDGAAPEPTSEPAQEQPAQP TQPVNEQPSQPNYQQPTQPQPVPEKPTKPAPEVPTQSAPEQPSQPDAEQPAQPTSPPQ PSQPEPEQPSQPAQTQPVQGQPSQPNEEKPAQTNPPEPSNPQPTKAYEDLPPTSPPVA EPSTNSMNLPGGTTLTKSIKKPDEAKPTTSPDYGDDGKDKDGDDDDKPTSVVLIPIPD KPTKAPAPAATEANADSEDDKKPAAVTRTGDDAHATTIVVDGTPTVSVYFTVTVTDKD QATVTVTEKERETVTLVISA FPSE_01013 MDRIPKFRRKPKNPTIETSVERSSSDTADSIASSELQAANLQSQ QQQGQQVLPQKTKLSKRAAFKNFRFRGSTKRARDSSPAELPSSPPPPAVVSHDGVRSR PVTAENDALGNHTLRIPAFLESSMQNIDIKFQDLTWAERERVQEGTKNDADQDFKWGT FKQVDTQEKGVMDRYINIKPWNHNRVKLQVPEEELDYVNASEITVPSTSDPNQEPLRY IAMQGPTLPSIDYVWRMIAEQMSSPAVIVQLTTMAEGGVVKCHQYFPDDQENSTWTLN EHDAWNDGWQAQLTYESYEEMAEGAIEKRKLLMQVDGEDEPRVVWHLLYRRWPDFGVP ELEDLDGFFDLMQLSRELSAPSNPRIIHCSAGVGRTGTFITLEHLIRELELGTFENYD DPSEGPDLIYHTVDLLREQRVGMVQGRPQFLFIYQVMRKLWQDKYGVDEDGNEPAAKR LEVGDPFIDDAVPGPT FPSE_01014 MNVSRVALRRAAIRSHARQSINISQRQWVRYAHDYGSEYSEPPS NRGGKIISLAAVFGLAAAGVYLYPKLTGKSASVAEDEKNEAPIKAEIEFEKPRKKVKS KEENRDLISSQHAQVKHSWEHPGVYAWGSNVGKVIDPSSSEKYVKAPRRISYFNDQIL RDLKLTSIFGAAVTEKGDLVQWGLGYNQKDPSPVSTLTGKDIVKIDVSLDRIIALSRK GNVYSIPASRNDQEGGLKEEQQKSSWSIWGSGGSRENIHFRNLTPSSLAYGETVKDIS TGQEHCLMLTSKGRVFSAAASALEFPSKGQMGVAGLSWHNRPKGPYDQPHEVTTLQGF EVTQIAAGDYHSAVLDKTGRIFGFGDNSYGQLGIDTDYGLSVSDTPVMVPTNLSYANT GLIPTVTSIAAGGNNTFFTVDAKASMIPGSTKALAPARRLPPMSTDLWACGQGVYGTL GTGRWSHVSAKPTKVKGLSSLFEFDEKTNTMCPIKLKSLSVGATHCSAIMDNVTELSV SSKGTENETNWGADVVFWGGNEHFQLGTGRRTNLNAPAYIAALDSPADARKEGTEGFH RLCVTPRKTVRLGGGKGRKVSLEQKVECGKYVTGVYSAV FPSE_01015 MYEDSWYTMMPDLGTSKKSQSNSSPGHRRKESLLQQPNETTQIH EIPAPMPNVYEEIEDTDTPPEPTVIRRANSYSDFYRVVKEQLSKDTRPRPEKTDKKSR AWEALFLPDSSNDIDAHEPSAFESFDEQLLDASQQQYLLYRDQLALTERHLDGLIDDA NATLKLLTSLSNSFGSVEAQTSTFQSQCEELLTEQRRLEELANEVGTDLHYYAYLDNA TRRLNAPGASRLVDDASFGEMAENIDSCIVFMEEHPSYRDRDTYLARYTALLTKALHL LEHGYKTTIEKVSPEIGRQIIATKSESARHALAYGRFQEMMLDSYGLIPNVHQTVRRA YDSYGQRNESCTHFETYANTANNIIHNHLTTRDRDLKVLTQSDIAEFNKEVKDLSAET ASRNFIKQCFERMYNEENLFMKLFGVEPVWTQASDSVFQAIKAINTTIAHPGNLTPLV TNLQTVLQAAPLEITCNVVGLLANEYFGTDLDDMESPYFLKCKQYTSQLLAHHLWPLT DTVFEAEVTKTIAKAPLQDASLKIGPVVGGVASSNAHPLVKQAIKLLSMYENCMPKER SSKNSSVVFNIVRDTIQVLQRAESRIQSLKAGTDPDLFMVKNLLIIKNELVSLEIGDI RNHGASMQHFVHIWDTLSPQNWVGFFSNIIGGGLWSRGTPSVTAKTLTVEDMNEQLDE LLRQSIYNFTHRWGSLMNDSQNRKPGVKPIAKVEAELESLLMTAFSNQPEVVGKLKEA IEQHAQAQNDAKDEKQGAKRY FPSE_01016 MDALELESSKQSPALQTAKDLFSGAVGGVAQVLIGQPFDIVKVR LQTSNQYSSAINAATTIYKNEGALAFYKGTLTPLIGIGACVSVQFGAFNAAKRWFQER NNGAELSYPQYGAAGAFAGISNSVLSGPIEHIRIRLQSQPHGAGRLYSGPADCVRKLG AHNGVLSGIYRGQCVTIWREAFAYGSWFTAFEYMMNSDAARNKVDRKDIPAWKIAVYG GLAGEVLWLSSYPFDVIKSKMQTDGYGANQRYSTMRDCFSKTWRAEGAGGFFKGIGPT LARAMPVSAGTFIVVEMTMRALNS FPSE_01017 MATAAAHPQGTSLDIRVEDFLDDKLQSTADLENLDTLLENVELQ RNQLQSQLDTAVKELEETRRTADDRQGSLTARIQEFQKLQESIDLRAQIYAASDAPDQ AIERLKSPMNKIKAVERAQSYLVLLQDAEKLRAEAKSFLPQDPKAALEPYIKLKQHVL KLQGLPGQEGLHLVAYAENLTRSLWDDIKSTMSGELEEVLKQRKWPRVESDLQMDEEW INCIEKLIDLQKPEIAHTDKLVTLLPFEIMASIFVSEFRFHFLSDKPTSSPQAFGTHC LSWFIALVEKWEDFFRDNLGYLLAEKFRDTDVAANLAYIDPVSALITSLLPMLKEKTS LVALEAVKSPSFLSSFMSQLMAFDDNVRYKFSYDGGDAENGWPGLTAYVLDDHFDTWF KAEKEFALERYNTIIDSQDARNIDYDYALQGKMKPTYAAVRVTDLLRSVTSQYERVRT FKHKIRFLIGIQLEILDAYHDRLRDSLQAYQSMSTTFGRTLAANKEDLAVLEGTGGFE VLCKVIGSADHIVNTLKDWSNEEFFVSLWDELQTRALHRASQGNNITSNMSYDDVKDR TSAAVGEKREDGALFDETATAYSMRRTAAQELLVGALVESQNKAFRAYTTRVQWTTVG ETAISDELAITPELDEPLRILQRNFDFLIKALSTAVFRRVWREALIKLQDHLWQTVLM RQSFTTYGATQFCRDGVALVSIIERYIPNGSSILDTLTKGIQLLSLPVEAGEAGGLTL KEASDRVFTDNEEARKVLEELHLEELSPQSARNILQRRVENNENVGW FPSE_01018 MASKTSTSSSSSSRRQKVQVGWYRFVPFLGYHHVLMILIAITII LLSLLLAGCSSSSPLIPDIFLLSIYYKSYDAVPDTAQVDYNLHSAIENIAGDASLQAR VGYFGICINPDGGSWLCSNNATALAKEVDVDQDPLNLIWLAAQFKDMIVFPYLIIIAI IFAFICLLLLATFPGWHEETDSEGSDREVKPFPSRPVSQIALALIFISAIFVLVSVLW QHTASVAASVIAQDFGNGAVRSGVGTSAMVLGWFSFALLIVVTIGLLIMILSISVLSS MV FPSE_01019 MPNPLKASYHFLAWMLDHDQVPNDIRRSLELVRTCDSDLQHLIE LRNDCIHLLERRPKVLRRVHTIIESAQKGLQEVCEIVEKCRPENDRGSRTTFSKRMAW VLVESSEFKSQEPIVSRHHAAVLAELNFLRQIALMAPIAEPVEREEKRGTVVDATVFD NVALLGDLLGDLPTYAPEKKQPETQTIAPQPPIIVLNANTKPLARYEMPSLQVPASLQ HKPSSQTLHIEHACDSLPEVLPVNMTSATPSRSLTTSSKYDTKDLVGLSLLLGDPLDF ENCPTPSPDFQREGMKRATTVPAPYQAPPYGPPRNHSVYSQPNQRLSYNPENSVSDLS QPSRNSYRSSSAFSNISNPLHHRPSSLSVSSSATEAPIQQCHSCSNRYPSQFVWTNSS STTISIASPYFHGNNSLLSQPIAELDTSPYQMVPIVDAPIHHDQSGQTMAVQVNTTPV ELSAEEAQAAKPSLRRQTIRLGSSRRGRTLSEPVSSLQQRGNKRP FPSE_01020 MRLISFLPRSVTVTQPELERATGYTMTGTTVTAPTKLTLVESGF IITKTYDTTAFPDIKNGIPVWNVAVSTGEGASTTTKEPSTETTDLSATNPSDNLATTS EISNTIETSAGISQTRKPTNAPEVSATEAPSTSSKRLSDGAVAGVALGCVVAGLTIGL VAALILFRRRRKSTNSSPGFIALESRHAESKTEPQVNVVSSTHDAELEQFLLDATPDK EIQAELCSLSELIYQHVETYYHGPQVLASSAEVAQCLVHIGYSPELSGLQAEAVAAVC LAPRTSRVGLRHVLSHIIFRRLDFNSRGNLSMLPPAIVAMAQEKSTLENADSPAISLA RSRWRSLSALLLHPNPAERTPLPLSMDEAPAKAQSLANELNTFLQLFVAQDSTSRQEQ TNHLQDVILECTRLGYVLLSQPGDWGFIFDSKTTPKDRTRRIVVCPGLERLSHNNGTR YGSPKEVAAAETMSL FPSE_01021 MRHGIPIQIVNDWPGSDDRGDRKVPTTTVYNADGSISTWGFMCA DDDHDSSKTRREFFKIFLDPDTLEAAQQQGLTNVPRSTVEARRFVTDYLKLVYAHVKD SIEIRMGIKHVGGWGTLAVLFLFSAPTTWTSMAVVNAFKGAIHDAGFGTEGSRHSALV DLTESEAAAVATLKTGAVDLKANSVFLTVDAGGGTTDLALMRVESTDFNFPQLSQVTA VSGLGVGSTLIDRAFARLVSQRMAANPDFRLPTDFAARMARSPGFKSVKHKFGEKVYM QPVYKIVMEGVGFDVNHKGLGVEEGRMLFTKQDIQALFDVHVEAIMQRIKQRLGWLRD EGHFEQVGYVILSGGLGSSAYVREALQEHLTKLQHPNARNIIVIPSQDPQLVVARGVL ENKRQRMESGNKSVLSSWIARASYGVIVQEVYMPAQHFDEDIRQDPWNPDVKWATNQI QWLIKKGDTVNPDTPINKLFEIRLKDGDTTRAWDAEIVVSNNEPQWLPRSLKQAGAMR LCSVKSNLAGIEQHQLVLKEKRGTCFRRGHKFYICRFDIRVIVAPVDLRFELWFNKTK FSGNHQPVSVQWDAAEK FPSE_01022 MLPLRRRGRFYAIVAVAMVFLLYRFTQDSWTRSPHFDTVKKPDQ SASEPVQGSKEAFEPPVIPPPVQLPDSEKDSNSANKPKPVVSEDETKSPEKVSEGTPA KIDTPAEVKPAVEKPIQAGDDTKGGVPGEKMDDTKSPAEQGPPQIPSIQFKDPPKHAD NPDIPIVDLDGSKIHWTKPKEHFPIPPESIRPIPTGTAPNVFRIQFDFQAEDVQTSVT RQERLKRVKSEIVRAWSGYRKSAFMHDELSPASMKYRDSFCGWGATLVDSLDTLWIAG MKDEFDEAAKAVEKIDFTYTDRNDIPVFETTIRYLGGLIGAYDVSGGPNGQYKILLDK AVELAEILMGIFDTPNRMPLLYYRWREPYASQPHRAGTVGIAELATLSLEFTRLAQLT GTAKYYDAIDRITDALVDMQAAGTEIPGLFPERINASGCNRTVDTQTGSLSQEAQKQV DAADLTKEPQGFDPAKQGEHAGSPPQLPQDDGRWQDKLRRRDAPEDDQSPPSSPSQQA PPLTASGATADWDCKPQGLVASSPNVGEYHMGGAQDSAYEYFPKQYLLLNGLEPKYRA LYENTIDAINEWLLYRPMIKDEGWDVFFTGKLTTSSRGDSEWQKDYEMTHLTCFVGGM YGLGGKIFGRDGDIDKAKKLTDGCVWAYQSTATGVMPEYAHLVACPALEKCPFSEESW YEDLDPNSEWRDREFSKWSEGEALRKLAEGSAAAPASPPAAVAPNSQPPVAQAGAGND PPAQVPKVVKRAGILMPELDKSMEEDSSEFGSTLPDSLKQKIGLNKAEEEKADTSKDE TEKVNPVQDTAEKSSEAKPAIPDSFDARTHGAQVVSPDDAPPERPQTHEEYVKSRIEK ERLPPGYTDIQNRNYLLRPEAVESVWYMYRITGDTTWMDKGWKMFEATIAATRTEFAN SAIEDVTDTTQNGLKDEMESFWISETLKYYYLLFSAPNVISLDEWVLNTEAHPFKRSI FPSE_01186 MSFGGQAPTIIVLKEGTDTSQGKGQIISNINACLAVQATIKSTL GPYGGDLLMVDENGRQTITNDGATVMKLLDIVHPAARILVDIARSQDAEVGDGTTSVV VLAGEILKEVKEHVEQGVSSQIIIKGLRRASQMAVNKIKEIAVSTNEANQRDTLIKLA GTAMTSKLIKRNTTFFTKMVVDAVLSLDQDDLNEKLIGMKKIPGGSLTESLFVNGVAF KKTFSYAGFEQQPKSFDDPKIVCLNVELELKAEKDNAEVRVEQVSEYQAIVDAEWQII YKKLDAIHKTGAKVVLSKLPIGDLATQFFADRDIFCAGRVAAEDMERVVQATGAVIQS TCSDILHEHLGTCGKFEERQIGGERFNFFENCPEAKTCTLVLRGGAEQFIAEVERSLH DAIMIVKRAIRNQLIVGGGGAVEMEISAYLHQFADKNIPHKQQAIIKSFAKALEIIPR QLCDNAGFDATDILNKLRVEHRKGQTWAGVDFQNEGVTDMMERFVWEPALVKVNALQA ATEASCLILGVDETIRNEESAKPQAPGQLPPGAAQRALRGRGRGMPRR FPSE_01185 MPAMLDDPASPTIYRVSGQPPYPDPSSPGFPADIIPRQVTLRDR QTVATIVPFASKHQVPESLIAYLCDQINKEIEGGDTYPMMEPFAADKFGSYWFQNFGA IMLLGNVERAEDVVEGKDWSRECLGSFYIKPNYPGRSSHVCNAGFLVTDAARNRGVGR LMGEAYLDWAPRLGYTYSVFNLVYETNVASCRIWDALGFKRIGRVKGCGNLRSYPGQL IDAIIYGRDLSPSESGELVSEERFDKIKFYLKYGEYPNGADRAEKSRLRSAATHYKLL DGDKLMLKDKEVISDPTRQFDIARQVHVQQHGGINKTTATIAEKYHWSRIKETVSDVI RSCIECKELGKTPNPGGARKAASSSNQGGRRSGVAREDSNEHQVQATSPPTTQMLPLQ DHSIIPTLSQQRPGHDHPPNPYANPADISLIAHSHALQNSTIHHPLHSQNPMLQDPPA GHHPHDHSVYQPIDPQIINQSSPHDLGPFDQYHSPADFQALLNATEDVGQDVVDQDLE MLIDHQDDDNVMDGTVSMSGIGVGETDNQGLVHKERSLYNLGFGVSGT FPSE_01184 MSGHSHNTHRSTPNKGRGAVPFANSPSGGGGGGGGSSNIPRPVL EPTPPTETGSSFSASRQKQSKRDEAIRKKLENDLSKKKHLTSRARHSRKAPPGTVLAL KPSQALQIKPNTTVSEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAFRVVGAGAKA SAVTIAEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGVLDITKCFYD AMEKLERAYSSSRKLYDALEGVQSELGSTQPQQIIQYVEALRSKMSGPTLETVLNGVP PTTVSVRTSVREAAQLMKENRTTAVLVQDQGAITGIFTSKDVVLRVIAPGLDPANCSV VRVMTPHPDFAPMDMTLQAALRKMHDGHYLNLPVMNDGGEIVGMVDVLKLTYATLDQI NAMSNNNDEGPAWNKFWLSLDAETESMMSGEGSQAQHTNLGSRLTSPDMVRDRLNDTV APGDSASHVGMESPPRSILPDVLEHQLPEELPFPFKFKAPSGRVHRMKIVATDGIEAF VEAIASKLGAETDNIGGVPDVEDGKIVGSGFALSFLDDEGDSISITADHDLLEAVILA RQAHHDKVDLFVHDPEKPPVSAADPRHPATPSVSTGAGLRERRKWWPEEEEEEDDDDS EDEHPARRRKSRAAHTHAHAHEEQIIAGVPNELILPGAIVTLAVAIVGVFTIARLTSR FPSE_01183 MNGGILKFKGCDAPERERDSLYVSTFLLRLDRPANATGGGSIPY RGYQRALELYGNEKSFDLTRAQRLKLLECTNLEMNRSIARKAGYDPDEGRSAPWEGVY FSSGYILTYPGPIKTTFEQPVQLAPFEHPVVEDDLTPIHTFEETMALARTAEHQLTMY DPNLHDLMTGSPISLHSTLLAGPPLLLSSDNMSHGALEHHENHKDNKPTTLRGMSGSR VATTDSFASTCVGGMEMAVVVTELLERVVKGDLDDEWKETIMETLEYIGGSENMYAFL DVVYKQFPKKLVTSPASTIGCRLRGSK FPSE_01182 MMSNPSGGLHPRQKQHRRQNSTPNAFEGVKIPNLPTTQRQTAHR RGLSLDIRRQPITASPSTTMTRQKQMVGTNTNNTGLANYPQQQRIQARPGTQHPYANL ASSGSENYLMSPHGTPQTQRFDPSCFDPNSIPFDTYTTDLNVMMSKGQQAYGDNLSGG KEFDIFNNDSALSTPSFMTFPSEGSSAQGWASEGDTSSTRRTSRRISGGIMDRVNKFE NLDSTRPATPPSQNQNRGQNIQSQSFDWTMPLAATTNSEKGYFPPTPTETSQDRLVKD EPVPSRFSEGYDESMEDTIKPVRNRGGNRRAQTIFQDIRQHSEQDMSSQGQTDSASEA SFHGVPMATPDYMNMNNFNNEFLKIENGFGRQDIQGLDVGPSTPHMEHFIDLRPYSIS AQSISGTPSQTPSRRHSPHRRTESVASIASAASIASINIEETKTETGVTQDEIAQFIS GPDAGDGKWTCTYEDCGKKFGRKENIKSHVQTHLNDRQYQCPTCQKCFVRQHDLKRHA KIHTGIKPYPCECGNSFARHDALTRHRQRGMCIGAFDNVVRKVVKRGRPPKKGRPDIE TRMDKSARTRKKNMSISSMSSFSACSDSSAVTSPDQYIFDDMMDIGMPSQSQNLAAVS SAPMTGLTAAALQEYASSPSAVSAHSYVSPEAIMDGTPMHVASPAKSAASQYNTPPEL SQSSSPPGTHYFEVEQNNSMNTDDLTAIPGTSTYVTSATMAATLPLGMSSADDLMVHF GGDNGLIQLDRDSHMMSMGKFEDDEFESSMFPGDGNDVFFGSN FPSE_01181 MLTPTIAVKTSFLYAAGNTPATSLTRSIPHGQDVDILSLGCGDL RNVLYTTYLEKGLPQRKIDITCCDVAESIIARNAFFLIFLLDENCKLSNEQLWNVYYH FHVDEDTAAAVLQTATKLLTASKSLDEWNTSNFAKSIKFCDADTLSDVRRVWMTIKNA AAKCQSGDYMETFQQNVKPSMDHHNAMFGEAGANIAVMRSAAPLSLQAGVKLIEMSRQ YWKEGTVTPRQTTDKSPNPLLVSLLSENSLLHYGSDPIMGFHLATAYAALLEGSPMEP KGSEQDFTASAAAKTQFNEWISAFKSLKKNIVIRFAIADALTLCHNFQTAQATGKPAN LYRRTWDSRPLVLDPKEYGKGGTGPSAFDMIDTSNLSDHIGALNILIAAGPLLKNEPW ASLFTELLLRSEKSKDSALDKLLCGHAPTLSLLLGFSPVQYWTNAKVESHVDEILIGM MNEKEGETQTRTRLAWKRNNSFSDQVSHGKLHIDSKQLIKLLSPLYDYMFEAENITKV DSMDNRSNSYGNFNRASFAAMLKIVMTRVATEWPTMCSALIDSIAQEHRFLLASNGMQ DFCLQLHLHGVNTEPWIIQESRSIPENGGFNRWKSLPPAVAVTVVIPRNAVARLYKHK ENAFRMVSPPLIGSVRSSHNATEAWQNMFGDTQIAFGHVETKKMPDDDEFQVIIERDQ AGWDGDSPLIASFYVPTSTLQNEPKSALVSLCVPPTGQNSAIFGPILGMTMAVFETRI DDKSSVFVTKHLPGQDTYPVLCAAVKPLDKAVNEGHDDKATKMLLDIPPSESTITTIT GHLDITSKKGRDLLKSKVPIEFRLRNPFIVDVIFGEDKLICPLKFPVPVTNDGIKSRV ARTTGYIELIAPLAKPAYSPELLSFLYPSVISSTGVPTSLNMPHLNLDSLPVLDLENE DRNRWMTTLTSLMFSSREKYLRDVRDESGISHDPAVNFKESIFTMFMIASGLQGGQTG LFAINHPGRGGIHMLVFVSAIRIDGDAASVVLDAAVIPFTMDLVSSGRMESFLLMLRE LECGSVAVDDAELDLWKKALPSMVERCRTWSHSKKCEYKRKGATIPLSTKDGEQVLCS CGNGRLPENFVSLPEWANAAPNAVRIAISPTYAVPCNEEVINPAELPQGTPVTRVERC RSCGKPENEEGVTLKKCSRCQRVKYCSGECQKKDWKKHRAECK FPSE_01180 MSAAQLLNPKAESRRRGEALKVNISAGEGLQDVLKSNLGPRGTI KMLVDGAGQIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDICGDGTTSVVMLVGEL LKQADRYISEGLHPRIITDGFEVAKIEALKFLDSFKLAKEVDRELLLSVARTSLTTKL NATLAQKLTPDIVDAVLAIYQEGAKPDLHMVEIMKMQHRTAADTRLIRGLALDHGARH PDMPKRLENCYILTMNVSLEYEKTEINSSFFYSSAEQRDKLVESERRFVDAKLKKIVD LKKELCGNDGTKNFVVINQKGIDPLSLDVLAKNNILALRRAKRRNMERLQLVCGGVAQ NSVDDLSEEVLGYAGLVYEQTLGEEKYTFVEEVKDPKSVTLMIKGPNAHTIAQVTDAV RDGLRSVYNMIVDKSVVPGAGAFQVACASHLKSDAFGKTVKGKAKWGVEAFADALLII PKTLAANAGLDIQDALADLQDEYADGNVVGLNLETGEPMDPELEGIYDSYRVLRNCIA SSSSIASNLLLCDELLKARQMGRAGGPGPGMDGPNDHM FPSE_01179 MASYDSDSSDGEFEETNVLLGYASKEADDDTVSKLGGRPDWLDN SAPSAAYARCKVCKDFMALILQLNGELPERFPEHERRLFVFACRRQTCRRKEGSIRAL RSVRVWKEDKPKEEKKVEEEKPKNDGPGLGDTLFGSKGLGSASNANPFSRNANPFSTS SNPFGSGSNPFSSSNSQPEPAKPVSSEPAKSASPKPAAASLTKSFAESLNIQDTPATP PSPSEPWPAEDAQAQPYPTLYLADADFETLDPTTTNVPANARLEAADAAEPSIIDREA FESSMDATFQKFADRLAQNPDQVIRYEFAGTPLLYSKKDAVAVAINKGDIPRCPNCKA RRVFEVQLTPNAIAELEADDLSLEGMEWGTIIVGVCEKDCSPRGTPIGQVGYEEEWAG VQWEELSKGN FPSE_01178 MNGEDPPERPQEISSIINGLERYNPEAVGALETYLQDQCEQKFT DCNANRTLLKLYQLNPDRIKDEVITNVLVKTMTQFPSAQFSLALHLINPSSVVTGDLG EAITKLRSLNGQLEGAQYSRFWADLDDDMVADLIADIPDFEEVVRHRIALLVSQAFRE IQIGHLESWLGLNEDATKKFVTEVCGWTVDAEGNVKVPSNPDNEAKKAEIREDVNVEQ FSRVLRRSWEDTV FPSE_01177 MPSPTKKRKLNNGTKSTAPAKGLEYFFSKQKQNGADSSKKPKLE ENDGASSDIPTKMTDEELARKLQAEWDQEVANTPHAALQEQTLQLPNKHNVSLSRDES PSPTSTRSKPSITLPTALNKPSTNNKAKPTLGLQAAGMAEDTTTTSIPFDESPLTFDP AEYVDQLKEHWASEGGNASYALLTRCFILINGTTSRIKIVDTLVNCLRVLIEGDPASL LPAVWLATNAISPPYISMELGLGGSAISKALRNACGLDNRALKAIYDKHGDAGDVAFE VKKKQSFTLRKPKPLTIKAVYESLVKIASSQGQGSADTKQRIVDRLLQDARGGEESRF VVRTLCQHLRIGAVKTTMLIALSRAFLLSRPPGADFPLKSVTDLAKLKKEDLAEVWGR AEEIVKACFARHPNYNDLVPVLLEIGISEELLIRCGLSLHVPLRPMLGSITRDLSEML TKLQGRDFACEFKYDGQRAQIHCDEDGKVSIFSRHLEVMTDKYPDLVELIPKIRGEGV DSFIMEGEVVAVDRETGELKNFQTLTNRARKDVDIGAITVDVCMFSFDLMYLNGQPLL DRPFRERRELLRSLFKEIPHHFTWVKSLDATSADSESVLEFFKAAIDIKCEGIMVKIL DNLPLAPYLGEGEEEGPGGSDRTLTPRSKSKGKGKSKGKKDETGERVKTRRKPLLATY EPDKRLDSWLKVKKDYNSSFDTLDLIPVAAWHGQGRKAKWWSPILMACRNEETGSLEA VCKCMSGFTDSFYKANRQFYDDGEDVGEPQNTRTTQPSFVEYSGPRPDIWFEPQEVWE MAFADITLSPTYTAAIGLVSEERGLSLRFPRFMKKRDDKSLEEASTNDFLAGLWRKQE AKAASKKENSDGAGAQVEDVEEADD FPSE_01176 MAVNRIKGAFAVPRKGETFELRAGLVSQYAYERKESIQKTIMAM TLGKDVSALFPDVLKNIATGDLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLIRALAIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPQMCI ENGFLEALQELIGDPNPMVVANSVTALSEITETAPETRALIVTPVTLKKLLMALNECT EWGRVTILTTLADYSASDQKESEHICERVAPQFQHVNPSVVLAAVKVVFIHMKALNPD SVRSYLKKMAPPLVTLVASQPEVQYVALRNIDLLLQAKPDILSKEMRVFFCKYTDPPY VKLQKLEIMVRIANEHNYEQLLAELKEYALEVDMDFVRRAVKAIGQVAIKIENAAEKC VAALEDLISTKVNYVVQEVIVVIKDILRKYPGYEGVIPTLCKHIDELDEPTARGSLIW IVGEYAEKINNADEILESFVESFMEEFTQTQLQILTAVVKLFLKKPGSSQNLVQKVLQ AATAENDNPDIRDRAYVYWRLLSSDPEVAKNIVLSQKPTITTTMTSLPPALLEQLLTE LSTLASVYHKPPESFVGKGRFGADEIQRAAIQEQRQNAAENPIAASVATASSNGSGGG AQNNIENLLDIDFDGAAPASHEQNSASATPDRVASPSAGGPSGAMADMMSMFDAPAQP SGAEAPASAAGPSNNMNDLMNGFEGLNFGGATTSEPLPAAMQLQQAQGGAPQQPKKDS DDLLGLL FPSE_01175 MSSQITDESLREAIKQRLEATHVEVTDMSGGCGQAFNSVIVSSQ FQGLNSLKRHRLVNAALKEEIAIIHAWTAKCQTPEEYAKTKEAADTPAQA FPSE_01174 MSESGAWGAWQVETSSFTKAVVASMQKLYPEEIADRSWDNVGLL VGNSEEDTKQKKRVLVTNDLTYQVAMDAIEQDVSVIVSYHPFIFGGLKSITNNDPQQA TLLKLTKAGIAVYCPHTAIDAAPEGLNTWLADIVSGPHESKRSVANPAVNAPSSHAGA GYGAIGRFDSSVSLSEIIVRLAEKLGGLKHVMVASPVGADVKTTKVGSFGVCAGSGYD VLKKADVDLIVTGETSHHSALRAIQQGRTLVQVFHSNSERGYLQEVLRPKLEAAIRET VPAVEVVTSKVDKDPFTILDVSDLK FPSE_01173 MSTIASPREPPRRTPTNSNRPSFETSRSAVASPVLTQGPTNPPA PPQRKTSSNRAALREYYNLRAAAPRIEIPDSEVPATEIDAQDFNADEYVAKVITNSSL EELMKLYTRVVGEVRALDAEKKALVYDNYSKLITATETIRKMRANMDPLNPMASTLDP AIAQIYSQASSIRDAVRETVPAPDSDEGKKREAMARQQRTRELAIQVLAVPERLRALV GEGKIAQARKEWVLPRKLLESWKEKGIGGSDVEECIEQGDEALRQADVKSSASSPRIS RDERLSRDSRVSKDGR FPSE_01172 MDPINQLKASILAQNLPHPATNFLTSLINARSPPPPLPSLVATA KARLLAADLTSGAIINTSAVQSFPPDVESTDSREKRLPRPIHVQVLDIENLTLSRWEQ VEEMEAVARGETTRGREVIRVTAEDDDENNESQTQRPGGARNTAGPKPAGKNATHRLV LQDCKGAKIYAMELRRHDSIGVGKTQIGEKILLKAGTVIARGMVLLEPDKCLMLGGKI EAWQKAWVDGRLARLKEEIQQSERQRP FPSE_01171 MSGTKAALKGINDAIRQQKFDDAVSKARELLEKDSKNYQAHIFL AFALDKQDKLDQAQETYRSATWLRPQEAQAWQGLIKLFEKQNNKKLTDYHQAVVNLAQ IYRDADDMYKAQDVVDKFVDFARVKGDDIQYAEALSIQLPESPLYPVLEGRFPHPART YESVAIILEKYEKHRINTLIGERRTKLGAKLSEVTLDAKREVYSQSKLEHIYRQLINW TADDDLRRQYEEKLLQLCYDRLLVAPTGAEKDTERQKVLELANGMVAIKYPYKLAWDI SIEWQDKKEVREWDVDVLRAYCSFFPDSDLYKVITSYLTSPISPFPPEKAQEKAKAAP SDTEESSDDDDGGVPTLVVPLTDEDRLIMITEGIITADSVLAYRLTGQYLLHIGEYES TVELMRKGMALLAQERKKTGLSFANAEDSYYLSLGTALVYYQSPRHHKEAKELFDKVL ERDTTSTSALIGVGLIYEEEEEYDEAIDYLTRALERDQTNMKVKSEAAWVKALKGDWQ TAKDELQECLEPLEKQGAASKELLGETQHRLGVCIWNIDTSKAARKQRKGESAYAYWL SALSNHLNHAPTYTYLGAYYADYAKDKGRSRRCFQKALELSHAEVVAAERLARSFADD GDWDRVELVARRVVDSGKVKPPPGSKRKGISWPFAALGVAELNKQDFHKAIVSFQAAL RISPEDYHSWVGLGESYHSSGRHVAATKAILNAQKLEEDTEADISGDTWFTKYMLANI KRELGEYDESVALYRSVIETHPEEEGVIIALLQTTVDSALSSVEKGLFGKAIQLATET IEYAKTTSGSVFETFNFWKAIADACSVYSSVQSRTKDFPSDSIRSILEKGSQEAYEIF ASIDKVGTDVVFAQGLYADDEQPGVDLTRCIHATILCHKQGVHIASNDRHAQSVAYYN LGWAEYRAHICLPPGIRKKSSSYIKAAVRSFKRAIELEAGNSEFWNALGVVTSEINPS VSQHAFSRSLYLNERSPAAWTNLGTLALLSGDVKLANEIFTRAQSTDPDYAHAWLGQG FVALLHGEAKEARGLFTHAMEIADASSVPTRRHYPSSLFDHILTVSPNDVNVESLIQP LFALNQHQSLKSQDLAFAHLATLFQERTNESGRAVETLEKISSTIEADYESTESPQSL AKFALAKTDLARTYLASGSYDKAVECGELALGLSSDEAENELSSDQRKKARLSAHLTV GLAEYYRNRFDEAIKYFESALEESDGNPDAVCLLAQVLWAQGSEASRDRAREELFAVI EKQPEHVQSVLLLGVIALLDNDEDSLEAVVEELHGLRTNHKVTASEQSSIGEVLRAIA TLGEGRTEEDIRTQVQTDIMLYPNLPHGWAAMAQSTGDEHAALMALKVAARGIPPKGL LGAQDLSKAYAGTATAGDAQRAAFLAPWEQSGWTSLSVATESI FPSE_01170 MTDLPPRDPSLETRPIPDKRFFDVCSFGPGADLAIQRTARSVND LDLLARPSDFSDNPESCIQWFERERHCIAGVRHDEDWLSWSKRSDVVRKILSHIQTHQ NNTSSSPGSDSTDPTWGYYVFVTSYTESAQELEAAVEVLVQLTLRNLRHLSPSLYSEE ASKRFKLNVIQNREALEDASEDRVREEFRAQLRGLGMREGDIMSRVTGSSRSSACILL DGCTISQLSCISLPLDEEQDEIDNDVYVKMIDPKWDYPIQPYPDTVKGGAPYLGEYEC PAEYLAKLYLIMEGDMTKVYPMWHDLTN FPSE_01169 MDTLHEDLFRDIDTEDEADLYDQVPESSCTGPRKSCARCQELGR RCYRCRRNKNTKIDETPPKKSSLELILGSAASRRRYSIEETPPEPTRTTKDTPTRARP QESTQDTRTTYSERTYTSHSTYRNEYDSWSRSTSPEARAGPEARAGPEARAGPEARGG PTDRGDLAELGGRTARAGQNVDMRPVDVGAAAILTPDPVIVTIGMQKKLGAVRVIVDQ MFSSRSSKPVPAGNYSQQNATLKSL FPSE_01168 MSVSFVCIVLRTRKSDILRNYKCENPVALLCASQRLHSQLDQRA SPPQGSLNSPEDHTESQGMDASTAREEKSSDDSAHQRSPPEILSVLAEPATTPRRGPG RPRKDWAALTRPPPPPPASASDRATTSPSSTSATPASSSTISNSQICSLNVADAELLF HFMANTSRTLHDADDPADEIASFWAKNVPQIGLSYHFVLHMMYALAGYHLVYQEPKGT ERHSQNLALAAHHAELGISEMNRTITNLSETNCGALYVTAVLVCYCTFAAGPTGPDDL LVCVVGDGISQRWLPVVHGVRLIRQSVEPATLFTGLMAPLGGGTKPELEDPRPEYLVK GFPHVDWVEPLERLRVWIASHENPDTALYLRVHKMLCDIYEANYGNLKGVIEVPITNK LVFGWLYRMQDLFIGCLQRKAPQALLLLAYYVPLFKTMKECWYLDGWAVHLLDRIRGL LNADLLGWLDWPVGISLQPEVI FPSE_01167 MSSFRLLIEDGQFRDGHGRQVVLRGINVAADAKLPSEPDQPSHI PTDFFDGDNVSFHQRPFPKEDARSHFSRLRRYGFNTIRYIFTWEALEAAGPGKYDEDF IQHTIDILRIAKEYGFYIFMDPHQDVWSRFTGGSGAPLWTLYACGLNPQSFAATEAAI VHNTYPNPDEFPKMIWSTNYYRLAAGTIFTLFFAGKDFAPKCIIDGVNIQDYLQDHFM RACGQLAQRIHEAGDLEDVVVIGWESMNEPNKGMTGYKDLTVIPKEHPLKKGTCPTMW QTMLTGMGRACEVDTWEMGGLGPYKTGSTLVDPHGEIAWLPEDYDDSRYGWKRDPGWK LGQCIWAQHGVWDPETDTLLRKDYFAKNPHTGKLIDYPQFTNTYFMDFWRKYVKTCRA VHQDCIMLMQFPTLELPPEIKGTEDDDPRMAFTPHYYDGITLMTKHWNSTWNVDVVGV LRGKYWHPALAIRIGETAIRNCLRDQLATLRQEGLDRTGKHPCVLSEFGIPYDMDDKK AYKTGDYSSQSAAMDANYFAVEGSQIEGHCLWTYCARNDHVRGDFWNGEDLSILSLDD TPLPDSPLPEYSQSTLDLARTATATNGKKDVADDRNVTPDNLKRTLTNPSISSAPSTK DPQLTNAPGYRAAEAYVRPTPTVVYGDVVSTGFDLRQCTYVLKVKAPKAAPDDAPTIV FLPEYHFPKDQCDVAVSSGKWELSIDDEEGTSLQMLRWWHGEGEQSLKISGLVRKHNV PVGSEEDAGYLEQCQQGYGFNFGSCSVM FPSE_01166 MKGSDSLRRANRPAANFDLPPAALAQAQQQTQVQAQAQGFRSQS QPQESITNTVTSTSPEITNASLHGQAKAKAQALTTAKFRSSSRPSLQDLALVDGTSTS NNHDLPPAYALSSSSSSTGPSSFSYPPAHVHPRPSTANATTTTHTHSRPRPLGPSSSD IATAEQAQFLRIHPVSSASSLRPRSSTTSASAVASTSASTSPSHTPNSLLRQHTSPPS AVDNNSAHFVPRGGLPPAPSIMYQANQRHVSGTDPSRPYQVPPPPPPPMGGPNNGHMP NMMNLPPPPPLPRYNTAPGSNGLSLPPPPGPPPASALGQQPPWHGAFGRMYDSRSGFN IPPPPPSSQHQPYNPKLHAQIAAGQTVSIPPPPPPNEAMSATYIPQGDTYGEGVGIPA FGLEDPTLTVNSQTLRPVTTPQSGTDTNATTPMDEATRERLYAANNAQPRGTSNSSNP TPPGSIPPEIAAQWPLDTVLLWLAQNQFSKDWQETFRALNLHGAQFLELGSGHGGRGN FGMMHQQVYPRLAQECTNSRTGWDQPREREEGKRMRRLIRSIVTGRPADVSKVSTSHG RKESLNGGHGNNLPSAGTDPMDSPNTPLTAPGPGFSSRRFSQTRSTTLPNSISASNIS SESNHRNILKHVDTDGARRHSPNVSESSEATFRAPAVHSASPTGSPGIPPALYTSTTT PTIAQSPSAIKAGHRSRSSMDSVASVAAIYGSGVPSDAASLLNRNMNLGDIGHGRSHD ARSRHSPSDGGDRSAGGETPSSAKDSNRLLSFLSRKKKQTKDDGAYPSPEDMNASPTS PHGSFRPVGLGVRGSNGSDTNIDLSGSTLGDDRNGSTLNMRWNKISPVRTYVLATMDY WNYRMCDITEAENATEIRQVICMHLGLGDYENSYIYLTEAGKFEHVDPLDDPSLITVR RAKGDPLGTLKFFVTPPGVAPISSLSVKAEVPIILSPNYLSPGTPVEDAQQMSRQRSS SSPPTSRSNTLTNEKNEDKIAREAKQYRAEIERKQQEYLAKRKQAAMKGSPSETLGPG IVGRNVDFDQPRESPYEDKRPEPLFPQRRPPAPPSDPSATLIKANSLSRKTGASMRAS SSNLEGYPSKRYPENEMAEKTKKANKQTPPAPGIGAALAGMGRNLSAIGQSAKNGRPS SPSRSSTHSIPGGKDTFSTVEPSQGRGSPSTTSSSKSTTGNVTWNKKNLGFIVPDYSP SDSSFLLSPSPTVDAKFNATVPRAMSPAEMSPNSSRSRASFPPNQQSPTHRRASHGPE PEFEDTDVHFSKPAPAPPADDDSDDSDDGLFAIPIANRNKGKAAAANVDANGHSKRPS LHVNTERSRKGLSVAFKSPKSFGSGMDSNEDSGRQNPTTPHSETWEPEEKDKESKLGR RKSFIEKDVWANRPPTDALINNLDDFFPNLDLDQPVLDEPGEGGMPPSPIAESSETNI DQQAQNIAPPQPSYPQHVPPAIPPTRQPSLYNENDTLGSDESTLKALDQHRPTSVAQR SIRRSGGLGRMKSIREVARGAHEANKRTTSTNQGQTATTNIMRRKSTKMFNANIVQIR PDRRGSVVMPQIPQDTVPKRQTTFRWFKGQLIGKGTYGRVYLGMNATTGEFLAVKEVE VNPKAAGGDKNKMKELVAALDQEIDTMQHLDHINIVQYLGCERKETSISIFLEYISGG SIGSCLRKHGKFEESVVSSLTRQTLSGLAYLHREGILHRDLKADNILLDLDGTCKISD FGISKKTDNIYGNDKTNSMQGSVFWMAPEVIRSQGEGYSAKVDIWSLGCVVLEMFAGK RPWAKEEAVGAIYKIANGERPPIPEDIQDTLGPLAVAFMMDCFQVNPFDRPTADVLLS QHPFCEVDPHYQFYDTALYHRIKSFK FPSE_01165 MSEASNQPQRANSLTPEMVEFASKMYDAARKGDTATFEQALPAG LPPNLTNDKGDTLLMLAAYHGHADLVKVLIQHGADSNRLNDRGQSPLAGAVFKKEDAV IQELLDGGADPEHGQPSASDCVTMFKQEDMWKVKFDAAPGKGQAGKTRRAEKNE FPSE_01164 MSPEVKSISPFGIARSTVQGEPLSKEEIQQYNDFFKASCYLSLG MIYLKENPLLRQPLKAEHLKLRLLGHFGSAPGQIFTYMHFNRLIIKHDLHALFISGPG HGAPAVLSQSYLEGVYTEVYPDKTLDDEGMQKFFKSFSFPGGIGSHATPETPGSLHEG GELGYSVSHAFGAVFDNPDLIALTMVGDGEAETGPLATAWHSNKFLNPIVDGAVLPVL HLNGYKINNPTVLARISHRELECLFIGYGWQPYFVEGDDIESMHQAMAATLEHCVDEI RKIQKEARDSGEAVRPLWPMIVLRSPKGWTAPRKIDNNYLEGYWRAHQVPIADPATNP AHLKVLEDWMRSYEPERLFDENGAPIASLRALIPQGNRRMSANPVANGGLLRKPLKMP DFRDYAIKVESPGNVMNASMTNMAVFLRDVMATNQTNFRLFGPDETESNKLGGVYDAG KKVWMGEYFEEDDNGGNLAKAGRVVEMLSEHNCEGWLEGYLLSGRHGLLNSYEPFIHV IDSMVNQHCKWIEKCLEVEWRAKIASLNILLTAVVWRQDHNGFTHQDPGFLDVVANKS PEVVRIYLPPDGNCLLSVMDHCLRSVNYVNVVVSDKQEHLQYLPMDAAIEHCTKGIGI WPQFSTDAGQNPDLVMASCGDISTHESLAAIDLLLEHFPDLKIRCVNVVDLFKLIHPS DHPHGLTDSEWTSLFTDDTPVIFNFHSYPWMVHRLTYKRPGSRNLHVRGYKEKGNIDT PLELAIRNQTDRFSLAIDAIDWMPQLRNRGASARQALLNSQIQARNEAFETGMDPAFL KNWTWKRNGLWKKVSEGLASR FPSE_01163 MSSITIRPATQDDVPRMLEVFFSAFSSSPLNERCFPPSSPDVQT WQENLIQRNIEDKDDNYMIVAEQDSLILGWARWVRREQPLSGKQIKSSTFPASGDQET ARHFFQANNDAAIKYIAGEKHWFLSTIAVVKEGQRRGVGSALMEFGVERADEEGWMSY LNSSQEGKGLYEKFGFKVSGTSEFPELGMVQYHMRREAVRS FPSE_01162 MASRPELKLDDEGGFIRFFKSLPDVNQDTIRIFDRGDWYTSHGQ DANYIAKTVYKTTSVVRQLGRNDHTGLPSVTMTMTVFRQFLREALLKLGKRIEIWQSS SGRMNWKCIKQASPGNLQDVEDDLGGQIESAPMILAVKISAKASEARNVGVCFADASV RELGVSEFLDNDLYSNFEALLIQLGVRECLIQVDKGDKKDEKEKDPELAKIKKIIDNC GVAIAERPAGDFGIRDIEQDLARLLKDERSASLLPQTDLKLAMGSAASLIKYLGVLQD PSNFGQYQLYQHDLAHFMKLDAAALKALNLMPGPRDGSKTMSIFGVLNHCKTPVGSRL LAQWLKQPLMSKNEIEKRQQLVEAFYVDTELRQTLQEEHLRSIPDLYRLSKRFQRGKA NLEDVVRAYQVVIRLPGFIGTFEGIMDENYKDPLDEAYTTKLRDLSDSLGKLQDMVEQ TVDLDALDRHEYIIKADFDKSLRIIRKKLDQLDKDIRAEFTASAKDLGQEADKKIFLE TSHKVHGVCMRLTRQEAGCIRNNSKYQECSTQKNGVYFTTKKLQAYRREYDQLSQNYN RTQSSLVHEVVQVASSYCPVLERLAGILAHLDVIVSLAHASVHAPESYVRPKIHARGE GQTILREARHPCMELQDDVQFITNDVELTRDKSSFLIITGPNMGGKSTYIRQTGVIAL MAQVGCFVPCAEAELTIYDSILARVGASDSQLKGVSTFMAEMLETANILKSATSESLI VIDELGRGTSTYDGFGLAWAISEHIVKEIGCSAMFATHFHELTALADQYPQVQNLHVT AHIGGTDAAASEADAKREVTLLYKVAPGVCDQSFGIHVAELVRFPDKVVRMAKRKADE LEDFTTKHEDLGLQYSKQDMEDGSAMLKRVLVEWKDKVKAGDMSREEQVAALKELVGA NPELQANPFFQSVKAL FPSE_01161 MYASALSLAKWGVVFSSVASAAASNVHIAPRATQAPSSTAAAPK VTAITGCHLHASDLYCFAGETEYVVDIKVTATTDVPSQYTDCHAHGSDMFCVGSDGKD VAVQAEGAESEEDHDHEAGEEDHDHSHGESAAQTGAAGDKAEESENQHCHFHAGVEHC TGGSGHGSSETGEAVSSSSSACQPRKRDYDVGLRVGLLFVILATGALGVFGPILLHKM MPSKLNVVLIVLKQFGTGIIISTAFVHLYTHAFLMFSNQCIGDLGYEATTSALVMAGI FMSFLVEYIGNRIVLAKTKASADLSLAEKKSAWLSTEVVSVLVMELGILFHSLLIGLT LVVAGDEYFLTLFVVILFHQMFEGIALGSRIATIGTAADTHNAVAARPSDDTSSAQDS DKAPPAATETISNDETAHVGLNMKKKLGLASLFAFITPIGMAIGIGVLQKFNGNDKST LIAIGTLDAVSAGILMWVGLVEMWAADWMTGGHGHKAELADANILTTILAFLGLVAGL VVMSLLGKWA FPSE_01160 MKPHNLLQALLLTASVVVNAGKTPRPAVASSSTGRKSNGDTRKF IIEVEPNRGLATVAHQFLTKPDRKRPLFKSLDCSDIFSGMVVETDTDNADTLLQMEGV VNVWPVHKVSMPKVEQSKHGPSSSIRNYSLHHWTGVDKLHAAGIRGKGATVAIVDTGI DYTHKALGGCFGPGCKVKGGYDLVGADWETHNEKKHPKRPDNDPMDYQGHGTHVAGII AAENEWLTGVAPDAELLIYKVFSDNPWETDEVTIMQALCDAYNAGADIITSSIGQPNG WSDNLWAVLASRLVDKGVVMIASAGNEGEFGPFYSSSGAIGHGVLAVAAANVTTKPNA NRTGEDYGPMPVYFTTWGPTNELLLKPDIAAPGFEITSTVLNQSYEELSGTSMSAPYI AGIAALFIGKYGGRAFNGAGVAKMLRDRIASSGKSLPFVNSRLNRKFKSPPFQVGTGL VDAIKVLNYDTQLEYEPFALRDTELFKPRWKFNITNTGGRAHQYRFKLEPQAGFNIYD ANYGVSLLYGIEPKNIVPPVRLPHTVFIESGETRELSVTFGLPDVDDDYLPLYGGKVW VISDHGEKLSIPYGGAAYDTEKAFDNMFIAEPFITDPDKDLTWSFNIDRDPNDFIEIG GRLSYACKNLRWDIFEQGWTESLWTYPLRVGEFGYVGSATTMRDAEEFWFFDPTINDA NDTIPFPLKRESRGFHVFWWFGKLANGTRIAPGNYTMRFAALRPYGNPNISDHWDIMY RPVDSSIQVLPYNDNDNDTHSSNLTSKAPRYQMPSLGKASLKGQ FPSE_01159 MGKSLMDKIQAKLELFRLEQRYTRRRHRRSTFVSNAVYVDGEYV YQTPNSTGSSSGSSNMDALHGDKAATSPMASPNTIVIEDHHFPSETQRQQPQQQPMAT AERKRLNRFSSIPQFGGSSKTMPSVKERRTSMIR FPSE_01158 MSVRVVSRIRPLLEKERECDIIVRSDAVDPSKPNTIVKIPNPKN EAEEFSFTFNGVYDRATTQEELFTAEVAPYVKSLFQGFDVTIFAYGVTGTGKTHTMRG GMKLADRGVIPRMLSSVFRRGKKIMKDSKGESDVKVLLSYYEIYNDKVFDLLEPPEKR TPAGLPLRAEANGKTVVVGLSERGCEDLKDFEKLYIEANNNRVTAATKLNAHSSRSHA ILRVKLIQTTAESVQESTASAIDLAGSEDNRRTDNAKERLVESAAINKSLFVLSQCID AIGRGDKRIPYRESKMTRILSLGQNNGITVMILNLSPLRGYHLDTLSSLNVSSRAKRI EVREIENEIVYKSVPRANSGLSANSLRQPLRPLANLTNVHNGNVAAKAADKAAEKAAD KPVKAFSVYIDKSKPAAPANRPLVSSNLARRTTTNPVKRPSENDAAMRPSKISKPAVP ASITISAAQIEAMVEKKVSEILAARIADEQKSQSPPTVQPEISDAVQRRLEALEKRID SDEWRDDSKSEGLRFLLAARQHKERGEDEIALKMYEMALPFFPGQAKLLNKIERLRSR LNGEAPAPSPRRATPRSERKKRRLVYDDADCDYETAEADVDEDEFVHKAEKSKSRKTK VKPLATKSILAGEDEGPASPRTQQLLDIVNSRDLDQIRGLVGFGAKRARDLVDYLELV SNDEAGGRIDSLGQLRTVPGMGSRTVERAYDGLAV FPSE_01157 MRGQLPLSRAARGLRLRALPSYACTQSQCRSIQINAAPSTEAPK VGGDAFGALETRDPADARFEVLGSPYSLLSVTLSASQKLYTRRGTLVAVAGKPENAQS TLSILNPITRALLGVPFLYQRISATTPITALISTKSPTTTFTVLHLDGTTDWMIAQRN ALLAWTGHTLSPSARIQSSLTLAHWGNTLLTGRGLAALSAPGQIYELTLKEGEEFVAH PGSVVAYSISRSPPQPFRFKSNSLQLQVPSLSRWISEPDWVKTVRSSEVWKYLARAFY GLRTATRRTIWGDRLFLQFHGPTKILMSSRGVRASDVLSNKQVNEIADSEPGVLSQAV ELSNQPRLTDGAETKSAAVNVAGQGQDDNSVTGIHVAKVEKDGKVKFEDNKDLKEFIR FPSE_01156 MPRSTTSSSSDTINDRTIQPATSTDPEKQSYQPTNTTEANIHPV PTNTVAADLERGDDDEKKQSQQQQPPTGGPPPGMAPADFPDGGVEAWLVVFGGWCALF CTFGLVNCVGVFQKYYVSGPLKDHDSSAVSWILSVEVFFMVFCSALFGRLFDTYGPKY LLWCGTVAYIFGLMMVSLSTEYYQFFLSQSIVASIGSSAVFNASMSSLVTWFFRRRAA AFGIMVSGSSLGGVILPIMMDKMIKSVGFPWMMRTMAFMFLVLLGIACLTVKSRLPPR PRPFVFMEYINGLSELPILITVCGFFLFMWGMFLPFNYVLLQAQAAGMPETLIPYLLP ILNAVSIFGRIIPGIIADKLGRFNVMIAITFVSALFCLCVWIPVDNTAGIVVFAVIFG FASGGYISLVPTLIAQLSDIRQIGTRVGAAFAVASFGALTGSPIGGAIVSAQDGSYLG LQLFCGCSMMAGCIAFICARYVQVGFKIVKV FPSE_01155 MEHPSSANLPAHKKPDTYFKPHDVLDDTAKAAVVGGLSGLFISS VKNAMSKHNVGILSVFTRGSAMIGIGAAAPAAYVFASRTSMNLREKDDSFSAALGGFA LGAVLGLPTKRMPIVMGLGGGLAAFQGMFHYLGGRYDSFKREGDEFERKEIVRRSTRL PIEQTISEIGEGRGIRPPGYDERRAERLSQKYGVEINPIKATVEGSQ FPSE_01154 MDLDPVHKPFPAEETKEQGNEQGQVEQNQAQQDPTTGPQAETTK AITPSQGSTIPQKRPAEDEPAQPMSKNALKRLRKQQQWEAGKEDRKLKRKDSRIARKV RKREERDALIAQGINPYANKQKPPSVNVPISLIFDCEFEQYMREKEIISLGSQITRSY SENKNAKYRTNIYVSNWNGKLAERFHQILDDKHQNWKGIDFVEGNFIECAEKAREKMK HDNMIEPLQRSLTEKSPWMRDEKDPLPLPDPEPEPRPGYSDIVYLSSDSPYTLERLEP NTSYVIGGLVDKNREKGLCYKRARERGIRTARLPIGQYMVMQSRTVLTTNHVVEIMLK WLEYENWGEAFMSVIPKRKGGKLKEQQGASGETQETEGAEDEDAEEENEEIKDPDAEE SASGQNTPEVEVTSK FPSE_01153 MESNSKRRKIDHDGLRHDALIDFEARSSARVSTASTFVLQTDEL LKEAKLDYGKALKGVDEHLHRLKEAIDTATPHGPEPITQVTTRFEKKHRIVIPYPDPK PAKDAPYKLSYEKPASYNVVGSYVAKTMVKSQAQFGIDMVVQMPKSMFQEKDFTSMRY FYRRAYYIAYIAASVKKELGDSMDIGFEYLNENSLLPVLALRPKPEEEDEDNKETKEK ASKKKSKASKSPYTIRLIPCAPEGLFPRSKLLPSSNNNRSGETDDKKTKSDTPFYNST LKAEETFISYLRVLTHAKNECPGLTDACVLGRIWLQQRGFGSSLSRGGFGHFEWSVMI ALLLQMGGRNGKALFSNSLSSMELFKAAVQFLSTTDFNEKPFVFGYSKMSVDNAREDG PVMYDPIRELNILSNMSPWSASLLQMHAKTTTDNFADEAADKFAPTFIIKSDAPLQTF DAIFELKSQGSKPLDSPDCRGSAWNFSLEAHKVLKQAYGNRSHLLHFQLPSKAGWKLG AAPPSDSGKVQFGVMFEFAQMGRQMEHGPPAEEPEEAARFRRFWGEKAELRRFKDGSI LECVEWSSKVPFRICEEIAEHTLKRHLKVDSGDIVAFGAGFSNIVTFSHMDKEAFDAA RRAFQTLEYDIRNLEDLPLQIRQLSAVSPAARYASIDAPSPGFHTGTIEPTDVNIYFE ASGRWPENSVAIQETKIEFLLDFDRRLTSTKENIKTYLGRDNKEIGIENLAYLDIVYD TGAAFRLRIHADLEDILLERQIKNKTLDPRIREESEVVRARTKWFFETLPIHTQTIAT FCTRLHPLSQTIRLVKQWFNAHKLSGHISEELIELFVLNVFLQPYPWRAPTSASTGFL RTLTFLSRWDWRDEPLIVDSAEELTDDDRHNIRNELEAWRKKDPNMNHTVMFVATSVD ISGLAYTRNGPSKLIASRMTRLAKAACKLVKDSGYLIDATELFDTSLEDYDVLFHLSR KAIRSILREAASDPSARRHSQFKNLDERTGSAPLPIRAHPIDVFMQELQRVYEDTLVF FRGTHNGEEDDAVIGAIWNPKLQQQKFRAGLPYNFLRVTGEDEDVVAVNRKAVLSEIA RIGGDMIRKIEEVE FPSE_01152 MDSYTKQRPSLSLSSSAMDLDTDRRTPSPVPSLGYDALHTPTAE MPTPPAFASTFSLPITAAKNLSVGTRGSPDITNDNDLLPPLLPQTETCGVERHDASVM GGTPDFLLLYCFNIERAVNFYSRCFGWKFHGDMNAQQSDDPYMRQWGSSHFDTQPMNF FNSSQSGDSRITGALIQIRSTGDDGAHLEHRRQMARAGGATPSCHIRVADIETAESLI EYHFGEVKQLRFGVRKCIMDIGEFVDPEGNLIGLVSLHPENATTAMSVDG FPSE_01151 MPSAAFRLRTLRAFARSPVNPNAALARSFSATARRAEINKVFPS AKEALKDMKPNSTLLCGGFGLCGVPDSLINEVLEKPNITGLTAVSNNAGTDDFGLGRL LKTKQISKMIASYIGENKTFEKMYLTGEVELELTPQGTLAERCAAGGKGVPAFYTPAA FGTVVQTGELPLRNKADGSPDVYSQPKDVKVFKGKSYLLEESIDGDYAFVKAYKADKL GNCQFRLAAHNFNGAMGRNAKMTIVEAEHIVEPGEIPPEAVHLPGIYVKRVIQSTEEK SIEKYTFAKDPSDPEATKALGSGDTAAKRERIVRRAAKEFKNGMYANLGIGMPMLAPG FVGPEVEVQLQSENGILGLGPYPQKGQEDADLINAGKETVTLKPGAAVFGSEESFGMI RSGRINLTILGAMQVSANGDLANWMLPGKVKGFGGAMDLVSNPSETKVVVTMEHTDKK GNPKIVKKCAFPLTGPACVSRIITELAVFDVDFAKGLTLIEIADGVTVDEVKSKTEAP FHVSEDLKPML FPSE_01150 MFDQTSGQVFTEYAMSRGALAESDEDSAGWSRRRPYPSFTARGP RGPRPLVDMAISVLADNIGKVRSGAHLETIPSNMLWRIWRYLEARGVCLHAWKIFSKI LMDDQDDKTLGLYRFRQHICRPGTDLTRYTQPLTAPPTDFITHLVLTGGCSFSTHDLL CLADMPNLGALELIKPADELQTIFPRVSDRLVRGWTEKKDPFPLLRILRIWGDQSTTH ESLKWVSKFPSLALYDVMGAREDWTRSYEAALENGWEQDDAPSGLEDSLLRYLMLFAP LEETRSKPLRDLAASIDNDLVSLCSDSRCAVKFVQEHQAPPLLDYLTDTAKVQTPSWD TDAASREARACHGVAFEPWAFWLYSFLGQLSSDQDLEARGARPSTKAVAGPFVLPSRP LACLHLGHSSRSGGIGTRPSYVSRGLFATRRYTFARDAAGRGASREKPVPIPKKETSL VASQRSAPILALRKQKRKRLDDVLQDLSG FPSE_01149 MAPGGPPGTSSRGRGGKFRKPARGGGKKFSRDIRPLDADGNEVS MWAVDHDKENGDEEDSEEEESSEEEEDSDDGAGPAKELTQEQTRELHKAEKKARKEAA IAKSKAKTVEVGDLPSSDSEESDSEDEDMPANPNHSKKARKQATAGVDELTEDMENLQ AAPTRREREALEAAAAKEKHMRLTAQGKTDVSRADLERLKAIREQRELDAARRQAERE EREEQEKVKKSEIEAREARKREAALGKKGKKK FPSE_01148 MAESSVKDRLRLQSNAFDGILSLIPAKMYYGEDTSDQWNKKKQT KEEAAAARRGKLDPDSELNRNAKEVMDERAKNKRKLREMEQQDEAENDDDWEEYEPIP GVDSEKPGEGLKAAKTENNKKQKLDEDEDNNDDAEPKESAEATQSSKLSRREEKRAAK KEKKKDKKTEKPEKKEQKTTKTEAPTTKSQKQAAKKQAKTPATKAQKQKEDQEEEEET TTGGDGEVLPMDISGMEKEDETSGNSSHESEPHSPTFDSAAPAETATDPASTTTSISS TVTPSEKPKHIKLPADTTAIRARLAAKIEALRAARKADGPDGKPIRTRQELIESRRKK QEQRKAHKQEMRAQAKLEEQRKREEALVSNSPGIMSPAVELDENASNFTFGRVAFGDG AQLSRDLGHVLTQGKKKGPSDPKTALIKVQNQKKRLEELDPEKRADIAEKDVWLTARR RAEGEKIRDDEALLKRAVKRKEVAKKKSEKAWRERVDGVKQSQKDRQRKREENLRARR DDKLLGKAGKKKKKGGAAGAKKKGRPGFEGTMGVGGGKKGGKK FPSE_01147 MSSGLTRRRGAGGAGPAGEGDSSNGAAPRTSTPSARDNGPETSY ENSENGHKIAFDPRDISESAERSKQPKLTLMEEVLLLGLKDKQTALTFDLPKGYLSFW NDNISYALRGCIVIELAFRGRIAMEKDASRRRFPLADRNIEVIDDTLTGEVLLDEALK MMKQSEKMSVATWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLF DMATHPVADGGAKEEIRRRVRTVLTQRTVVLNGSQFLPESLEFRYMRTIAMVCAAYAA NVLENALASLGHEARERAFAQTDDLLADYSQWPFGKKATQNGVGANLPQLINEEVNSG KDKELQLEVVSACLSVFTRLDSLL FPSE_01146 MAPNKRAGKQKRAHFADKKNEAPPSPFKRPPEALEPFIQSLDEK HVYVTHIDNKPAEFKRKIFLVPVGMNVVVVLLFILRMWWIAPWYWQLLMTGFGHENET TWDTVDSTWSQIALEIGKRAGTMLIDFVLFIFVWPWPVEFVAGQARGNPCQWRWQVGF REQEIYVRRSREWDQALTDILEDADSKKILVSYVDNATSPILQEQKTGYLLMNAYWDL DWARMVIAHRLVDKKELALEAFKSVVLVHHDAYGWMSYDVRGSGASGEDERRRQVFAF RDALTKLGKENLFYRWVEIVQFEATQPGGFGPKEQEAAAKRIRELFEKEDIDFDDLWK KSISSLPS FPSE_01145 MLRGRNFQERGNSYVCAFCRHKLSPRDSQLRDRHVSSVAALITS ARATGLSSCHSSQISGVRSLSTTSALRGLNDGGKPGGFPGGGFPGGFGAGLGSFGAFA NKPVTETAKQSVELLPHELEARKKMGLPLKKPPPKPAQAQAKDNAKTIPAGQNTSQNQ AQDNGRGLGQNQRTKGNQQFGRFNEKNNNDIRRTQTQQVQTQQTQQTQKQQNQESKPP SNPLSSSILAEAFKTDRAPAPVATPRAWSTNEPPKPAIPTWGAFSSRKIDTHVPLMKS QAEAKPTEKQTEAQPQTPPPSTIRGGSDAVWGQLKRSRRSIGEKVEGQEGGFWDELET RVTNIRNRPSPAPEVTDTYQSVLQGDGLLSQEGQQALEDQPRRKSRFEIEEEEERTKR DKKGKRPKNVRQNSYEDEDMDEDAIRRWESRQRKKAEKDAKKHLEVAAEAAPLPIFIP EYISVSNLASALQIRVADFLHDLESMGFEEITEETIMTGDTGALVAQEYGFDPTVDTG SQRDLRPRPVPEDPSLLPSRPPVVTIMGHVDHGKTTLLDWLRKSSIAAQEHGGITQHI GAFIVQMSSGSQITFLDTPGHAAFLSMRQRGANVTDIVVLVVAADDSVMPQTLEALKH ATAAKVPIIVAINKIDKEDARVDQVKADLARHGVEIEDYGGEVQVVPVSGKTGKGMED LEESIVTLSEILDVRAETDGMAEGWVLESSIKHTGKTATVLIKRGTLRLGDVIVAGKS WARIRGLRNEAGVDIREAPPGTPVQVLGWRELPDAGEMVLQAPDESKAKTAVEYREEM AERQESSKQLAEQEQRQREKAAAEEAAAAAEEEGVEVEPVAAEPGIIFQNFIVKADVA GSVEAVCGTVQELGSNEVQSKLLRSGVGAISEYDVDHAAASKSIIVNFNIPILPHIRQ RADEAGVRIIDHSVIYHVVDDVKEALSDLLPYKITHKVLGEADILQLFSINVRKRVSK SIAGCKIRNGAIKRTSTVKVIRRGEVVFDGKIDTLKHVKKDVMEMGKGTECGIGLEGF EDFEIDDQIQTYEEIKEKRTL FPSE_01144 MVRHKKDFTSKGRKGGPTPRRGPRRDEEDGSESSRPAFKAACWD LGHCDPKRCSGKKLMKLGMMRDLHLGQRHNGVIITPNGKHTVSPADRELMDQYGAAVV ECSWARTQEVQWNKVGGKCERLLPYLVAANTVNYGKPWRLNCVEALAAAFYICGHPDW AEQILAPFSYGPSFLQINSTLLKRYAACADEAEMKKTEEEWMEQLEREYAESREEGND DMWTSGNTNRRRMESSDDDDDEDDDEDEDDSDEEEGSVDGIYLGKKPSKANPEEDEEE KDRYAISDDSDDEDTMAEIRRKVLASKTFANPNEQEDKKPATIPNPHQQQQQFKPNPS VQPDSDNERSGSDDDDDEFDNIIEATPVTDRIGLAKLEKERSQATRTTRTFSTNAVGA PYKS FPSE_01143 MEAASARAAARDRWGDLPSSSRTPSQLQRFVQAACSPENYEPNL ALSLEIADLINSKKGSAPREAATAIVNYINHRNPNVALLAIGLLDICVKNCGYPFHLQ IGTKEFLNELVRRFPERPPMRPTRVQAKILEAIEEWRGTICETSRYKEDLGFIRDMHR LLSYKGYVFPEVRREDAAVLNPSDNLKSAEEMEEEEREAQSAKLQELIRRGTPEDLQE ANRLMKIMAGYDTRSKTDYRAKAAEEVAKIQAKARLLEERLDSFKAGDTMEDGDVFSE LASALQSAQPKIQKMCEEESDDHEAVAKLLEINDSIHRTAERYKMMKKGDMEGAAKVA AGAPPPSSSGTAAASSSAANELSLIDFDADASNGAQSGNTPAPAPSAGGLENDLLGLD IGGDSGSFGQGGGIALGFGANQNIPGPALLSSMTQDNSARGQVSTPTPPSFSQFASFS QPVSQSTTPQPPLQQQPAPPSQPASDPFAMLGAGSMSSQRASPAPPQQQPAAASNDDD EWSFSSALPPEPSKPKEHQATVSNTNVKVEMIARRAPGNENAINIIFAFSNNVPQPIS ELHFQLAGYELQLKPQTGRDLAPQQSRGITQEVQIWHAGNRTQKVTSAKLRWRASYKL SEQAVNEMGEVTEFSLA FPSE_01142 MTAPVGDTSMSRYDSSPGELSSPPSGSLSDPGSPSRNGSSSRPP QDYDEIVVVSSDGKYEHIGHQNHGDRPRPPLPPQSGTGPARRYALVDNQWVQLTAAGV PRKKPGRKPGTIVKPRTSDGADIAKAARKPRKPRDPNAPPMPRKRKIVTGDGEEDVPS DSKSLAAAGVTIAGQSHIADASILSASSPAQHHLQTPSSSHKLEQRYSPKMAKREHFG SMQSLLNSDPPAERPSSQPQSQPNGSTSIPVRTSGQSYDPIRGNYDPVRETMVSHNSY NSASGSPRAPSQIPNRSPTIASLLHGTESRSPYQPPSNQPRFQAPEPSQPPSPSKEPQ TVSTPTPTPSSRPPVQEPKKDPAPPPPVQRPVIRESNFTTISNGPIKKSSPKQKPHTG VSTPKTDNLDDMQEGEGRSILDFGRAKPGEEAQAPTIVLSVPIQPGETNRYVNFMRMA EDRYGWDALHPRLAANRDRKARIAAAAASLEKAESGRESGDEMSVDLSDGEGSNPDNG VTSGADAQAKPKKKRNFKEDQYDVDDDFVDDSELLWEAQAAASRDGFFVYSGPLVPEV EKPAAGQEGPPKRGRGGRGSRGGARGASTRGGAGTGRGGGPGSRGGAVTRKPRITKQE KAQREREKAERETMAQMAKTPTHSGYSLNPTTPSFAVSELGA FPSE_01141 MDPTLLINDIVEHDTSKKKPVQFEDVQISSTGFPQHKRRWKTSA FKQRRAGQAADTPQESKSEATKLQGSHSNADLETVEKQRIDQENQQKLASMSPAEIAQ AQQEIMNGLNPALVQRLLSRANIDEQNGPSPFDPPKPEKEESPEPPPTIKVEDADKAE ASKNSPAAPTRPPKPSVESVPESGSSVPKVTSAKKIADDYDENKAPPQIPPDLFPITD QPKSVHFPNPPNLPDLDPSDPDFLATLHKKYFPNLPADPSKLAWMAPIPTEDSPADKD SSYYPHPEIAVNALRFDFKGRFLSPRVSRAIPSSKGLHHHGDAPEAAGYTVAELAHLA RSAVPAQRCMAFQTLGRILYRLGLGEWGKGENDHIAMGVWGAVKQGRVLDSLTEAAMA EGGHRGSRAYATEALWLFEKGGWKEKFKGR FPSE_01140 MASPLQFAYRTQKTIGVFDAAPVYEPLAGFNKPEGNLRYCIYSP CGRYFGWASPEVVTVVDASTGQQVLSLPILNVYELGFSPCGTFVITWERPGKDENGDA TKNLKVWRVVEEGVAGQDKQPLGRFVQKQQQGWNLQYTADEKYCARLVTNEVQFYESH DLVTVWNKLRVEGATNFALAPGSQNHAVAVFVPERKGQPAAVKVFNVPLFTNPISQKT FFKGDKVQLKWNKLGSSILVLAQTDVDRSGKSYYGETTLYLLSTTGAFDARVSLDKEG PIHDVSWSPNSREFGVVYGYMPAKATIFNHRAVATHSFPIGPRNTITFSPTGRFVLVA GFGNLAGQIDIYDLEKDFRKVTTIESGNPSVCEWSPDSRYIMTATTSPRLRVDNGIKL WHVNGGIMYNEDMIELYNVIWRPQSPESIAPGDPLSPVPTPHSSATALLATAKTPSKP AGAYRPPGARGLATPLHFKREDEGGAAHVVGNGVPNVGPNGFGRPRRGVPGAEFAEQT PTVRTVPGAEPMGDENSSKSKNKKKRNKKNAQGEGGRPQGEPNGGASLAPPSHDRGHE GRSPERRNHRNRSQSRNNQPRSRSNTHRNGHGSQQQAQSSGAAAAAAAADASQNPNAK KIRSLQKKVRAIEDLEMRLAGGEKLEDTQLKKINTKSSVLKELDGLEKEN FPSE_01139 MAGDLEKASPVNGAESSSTTSSITSSPVLEPIRAVESRRSRRRD PDFFEPLEHALTPDLETEAEREAREPITYTQTGTSVTSNASRPADFEVFFQDGDPENP RNWSKAYRYWIVVCVSYSTWVVVLYSTCYTASVSGLAKEFDATTTVATLGLTTYLLGL AVGSLIVAPLSELYGRQKVYIVCLLAWALLILPCALATSLTEIIVVRFFCALFGAAMI SNSPGTIVDITDPDHLAAAMSMWSIAPLNGPSTGPVIGGFVFQYLGWRWDAWIPLMLG GVGVAMMLTVKETYHPAILKKKAARLRKENDDPRYWCQYDQKLSTWHLIKINMSRPFI LLATEPILWFMDIWISLIYAILYLCFVAYPIVFSQHRGWNAGISGLAFIGIGVGTMMA IFAEPLFRRIINSQPRDPVTGKPLPEATALVMAIGAVLTPVGQLVFSWTCLPASIHWA IPIAFGIPFGAGNTISFIYGSNYLAGTYSIYAASALAGNAVLRSIAGGVLPLAGPKMY AAMTPQWAGTLLGLLEVAMIPIPFVFWRYGAKIRAKSPTVIALREEQDRVDAKRAKYQ RKLDKKQKRETEEAKAAEGGVLEKTASK FPSE_01138 MASAFSAYHGPTAVASQHTVTALGRWSILNHSLPAADKIQALHV YDFDNTLFKTPLPNPQLWNGPTIGTLSNQETFVNGGWWHDSRILAATGQGIDVEEKRA WEGWWNETIVDLVRLSMQQKDALCVLLTGRSEKGFSELIQKMVKAKGLEFDMIGLKPA VSPTNQKFNSTMHFKQLFLRGLMETYKQADEIRVYEDRPKHTKGFRDFFAEFNREQSS APTRGPITAEVIQVADCSTTLDPVAEVAEIQHMINIHNELVSKQSPRQRQHRLRIKKT VFFTGYMIGPEDTKKLIKLAHIPPNTPQHELKYHGNNILICPRPCPASILEKVSGMGS KMQWEVVGTSCFDNSIWAAQLRPIPQNAKYHTDNPSPLVVLALRKGARPMDAGKIQNW QPISPEQSFTFETVVGEKVILRIEPEDPREDAYESLFANKTSKRKHNGDEDWNQRNTN SHYSGRNESRGYHSGGRGGRGRGRGRGNARGGRGGRGRGGGYNYRSLDDVEPRNQQGG YGQQVDYDDSYPPLGKSNNNPPASAPSGPAQGNFGGRNQPPRGPAGAQGRPMGGQAAN NSSDLQNYY FPSE_01137 MASSSAIRFVTGSKKSPLGSLHLQLRVKPGASKNREGVIAVTED AIELCVSAQAREGEANKAVVQVLSGILGVPRSSLQLTHGMKSRDKTVVLGGIKGDGED YARTIRETLDKAAED FPSE_01136 MFQQRTSSQKPGDELLANFRQQFPDLAAASTSSTAPGISQTTGA ENAPGLPLPERHNLSQDAFRDQDPTPRATNDPWRFTPSLLDPNSISFASFANAPPGYY TPTPGGNNTLFHPQAGDLHTPTMGLGMGLNTPLSMPTSGDSMHPGAAQPVMDMSGFQA LQPHQFHHFNPFIQAPPPQPTFAPSSFVHQDTGYETMDQDGSPMDSDPSEERMSSIGA TLHKNTPMMSLQSRQFSSGHMSHPLPASAEKFRFHSTLNAPTAMIKQADEIPVTYLNK GQAYSLSVADTNATMPVAPGTKYRTFVRVSFEDEQQRQRPGVCWGLWKEGRGTNEAHQ RGGKLQAVEYVEAGQPAEGDDKRTRVELESSSFDGFCVTWTPGINGPPEVNIAVRFNF LSTDFSHSKGVKGIPVRLCAKTHPIPCDPSQPAADANPEICYCKVKLFRDHGAERKLS NDVAHVKKSIDKLKQQIAQAESGLKDFGKRKRSSGAAKIGEPQRPGKVQKHKRTWSMS SASSAGGGARVTMEEDLHFKLQTLQDMFTSTRPVSVLFLRGDEPDDPDLHPVSLPGDI SPLTKPGEGPNWQARSGRSSAAGSMISPSPSSLSLVSQASGIGAGNQWKNFDSVPGGE VSRKGSEQPTRINKGDDDGSLSGWIEALGVDASYRPPQDRNPKPVACFYIQQRSSNDS GNSGYHRAIYMMQRTLQELNDRIAAKWGIDSSRILRTIHSIQNGLEVEMDDDVVQELK EGQDMTLEIQEVTGQSAVKREWEMAVDPVENITEPNDKSQSGFVLRLTF FPSE_01135 MSHKGNSGKGKKSTKSGGESKSEDVLQAVVIADSFQDRYRPFTT EKPRCLLPLANVPLIEYTLEFLAMNGVNEVYIYCGAHTDQVEDYISRSRWSSAARTSP FSHLQFVRVADARSAGDVLRDMDKRSLVDGDFILVNGDLVSNIMLDNALAAHRKRRED SAANIMTMVLRSGGEGDHRTKTNGITPIFVVDTKTQRCLHYDEMDPLASDRYMSLDPA VVDELSTEFEIRSDLIDAEIDICTPEVLALWSESFDYELPRKNFLHGVLKDWELNGKM IYTEICEEGYAARASNLQQYDAVSRDVLDRWTYPFIPECNIVPKQSYQRHIHGVVAEQ GAFYANDAKLSNSIIGRDSNIGSGSTISNSIIGRDCKIGANVVLVNSYVWDDATIEDG AKIHQSIVADSAVIGKNATIPAGSLISFGVNVSEGVTLPKDTAVSCVDVGGNPVTPDT KLFGASTNAAKFTDPEDEDLDERDPSQLQKSLIYNLAQFNISTSSVSTLSSEISEDDM DENSLHIMAGDPSRRTSFASDDAGDKLSFHNEAVHGLVDALRAQSGDFDSAKLEFMGL RLSNDASDAMMRRAVASAFAHRAVELMTPEYGSLEPSKAADRALNSRPGATKFINEVG IGLEDDNEAAQVEFIIALQKALTRSQGPEQSRVGILLAAMLQQLYSLDILEEEGILGW WENDRAVEGESMTLLKEKCRMLVDWLENAEEEDDSDDE FPSE_01134 MSAGDGTSTPGVGGSNASEFVRKLYRMLEDPAHQDVARWGKDGD TFVVVENEKFTRSILPKHFKHSNMASFVRQLNKYDFHKVRQTNDSGSAANGANTLEFK HPNFRVGSKDDLDNIRRKAPAPRRTQATEDFTTSHHISVMTEQLTATQQQVQQLQELF TEVSQTNRLLVNEVLTLQKMLNAQKQSQHEMLNFLSPSTNRHQQTMHLNVGTSPLDGS DDSAPELRRARELLSSVTPDQIADRELERLQGVYGSPADSAVVIPQASMPMMHDPMND INRYPVYPVGQTVGIDPFHSDHIHKIPYAMPNDSSANAMQEVAAPQPINIQTHGNSNS SANSALTWASRKPRIFLVEDDPTCAKIGIKFLKSMGCEVEHAQNGADAYSRITGVARD HFDMIFMDIIMPKLDGVSTTMYIRQDCPAIPIVAMTSNIRSDEVHCYFEHGMNGVLAK PFTKSGMQKIVENHLSYLLKGYDPSTQQESGSGYVVGGAGYMNPPSNLNTPGGTAFKF ETTPTPPATGATWSPGQMPQQSPLTTGMDQGYGMVNGANQYGLTPTSASRASFPGSIS QNNQGRMDGQSPPEKRQRTYV FPSE_01133 MSTSTPRLRSGFPATPAANRRHNNQQTPSSAGSPSYGKGSTTRS PSLPLAPESTRPQTTVSQPVIPLTVLDAPQQRLYTFGVYVLLWAWKLYDWLQVVEDGD SSWFLFLKWIFIDFAFLFGVPELRIPWLELSQPVVTTIFSLHLVLNYMLMFNIPIPWQ SWILGFAKVLYDREISISEHNVKVSTILNNHSLIMGKQIINILPEGSAILNPKGTPFC LAAKPKHAIDLPIYFNATIPAEIELIRLDFETNKEETIKISNREVNRVAKQIREHNTD QTTFGFQWDYPVKKPGVYRLGKVLDEYKLEVQRATTDTYVVPCPQARIRASSDSQRCI QELSNLSLDVYGTPPLKIQYSRTINGKDHSFHFQSLQPDGFSSPLLGASSSLVSDDQD DISWARARKVTVGLNESMTSAGEWEYSIDEVYDAFGNVVKYAELEEDPSQARVKGLKY GFKVKERPKASMNGCDMRNPFKVAKGRSVRLPVDFSLIGSKDDTSHEVTWEFSPINSL TNSGEHGDEVSIGGYNAKNAMDKPFVSEPGLYTLKTISSGSCKGEVKEPSSCLLLNPL EPSLSVRSEEIPDKCAGNSIGLRVDLDLVGTPPFVVRYDIVTSDGHVEKQSHRVPGLR SQLELVPKMAGRHKYIFKSVDDAVYENQPLTGDDKVLEQVVKPAASAKISSSSGVVNA CLDSEVDLDVILYGEAPFNLEWEIVHDGKRKSERVTGVQESSFRIKTNTLRKGGEYIL ALSSVQDKRGCKTFLQDQVKIAVRRQQPRAAFGFVEHKQKIMAVEDTHLRLPLRLEGE PPFEITYRNLAGNGEVLTKRANNANDNLLVKSQGTYELVEVRDVQCPGSVVPAAARFE VGWFPRPEISVVESPSIKSDGNTFVKQDICEGDIDGFEVNLKGSPPYHVQYDVTHKPV KGSKAFTRKDFDAPLAKAAISMDTTKAGDYTYKFSALADNLYNSDKNFHPLTLTQRVN AKPSAGFTKPGQSFKYCMEEQEHEDRIPIKLTGEAPFYVEVEIKHQAGSPAETYRIPS IDSNSYGIRIPRQHLRLGAQQVRIRTVRDARGCQRKYEHGGPSVQIHLYEAPSIYPLE SRRDYCVGERIAYTLSGTPPFDISYDFNGRWNAKSQTTSFRRIAEKPGDFTITSISDK ASECRAAVNIPKTIHPLPSVQISRGKQSRVDIHEGNEVDIFFEFWGTPPFEFTYTRSS NAKKGQRSIVLETRHDVSYEHSKVVKASQEGTYEVVAIKDKFCSFSTQQVEKKQRR FPSE_01132 MEQGTQADGGIQTDENTPIAPSLRRSNRLASKPPQKTKAIVAMS EPRRNPKRKACEPANEVNQQSNSDELLNEALAPLSQEDIEEWEGWIELESEPAFFTII LRDLGVQNVKAQEIFTIDQDSLSHLPQPVYGLIFLFQYLPGMEETNEEQDASDVWFAN QTTNNACATVAMLNIVMNAEGIELGDKLQAFKESTKDLSTALRGHQISENRFIRTIHN SFTRRMDHLNADLFLENESSEAKSSANKRRSAPKGGKRAPPRKKKTDSDYGFHFIAYV PAGGYVWELDGLQYKPCRLDPVPSSSKWTSVAAPQIEARMLQYEESQLSFNLLALCQS PLAAYSRTIARAAASLQFVCTNTNLPEFEMLIRGEKLPLDVDDESQLSEFNITKSDVT NAQIPNTIQYKLKRPGFDTQAAYELYQELIIDLKAAMGEFHAEMTAISDDEQRVKGRK KDYGPALHKWMTKLAQKGVLEEVIKAT FPSE_01131 MSLLQIPDEIIQHLLYYIPPEDNLSSFQFLSHRLRHLANGSLLW RYHCQSSFTFWNPEHNFYRRLKGRASSTPWKKIFLIRKSRNAQVERLLVEILETKVGR LKRFEKVCKFGYDAKDFLLEQCNADETAEDVLARRYYSNSLLDSIHRSLAIEQWYNIQ QASRDTSRQPANLSLERALGAFDLFVLHDQPGDLDDIGLILDRLAADFRDTQPNIDGM STRQKALELNGWLRWNNLTGLQHPERSYRNLRNCLIGQALRHEDHDSIPIISSAIFCC VAERLGLQAQCCAFPTHVHAIVFAENGKTLDSVPVTEDDAPLERMYLDPYGSSEEIPM ADLRSMLAHFGWQTSTDAFLSPVSPVAIAMRTARNIRATASRVIEAREQADPDLTRLI TGNDSSNIDAALYSALWASLLLTPVDSFEWDEALEPFLNRFAKSWHVDAWLVEKYIFP LYDRFGPLRERIMRNNPRRWDDPREVINLVNEFDEVPPPTFRRNSVRTQHVLYKIGQV FKHRRYGWIGAVNGWTDQGTRRLPMPHTVAIDETLDDNSDTELPNRLRPRNKTFYTCL RTTGPERHVVAEDNIVLIEDPREMPDSLFRQAGKFFKRFDAETCTFVSNVTEQYPDN FPSE_01130 MPDFGNGALDTPRTNVGDATYLGQPDFGDITQEASFQSPPKDGN LLQQLRNGRSNGINLRTPRQRGPLADRRNLPPSVGGAEFTPLLKSATRNSVRRFGKEN GVAVPNTPALDKIDEGDLTPVPRGDTSIYMGSRNQSYLENTIPEVDTSSATSTPLTVP RRRGGDKGPLQDGNQLSLREQENVIDRIEKENFGLKLKIHFLEEALRKAGPGFSEAAL KENTELKVDKVTMQRELHKYKKHLTTAEKDLESYRQQMLEVQEKAKRKYANQSNQAEM DKLQRLLEDREADIEDLQRQLQQQRGSNDQVEKLQDDIGDLEADIREKDRQLTEREDE LEDLKDQMETLRDKATEAEEKAKDTQRKMVALEEKAQHNDELDDAKDTIQDLEHNIRR LEEQVEDAKSKMEEAMAEKDRAENDLEELQDDMANKSVVTKGLSRQIEEKVARLQEEL DQSGQEYATLEKEHNKVVQENNSLQSAVKELRKSQERFDRERDSLSTRIEELETDLND RTDEKRILQSRHDSLLSESKSLQSEIEKLEGECQELEEGLAEEREHALGIEKDIRGQY KAEMDRLNDEISDLQAEIREKDNLYDNDSEKWETEKQNLESERKRAEEKAAGLQRTID RLKEVEGNISDTESKLQIAIQSETERHRSEEGLLTRQIEDLQDALETRQTLLTNLRNE LSAVRDELRQTQIEHQAQTRKVAALEDEVDVLQTTLDDEQSAGRYEAEAAKRECEDLK EQLRGLRQRGNGAASHELDDLKEELKTWRQRAETAQAAISTSEQEAKQSTESMTRMKW QLSDANSQLDKVSKEKQSLQDQVAKINAELHSVRTSLAEVKAERDELDGEIRRTKLHD NETLRVDQERLDLRTAKLKLDNEVRRLKDENKALIEQRDVIEKNLEDEIEKAAEEEER LGQEILQLQTKLRTSSSTDNHDLAAARRTIRELERRVEDYEAQLNNTRQLPNNFEGSS ELSLVRKDLSAARQKELEFLQKETSNRDVVKGLKRQIADLERQVHETEVSRLIASPKS SATDSGRKTEVTELRSQLSAAQKSINDLKFKNREAERKAMQVSQDFQRQLDDLEDQKI VLEEVLEEARQQAEETAAQHERALRRMKHQLDKAERERNTLATLQPNTSKHDRQLRKN QAEMENLEHDVLQQQELIDSLAASEASLRRKLERARNERAAFRMSAEKLQKDLERVKA AAVAARAGTSDRRSAVDRKALDLTIEGADQALETVIRAAESADERHKKELRGMLMQME WMQARFKREASLRADAAYAKKFLQLQLDVANACNKAQIRELKNIRTNLLGNKKALALP SHATASNSSTTKPTLKTFLVMARFIARVRLSANNWAQQEVVRRKIVSATEEQRKVKRS KQLKVVKAEA FPSE_01129 MSQYPGYNSGRFGGPPQPQYHQQPQGNYYPPQPSYGGGYPQGQP SPQPPYGYQQHAPPQQQQFYQGQPPQQPQYGQYQQGPPQPNFNSRPGGGGPPPPPPPT APQQFGHGAPNSYNFRYSNCTGRRKALLIGINYFNQRGQLRGCINDVRNMTAYLSEHF GYKREDMVILTDDQQNAMSQPTKQNILRAMHWLVKDARPNDSLFFHYSGHGGQTKDLD GDEDDGYDEVIYPVDFRQNGHIVDDEMHRIMVRPLQAGVRLTAIFDSCHSGTALDLPY IYSTQGILKEPNLAKEAGQGLLSVISSYSQGDLGGVASNIFGFIKKAANGDEARERTM RTKTSPADVIMWSGSKDDQTSADATIASQATGAMSWAFVTALKKSPQQSYVQLLNSIR DELATRYSQKPQLSCSHPLVQPLTKY FPSE_01128 MFGNFTTFAGIPAKSKVDPKDVPPPTPVTLPRFQPFAHDDDPVD DAVALSALLRNTGRSTAALGPLGFSAIGLNLNLDAKPVDLIPDPSYIPDFDDWDKLTP DEAQEKNQSTRKPLKNGNLSPGCHVYIERKKELSNANEDAFRTVRRLPAPKGKTQARL GNAYEFFRCLEGFTSFWDDPTQPPPLPPSPEAPAEGTSSDGTTNSEGEKPVSPKLDDS SSFFRTSAGSSMPLEYRNNLMTAFVKLVAYDFGCNVSPSRTEPRLHFSSPQGSGSRKS FCPSGCLFVFQSPTTREAARAGVIHGPVAAVSARGTTDFTQPDPEMAQSLDLAREIVA ALITAQHRAREGKTEKRFGEGEWWTTEKRWGGGSGGPIGREIEKDSVQGDKDAKPSDE NGLPMPLAKKARKNMSIYDNYRMIRPPAATWDKKAQYEAIGRVKGADYDDIFVVSSLF HHVSILRVRVPNRLLEVLDGAREPETGGRSWGKVEAWRSPWYDLFDTVERLAAMKLVW GMMAYQMRKDDSSDGDVKMAGA FPSE_01127 MESRVVVVPVPTTVVVTHYVPSNTTKDADSAALPTDSTAPAGDV PPPQEAPVADGDAPQFASFIPPTSQQISPVPTTAPGQEPPKEAPVDDGNAQFGTFLPT TSQEANPVPTTVPVQEPPAEVPANDGDAPQFASFIPPTSEEAAPVPTAAPGQEPPAGV PAVDGDAPQFASFIPPTSQEVNPAPTTVPGQEPEPAPPAPPAPLPETTSSVYPVPQTT SQELSPETTTSIEQVTASTSQAPQETTESTRPIDVPAPAPTDAENPVQSSPQPTAEET PLNGPDIVTTAAPNPSQSSTQPTTQGGPGNTPENARLIIETESSSSLSVTPTSEVLQF DTAPFTYTGPPTRSTTLQTRRGTSTDASVADSTQTSDSFDNDGSETGVAGSGPLYTTA TLADGVVTTIDLSATAGSDHSETESPDASVKDKSDESKVPPMVVGSVLGSILGISMLA LVIFWLVRRRKLQRRHRSTLLTPLGARPGAPPGGNLMKYEIDNQSLGPTPRSTKVAAS MSANAKKFSQRIRQSMSDASHLGISRGNSQFGQESQAMAAARAHSRASSTPGQQPQGG WWSEILGESSINDPPVVAPPMQQQGYDGRRTPSPNPFSDANSYAAAPPAPLREYSHSI PPHGSMVPPPLHSARGDDPFADGDSIMSPELARQSPTIHGGSEQRGPSMSRNLTPQST GDNTNLKPQEVWRGKVHSNPFDLELDARVVPSMYGNIQQVPRHTVASSFYSTANATNN HDNRQYATRQSRADSFTSKYTSGVSSVNEWPPVPPRVPSIYSRYGNDGTDFPVPAQDF RYNNDEAWRHGNGNMM FPSE_01126 MSSPWKKQPEDVVDSVLKRAEVSKIARRLQNRLALAKFKTKHGW EDLTLDSIEPKVEEELRRKRLAEPTDVLSDSSSSASDLPYPSRALMSSPMKAPLFSDA IGSSNGSTGHRKRTYLATFHDVSSSPSKRFRLSPTAHKSFGSSSVWKNSHHIARPSPL KPRRQQHFTTSGGPDVSFYQQGLMGRDLTSPNFAAPSDDDDDLLPLHSFNPTNIRSSP PRTPPMRTRSVNKRSKGEAGPGPNGKTGEEGADLLLYLAASPSPAVRTSTRTRMEPPS TPPQKNNKMDLPSSMMMTPGGGNMFPTTPSQAFDFAEFVNITPSPAQKPFKTPVSLTA TRTPVSGAQRRLTFD FPSE_01125 MAPTFLNSLRRRSRASFRTNRSATDTSSDGAASQGESPSSGSLT PPSIDIQSDPALHLQVKDQQLGRRKSQISHSQSQQQIVQQRPPLTSSSNRNSVSGMSG LGAPSINGRQALPSSPYAPRLVNITENAWVYQKVLLVYGTIGNPAEHALDGTLNVCRL DDNFPAISWPVCNSHFKALVYLQPGPNKLRFEFSSPKLPNSNSSNPIHASYLTVHMLP INNSPPLQLAVLVAKDSPETFDASPARAEKEGNGLETAVRKFRMAAYLWQAFTSEQMW RNKLGRRAFRFDEEWTTGSANYRDRENGTMRSEARVHIIRSDKTTAEIRDLNKAQQNE KATEKGALYDIASEAVKKYFNPLPGQKLYVSCLLLDSHWDKAANTVTGHAALGGGDGD LQLAIFGSHCLHSYPSSFEEVVPAFTDCTPTDTNHVANDCNEAGSSWEAASIGIGAHM HETGHLFGCPHQESGIMLRDYVVLNRTFVAREAYCTRTKSKGGVALQADECGWHRLDC LRFRAHPAFRLPNDPPMNADASVQAFPVESGNILVMAATGVFFVEIYAEGDDVCHAWI EYPIEQGMPSRQVTLSESELRGRLPDKKRKGAMKISVKSYGGGSIDIDDYKKFVSKES LVKLSSGKNGFRSQKMGCSKMDGTQPTEAVFTSATKQDRVLSRVVVYHGMAVDGMEFV YDDDSRQLFGKKGGKEGGDTFEFDVRRGEYISGFVVRSGFWIDGIQILTSLGRKSPIY GNAHGGDSRTLIPPRGYIICGVSGSCGQWLDGFSVIITR FPSE_01124 MSRRSNTVSGSNGNTKDVMSSNSPAIDKQKTLLSADVGHFSLVR AMHLADLITLMNGACGVMSIFSSLRYALGDPKDFDNMWLALFFLPFGLFFDFLDGKVA RWRKKSSLMGQELDSLADLISFGVAPAMVAFTLGFRSLADTAGLTFFVLCGLTRLARF NVTVAVLPKDETGKSKYFEGTPIPTSLGMDAVMAYWLSQRWVHDNLPFGVWFQGSALE FHPAVLLFMIHGCLMTSKTIRIPKP FPSE_01123 MEPLRSVSRKDTEKSEARRQTNRSNAAIQHVTDTMIAVTESFRH HYQQQHGGYSPAAPAPTYTNINSYQPRSYAQSNNRSNSNSKSHVRSPSSVSEVSQGLL DMDIVSQMTVSDVSPISPHRNNCIPTVLMSGRHPNAETDSLLGRELEPEMIETSARMP EDLIRLATPNLPPRLDTPHTPTHRFSVPITLADHQFDAPPAPNTGLHPAGFSVNRGGL AQSARGFEAFRATTQHHQYMVLRPDMGTRELPLGTVTHIRAGSDPYSSSSATQGLRRK PLHEGARQIVFNHPCNEGLFVAVICMAQLLTYASLAQTLTSARRIGESFFEPERTTHL AWFTSAYMLSYAATILLGNRIGNVCGQREAFVAGYFWFALWSLVAGLSVYMGSSGGAV FFCICRAMQGIGPALIIPNGYGLLLRAYPPGPRKMVAMGLFDASAPCGFVVGSVMTGL FAKYASWPWAFYCLTAVCAALGISSMLVVPAKRVLVHNFEGNLWRRLDVFGSVTGISA LVLFSVGWNQIPIVGWDTPQAYILIFTGCLLIPLFNYFESQASHPLVPFKQIHLTAGI TLGFVTAASAAFGIWVWYFAQFIEVLRGWGPLLTSAGLVPILVIGVVVGFACWPFANR DFTAQLAMVSSSIAILISSALMAAAPAKQEYWANSFVSAIFMGVGMVLIIPTASTVLG RDIPEGQLGLASSLTNMAAAFAFSVGLGMAGAVEMGKKNNKNPLGGYRDAQYFGLGLG GLAFILALGLLCAAVFRRRRD FPSE_01122 GGAGGFSRGGGRGGSSFGGRGGRGGSGGFSRGGGGGGFGGGRGR GGFSRGGR FPSE_12273 MSFRGGSRGRGGSGFGGRGGGRGGFQQRDMGPPAQILEMGKFMH ACEGEMVCESINPKVPHFNAQIFLENKTAVGKVDEVLGPINQVFFTIKPTEGIQATSF KEGDKFYIGSEKLLPLDKFLPKPKPPPGAPKLKRAGGSRGGARGGRGGFGARGGGRGG FGGGRGGAG FPSE_12274 MDLPPSHSQSQPSSFPQQQSYTYSHGQDPYPEPSPAVADNNAHP YSSPQYDDEYHLSNSIHAPQPQSRQPGRFTEEWDAAQRGPSLLFDPSTNVANMQRSNS VHSFVSGDDQQLPGRQNTLKKKNSVRRNGSMKRSSSRRSMRAGSVKSLALQSSSDHDE GHSAFYCPVPTSGNPTETLSNRFQNWRKVLKDLIAYFREIQTHYEHRSKSLLKLANVS NNITTPPGFLQSAGIDDALQFLRDYNKNAILEANKAKEIEEDVILALTGLRSDLQQKI KEIKNLSGDFKNSVDKEMDHTRKAVKTLSDILGRNELDESSTTGKQDPYLLRLAVDRQ VERQLDEENYLHQAYLNLENSGRELEAIVVGEIQKAYNAYAGILKREADNSYNLIGEL REGPIAMPKDHEWMHFVTHDEKFVDPSIPLRTADQIHYPGQDHEAAQEIRAGLLERKS KYLKSYTAGWYVLSATHLHEFKTADKAQAPVMSLYLPEQKVGSHSNEGGSSNKFILKG RQTGGMHRGHTWVFRAESHDTMMAWYEDIKALTEKTAEERSQFVRSHSRSLSRSSRRS VSSDGLVDDEDEEPFTADTESFTNPGLRQHEATPRRSQAGGRFPSDIQVNKQRGLQAP HSPSSLSSGFQDNKDPAVPPIVTGGLSGPAAGESQQTRDYGTVHQTPLDEMPSHAAIA SQKAHYDGVNPYTSEPVNQSPAGPASFNQQQQYEPQYEQQHPIQLSEYVDQSHNQTYL PVMIPQGKEERNDNLANNEQLRYGDQSNNYAMEPREDQYGRDAMSGNVHQASSYPQAS YEPQPSYDQQPNYDHTNGNANGGSKINNYTLTTVGEGFPRLDGGATHGAQPSTRQAES VYTDRDSVLLQTDDEDETPMTRPSVNNRTESHNTISNLHIPGGYPKSSYAA FPSE_12275 MADFEETSSPHEEDGEQLWNDLDKCVSSKCDSHETIDDALRNWI DLTNRLRDCYHETQDEVVACARMLLASSLFRDNKDYVRTQIIYSLLQEDETGPLHAIV CLLLLDGCQDDTMFPRMVNEACFPRLLELINSRRDQGQDPRLHRLLLQLMYEMSRMER LRVDDLTMVDDEFVHYLFALIEELSDDAHDPYHYPTIRVLLVLNEQYMLASTDVKDPS APKPRLTNRIVKCLSLHGASFRTFGENIILLLNRETETSLQLLILKLLYLLFTNKATY EYFYTNDLRVLLDVIIRNLMDLPDEKISLRHTYLRVLYPLLAHTQLSQPPHYKQDEVL RVLNILRGSQNVHFAPADDTTLRLVDRVSKVKWIEEAQSPTVGSGEAEVARRFLGISL SRSQTASSVSVSDVAAVKEKPGVQTPSRSGEGADAGESEVEEGVTIAPRPKKPLPEVP KHRHGVPFTQKFVNGVQKKTPPQAPPPRRWGRMKTVERPPADPVPEQEA FPSE_12276 MGKSTLRRRNGQDATNNDNADAGDTPREESPTAEPTTHVRVVQH AVPRTRKRRNTFVFFLGSLFGIIAAGFFASSNDLIDLPEFTDLSMDNLMDVLPAGLIK DMRDLVQGERDIAESYEPFSVGEKARSEGLGVHHPMIMIPGVISTGLESWGTANISKP YFRKRLWGSWTMMRALVMDKEVWKKHVMLDKRTGLDPPDVKLRAAQGFDATDFFITGY WIWSKIFENLASIGYDPTNSFTAAYDWRLSYPNLEVRDRYFTRLKSHIEIAVATEDKK VVLASHSMGSQVLYYFLHWVQSERGGRGGPDWVERHIDAWINISGCMLGAVKDLTAVL SGEMRDTAQLNPFAIYGLEKFLSKEERAEIFRGMPGISSMLPIGGNSVWGNLTWAPDD LPGQDRSYGSLLNFRVGSNWTTPDRNFTVEEGVSYLLNTTEDWYQDQIKGSYSRGIAH SIDEVEANENDPKKWINPLETRLPLAPSLKIYCFYGVGKPTERGYFYKPPDQPSLTNL NITIDTGYTEGDVDHGVVMGEGDGTVNLLSTGYMCNHGWNMKRYNPAGVKVTVVEMPH EPDRFNPRGGPRTADHVDILGRYNLNELLLRVASGKGDTITNYVVSNIKEYASRVKIY DDEETS FPSE_12277 MATTKTENLPGELRYVQYEHALEAQYLPAIRALISKDLSEPYSI YVYRYFLCQWAHLCFMALNPVDSSLIGVIVCKLEIHASHSNPTRRGYIAMLAVASDFR GHGIATTLVKKAIDAMTKRNADEIVLETEETNVAAMRLYEQLGFLRTKKLHRYYLNGN SAYRLVLPLKYIDLDAGPNDLEIIDV FPSE_12278 MDHSDDSDFYGDEETVMDLHRRVQDFDVQGWMLQQQQSPGRPIP RKHIHADTPHLHNPYAGVHYAWQLTETVDEFLTRMPPRTTDITEDTPWIFICNPYIAR VEKSLAQNQHSKGNEDEAPEEEGSKTELVVEGGLERLEILSKFLEGVRKSRKVPAAQE REMNAERKKASDDILHLAHVAKVRAGKWMLFCPPAEVNDVWDIIAKATANNELGIAAK VAPRPADDDFRKDRLVCVYTADFADKADVGRVLQKLRELRLVEIRGRPIYYKPDAYTY IGISFGNPWGLKASIYNSSDIFQT FPSE_12279 MDDAKIVSSEVSLTLGLPPSCIQFCPAHPDLFVVGTYNLEKNED NVQEHKEDDDDDEHVTTTSKTPQSRNGSLLVFKVDGTKLHLVQTVSQPSAILDLRFHP AKDKQNILAVVSSTGTLAVFKLDSAQNSSAPLQHISTSRCEDIDEDTLFLQCNWHPEI PNVIGVTTSTSSARLLHLDEEYCIEDYTELDIANLLEAWCIAFSSGTVASADDKTQVT AYCGGDDSILRYTSCVWDPNNFESPCEEPHSPIIIKGAHDAGVTAILPLPLFTKNNGR VVVTGSYDDNLRVFIIHDLHETYGMKKVELVLEENMGGGVWRLDLVNIQKGTDSTKIR ILASCMHAGARLVDLEVKQEQDWSCKVLARFEEHKSMNYGSDFVRSDQARESLWCVST SFYDKLLCFWKYEP FPSE_05824 METNGTDVVAKMQQALEVVHNPYATSGDRRTAQDYLERVKDHDE APMQGFNLASDKSQSPVVRHYALSLLEHAIRYRWSTYTTEQTEAVRQWVLNLGQAITK EDPAYIRNKTAQLWVEVAKRCWGAEWMDMDSMLYQLWEVPDSAVHKELVMFILENLSD EVFTGDDSVVAMREGVLSKACVEIFTPTSVLVEAFPNRQPGPPVRYGDDGWLSRLSQF LGYCLNSAHNDNDEVKLCIHKGLSVLLSLMPWAIPKAISSARCVEVLCVGLASSHASI QKAALEALHALYCRTNFNDEEFRELVAPMYNRESIDLCSRLFEWSAVDPEDVDEDKYQ TLKKLSEMLSCLGDYFDRKFAKLPAEAAKDEFLGLLVQIVQHQSLMVSIPVLVTWTRL LSNRLIGPGEHVSPLIPPLLETCSSRLVRYENLPEDTQDPTFLYLMEDTDTIPERHAF LGNYRRYSSQIIEAVVQLKLVEAIPHILNRTEELLQHLYDGQPPLDKQSYFKHSMPVL RVDAQFTVIEATLKGYTKWRKHRPQDHQQHGPEMEANLETWCNKILEMTFEDPMIRKR TLQLLVYFSTTALNKNATFMLKVLEHILLTWPALEPEYRAFNDSIKDLQGESMVELQR LASEMPDHLLGVYDQIENKVNEMMSSGSLDEKRSIAYRSFLFIIIHRATGVGADAKIQ KLREFIDPVKAQWQDENVRNSLKSYGSFCELLGLDKAQAYMANHRAHEIHDWGTRELD AEGLALQSELEERLKLLPLRSTKSFLAFSVERLDKNSDAFKASYALWEDGFSNILADL LEFLSFAHACHNPDNWAGLPSEMRSTVNRILSDRFWQAGISEGSKDDFYARVMDKKNT MEGLASTIRGSVRFVRETAYAIIYCMSRLERQFYGFQGLSAPLSKALFADSVWLSTHQ QSNLLNLVRYLVDDCPVDCREHFLPQVLAACFQQMDAKINGEWDKMERQQQVAADGEA GLKEEMKAESILRQVTYTAVLMVADFLDPTKLNGPIEDGEGTADSDQAKNYPTLRRFC LTHHEIVEPLLVFCAHGIRMRDTRCCSMILRLFVSLVPEFHLVDGQLPKSVVQSPMEA HLASDKFPIPSSISSAIREYISLHVLKACITSFHEPYFVELQKDLAALIAAIVVYYSP ITSTPSDVLLSLPNVSESSLERLSTYMAKPGAHTRQQRALVLDLLKDLKGVSVSEMGK LPKSSGFDNSRGKRSNRSKMAQQFMSDPHAGQNATRAGMNVGRQSTPDGLEGVSNLFE G FPSE_05825 MNLGLGNDAEPVDLSSFTEYTEENRQNMQRLPSSQGEASGSMDF EAERIKALEYIVRHYTGLERYDRQALEKTIAGISLKLESLPESGEGEGEDEGEGGESR SANTAPTMEVNTGEANDEMNNFRCEMSSTDTEVNETGGNSHLISQEAAVLEAVSLFPP APSALVLLRVFLEFTQTNYFYFDEESLRQRLDRVYSCSSMIKQEDAPWVSVVLMVFAL GVQFTSHDQSPPRDSSRELTRDAHDICQGMDDSTTSKFYQVAMKLIPDILVVESIESV QAFLLFGLYTLPTDPAGLSYTYFGIAIKLATQLNIHLKTTSIACARDLEVRKRVWWTA YALERFLHGRPASISRFDANADLPVDMEELQPKERINTFQNTMAMLRINIFMEDARDR TMALINSDKAIQRNDFQNIVHLKDSISSYWYSLSERTFCRDLTPGKPLFRPNIHLALN YHLLHVSMGRCLITKNFNIGVSCTRDTAWLKVRASLVDDCIDSAIAIIDLCWILHDEK YLSKFSHLELSSCYAAVMALVASYAYDKNHTLRDVYEKGVKILQDVSTEFSSIGFRKH VAESLGIAFKRLDNGRKRSAEGLDENGYKQFRNWVALQEMAPQEGPATEA FPSE_05826 MTSLSDFSLLSFDVYGTLIDWETGVIDALEPLIGARKDEFNRDQ LLKVYAEAEAAQQEATPDMQYSDLLATIHPKVADKLFLPEPTAEQNEAFGNSIGSWPA FPDTVDALHRLNKHYKLVVLSNVDRESFSRSNSGPMQGVPFDLVITAQDVGSYKPDLQ NFQYLLREVRNKFGISKEKVLQTAQSQFHDHRPAKTLDIKSCWIERPGALMGNRECPI YDWKFATLGDMADALEKEIAGR FPSE_05827 MVYCGKPSRGCQMCRARRIKCDETKPTCNQCAKSRRQCPGYKDE FDLVFRNETQATERRARKANKKASAQKSGKLGSQTATRAVVIHKPAPDQSILSTLQLP VEQQATCHFLSNFVLLPAHDHTRGWMEFVVPLLKADQIRPTPHFKLAFEACALASLGN RVGPGCDFETKALGHYTRALSATFVALKDPALSKDDSTLAAVLLLGLFENISAKQLGM LAWGSHIEGAINLVKSRDKRQIKTKTGLALFVATRTQMIIHTLTTGTAPAMGVEWWIT DSVNNHFGAECQRLNIRTAELRAESNRLMATLSRSPENIEMLLDIVRRCQTLDQQHAA WANSLPEYFQYKSVAWEDNVPNGNYGLAEVFPGRIDAYQDLWVVSVWNLMRCSRIILA SLIVRCAAWVCAPVDYRTTPEYATAARTCVDNITDIISSVPYQLGWFSNRRELLERAN LSAFGCGEEDALKGLPGYFLTWPLTCVQGQDYTTDAQRAWVKGRLQFIGSHLGVRYAH VLRQLNIRVPSMLIRRDGLMANPYPGAYNFEKLLSSKTAPAMAGYTLNPIQQHDAIQK ERAHHQKHELLSKARGSMNNEERVAQGLLQL FPSE_05828 MSDVASERMGKKNVVFTKDGVKVGVKQVKTENYVDATQSWFVKA WNLGTAQDGSQALRGHCMSEIQYLNALQLKRRRDHVHS FPSE_05829 MSMPPPGEGFGGYPAQPHDQYAAQDEQPQQSYEDPAAAQQPHDQ GHKKKKRGYAAQAFEVGTGANAVAGGQPAGAAQQFGAAPAQPGYGGYQAEPQAAAPQG YQYPQGYGAQQPAAAQAPQYGYQAPDQGYPTPGAQQPAPGVGGITQGVGNMNIAGQPQ QPQSAQAPRPAVLNQLYPTDLLSQPFNVSELDLPPPPIVLPPNTSVTPSPDANCSPRY FRSTLNAVPTTNSLLKKSKLPLALVIQPYGSLHDDEDPVPVVQDQVISRCRRCRTYIN PYVAFLDQGHRWRCNMCNLTNDVPQAFDWDAAAQQSVDRWQRPELNHAVVEFVAPQEY MVRPPQPLIYLFCFDVSYAAVSTGLVATAARTILDSLDRIPNADRRTRLGFLAVDSSL HYFSVPKDTDENGETNMLVVSDLDEPFLPIPNDLLVPLTESRQSVEKFLQKLPDMFQN NQSNGSCMGSALRAAHKLISTLGGKIVVLTASLPNMGVGKLEMREDKKLLGTSKEGGL LQTANSFYKSFAVECSKSQVSIDMFLFSSQYQDVASLSNLPRYTGGQTWFYPGWHASR PEDALKFASEFSDYLSSEIGLEAVLRVRATSGLRMNTFYGNFFNRSSDLCAFPAFPRD QCYVVEVAIDENLTKNVVCLQAAVLHTTCNGERRIRVMTLALPTTTNLSDMYASADQC AITTYFSHKAVERSLSSGLDAARDALQSKVTELLQTFKKELAGGSMGGGLQFPANLRG LPLLFLGLIKNVGLRKSSQIPSDIRSAALCLLSTLPVPLLMRYIYPRLYSLHDMPDNA GVPEQETGHIALPPALNLSSERLVPYGLYLIDDGQTQFLWIGRDAVPQLVHDVFGVED RTQVQVGKGRVPELEGDFNERVRAVVQKSRDHKSLGVGSITLPHLYIVREDGEPSLKL WAQTLLVEDRADQGVSAAQWLGMLREKLVESQAWVDGRNRSDQSL FPSE_05830 MSFSDRNDSPETPESSGAGTPVNSNRASASYITNMWTGLIRRFS SEGSFPSQADTAYDDNFNYHDGNGHNTKDGINSVFSPVRRTASPMRPPPLDPLILHGY RHGTPSSAKLLSAAVAEEIRTMVPERLRIVDDWNLIYSLEQDGASLATLYQRCRQFEG KRAGFVLVVKDLEGGVFGAYLSEYPHPAHTYFGNGECFLWRASTITPLPPPPSADTTN LNSRNTTLAPPPPSSETNTPTHSRPPSPTPSEAVRFKAFPYSGLNDFCINCETGFLSV GSGGGHYGLWLDNGLEVGHSSRCETYGNEPLSDEGTKFGVIGVELWVMGV FPSE_05831 MTSTDPATEQASKIPILLLKTRSSPGDSYEDLFSEASANGTGFA PQFVPVLLHQFHGDGMKEVAALLRERRIGNQKHHEYGGLIFTSQRAVEAFVKLVEDGK ADATTDPSETTSWPHLQNVPVYSVGPATTRALAAVPQDPPLQVFGSHTGNGAALAPYI LAHYYEWYRDQARPTLPPLLFLVGETRRDIIPKTLQDGALPDTERIRVNETVVYGTGV MESFPVDLRRVLGETRDDPVRWIVVFSPTGCDSMLRVMGILDAETNKVYKGYKRDGKT FIATIGPTTRDHLQTFGFEPDVCAESPTPQGVLDGIQEFMSKTRQS FPSE_05832 MAPSAIDEFAIKAPEQKTYPPAQIFPVKETRFENYIEPSKDGRE RALKHSESSAIVIDNGSSTVRAGWSFESKPRFSIPPIMAKYRDRKLGKTFSFAGSDCY ADTTARGHIRSAFEAGTGIVSNWDVMEHVLDYIFLKLGMNDADGAIEVPIVMTEAVAN LPYSRKSMTEIIFECYGAPSLAYGIDSLFSYRHNKGKTGLVVSSSYTSTHVIPVYNNK ALLGQATRLNWGGYHNAEYLLKLLRLKYPAFTGKTNVSQAEHMLRDHGYVSQDYDDEL ASYLEWKGLEDRDIVMQYPYTEIVIEQKTEEELAKIAERKKESGRRLQQQAAKMRLEK LMKKEQDLEYYKNLQKNITDVTKKELRRQLDSNDIKDENQLEKIIKDLEKAVRKARTK DVGGDPEEEQEQPNFDLLDIPDDQLDDAQIKQKRQQRLLKSNHEARARAKAEKEAEKA RVVEEERADTERRENDLENWLEEKRQRRAETLQKMKERERLKQDLGNRKSLASQIRMK SIANLASDAPTKKRRRGGDDDNFGADDDDWGVYRQIAVGDNSDDEHEEEDLNSALKSL EEDLLRYDPDFDYEHTHEAQSDWSKSLLHAFARGPRPFDPSSQAELNQIHLNVERIRV PEVVFRPSIAGVDQSGIVDIAGDILNQRLGNVPNRDDFLRDVFLTGGNTLFKNFDDRV RDGLRSLLPADSPLTVRRAEDALLDAWKGAAGWVGTPAWKTAKISREEYQEKGPEYIK EHDMGNSYA FPSE_05833 MVGLLWEIAESPHEHQQNLLLSRIITNVEKLNEAVMVMNKSLQD INIQNMNVELVAQMFKNYQSNVLFHLEATDNLKPPS FPSE_05834 MAAPTEAQIAHQQLIEKLDIHSIHKNFRSPSWKPNQRRNKNLKA IVGDASRREASALGTPMDASGIVTPADNDGLSTSGTSTPATESGKEPPNLAQASRSLS KLVLEKSLKPGGPSAPTATYTNIESAPSLAHSKHYCDVTGLPAPYLDPKTRLRYHNRE VFGLIRTLPQSATEQILEARGAHTVLK FPSE_05835 MSMWLTDTQKIGVVFCSGGGFFLIGGVMLFFDRSMLAMGNILFL IGLTIIIGPQKTLLFFARKQKAKGTAAFFLGLILIFMKWTFIGFLVEAYGIVVLFGDF LGTIAGFARGLPVVGPYIGMIVDRIGLGRRNAELPV FPSE_05836 MSWQAYIDSSLVGTGHIDKGAIISAAGDSAWAASPDLQLKPEEM KAISAIVSGDDKAKDKAFAEGLYIAGERYVMARADGRSIYARSGRSGVAVAKTTQAIV VGHHGEAQIAGNATSTVEGLADYLIKSNY FPSE_05837 MPNATNLSRAATVSAASSSQRQLLQRRGSFNVDRSESKSFSNHS FDNKRVSRDDLALVLKSSRKGNVTAFHIPIHGRLPSPDLSPRTSSLSTTTLVRTSTPD SEGDTGEVGVIAVGMAIGSPSHMGNSVPAPWNPQNRAMNAAVDTPESIQEPEPKPVSE PAEESDHKHRKWGIFRSKSKRGTRPEKPQRSLTDNNASTTSLTSSGLPSSTFGEPSSR RPPKHKPIVIRSNTEPAISQPAQVIEESPLPTQTPSQATFSPSKLGKEKITPEVKGTK EAKSKEPGSGGMGRKMSLRAFRGDSSKKRAPRVVVPPSSPPPMPAIPRSLLDVQIPDV KMDRYSVMFNSVLQPQQGSASSLLARRQANLDNLKMVKDAITENRDIRRPRRATSPQP SPNFVPTGDQMKPLPSPRFRANTSPAPYDSASFVQTPEILTHEAKAKVVTLSRTETQH DKFASQAAHYQPVLVSKFNRRPSGAATERISLVPKIHPSPPRAVISPEAFSKQNSPYT PGSGAVPVSPEHTDDEKDAHAAKGRNTSPSQASWQMASPPASVTSSTAEASRRFSPSS SLSSPKKKSSETDAQEALRNAVEISIARQISVSQQQRKMLHPLKSNPSVRHRRNGSPA TSGPGYIPIEEGERLAETRSSTPVLVNPRELTHSPDAYQTHRKSEMVILEES FPSE_05838 MKLHYIGVLRNESQPAHEIVAEKELSSYSRFTRNNYGEFMTLFA KTVAERTRPGQRQDVEEQDYTFHAYGRTEGVCGIIISDHQYPALVAHQLLSKVVDEFL SKNPRSSWATGTPTLAMPELKEYLTKYQDPQQADSILKIQKELDETKIVLHKTIESVL QRGEKIDDLVAKSDGLSAQSKMFYQQAKKQNSCCILM FPSE_05839 MASEQLSAAEAQWREQFAAMQEAIANLKIPQENGAADDGLDEDE FGGYSSGNSGQDVWDFISDDDQEALSSDFADGETFDAPGAADYGAEWFATKCSAIAAK NGLSADVFESQIMSVLNSGQSDDELQIQLTDLVGFDDLDFIIEILGHRDEIVSAVNEQ GQGSSSEPRLLNKAQREENLRRQDQAHKSATLAPAHSKEPQYPHVYKAYNAGNTLSYS GKKYGLPVGSERLSFDKYEEYSIPAGKKGVLGPGQRLIPIKELNGLCRNTFKGYKTLN RMQSLVYPVAHKTSENMLICAPTGAGKTDAAMLTILQTIAQNVEPNPFENPAATEFAV NADDFKIVYVAPMKALAAEVTDKLGKRLAWLGVKCREYTGDMQLTKSEIIQTQIIVTT PEKWDVVTRKGTGDTELVQKVRLLIIDEVHMLHDERGAVLESLVARTERQVESTQSLI RIVGLSATLPNYVDVADFLKVNKYAGLFYFDASFRPVPLEQHFIGVKGKAGTKQSRDN LDQVAFDKVKEMLERDHQVMVFVHSRRDTQLTARMLHQKAIDAMCADLLDPTYHPGFE QASRDIKQSKSKEIRELLSKGIGVHHAGMARSDRNLMERLFGEGVLKVLCCTATLAWG VNLPAAAVVIKGTQVYSAQDGKFVDLGILDVLQIFGRAGRPQFEDTGIGMICTTHDKL THYLTAVTEQQPIESKFSTKLVDNLNAEIALGTVTSIPDAVQWIGYSYLFVRMQRSPM SYGIEWSEIRDDPNLVQRRRQLAIQAAKTLQQCQMIIYNERTEELRSKDIGRIASQYY ILHTSVQVFNAMMQPQATEADILKMISMSGEFDNIQSRDSEEKELTHLRREVIPCDVD GGIDTPQAKTNILLQSYISKAQPEDFALSNDMNYVAQQSGRICRALFMLALNRRWGHQ CLVLLTLAKSIEKRIWPYQHPLHQFDLAKSVLNQLDTKEHLTIETMKDMEAAEIGGLI HNQSAGKNIAKILNNFPTVHVEAEIAPLNRDVLRIKLYVIPDFRWHDQIHGTSESFYI WVENSETSEIYHHEYFILNRRKLHDDHELNFTIPLSDPLPSQIYVRAVSDRWLGAETV TPVSFQHLIRPDTESVYTELLNLQPLPISALKNPALEELYAKRFDFFNPMQTQIFHTL YHTPANVLLGSPTGSGKTVAAELAMWWAFRERPKSKVVYIAPMKALVRERVKDWGVRL ARPLGLKLVELTGDNTPDTRTIQDADIIITTPEKWDGISRSWQTRGYVRQVSLVIIDE IHLLAGDRGPILEIIVSRMNYIASSTKNAVRLLGMSTACANATDLGNWLGVKEGLFNF KHSVRPVPLELYIDGFPEVRGFCPLMQSMNRPTFLAVKNHSPDKPVIVFVPSRRQTRL TAKDLINFCGMEDNPRRFLHMDEDDLQLNLARVKDDALKEAINFGIGLHHAGLVESDR QLAEELFLNNKIQILVATSTLAWGVNLPAHLVVVKGTQFFDAKIEGYKDMDLTDVLQM LGRAGRPQFDNSGVARIFTKDSKKDFYKHFLHTGFPVESSLHTVLDNHLCAEVSSETI ITKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNSIAAQQLANDYMIEMVNKSLNE LADSKCVEVFPNGDVDPTPLGKIMSYYYLSHKTIRHLVKHAKAQASFLDVLSWMSRAT EYDELPVRHNEDLINNTLSDNLPFPGHAFGLPMWDPHVKAFLLLQAHMSQIELPITDY VGDQTSVLDQAIRIVQASIDVLTELGYLSSCLQMMAVLQSVKSARWPTDAPVSILPNI EPDVKNDTPLSKISALTKPQAVQLAKKLGVPASQHNHFTRVVSILPNVEVSIAEATAL SITISLKRLNQLVEREARIYAPKFPKPQTESWFVVVADLSRDEVIAVKRVGWTSGNRK LETGSKPTAKTSIKLPPAEAGQARKLDVLVISDAYPGLEYRVEGVDIPAPPSVDDAVL SKKTAASGSN FPSE_05840 MDDVPRLLSLPFELRDMIWTYCATTAESKGLLICCHQTRDEFTG HCILTEDVERLQTLRIWLDSTYDDGIWLKFDYTWTKDGHYHHAVNKIILDLQAPRRGY FVGALFMMLAKVNDVYCFISNMMRDIIMEQPDPDLGHVEINFMTESRQPGQTANEAKN FWECRSPKVLQESIRNHPWEFPRPPVINYMVWPRKHVHRHEPFTTETVQNLRKFCYKM QSKTVPKEIIWKQAAHRFPLDRCNLQQQIAVWSTDSMTRARWNGKRNKFDKIPLTIEQ HWKHIYYDRENLMCRFQFWLDNLPRPTGGPMDMLRLHRFRTMDKCGAGIFNRQNQSYP KSGGPYGASTEINRRLATLFDPFAAAHIIEMRDSCPHFRAVKWATASLSEHTKGMSNQ AWLKFYPEGIRYYWDRRNLIEWRLHWTTRNYSLLEKFENSLHGCNNVLLHQWWECVAS YQDSWAVLNDLALPVEREALQWAIKSFPSHPADIERPVLVRRDRDEYIVGIPVTG FPSE_12300 MRFTATAATLAMATTVSAHAQVYSLWVNGKDLGDGRNTYIRSPE NNNPVKDLASSAIVCNVNGGKAAPKFATAAAGDEVAFEWYHNTRGDDIIDGSHKGPVI TYIAPYTETDGTGAIWTKIAENGLEGGQWAVDKLIKDKGKATFTLPSSLKAGKYIVRQ EIIAGHEANVAFKDNSARGAQFYPSCAQVEVTGSGTAVPDENFDFQTGYTYTDKGIVF DVYNAQSYSFPGPKVWQGTSSGSGSGSGSGSGSAAPAPTAAETQAPAPTPTFSTVVKP SEEAAAPTQAPSTPVTPPKTGCASRRRARRAARMAL FPSE_12301 MDTPKPNNTEPMRTRENSATSTHHRQVVAETLSGNTPTASTETL GRNRANADGIVTGGTKLTKPRFETNDQDSRMSNWLWNVDRPWEGLKQSNSPDSKIVQD KTENNVMAQDKQGAMTPSRK FPSE_12302 MNPPSDPRRVYPDATRRSRTTTTQRRSQHVHQTQLLASASREIS QLPQSLPQMQLPITNSRTYPVQGYQDTSTYQYAQCSPQQYDEHIDTHNRVTLPGDNHL SDDAYRRTANFVDSGQYTGTRYAPYADDAEQHGVSSAARTITHFERQFSHGINNNTTI QDVQQRGTTWHYPQRIDPNSRDYLPTVEYTSRNSKSSRTRRWCVHQSKWETSRRHFTV VSQLLVAIGQGECAVRAHRDGLLTKRVVWRLFDILGSGYASWVRVLKIYSLLELRLGM EATKPGKGCANTAVWRVSHHFRQS FPSE_12303 MHPDSEPHSLTTECIACSQLCVWEEKDWVCTSCRRVYRRILVDN ESHDLGDTPSTARDDTYGSDDELAAGDHPTTMVTEEGTPSDCRTFDNSGIEWLGYSQN ESGAMVSPSRVDTGQGICESGVENCNARQDGQGQDPFTSDPDEDVDPMDVDGNDEDVY RGPVGSWVAERIIIGTGERSTAAIEHIIQLVDLAFLRGAHLTTPAADDRLELSDDTEM DQ FPSE_12304 MIPVELDGRTGEGGGQVVRVAVAIAALTGQAVTITNVRGNRDRG GGLKSQHVTSIQYLAEITNADVDGLSVGSKTVTFAPRRTPTELYQRNIKISAESGSAS TLLILQAIFPFLIFAGNDSEEPVELQISGGTNVSFSLSFEYLDQILLPTLEERFGIQV ERALEHRGWSIGPQSRGQISLKFHPLKIGQSLRYKAPEQRKYPESYEIKAVDVSMVVP GNVHEKLQASLAKDLEELFPSTEICFKLTEDTNLDSRWYILLVARSTSGLRWGHDWLG SLPKKTKNRDRFTDQVSKQLCRGLWKEVEVGGQVDVHLQDQVVVFQALCEGYSSFPWG DGPGESSSRVVIDDMGNLDIGDDRMRKEKTQEPFGYGSLHTQTARWVASEMLPNVEFY NKGDLVKGAGISMK FPSE_12305 MVQRIPLRAVVGLLSVAVAMIALFGASPLKAFSSTLQRAGVKWY STEKNSTVLPAASTIKTPVYFFSHGGPDVQYNTKHPVYPILQQIGKEITHQVKPKAVV VFSAHWMGEEDTIHINNAISADLIYDFYGFPDHFYKAEYPNKGSPELASKIMVMLSEA GIQSYGMKRGLDHGVFSGFHAAFNPKTNPLNVPLVQVSLFKSEDPHAHYALGRAVSAL REEGIVIIGAGMSVHNLRDMHHMFEGNTEPLPYVVSFDNALKEAVEAEPDVREKNMAA LCKRGDAKQAHPFMDHLMPVFIAAGAAGEDRGKQTWTLHEGSFGWSQFRFGDVPSS FPSE_12306 MAPLILHNVPDDECYVGDDGIKRPYAMYFNQQDAPQGTTRSRRS AAESGSFGKSTRRSRSRTGTPARSRENPTLAAADKLFGDWVSNQAAAPAQTVQRKSSG MMQEEAATQRPVTSTPVELILRGYRSYTQQYAAISHYESLAGAILEDYPREPPASQRR YKSELRDPAFTRRRNLTADERALVNRADGGDHWVKVTFESREAAEAATFASPQRILGH LVYAEPYRGVPPAKDEACPDIEVVPDHPRSQSMPTVPTTNGRKNFSGPTSFNSRLLDL SPPHSQTSSLTMDTGTISNSHASSATITEPLPLPKATTSSIEPMEIRDEDSIFCRRIP TARRATILPAEQALLPQQTMMQRVINAIPFIKWFGGSMIGNEVPRTETGEFDWSKASL YWKLIWWLDAWIGLFGGDVLNADKDD FPSE_12307 MTSEASRPVSPTPSQLPPVPGSPVYSLASTTNPLSQFNLPLPPP PRSSHAVLTKADLENSQQAYSDLVASAKSYRLALAALSTAASTFGSALEACARLKEAR AEAIGPFGSTNMTASFSTKGSCTADMLMSASGVHHLVANHQQILSETVYRSFEVPLLH DLDKWQTVIDDEEETYKHNIKAQSREIKRLEKEGMKLHKQRRRDVGRFRAHLVDLTTK LDGLTTLHADHARTLLRESQETSGRIVDASCSLVRAEVDIFESLARKGWSGGGLDDLL EKGQDLFATEEDSANMSGGGGDTPKLFSILPPKSILADTGSELSRSHARGDSLLMDTE RYQSLAALASDTRAGGGGGDTDSVFSADFNRPRNARPFSPQPIRRIPTDVTYESLVGR AEGLENDDYELSRSELLLPVAESDVETEEMQNGHGQDKNCEQDIAVEQEEETRGRSVS PASTEKRPKSPGLITDASSAAAWSGDEDKSPC FPSE_12308 MSSGRIAPLVFPAASQHTATVIFVHGLGDTGHGWASAVENWRRR QKMDEVKFILPHAPQIPISVNMGMRMPGWFDIKQLGGDVDSLIRNEDTEGIKLSQKYF HDLIQQEIDSGIASERIVLGGFSQGGAMSLLAGLTCTSKLGGILGLSSWLLLSKTFAE MVKPTDANRQTPVMMFHGEEDPIVPCERGKLSAELLKGLGYDVAWKAYPGMGHSAVPE ELDEVEAFLRKQLAPKN FPSE_12309 MSSEELTLPPLPAVSWDEQSQTFTNNPRKRVRNAGSKHAPSSGF NNSSDPAIFSSDDDPALDNYVEGRRKKRYIGTWFQQHPTSSDSTFSEIHVSKQRRPWT RQADSGVFLGSDGNESEDMLEPVPENPKPRLPQLDRVVRRVSRAEQIARDKVRTCLET GEETVDFWSMGLEDVSNDTISPLSQFTCIPVVTKDVAFEQKDPELKIYMAQNRLTRVP GAIFDLTYLTILSLRHNKLTELPPGIGKLQHLKELNVSQNRLRHLPVELLDLFVSSSK LDTLVVHPNPFWQPKRALEQTEEKSDNVLFSHTESEPSSVPLLVSRVLGRSPSQLSDT TGRVVSDFKFPTDHRKLVLPVDEGEPEFSPSASVSSMTESQRLQGASRVPSLLETALR ACYSTTQLPEMAFYIPEGLNHLRRLLERAHRQKEAGGLTCARCRKMMVIPTVEWVEWR EVRTCKKVSHENSSALRVTPLSMAEGERTVPFIHRGCSWRCGPGELEKSSWGLPRGNL VPVTDEGNQQA FPSE_12310 MSNPMEQRINVPIDDPNADTEWNDILRKHGVIPEKPPSPTPMIE EAILEGRRLAHENRLEGKDLDELDELEDDEDEAFLEQYRQKRMAELNSLQQKALHGTV YPLSKPEYQREVTDASRNGPVFVNLTSAMGTNVESRVLTELWRQAAREYGEIKFCEIR ASQAIENYPDRNCPTILVYNKGDIVKQIVTLATIGGVRTGMQQVDNLLVGVGAVPDSD MRVVKRRQAAEDAAEDRLAGKTIKTGTAGKSRNVDSDDDDWD FPSE_12311 MVLAVDLLNPSPAAEAKKHKLKTLVPAPRSFFMDVKCPGCFTIT TVFSHAQTVVICQGCTTVLCQPTGGKARLTEGCSFRRK FPSE_12312 MSTPRTKRQFAGASADPSQRQITSFFNARTSAESAAAEAEKPLQ PALPSTVQANLLSVGMRVRKSVPEGYKTVGTSAFKLWTDNAPVNTTKITARAPTKAAS RELLPFCGINRVGGLDTQPEFEREDDVPDIDAIPELTMSQESNESAESYDGSRKRIFD EEEDELVESRSMDVQSNSRIMAIPVSRKGAGLRGFVSNNQNSGSDFEEADFLVNPEMD MTN FPSE_12313 MATKREFEDVDEGDALKPKPKRSKKHGGKGRQHQNSGIDPTWGQ KYVFADNEHATTIPAGEESDFEDDADAMAYLNSVRQQAAGIPHLLVAPKVQIGPQLPA ELRGDDQDDGEGPIDRTIYSDGVGDSRGWYEDGAYMAMPDNADDEGNYNEGEYPEDDD DDCDEEAAIHEAYFASVMDQYHHLRTILHANPPQNARSRLAKAQLKTEASADNQTSAI ATWSRLLRDTDPHPLQIAHMSKDTTLRILRIMLGGKFLRRGYTLPERTSRWIWALLAR LPDRGELNYAEIGWIRDLGRRAVLLGRSLSEMAALREELAEGGLGTHEAVDRSSSDED IMADPEDLEIEGAVSAEEDEEVSTPPEAEQKAENPPKAAEPTTTPPPKPDAEEGEIDE EEDVAMDTASDSDAEEGEVAAPEPEESLKAAKERLLAQINHRAASEDDEEAKEAAKQR LRANLRATLNMILTVAGEFYGQRDLLEFREPFVGM FPSE_12314 MMSTALVRQSASRPSRYCKRTLSVTFSARPAIQWRSHSSYNHFV GHTKLAAADPNADFLPFMCKDVKPFTLAVKDNIATSEFPTQCASHILSAHPSPFEATV VRQLRKRGATVVGKTNMDEFGMGSHSSNSVHGAVRNPLAKDGNVSAGGSSGGSAVAVR LGDADVALGTDTGGSIRLPAAYTGTVGYKPSYGMISRFGVVPYANSLDTVGFMANDVK PIHDLVFKTKMHEEHDSEDPTSLPSTSRRRCAETIPSALPDLSKLNIGVPLEYNIDEL DPSIRAAWVSAASSMEAQGATLVPISLPSTREALCAYYVLAPAEASSNLAKYDGVRYG KRGEGSDAVGETLYSDTRGEGFGEEVRRRILLGTYSLSSEAIDNYFIQAQKVRRMVQQ DFDRVFRLDNPLYEPEQFDLSDMAEATGMEDKTGPVQVDFILCPTAPTFPPRLEEIEE QSSVDAYMNDVFTVPASLAGLPAVSIPAKVEGSQFPAGLQVIGQYWDDQRVLQLAEKL KFMVV FPSE_12315 MSRDKDTVHADARRFLDERGSNVSVAPNGLNPATIMEKAVRDRI VDSIYYKMQCFACNEADIVDRVVEDVKFIGGTYGTTQVPSPFLCLAFKLLELSPSDAV LLEYLKFGGEAFKYLRALACFYFRLTRQAKNVYEMLEPFLEDRRKLRRRGREGVKLSY MDEFVDDLLTKERVCGTSLWKMPKREVLEDLEILEPRVSPLGDLEDLLEEEDEAEKEN GTREESGEISEGDVMEVDRDERRRSPSRSRSNSRSRSRSRSRSP FPSE_12316 MGRMLLTSSQVQVIASCFVVVSCTVALFVSGYIIQQRTVSQLRS AIKPRAETRPSPKVYLPEKFQARTKELEDGRVIDIDTEADIEVRRQRLLVEIKESKPI VDIEGDATLQRNIEIIKQLQAKVVDKMSTPEGHVEAPVANHKPVSKAKRRKMIKQELQ KSAQAEDGLYYQRRMW FPSE_12317 MPAFQSKKFRSAADMNSIGMRYRNLMNKHPFLMFGLPFLTVIVA GSFVLTPATAVRYERYDRKVRQMTKDEELNVRRSARKVDMKEEYYRLAGKDLDDWEQK RVKRLPGENDGLL FPSE_12318 MGSASLLGDVQTETRFLRPLLHGTWGPEHMLMDDRGVEQAKMLQ NQGKGSIETSIITRFLGRSCNNQVYGSSQDWEIKQGDARS FPSE_12319 MHVLHGFSQRRKSSRPRDLHIRKVSFSGSSLSSGCSLSSSSSST ISALSTPAARTPTASRPEMDPLASHPAFHAPPRLYERPFKRMDNHEPVFYGTEEAVID EEEFVEQDVAAQQPTEMALPPHASPMDAADEQSNEPKDYFFTTLSARPPMPKSRWSES TIQSIQTFDDEEEDDSEVTQSEDSEDEGDNVSVLEMRRLSRHASALKPASINATYTAR PGLAPKRPPMRSLDSVDNFIRRGGWKRRGIVFHKEDLENARSELDRNSF FPSE_12320 MDDDFTTWLNDERRCTADAPVYDASLYTATCNRQFQSIEVIHGT FQAAGKLHGDLRHPQPPPVSSTGCDTGPHGVERHSFQFIAQLGSTQPELAVSCINSNL DKRQNWSFHQKPTNTYNSIFQVHRHSRAVRFVSLQLSTHHVTSP FPSE_12321 MDHGRDPCPYVILNDFGGAFCMGAIGGTIWHGIKGFRNSPYGER RIGAITAIKMRAPVLGGNFGVWGGLFSTFDCAVKGVRQKEDPYNAIIAGFFTGGSLAI RGGYKAARNGAIGCAVLLAVIEGVGIGFSKMLAGSTKLEAPQPPPQEATL FPSE_12322 MAEFGLQQEMKPRVKPRLVIHGGAGNIHPDTYPPEKFQAYRKAL VEIVARTDQYMRRQDEGVSEKSPRKFPSALETATYAVRLLENSPLFNSGHGAVFTRDG FNELEASVMVSRGHKKQGVGVMGLRHVKNPILLARAMLEHGEDDLEPRPQDVSSGRLN IPSAQGHVQLHGEAAEQLAGQYGLELVDQSYFYTQHRWDEHIRGLEREQSGQGQATWS VDEYVSQGTCGAVALDQDGVICAATSTGGLTNKLTGRIGDTPTIGAGFWAEEWTEDGD PTGPSTWDRLKCSMSQSGPCLVLSNAFRGAMADFLPTPAMYTPFGAGTYTTRSFGGSG TGNGDSFLRTNALRTVAAIARWKPESGAKAVSRVAGQGGELQRNAGDRWQRTGEGQGG IIGIESVVVRDASGRVVETRSDILMDFNCGGMFRAWIDGKGKPQVSIFSNEP FPSE_12323 MDENIGPRPSGHASMPPTANSSLLANFLPYEPISRATSPGIPYP KTGADEDDKKRYRARTFAYFSQLPFEVEEEAQRDAALQGILKQLYIAVRAEDFSPGAL HWTKELQGWLTLKFEMTREQRAKLAKLYYSLALAPGLDATASDRFLRMVLSLTRKNHY LKPGEDLVLEWRPLWNEIKAWVLPSEVPAHQSNRKRSAKQLLKLCTHAHTYFDPSERR AMLEEFLPFFSVNELPNAFIVAGVLNALLPSHPAPINEPQSQPADIFPTLFHLWSIIN RSKAFDIFFIDLLSRIARDHIACSYVPFGSHGIFSKDQSDLIFTAILRLTQIPVGQAN SPYTPLDYLSGAGIYVEKDKKKYPVAYMISRLIVSSLSPACLKNEDSIISHLEGFMES IDTFFHPSNQGSWTTMLGQLTLYLTEAFVSRWNREQSGELDLPEERKINDELKRRFVM ALKEVTFMGLFSKSSRVSYYYYSALQGLAYLEPDLVLPGALQRFYPSLQGLVEVHRTT SSLNGLQMIANVMSKNKGYRCHITALLALALPGIDANDLNKTQYTLNFIQSVAYSIPM VPLVKEGSHIHDTALAMEWVQGQMDRMEREGQNVKFDYKNELSDEDEANILRSSTTGF GEFILTLLGKVFTLLENLPDANQVRGGTPEDNVINALPAALSPIFASLSPELFDMALD KVATFVSSHVVHQARDAMAWILNALCKVNPEKTLKVFIPMLVVNIRNEIDYNNAASDR SSGTDYLPRDRALVWYVSMLAMAVVHVGSEVLKYRDELLGIADYMQEKCRGLPTILIS NYIHHLLLNLTHTYPIDHALYEPEVIQRGLDVDDWGKTTAPADLSIRWHQPSPAEIDF AVELFASQTKSAKDQLELLMSDNPPVSRTGKNKEWSDEVSRLMQQIRLVTSGMATMFD PERAAGIMTGNTEDDHDVAREDDDEMMIDEDPLAEVAEDEELRPQFRYKAGYALKFSD PAYSRIHDLREELGHLLTKTHSFLNENQEDDVNSFTALYAAYRTWITDVGIERSAHPL ERHVRLYKSDIAAFKIKGLRKVYPRPLLIKRAEAYQLLRRKHNASSRQKSELDKRLLL DLAESSLSLYADVRRVAQSAQDSSLKSLIGSKPLVIPIILERLRKALDANDHDRIKGG MYTLLFTSLLRTLVKDWRFAPEAMRLYIETAGIDKPSIQNLGSSALYTLIDFGKPFER MIIVNDELVDTIKPAADVSAAIESRHQFILQRRTRVEKSKASLGLELTQRAKGAHWKI ATRCAVFATNLCLRFHTVAPPEFIDLVAQGTNDPHPSLRGYYLSAFTSVFTAVDMRAV YGHDYRNYLLEKEVGDRNRVQVAIEKGDAEFTHNFLEAFKQPEGASYMVDADHPGWLV WGKKFTAYRAKPLPFNAYDEVESALRDQMGQILNRDWLSECFSYLKQEPRDTTTDRFR MSNVYLLMHVFDLMHYGKTAITLDDVKGLVKEVFGDGNDKHQHRATSEIIGALLAGSS DDPPEIRNLVWEYAAPFMLKIFADDLTPDNLQYWLTCLHLVLDSKDPRRSHEIVDTLR AFRLDMTSNAAFKESSKVQLLEFIVADGGWHFRHDQPILDDFLAHIDHPYKAVREAIG RVLSVIYKTRYHESFENVSKLLEQNKAASTTGIRPYQPTEEFAATIKDVFSRLEKWRH ERTPGQQTPSSYTSGSKTVLMWLDCTLSSHECIQLVPFFPTPFMEELLHMMDVKEDPE LMRLAYHVYRHLPNIPFRDGEDAEFIDALIRIGKTSTSWHQRLRALVNMQVIYFRRIF LTRSAQREALFTAVSDMLGDPQLEVRSCASTTLAGMIRCSPRRIRDPTIAHLKARFED ELERNPMPKRNRHLAGTDTPVDIHKQITRRHAAILGLGALIEAFPYATPPPEWMPEVL AMLARKAAADPGVVGKATKTILSEFKKTRQDSWTVDQKYFTSEQLEDLEGVLWKSYFA FPSE_12324 MFLHAGASLHGHEYSTSRPSTQGHQGPLPFLRSALRRQSDGDRT ARPMSAVITSSSSPSPQPRPVSYHEKIASITTVTPIRRTSRPRPVSEYMPRRDLSVRF RDPETDDELPSSEVQSEDEGSAACSDVSDLSDSSTTPRRRRRKRTFRKSTQFLLAHPA PRPGVRQRRLVQRPRLLLQLQELGEKRPIPAFDVVPSSLITGSQIVPRMAKRCPHLFR TKPALGANDLLIVRSEDYGTPASPGSLDAEDSLDQRDVVAVVSPLPQMGDESAEIVME DGSTWESSLMSNGSYEFIGVDERGRISTARWVKKTSTPTSPMPRGNGEPTPPSSPLPA EVKWTFSMIHPDTRRHPIMGSLMSNTLDVFDTYNTMSTSSGRYPPTRNTPIDCKLASD WIVSNPPEIQSRLTKVVPDDIKLLMVATASWVNLRQSEWSASGICRGPSTSQKRTLSN GSQQRAQTFPVRPSLPLLNPSHQLPRINTSPASSSLDSSSSDMPSRRKSVSNNAGFVK RFVVPRASEDGRPPLESLDIKSDRPPTRRVSISMRNFADKIFRRRSNCHAQVEDAFHP K FPSE_12325 MDACMHDLPILVKSCRPVGLSSQTNNILMTVGSPLIALQQVRTY IQLPTSRTPLTTYIGMLDFVDVAQQGELG FPSE_12326 MTAGYKFLTLLAKGQIEKSPEHSEILRHLQKRNETADFSRLIQP HKKGPSKQWRNPPLLTKVSAPGEFPKYEPTVRPLPKTAFVGERKVPVFGHTAELMSFL RIKKPQPENLSRSLGAKTARFRETIHTTKRVDTELFSAAASEDLWDGIMHRLLHANGD TVGERRDGPLESFYFSTTLTKAWWEMKLLRINEDWMARSEAQSKLVEQERTLTQEEKQ SGVGPTDPKVAKENLHQILAEYRRKQTELERETEENGTNPFQDPFWSPRWLKKVEKLE IEELEQNGKRQGRQNKKIREFFGEDEHAESRRRNFHEKW FPSE_12327 MGDWARGWTRVGQTGPIQHFGRIWEAERELEELSIIDSWNEDDS WPSINKPLFDGPDAKRFDTKLVKLDDLGYGAFGRVEKVSYGTVCLARKRITRRRGFTI DDLRKESLTMQKLDHRHVVKLIATYAPRTHELCLLIWPAAICNLSTLLEDIEFLRLGE GDREDIIERLDALDIKDLSAIEPSSEDQLLHSTTKCPLEFLRNTIGCIARAMAYCHQN DVRHLDIKPSNILLKADRVYLADFGVSRDVSGQDQTMTEGVPGTERWRAPELYADNGS SMQLSDIYSLGLVFLNIATVLYNVRLADFDAALKYPSRNTQEEQLYEREKKLSAHLEK LTSHALVTPPFMFTYEGQETVRPRPLVNLVARMITPNPKNRLHAYKIDEKLSMLGGIH QIYHGECCKRPISWVEDKWDKKLTTLTSLRKENDRLKQKINELEGRDRTYELRLEHER KGHEQAVATLQKKLKDMEDKFLVLEGGASHRKSSIGRAPGKTAMPRPCRTSTVSLNSY PGLESSPKSKSTPVTPAARPALQPWSRSSQRLPLEQKASPLQRQAVSPRPPNDTTPRG SIEFPTSRSPSFTSMVGYTLRSRGSGSKLPLPVTPSRSETPHLNRDQSSTDSSMSSSV FSRHSLETISTPLQGSPALDRNPLPMDSEKVPSWGQLPKQARPRQRDSRVATPEPPPL SLEPSSPAFSIPSAMSSPRTLRSEIVSTDGDQTRRPSVPSLQSLKSWAEVANGRERTL KLITRPRAYSNRSQRPLEEGLFESTGMLQ FPSE_12328 MLEAPQTEPESLTERKRPRVSEDNEEDTGKKRSRGRPRLDTRDE TAQDRRRTQIRLAQRAYRNRKDTAITTLEDKVKDLEDANENMSKEFMNFFDFVLSQGM LQGAPEVARRLNDTTRKFLSLTRKSAEDSSRDESGGAPAPNPMHGEDATQSPERRISA HSLNTSSSPSDNLSVSNPSVPRMIPQDKQQTPPQRVDGQVRQQATPPLNLPFEIITMP TTDNASFPVYDTQTPINLEQNPFLQSPFPSVPSPPSYSSQERSFGRRLQRASLEAGLR LASMTNPPPHRYAQVFGFCLLFEPKESIVNRMSTTLSKISQESLFVWRYPFTNLGGAG TFFPDNEGAGGYSSTGPNGNRLPLGNQGLVQSMKPPEMTGFAMGPFGPDVEITRDRVD ERMRMMFKGFEGDFFDADEVETYLRQKGIVIPANVDFIDAEIDIGSLGESPDLSNFGV NNNSFFGAPQASDTNQGLYIPPQQSARDVPGMWQSPVSTSVASATSSMTAASLMAPTT GPDMASFMPQLGPTNGEQYGQGLASFMETSYLPREWTTNPSWMKTKVTVDVNRLVAEM TSMAVCLGRTPAVRPQDIDKAVKLAIVLPQS FPSE_12329 MRDQEQSPNAAAAAAARCHIQAHDAEIAGRNIVTRSSESPTVTY PSGPVGDYFKLRQNLVAREKALDFDNDCRVRSTPQERRADAIIQKMRRNDEETVYAQA APRTGYGGQQHPRFPGDHFLSNKDLIDQTSLFKVAQRMPKGAHLHIHFNACLAPQVLL NLAKEMDRMFITSDVPLVSDNNHINFDRCEIQFSLLSPEKESPGDLFSQAYQPRQTMR FKDFLNKFPGYYTKDSTSVDKWLFEKLMFDEEETHNHLQTASGAWEKFNGRTRMMKGL FNYATAYQRYTRLCLEDFMKDNISYAEIRPNFMTSNQLWTDDGKQLIDNKGIMKLIIG EVQNFQTDMKKQGRYFGGLKVIYCTPRSFAPEQIEGALTECLAFKKLWPEWIAGFDLV GEEAKGRPIKDFIPELLRFQDNCASEGVEIPFLFHCGETLDMGTDTDGNLIDALLLKS KRIGHGFALAKHPYVMQHMKERGVCLELCPISNEILGLTSRVSGHAMYQLLANNVHCT VSSDNGTLFRSSLSHDFYQVMVGKADMGLFGWKQLVLWSLEHSCLSDSERSALVHDWE QKWQEFVDWVNKTYGTERSLI FPSE_12330 MADSDSPGWKWFPNVKNSWSLDVVTLLAVIGESAMAEQTQTITA SLLCLLPRLIPAPQALLKPSRPSRMPETLAKMTGVYSGTTLDSVGFFATIITPLDALQ PYSFSVFEIRHTDPSQLYETDVNPPSAQESWSTRSLNWVKSRRSSSYSREHQHNGKEA RGNRIPRAPRTDGGLPLPAQASSTAATRTTTFEILDKSQVDEDAPKPIVRRPTAKQKV QDMLANPTFANTKRRPAVPAKLFSPIHILSVFSCLLSMAIIACAVVWKDGNAILSVFL ISFTSTIVGYASSWHPILMNRKHTNQVPRGDVMIRTREGAFLLIKCTEEVARELYSGT EECHYHVGGRTYRLLMALGTTLLMLSVVLFGNCTWNSQIFIGGSYIVLNGLYWGLGML PRSYFWDLSRYEWKVVTPDDAKNAHQVTNENDQREGHPSFTRTLWYAIRETQRTGWVA GSGAAPSTDQWKNWLKEALVNAKNGNREWESVARKDAIVKENLDADEVFDEAAQHAPA VEVQTMATVRQGHDWEKDGSTF FPSE_12331 MSGSNQSPPSWLFSSNPLNPVKPTTTSNDMGKKSTKAVAANNDV TSAPPPSQLMDLVENFLSDHSFKSAADAFKKQREKKGWQTTTATGEQANPSLVGVFQT WEATKGADSGKPKKKPSKKSSENKEEDVDMKDAESSSESSDSDSEEEAAKPTPSNNLK RKAPVESSSESSADSDSDSDSDSSSSDSDSDSDKKPQAKKRKRASSSSSSSSGSSDSS DSSESDSSSDSDDESAESDSDSDSSDSSSDSSSDSSSDSSSDSGSDSDSDSSSSSGSE GKAAAKVPLPDSDGSSSDSDSSDSSDSSDSDSDSDKKKKKKVKKEAKDSSDSSVTLDK TSPEFQSNLANPPLPPDPIMNGNGKKKQNEPFSRIPKDIKVDPKFASNQYVSIAYSQR AHEDLIVTKGKGFTKEKNKKKRGSYRGGAIDISDKKGIYFDD FPSE_12332 MSTNATKRKFNTLLQGLGSSNAKNIDDTPTRDSGSTASPDSTAR ASGADLELLQKRRRLGFPDSTAPKLGKRANLSSTISSIISRRPQGQDSSKSSNAPAAR YAPTDRGDLLKRLGTFQEITDWTPKPERVSEVEWAKRGWVCHSKETVRCLLCHRELVV KLNRKDVDGKEVSVLVASEIEEALVDKYADLIVTSHQDECLWRKRGCDNSILRLSLTN AKVTIAALRERYDELLARKAFLPYEFNLRLPDDLGLDNVLLQLPVDFFTKPPPAKEAE AQPHRVALALALMGWQGLNNPRIGAVPNSASCQTCLRRLGLWMFKSKEVADNGDIIVP APMDFLDPAREHRFFCPWSNPEMQRQGHSQSRSGQDLPGWKVLVQTLTNEAHLRSVYE GRSPVRHRTRRSMGAPTTPQRPGTATSINTPIATPGSVAESVPDNGEEDDKDRDAKDK ERWARLKRVKSLFDTKGSRKLRQSISKSISRPGTAHSTKSNGDAKESQKE FPSE_12333 MALPRLIQASRLQYSFLCAKLARQKATFCSTGKLSIRQRPLRRT VRASGSSQPVGSSSDIPNSIISAPDLESKAQETLRHDTSETGIAPNRPEAPKTQRSKP TKPKGPLPKGIKAHQQVLFQSESICFKQGLESPVDVPKEDKPPLVAAGKFFEEGCQIL YSAENLYHHPQNDHIPEIVVLGASNAGKSSFLNALTGGTEIAKVSHKPGKTTTMNAYG VGPRPKIAKELVRKGDVPPKHSLILMDTPGYGFRSQEDWGKTILKYLNVRKMLRGAVL LMPADKKLQETDRWMLRTLARSNTRTLVIITKADKPGERWQEACRNLHGQIQDIIGGL STHSAPSWREGSSRILDVYATASKIAFVSRRLGNGGGIGGARLAILEMAGFSLGEKIE KQAETKAYSGKVVSFDDIVWKS FPSE_12334 MGTTNLTEIDAHEQPSDEMRAEWKGYMRQDHKSLLNDPRIDDPR APLEESGFLQVSAISKEQIAKSFARLHPDLASEVNSDVQVIHHPLLPGLLIIPSLLPP SVQKTLLDRMVHRDLSEQKHQTNLHLHYNLPYPEGNDQDEKSFFSLDPETPASFMPKD SSVHKPLSIKQVMQRKLHWVTLGGQYDWTNRIYPQGLPPQFPTDISHLLKDLFPETDA QAAILNFYTPGDTMMMHRDVSEETDKGLISLSFGCDGLFMIAPNDLKSGTRSEIPGEK QYLLLRLRSGDAIYMTHESRYAWHGVPKVLKDTCPAYLEDWPARADGKFSEWRGWIKT KRINLNVRQMRD FPSE_12335 MTPDAYRPRDPSIEFPADIDLLAGLWQEAPFARLPSDAPPEVKA AVQNVENPARVYAIHQASRRHGFQLLVERYIHQLRSGCDNANCATPTCFTCRRRLAGK APVRRYNTTSARTLAIYLASQDDPEKDLCPFLRKSPEASAALNNLIFSTRSPTQSHPD NKRTNVITSPSAHKNRVIRPRTSSSSSQDSISATRQAPHSTSSSASHVRVIEGPVNKD HRSFAANLFGTVTFKMIEWLTPSSMAAMSGKLGNLEVSDLKSLPTDLDTLQESSSLTS TSTDLETQKTLSHEPNTPKPTNMVNQSQDTDWDESPFPIMSPKSRQDSTNKSQNNAPA KTRAHSIDTRDFEKGEDGIEGVKLPQVNGHLRDKSLRAKSNKHAAVPIMSEMPTKPEL FENATNPLSSEARGRLGNTGSGNVIQTKLRNDQSNGCANGGIVSQKSKHHGIEFEFKA TTPRSYDNILPQALSKLDVDLINFICDVYEEDDISESRHVSTHKTNYPYPQPVDERKS LRRHSVLHTTRSREQWLRFNEQALFYVLTDPQAVVLSFTQEHKLYDSQTLWYCFHRLC LVTSNLVFHSLWLAAARLFIPPQDLKSTSFSKRRNGQRTQKRAEALPDLEAGYLMSIC MHALVAATPVVGDSRTLYELSRVRSNGLTLAGGSAISRQPSSRCLDYDDAFSNDVAVR LARRLFCAITARRCFADRAHPAGRLEGFKVNDCDILQPLVNQLDFLSTGSASILEFPR DERLLHETRVPTVLLDWARTILLNEWDGRAHFAMDGPFGGALSFIETLHRSRNLLLLG DVQFRVDYLSERLDLIEMPVEWLSFKSTRWRRHILDYPYIFSPETLVSFFRSINFARM SRMFEESSSLKTRMSAIVDPGSLITNPHHKMVLQDLLRTASSKYLVLEVGRENVARDA FDQLWRRERRELLRPLKVHLGENSGEEGFDSGGVQQEFFRLAIAECLDPGFGVFTVDE RTRMAWFAPGSLTEDWKYELVGLLMSLALYNGLTLPVTFPRALYRKLLGNPVEELHHI ADGWPDLASGLTTLLEWDEKNGLIEDIFARTYEFSVSSLGTIVTREMRAGGSTVWPHA ASSSTDIEPPHMENADDAPLVTNDNRDDYIIDYIRYLTDVSIRRQYLAFERGFASCLD KKSLSLLCPSTLQSLVEGVQEIDIGELKRYARYVGWDTSHRTIKDFWSIVKRYDEGMK QRLLEFVTSSDRVPVGGMKNLQFVIQKNGEEDGTGGHLPTAYTCYGTLLLPEYRDKEV LRERLGMALQNAQGFGFA FPSE_12336 MASVQAAGAASHPYTCNTCQVAYRNIDLQKGHMKSDWHRYNLKR RVASLPPISADVFSEKVLQARAVSSAEADKAYFERACDICEKTYYSENAFQNHILSQK HKAKAASSGQSAPGRADDETTSVVSSTFSLGEPVAPSQGEVDSDAEEEFSHVVEGLQK ARISEQRPSPLKRPSHPQPSSEGAVNEDADADAARASESTTPVPTSQEPNMTLETCLF CNYASPTIPLNTHHMERFHGMFIPEKKYLVDIDGLLQQLQDKIRLHHQCLYCDKPKST TFGVQTHMRDTGHCKIPYETEREQLEIGDFYDFRSTYSDGGDMSDEESVVDETSGGAK LGARRPAKVTGEDGEDVEDVEGADGWETDSSASSLDSADLTAVPAEGHIHQFERLDKH PHHSSQDPRQRHQADGWHSHAHKPTRAVFYDDYELHLPTGKSVGHRSLNKYFRQNLHN HPSAEERAERLAIEGSELEQAGSDSDGRLVQRGSRAREMVPRGMAGMAGAPEQQKRRA RRAEERGRTLEQVHTKQKDLAYGKRLNNHKNYYYREQGGG FPSE_12337 MASVIVFTDFQSNDALGRSVIAEYLDMAARRHCSSVPITITCSE EENLRRLSSSERIRHGKLTDMEVVAHLRDNALIYQWPNDDPLHMELDITELKVDEAAH LILKHVLGVCKELDGQ FPSE_11258 VYVNRYIGLNKVNKLILSLFIILTFNSRLSLSL FPSE_01286 MRAPPLDVIQTWPRPQYDAPFTNAPIGTAVSIFLMVVVTIILGI RIYTRKYITRGFGLDDILIIFAYIPCLGFSINGLIAQKHYGWGKDLWNVHSTVYKPAL QSAFAAYNLFGAATTLIKLSMLALTYRLACTASKTFQRIIIGCMILVGANGVCFLLVT SLQCRPLHLYWTISYEEQNCIDEAAHLLAAGTINTVTDLLVVVLPLVIFIRLQSPNSK LSKRQIVIVNILFAAGFFATIAGAIRTYVTWRMTSADDFNITYWFWLTWLSSMIEIYV GIIAASVPATKPFMARYVPALLGASRSTDSPSHGSRTDRYALHGANRSRTKNGYVRDD SYDLPFYESASTVSKAVPTTCNSRKTDSLPMPNRLEEGFEF FPSE_01287 MNGDASLVGCLVDRLATRLPHRAGTSGQTLQQDDVLHVTRATLV ELGNTSIAKVIASLLVLLEDLARPYTIVADHPSHILASELYVVAVIADCCSSHWASLS RDADIRPIPSPPPLDEVLVSRLFDAFKHLLEPIPENCILPAQTLLDRVSTRNVSVTRP ESSSVSSDAESSPPSDEKFAETLVEMDTHIKTVTEYVTTSSWTAAFDYLRNVVYSIRT SIVTQPGVSAPGSFQDAERAALVVLRLLSFFWVDGPKLGQVIQEICSSFLHFRKPYQN TIAVVSPLLIMRWLDRFPREFVQLHQVHKRLDGGADTLFDMAQAATDNGRRRGLFYPL QTTLLFLLPDVFEVASNMREAKSSSMAKKVSFLEGLRKASRNRNEQAAYCLVSLLRAA RHFDAESDSALVSYALDVQDEVRDALFRRITSPEYGPFDQDMMTAAFVSLTHLNLDTS VSGFVESCIAANAPTSFKLAAVQGCSYFAQQPYALRYHELFDVAIPFMRTQLETENAK NGTTNRQGSERTELICSILQFLDASPARLLDDLSADSSTNSFFKSFLLCVLSENTSIR KLATGVASRLFQGHLEAYRQFDTGHRFGTKELRDDIWSRSSKVLLTLCESVTYKKDDQ SLRDLQEYLEARLLILKNIPELAKVPEDAGDVISASSKLETTLLISLCSASITTCQLV TSCTGLFLQECSIIDKHVESAKTSAPVLRNAEVYREISSPAFRFTGLVAFQKRVRGLL RQMQFPTSGILNAWETAFDRWLYLAKDVSTSTLDVVDGRALAEWRNYSGFLASLGGIC TADQAIILEEPALGGLRWIDRVSSEHSEEPLLTRYLRLSIQLLACANVRVREAMRDVL ASEVSPALYYPLFRALETELEVLFTGALAPVEKDQDSEVVFAEQAASLLRALVERLES PSDLGAASSVHLGSLTLNFAKFLDGIVDTPNTLRVKIRVCHLCEVVTKRKEHLNLRDD VRIRNQLLEYIFGWIARPRSPQHGPGSRQDDTARVQKDLDKACLKSLADLTFRLPLQP SDSHTDAGMSEMKSQMFHTYFNRFLSLLNHEPSELTRSDTTLSVALREESASNSDLAI TILSNLLSANIDVGLKHSLNIGYHDNVEIRTAFVKVLYNILVQGTEFSNLTDSAVSEK YEELLELLTSDLSLAISMAVACPSTDVDELTICLLTVFEQRGMIFELLEALIKQEIAD TENEAEILRRGCVATKMLSVYAKWKGAAYIRNTLQKVLERLMLTSKDLDLELDPARVS STEELQKNALQLRIVAKVFIDDICASSTSIPPAFRKICCIISNAVMPRFPDAKYTAVG AFIFLRFFCPAIVAPEVEGLVSTTPSKEMRRGLLLIAKVIQNLANNVLFGAKEPYMFP LNDFLTQNIYHVTTFLREISVPPQQLEAHGATESFDFGSCVALHRFLYDHWDHVRQTL ISRERKEYGRTSGDVVRGRSPALEPLRNLIANLGPPPLAVSWNRPQVSSNSPPLYSRF QNFMLRNAFRSTESFLTARAVYDGGESKDGLSIICVILRHIETESIDYDTLLYCYLKI ASRLWHRPFGILIDATCYNGRNEPQDDLFKKLELLTPSELSQNLTRIYVYNMNSAFKR CFRRLLRVCTKNENGVFNPKNVEYHLIGSLQDLQAHFHLSQLHLPKETISVVTDTRYV FQPITRLSKSKGKIEVIIKVGSQFVQVTTTKKQEIFAGFRLGTTVNDIFRLGEVDEAP TSIQTEDDSAFGLRADNGKIVMYFTSPKKTDVLQTIRGAKAKHGKDNRTHKSVERLIR PQDVPGTLLNLSLANLSSHDHILRLSSYNLLGALCRAFKFSSASRIICTKDVSVPLDP NRFVVDISNELALSEPQLTSDFLTEFFVGWESFPDEQKPLSLAYMAPWLPGLRTNILT NELDGEKGRERVAILFRKLIDVTVQDHALTFALEQSVWPKIVQDEILLEVFMDELLKS AMTYSVHDDSLDVISSIVVGIGTVTLRGKILSRLRKALNRSSLRPTKYLPDNAVWAEI CTLLRFCLALSFDNGVQSQLFLPEIFHIVTMLANTGAQEVRLLVYRLLVNSVHAVCTS FNLDDARSSKLRASLDFLCDPRSDIFTTPPTFPRDGASVSTSQEVGPALTATENLASC LFEICTIAAPTIDLANTWRSRWMSLVASTAFQNNPAIQPRAFAVMGCLAREEVDDDLL YQVLVALRNSVNRFGDDHNSEMLVSIVTSLSKMMAKLPSASRYGLQLFWLAMSLVRLV PATLFNCTAQFLEAVLANISTGEEFQGDNMASLLLQGRAPLDEAALPLEEIYGIHFEQ DTFHLAVCACLARGLTDTMTRQTTMRVLSSFLRMTCDVEGKEKPEVTQTSPYLSLLVA RAVGNHEIKDSLWSAGIDADDIGLLNGTRKPPELEVMSDNALLLITSIELIDFQYVED TVQTRSLDWLNILAKERPFVVEHLCGAIRSLLDDTLLHSQNSTTLRAAHTLLRALSSS PQFSRAVDTTAALTNALDSMGFSGLWRSCSFSSMEDVNCNYLELTEHLIEIAIK FPSE_01288 MGIPAAFRWLSTRYPKIISPVIEDQPLVMEDGSTIPVDTTRPNP NGEEFDNLYLDMNGIVHPCSHPEDRPAPTDEEEMMMEVFRYTDRVVNMVRPRKILMIA VDGVAPRAKMNQQRSRRFRSAQEAQEKEQDKQELIKMLKQQNGGNLSTESLETVTKKA FDSNSITPGTPFMDILALSLRYWCQYKLNTDPGWAKLKIIISDATVPGEGEHKIMNFV RSQRASPDHDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFFQDQKARLCKICGQ KGHDAQNCRGEEKKKDGEHDEKDKGVALKPFIWLHVAVLREYLAVELGVPNLPFRFDL ERAVDDWIFMCCFVGNDFLPHLPALEIREHGIDTLTKIWKDNLPVMGGYVTKDGHIDL ERAQVILDGLAQQEDNIFKRRKEQEDRREANFKRRKLQNEGNGRGGRQGGPSHPKKIN GHENPENGLPLQAVGAYTDRHEQALTHDMVVNRSTAPDANVANKSAASVLKAQLQSQK SLSNPKPENPEQDSPSALGKRKASSIEEGNSSVPDAASVSTPSVPAEEGPVDDVRLWE DGYADRYYEKKFHKDPKDIEFRHGVGRAYVEGLAWVLLYYFQGCPSWEWYYPYHYAPF AADFKDIAKMNISFEKGRVSKPFEQLMSVLPAASRHALPEVFHDLMLNPESNIIDFYP EDFQIDLNGKKFAWQGVALLPFIEMPRLLAAVQSKYPELSAADSARNEMGRDVLIFSE GHESLYDEVLTKFYSKKQGDSKFKLNPKKSDGLSGRVEKKEGYVPHSELKYPLERNSM PDLDYDRSVSVYYDFPQASQTHKSMLLRGVQLPTPALTQNDIQDMRSRANRGGRGGFG RGHDRGGYNGPGMTRGSQYNRNQGGYGRGNGHYPPAPASHVPPPPGAPGFGIGVPPPP PPNSYHNQPYDNRYGASSGYNQYRGPPRPANGAPGYHGHGDASYERGRGSGGYSSRGR YRDNRSYR FPSE_01289 MFSSIASAPAKQSVGETIDVLSGRLSSATLLEDRRAAILGLRSF AKDYPASVASGALRSLIGSLSKDGEDVDTVKVVLETLLMLFNPNEDSPEASEEIALWL ADEFTQRQENITLLLDFLDTNDFYSRLYSLQLLAAILSARTERTEECIFTAPLGIPRL VSVLDDQRDAIRNEVIILLTYLTPTSIEIQKLVAFENAFDRLFAIIEADGALAEGGRT VEDCLILLANLLRSNSSNQSLFRESGCINRLADLLARVLKPQSDGSEIASWATAQRNR NVYAFLAVIRLFVVRGSAGTNLNQAAIWKHGLTYHVLQLAFSHEAQTQIKAEALITCG DMICQNAPIQEAFAQLTVLSPLNKDGGAEGQTSQQDKIFVIDGLLDLTLCSQDLQEFD VRFAAAGCLEAYFANHSAVKLHFLGRAIEGHQSGANEPSNILTVLLQPSAETSDPYRQ WFAAVIAFHLLHENPEAKAVAMSLTEGDSEDGEEVVTGIQTLTAHVTSGLRRNDDPRV LAGYLMLLLGWLFQDLDAVDDFLTEGSNVQSLIQAVSQSQATSSDEVVQGLCTMLLGT AYEFSTKDSPIPRATLLEILTSRIDRDLYLSRLTRLRSHPLIRDFEVLPQKLDASSPG RLPDVFFDRAFVEFFKDNYSQIARAIDREPGMEISVITNGVQKGVSRELVDSLRSQVE EKQNAVNKIQEALAALQGQLGQEQADHRHSQETAFTEMQRLKAANEGLQKSHSDELRK LQTQLASKENDQRKHIAQLQSQYSAKENDHQKQIAQLRSQQAAQDSSHQKQLEQVRKA AEAEAEKIRRRTDAEIADLKATISRLEVDLMKSQKEHNVLQTLRKKDLDEQRELREKA LSDLREKKDKEIQHIKTRADEQEQEHKKNVDELGKKKNAEVEALQQQSKDDLEQQKSM TLKAEEKCQHLEKQLSGGDAGMKDIQARLAKMESELSAANKARDAAEKNLSELKEAAK AKSDVEKQLSESREARETTQSELDDLLMVFGDLEEKMTKYKDRLIELGETVSDGEDDD EDEDDDEGEENGSDADGSEDSDNDDDEKDETKEQPNPKSKK FPSE_01290 MSVHDSVSDEAEWLDLESDEESTPITSLFTSQTFSTVAAMLEDS VKNHGYNFVEDVQKLQLDFHGAVKLVNFVRDHVKSGLPLPKDITAKDLEDDKYLRPVL ENDALIFSLDEVLETITTDQDKDGILAARNRELEEELEKLKDQFVNYRLTVQETLDKR WGDDTETTPIEKKDDSAYYFESYAAHEIHETMLKDTVRTDAYRDFIYNNKHIFKDKVV LDIGCGTGILSMFAAKAGAKQVIAVDKSDIIVKARENIFHNGLSDVITTLKGAIEDVK LPVDQVDIIVSEWMGYCLLYEAMLPSVLYARDRYLKPDGILAPSSATIWIAPVADQEY ISDHITFWRDVYGFDMKTMQEGIYEEARVEAMPQSSLCGEPYPFKVLDLHTIKTEDLQ FTAKWASNITREVENVDGFLIWFDNFFTTARSDPVPPAETTPDTWDKKDQGGVAFTTG PSGIVTHWKQGLLIAPPEANPSKKCPKSLSGEIVFTAADDNARALVLRASWTDSEGDS RQHSWQLK FPSE_01291 MYFGLQTGWVSIMSMPASLMGFGIFKLLAPHLRFPFSPVENVLL QSVACGMAIMPLGCGFVGVIPALNYLLAADEQGPINLSTWQLIVWSLGLCYFGVVFAV PLRKQVIIRERLKFPSGFSTAVLISVLHGGGRQSTKDELDAAAKGSFASLVPKEEPSQ PAAIGPATTDQEHQQDLDDDLASKGDWAANIKLLLLCFGGSGLYTLATYFFPILRNLP VFGSTAAHMWLWTFNPSFAYIGQGIIMGTETTLHMLLGAIVGWGILSPIAKFRGWAPG DVDDWEHGSKGWIIWISLAIMLADAIVSLAYVGFRGVFTSPKVANIIFSLRQRVHEHG ISGLWKPQSHVYSPLLPADTADRTEPRGGEDEDEDAPPHQRIGGRMVAISLLASIALC VFTIHVVFGDLVPLYATTIAVLMALVLSIMGVRALGETDLNPVSGISKLAQLFFAIII PQTHKSSVLINLIAGAVSEAGALQAGDLMQDLKTGHLLGAAPKAQFWGQVIGATAGSI LSAFIYRIYTNVYTIPGDLFQVPTAYVWIFTARLVTGSGLPYRAKEWAIGAAVLFAFA TVIRTLAIGKKWRPMIPGGIAVAVG FPSE_01292 MPSDFSNPVSNATSPAASMSPAAHRAVSPSDDGRSSNASRAPSQ DPYYSNEDIATLHAVVVAAQELLDSAPEPKPLPAAALFKAYDAILPTYGIDPDSDHHL STFVFRVGGEQGEGSLLDKFQSILNRMGIVLEFGDNTTVSVRTSTSQSPAASSTSRQS NASIQQKENQQDNQQNGYVTAEVEAPQPLLSSSPLPSPSPSPPPRSPYHQQDEHEHEH EHEQDFSETDEEDGQYEEMRKAVVSSAMNRWRSLVANRRAQPAQRIPSFPSIPEEAST DVRNFEAYSHDGKPEVTANGTATSVQVNGIEPHSQPSVKEPTDIQTKPVSTQSFMHQP LAVLSNAIRSTASLIRDHTPQVVHDEEHPLHDDEVPQSPREGSNRPDEIEEAPSDSIH DAQEVHSPTVVAQHSTANHNEGTITHHSAHQEYQEDPPMQQAAAEDDLLTPEQQIESE KEHNRLLKRASRAREIYLASKVFNHWADRTARRLERDAVARRHMIRFRYFRSWSQAPA LREPTIDDMRTAVIVKKWQRAVIKDRNLQEIARTTARAYELGKIQRVLDCWHCHRLKH LGCQMTASRSRSGAVSKWLSKASHDEALQQAIRIQSGLRLRVDAIHQWQGHKQRETRL SVTSRRIGEIQYSFTYLREWWDQAETKRRAVDYRQRLMAKKVNFTFDQWNLRARAQAF QWRREYLQVGRAFDRWLQCAEHDRDMVRRTEEYYEEQTKAKVLRNFRRLHYDSSQMVH LEARARLYLGATTVLRVFDRTIRNRRDQDKQHIKRYLMARYTQVSSARKKRNCFNAID KWKSLAAEDRVESDMAQELLARKVSHQLTLVVDTWINQADMDQRHMQASQLHRAHEWL ETWTAYARDLEQQDTEAWQLWASDKQRQSLKSWSIASLQQSGQAHTALEVQKKHERER RNRVLQYWKQRDDRIRTTVPETRPYPNSLPTAWPRSGWRMTSGRRSMANRNDRAYDYL STPLETPTRWTGQPFSMSTMMPPGSMAPLREADENDEAFSLAGDEDDVELPASPSLRP ASRGPSQFSSLPSTTPMAPVPSHLERGARGRGNASDHGFVVRGTPRRSRMPQFETSQS VPGLSKAGENSESADLSQFQLSQQPLTSRKSFGSKPLNGRTIGPQPSSPEPNLPGVSR CHGILQTVYDEGEQTLEAITFDEATGKIATCTLTQVRVYKPLGSREDTLKWALQATFD IPFPNPDETPCTLSWGSSEELLVATQCLSLFDTKTEPRCLWQKELPSIAKYAILSYDS RYIASTGHHDHLIKVWRRLNFGADEVRFDLTYLRHPNIITSVRWRRPFHVEQASDNVL YTVCLDKCIRVWTPTDTPDGKHWQLWGQVDVSAPSQENPEGVDMRFALVLDGRDFTAS VEQAVQARMSDDLNTDDPVALDHLVAIATKNPEVCIAFDGKGIMSAWALENVGSTTAS SPTLFKVAQVTDVHFELLGKFLPAHETPHTEIQTYCDKESGKVHFMVHAFDGRIGVFS GSVADLFDPTTNDRRLSLQTIWSGHSSSITKIVRNYSGRAVVSRTQDGESIVWKHLLA PQDNSGLALTRASVIPEKGHIHRICVLRKGRFVVFLRHGTISLWDCRTRRAALLAQCD YKATGKPLCLIILPRSDVKNYTVAHIATITSEGQGIVWEIQLPRYFDDPKTVVGAGLE ELSRFELKDIKNLAYVLPVDPAGSQPVVSGFLDIFARDVAISYTHTGRVDFWTARVDP EQRSVGWLSTCTTETGISQPALVSGSTLKKAALVNMSRSQLTIWDIGGARLEYENNFQ EHQIIQDLDWTSTPDGQSILAVGFPYRVILLSQMRFDYLNKGPAWAQIREISIRELTP HPIGDSTWLGDGHLVIGAGNQMFVQDRHVGVSESTKADLRLPLRKDGNLDLFQVVQRF NGPLPVFHPQFLIQCILSGKAKLVRRILVNLYKTLKYHIEGETLDDYLGMDITEFYVP GQSHTLASDRSNGVYLNGNQTDDVDDEDVFTEQTAVSINEKLVKTNIAQLSGHEQIQL ADIVECVSLVERHRRSMDENGARFMLLFRQHALRKGRTNEMHLSWREINWAFHSNSQD ILVDFVSRQNHGNMLWEHARESGLFMWLTDSTALKAQFELIARNEYTKNEVKNPVHCS LYYLALRKKTILQGLWRMANWNKEQAATQRLLANNFEDPKWRSAALKNAYALLSRRRF EYAAAFFLLADHLHDAVQVCLNQLKDMQLAITISRVYEGDDGPVLRRLLQDTVLPLAA QEGNRWLAAWAFWMLGRKDVAVRALITPVYTLLETPCSPDIKSRLYLTDDPALVVLYS HLRHQTLQTLRGASKITPKVEWEFVLHSAKLYDRMGCDLLGLDIVRNWEFQKSPGPSG LGGEVNPLKLLRRRSSLVVADLPSPTLRFESHRENKKTVKAPPTTFEEPDAGSLLDSF GF FPSE_01293 MNQQRTRPIQKFAKAVSQCSVEATSYGKCIVADYNAVHKDKCVK EFMRLKDCYLAASKKS FPSE_01294 MSIDFPKEEEVVLQRWREIDAFLRQVELSEGRPRYTFYDGPPFA TGLPHYGHLLTSTIKDVIPRYWSMKGFHVERRFGWDTHGLPIEHEIDKKLGISGKAAV MELGIAKYNEECRSIVMRYAKEWRVTVERLGRWIDFDNDYKTMDPSFMESEWWVFKQL FDKDQVYQGHRVMPYSTVLTTALSNFEANQNYQDVTDPAVVVTFPLVDEPDVNLLAWT TTPWTLPSHLGLAAHPDFEYVKILDEKSGKTYILLEKLLGTLYKDPKKAKFKIVEKIL GKDMLGWKYTPPFNYFYDEFKDVAFKVLNATYVTDDSGVGIVHQAPAFGEDDYNVAVA AGIVTENRSPPDPVNDTGHFTDRVSDFKGMHVKEADKHIIKYLKNAGRIANESQLKHS YPMCPRSDTPLIYRAVPSWFIRIPDIIPDMLKNIKETRWVPSFVKEKRFASWIANARD WNVSRNRYWGTPIPLWVSEDLEERVCVGSVQELRDLSGYEGDLTDLHRDKVDHITIPS KMGKGQLKRIEEVFDCWFESGSMPYASQHYPFENVEKFEQSFPGNFIAEGLDQTRGWF YTLTVLGTHLFGKSPFKNCVVNGIVLAEDGKKMSKRLKNYPDPSIIMSKYGSDALRLY LINSPVVRAEPLRFKESGVKEVVQKVLLPLWNSYKFFEGQVALLKKVENVDFVWDPKL EATNTNVMDRWILASCQSLLAFVNQEMEAYRLYTVVPRLLGLIDNTTNWYIRFNRKRL KGENGLDDTLHALNALFEVLFTLCRGLAPFTPFLTDNIYLKLLPHIPKELQGDDSRSV HFLPFPDVRQELFDEEVERRVGRMQRVIELARVSRERRTIGLKQPLKTLVVLHSDSQY LEDVKSLKNYISEELNVQDLVLSSDESKYNVQYSVTADWPVLGKKLKKDMARVKKGLP LLTSDQVKGYLQDKHIDVDGIRLEEGDLVVRRGVKEDDSSKNFETNTDSEVLTILDTE IHPELVAEGLGREIINRVQRLRKKAGLVPTDDIKMEYRVVADPEDVGLSGAFKSQTPA FEKALRRPLEEASAETQTEGLIAEEEQEVQQATFILRLLKL FPSE_01295 MSFLFGRARTRTVADLPKQAREHVLKLEGPQGPSKAEELARVLS QMKTILQGTPEADTSPEQILQLVTGLIDEDLLHLLAVNLFRLPFESRKDTQVIFSYVF RFRPATAAPKSDPLALSYVVCNRPQVLVELCRGYDHKESATPAGSVLRELLKNEAAAA IILYDDGDEPGSSSKGLNAIDRDRPQSGRGVFWRFFDWVDKSSFEVAADAFTTFRELL TRHKDLVPRYLSANFELFFDKYNNILVQSNSYVTKRQSIKLLGEILLDRSNYSVMTAY VDRGEHLKICMNLLRDDRKMVQYEGFHVFKVFVANPHKSIAVQKILLMNRDKLLTFLS HFLEDRTDDEQFIDEREFLIKQIRNMPAVPVPPQR FPSE_01296 MQPQYRAYAQQVAQRSPHATNQRRGGIGPMMSSGPHPSVPLTQA QIAQQQQAQAQASELAKRRSRKPTDKNIPEGVEDSIVDVDGVQRYKDLRDVERRLDAT ITRKRLDIVDYTSRGSKRYKTLRIWISNTVEDQIWQSNGLNSDSFDFTPSMEASYRVK IEGRLLDNEDEETEQSTTHDAVNEEGKDSNDSASKQKSAEKPRFSHFFKSLTVDFDRS RFRTGNEHTVEWKKPDASARNQPAASLPAAADFDELTFKRNGDENQNITINLFRQESP ERYQLSPELADVVDMKDATHQEAVMGLWEYIKLLGLQEDEEKRNFRCNEPLKKVIRQG DIGHIPLLNDYVQQHLRPLEPTRLQYTIRVDQEFHKDPQPTIYDVQVPVEDPLRDSLL PLLNNPQYIAMLKEVTGLDDQLARVVQAIAVSKAKHSFFESLSKDPANFIKHWLSSQK RDLEVIMGEAPRGGGEHASGDEWRRGGKDSVWATENARESVNVLLSKQR FPSE_01297 MNGDLSLSQALGGLRIANPDDAAEAVNASSTTPVGPDSTAQNTS LSASTSTSALPSTPQPTVTSTTPSPYDAIRLDPIDASVESSLTDPRLTPSAKSTDLTS ETSPSTGPSQPLSQTEASRTSIYGMPNAPEPGSGLSYPARESSRRDPHRFNKSSRPVS GVNPGGSPLPPRGSSRGMGVGYAAGPGGPQSNQPYAGDAPVPTSREDWQERGAAVGVR REVDANGRTVVRQVKKGVRDFAFGRTLGEGSYSTVFMATDRQTLKEYAVKVLEKRHII KEKKIKYVNIEKNTLNRLTEHPGIVRLYYTFQDETSLYYVLDLCNGGELLGVLKKTGT FDIECTRFYGAQILDAIDYMHSRGVIHRDLKPENVLLDDQMHVKITDFGTAKLLKDPR EDGSTASASGVPDPGRDEDSRAASFVGTAEYVSPELLTHKNACKASDLWAFGCIIYQL LAGRPPFKGGSEYLTFQKIVNLEYEFPPGFPPAARDLVERCLVLEPARRLTVEHIKNH EFFDGQSFGKNLWRTKAPRLRPYVAPPQEPQVIQLNGFSNSANPTNNSRAPPGPQATP SNGSNRPSRIITELPPPTQLDIEWSPVLTKNNERILKLGDLMVVSTPLPSSPHGKEPG EGHKKLSRFFIGSTTKKRQRLVMITSSGRIVLAPAGGEEKRAKQELSLLGSDCSWKTQ VDAKGQTVWCVNTGGHHYTFEEAKSSSTPPEGGSAAADWVECLERARDMALSQSMVTS YGGDSGFADMSSQVSSPSSTLGGPGNYSEGFGISDRQGRNHLSKNQGNAEDPAPKRNR FSKRQSRNGLGSAF FPSE_01298 MIRLQPTTITITASEMTDAERHSRYRKHLANRECTIRTHWSTAL EHEETTLEHAMNTRIQTPDTDPTNRQRIRSSSSPDVLAREEESTYSTSSAGNGIDREV GAVRLPIRTRLANVIDDTIHQDDDEGRTVQQLLTTVPGVPTRNSERESTHDRYRDSLE TTNRPVDTETMASMIAEELNVTPPRRNLSVYSDALPVHGQPQTPRQLPEARHQSRFNG AYTAPVRGRRIEADVGDAPVTVRRRRAGRNTSLVGLSLELHGLYEDSQNTDDV FPSE_01299 MSYFSSQYSSSPVLTMSPQHAHQSMGMANFGHHTPSRFAPMPNQ FAVAQPARPAAAGRKRSRDEASENLEPDIKSLPVEEPEEEWIYGPGMTLIKKSTGYVM DASSQSGTWVEEKKAAEEAAIKEARSMIRNPKLQRVSPALSSQTSTSNSSLKTDVKPA AEGPIVDNFTLHLGIGWRRISEQDHIQQAARGWARYIENHFPVSNAKIILESNGLQSY LVEANEGFFLFAENLRQGQLVSQTADGALQNLQCSPPIFDGMEVLSAAESPRPANAVP DMDMRMD FPSE_01300 MSSASQLFLLADHIKLSLLERQRAKNLNLEGDSQDGHISRSFDQ FRDGLTSLREEEQRLTEAGDATAASSLTESISSLQKQLDDLTAQFRGHPSSSTTETLT HPNSEELADDFEHATSTSPTSRKPKTVRFSDTPPSPSTELFGRYRDNPDDSAGYRDEA QEMDNQQLHQYHAQILEQQDEQLDRLGESIGRQRELSMQIGDELDSHVAMLDDVEAVT DRHQSRLDRASRMLGKVARGAGENKQMTTIVVLIIILVLLIAILK FPSE_01301 MFRMPPRRPRSTRQRCDGVSQPTSPPDSGYSSAEDVFECSHNTR KRYFPSFDGSGPDGTPILKLLVNEEQTCPAAKQEEVQIEIPKTPERHHPRQKPNVVSP FICPKTPKRLPLQDKRIDHNRGLDRYVPRRDFVSPSFERYRTTKQSHDLSREERLKRN QSASADPFVLKRHVLDPDPRFPFRVDDTSQDRGVALGQLPQNRGGERQVSMGAMWSVG GVAPSTIAVDDGQGHLVRRGTNARLFPTPFQESLISTSVEKEKHEGRIATALKIDQVR KILEFAENQQIPRGPRCHTRQTGFTQTSWNGYQWANKEEWSGMLARQRLLPAAPFRVL DAPNLKDDFYCSPLAYSATTHTLVICLGSMLYAWSEFRGVQLLHEWPIGWEITRNTWP GAVSLIARISNIHCQQVCGLAWSYDGRLFASGGNDNLCCLFDAEQVVGRRLEPTIPGN GYRARVEASSAYGGIETRVTQLIKLPQSEDGLAAQLRLVPNATDAVRCLGPSIAKHHW NHDAAVKAIAFCPWRRGLVATGGGSNDKCIHFFHTPSGAALATISVSAQVTSLIWNTT RREIAATFGYPSPEHPYRVSVFSWPECRQVAAIPWEDDLRALYAIAYPRGPTSNDPTI AGGGQEGCIIVASSDRSIKFHEVWSREKGVTVGATGILAGSDILEGLEGIDKEGDVIR FPSE_01302 MWLSVRRALAAVAISQATLCLALLPKLPPYTKEIAQQSKSLVNA VYFTNWGIYGRNFQPQDLPASEITQVLFAFLNVKPDGTVYTGDAYADLEKHYQGDRWD DQEENAYGCVKQLFLLKKAHRHLKVILSIGGWTWSTNFPSAAGTRENRIRFSKSAVTL MKDWGFDGIDLDWEYPNDENEATNFDLLLQAVRDELDSYASQNAPGHRFLLSIAAPAG PEKYKKLHLNKISNIVDQINIMAYDYSGSWDSASGHNANLFPYKASANPYNSDKAIND YIDAGVPAEKIVLGMPIYGRSFEGNLGIGKSFSDVGQGSWERGVWDYKALPKPGAEIK YDEEAQAYYSYDSIMHELISYDTPEEVEKKVDYVLKHGLGGSMFWEASGDKKGNESLI GTSYNCLGTLDESENWLNFPDSRYANIALGMPGQWAQV FPSE_01303 MASHGIARTARSRTEEQRKQDLVKIEKYRNLEDQIREKISDNYY GPETFQLTSKLLRLNPEYYTIWNARRRCLISGLLSRPSDGSPPSKASQNIIATDILIT SSAASSPSSSTETPPRPNPLTAGKTGITADSGADVEVIRAELAFTVPLLMEFPKCYWI WNYRLWTLDRAIERLDVSIARRIWEEELGLVSKMLAKDRRNFHAWGYRRHVVAQLESP LLNGQSLVEPEFLYTTKKIHDDLSNFSAWHNRSQLITRLLNERNADDESRKAFLDQEL DLVDEGLNVGPEDESLWYYHQFLVLNLADSTSSRQIAPNLTTDDRKLYLEREMTNIKD LLEDYRNVKRIYEALAEYAMTLAQLSGQPLGSEEQIEVASWLSHLRKLDPKRNGRWHD LEKKLGLLHS FPSE_01304 MPTSLHPQAKFDPIPPDIDLYSLVDKTSNFQWVQRVSRSQIRNL GQQEFEKLVLIHVIIGGKPLIIDGWDGVLPKSLFDVAWLEKTHDKTQENVRDIAAGAD IPMTMGHYLRSMRQLANQWTPTNFRDERRQRLYLKDIDCPPEWHDALQKVIHPNLFYL NENASEAGGSHQDETVFRDEAAAAPAGDLMSSLPEEMRAQNLMCYIGHEGTYTPAHRE MCASLGQNIMVEASGDEKGEKSGSSIWFMTESKDREVVREYFLSMLGHDIEIEKHFAQ INAWKKAPFDVYIVEQKVGDFILIPPLAAHQVWNRGTRTIKVAWNRTTAETLEMALHE ALPKARLVCRDEQYKNKAIIFFTLQKYYRQLQKLEENAEMTSMSFMGIGQDILRSSPR AKQLAGDFKKLFALFTEILLDEMFAFKEKEVEFIEFDSCITCSYCRSNIFNRFLTCKH CTRMLVNGDEDTYDVCMECYAMGRSCACLSGLQWCEQWTWTDLVNNYEDWRSMIIKND GFVDFESSPRPLEIARQRSAKKSLAQICQEALRRRPWKDITQPERELTPSESEPEVDG EGKPKKKYKRKKKRGELRRCHVCCHKDYAYRVHQCTNPGCTESYCYGVLYRAFDMLPQ KVLEDEQWQCPKCLGICNCGYCRRVGNTDPYTPKNTLLGHDTRPIADDRSVEALVDFR VHNLSWLKAAGEESRSNNSKRMKRLREQADTAKAQDITEQEEADQALQDDDEAHEING VHVSSMNGYGDQSHVLGGQGDQPMTDGNAQGSLPRYHEANGEQVDQSMAMDISQLEDV DASLYPDPSLVARQRIGMGYYEPDDAPDRILFDPFQAPSEEAMRPSEPDIPEFVKKSI RAAKRKARIENEDPDFTVGKGHHKKPRLATEPEVDFLDSMDPALFSGPPTAPVAVMDQ PGQVDKDQSVTQDEATDNNREASDENPAQAPPKKRGQLLFDANEPELRHAKPIASYVE AEMDSDEFEEEQVNQSKPPQGDAVGAKKSAVDLAADAVRALFGTPSSSNPQPSIEADP TPGTGTKTPKRRGRPPKNASSATQSTPVGSPQSSKFGKKGRPRGRPRKSLLSLVAAVD NSTDIIDESSQNQDSDSDVEHEKMVRELEANLAADLTQGASGTTTESIQPRRRGRPRK SGVTTIAATSAPTDVSEEDAKEESRMSRSTRRTRRSEGAVNKPSRPSISPPPPTAGGA GTHLMSMAERMALKGKKFKIGKRKSKGVSDSATPTQSEATTPTPGAEAPIEVADEPVA DDQEMASHDPSPESSYTGGQSAQVSSDNESSRSPSPPPPARRPAQPTVVRIGDTDSEA GQSDSMTGSDSDSDGDIPARQNSGRGGGCGRGRGRGRGRPRGSGRGR FPSE_01305 MRLNALPRASAWAQCRGISGQALRVHRQLPARPSLVHVSKALRQ PWHNTQRAVAFHEAKSQSSTVATQDLDSPTATTAPAPYRPPETGLLSKLPPTWVPYAE LIRLDKPAGTYYLFFPCLFSTLMAAPMAMPMASPGSVIGTSLLFFSGALIMRGAGCTI NDLWDRNLDPHVSRTRLRPIARGAITPFKGLVFTGCQLLTGLGILLQFPLPCLFYGVP SLILVASYPLAKRVTYYPQAVLGLTFSWGAIMGFPALGIDLLSHTPALTAAACLYASN IAWTVLYDMIYAHMDIKDDVKAGIKSIALKHDAETKQVLTGLAAAQISLLAAAGYAAG VGPAFFIGSCGGAMVTLGLMIKRVNLKDVKDCWWWFINGCWITGGVVSLGLGADYAIR YAEASKEDNSES FPSE_01306 MAGGKPRGLNAARKLRTNRKDQKWADLAYKKRALGTAYKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLLALWKEKKEKPRS FPSE_01307 MSHLIRPVSRQRDPPPSLFLHPSPSASSTSLANTHPPTVPFIGQ QSNLRANVEYAPSVKSGRSGTVVPQRAGLYPAESTRATGDRTDLLWSEMQATLEEVEL SASGGTHVFGPDHDRKLADLRAAQIALAQAWARSEADDVIETAQLDHVGSATGSEVRN LKGALGDTSKSGFEGTERSAVGSSSISRPNSSGTGIERLGHKLEEETEVDILLARKRR EANDRYFQRVNQGVIDVVTRLEDVAVAMRAVEQESKEVWSENDSIPGGARA FPSE_01308 MRFFPFVAAALAVVPGVLAVDQKKSAIVWFEDESTPDSIVEECK DALKKAGGKITHVYSIIKGFSVIAPEKALEIVQINHEDHQIRVEKDEVVTTD FPSE_01309 MTVYSPAAQTALIKAVSPVFLTPSTPSSSPLTQDTPQIKRVFEP KRMVESYEDLVDGVTLGLILHQLDPSFDTSALETSSNTSRHLNHKRNIQNIYKGLFRY IRRSVPELACQAKKFDYHAIAENPDAQGISQILAVMLGVVILGPQRNHYIPRVTGLDK QTQAEIMQIIQTIQQDINDSQGDEEVEEAIESVMEARDIDLLVEEQNAALRSQLESTK RQLSDYYTRLDHLQTSHEELRYEKEKNDRELEVLRKATVDGANNAEMIKNLEIQVHDQ MELISRHEETIRRDERIKSQLEAEVIKLTDKCKYAEELQDQATEWKHKAEDFEKKANT AERYKQKLESQQHLVKEVQNLQYEKTELQDQIRILLDDQDRGARTRKAEDELTKMITQ SEQHLWDERSQKNQLMKDIAALEDEVIRLRARQSHDENFIKDLQEQLESGEGAQNAVP GALGMASSLEDELNDAANEDTQPGQVNIPLELSRLKAENDLLRSTVGSGDTAPLRREL DEEKRQRAHLQKNYNDVYEKHAVLHDQMEALINNMTGEGLVKAINDACSPEGTHILTA DFYRTKAFINIKQTLMQCQFELEQSKKREKDLSAQVADQGRELLAAKAQLSALDKEGA DAIKEIKSSDVVIISSLKSELDHAREQLSYCTSERDAQQTQLVEALLAKDKLRKEVEE GRELQDITGSGDGDAPDGSKKGELIEKLRNRLREIREVSSSAFYDAIVHGDEDDQVVP VDSKSSKEDKDDCHSLVEFGCKDANMATIPSPRAQLERSETERIDLQRKLKAAHDGEA VAAQKAASDEIIRNLERENSLIASAWYDLTSRLQSNHVVLQRRHEAPRSWLNKQRQMV NGQLRFRDSLYLY FPSE_01310 MGFIEDELKQLKDVISTIDTRIKKLEARATGGPVSTDEIRMILI GPPGAGKGTQAPKIKERFSCCHLATGDMLRSQVTKKTPLGVEAKKIMDAGGLVSDEIM IGMIKEELNNNKECQGGFILDGFPRTVPQAEGLDAMLAERKQKLQHAVELQIDDSLLV VRITGRLVHPASGRSYHTTFNPPKEYMKDDITGEPLIQRSDDNADALKKRLVTYHKQT KPVVGYYQKTGIWSGLDASQEPGQVWKNMLKVLNEKRGQN FPSE_01311 MPTTTAETLSLVTRNVTVAPLVLLSAVDHYNRTVSTKTKRRVVG VLLGQNDGNDVRVSNSFAVPFEEDDKDPSVWFLDHNYVESMNDMFKKVNAREKLIGWY HTGPKLRASDLEINELFKRYTPNPLLVIVDVQPKESGVPTDAYFAVDEIKDDGTTTAR TFVHTPSVIEAEEAEEIGVEHLLRDIRDVAAGTLSTRVTNQLQSLQGLHLRLRDIGAY LQKVLDKQLPVNHAILGNLQDVFNLLPNLSTPERDGKSGGGELAYAMSVKTNDQLMAI YLSSLIRAITAFHDLIENKIQNRQQQEEKEAKKEEINSKDEKKEGTNGANTQAKEGGE KDKENKETKK FPSE_01312 MATRQPARRLATHLASPSVRFLRTQSASTRRWLSSSAGPKASRS SYSNYSPLWLAAVALGVAAPLAYKMSDIEPINADPSTLADRDAQKKRESGVNEDSPMR LRMEKFIREQQAQIVAELERLDGKKFRKDEWERPNGGGGTTCVLQEGNVFEKAGLGVS VVYGSLPKPAIEKMRANHKTMDPNMESIDFFAAGLSMVLHPYNPMAPTVHLNYRYFET ANPDGTSQAWWFGGGCDLTPSYLFDEDAIHFHKTIKTACDAHDKEYYPRFKKWCDEYF FNKHRGEARGIGGIFFDDLDETERDRENTFSFVQDCLKAFLPSYIPIIEKRKDMPYTE AEKDWQQLRRGKYVEFNLVHDRGTAFGLNTPGSRVESILMSLPLTAGWKYMHEPEPKS REQRLVDVLRDPKEWV FPSE_01313 MRSKFKDEHPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGEA FPSE_01314 MAVAISEEQATDLSKQYDTPLGLAHSTMQVLKDRIKLHYDLASD YYLRLWGEHIHHGYWPTEESEATQTKEEAQANLIQLLLDISKIPSKSSVLDVGCGIGG TSRYLASKHGSSVTGITISTKQVEIADRLTKAAVEDGASLPDVSGARGFIKLGDGKVK FLELDAEKMGDFFSNQQGSFDAVWISEALSHFPNKALFFENVMKVLKPGGKLVLADWF KAEDLDDTTFTNDIKPIEGRVPCKISLSVSELK FPSE_01315 MFSSRKENDDPPVYPAVPNSLRSESFEMTEMRKVQEARADTSPN VTPYLSLRSRLSQIWINRWTVLLILVLIRVMILIVDLNKNVGDAKIKALSACTKVEDV GSAMASMPHYLSRGVNELAASGIEKSVDAMVYMLDLVLVGVKNMIIFYINFLTATYVC LITAMVHGSLDVVADVTEGATEKFNSLIDKATSKIESISKELEDGINDITDGIERSVI GRLVPDIPKVDFSAPIKELKDFDLKSEDFVKDVRQLEDDLPDFKEVQNLTEQAISIPF DFVRKTLNETYGNYKFDRDAFPLAQKQKLSFCSENDALNNFFNNLFELIAKARTIFIT VLIILALAVIIPMAWLEIRRWRRQQQHARLIAQNAYDPMDIVYIASRPMTATFGIKVA SRFKGKRQILVRWLFAYATSVPALFILSLAIAGFFSCFCQWLLLRAIKNEVPALANQV GAFADDVVENLESVSAGWANDANGVITGFSDDINHDILGYVTNATDAVNDTLTTFLET MNDGLDTVFKGTILLDPIKTVLYCVIGNKIEGVQKGLTWVHDHAEVNFPLFENDTFSK GAKDSVDGDAELGSFLASPSSATTDEVTGAVKAVTDWLHNKLIQDALISTGLVLLYVL VVLIGTVWTIVGMVTPDKGRAEGGMRFTGDNRPGFSPRVGHHDPGNPDGAASFPRFGS SSGEEDHYREATSGRDEKFMSGATGARATQVDGHQRSSSYGYLDSASGKH FPSE_01316 MDAIKQTFKRCKEQNRSALVTYVTAGYPKPEDTPNILLALEKGG ADVIEVGAPFTDPIADGPTIQTANTIALNNGVTIESTLGMVKEARSRGLKAPVMLMGY YNPLLSYGEERLLQDCHSCGVNGFIVVDLPPEEAVSFRKLCNKGRLSYVPLIAPSTSD TRMKILCQLADSFIYVVSRMGVTGATGTLNANLPDLIERVKKYSGNKPAAVGFGVSTR EHFLSVATLSDGVVVGSQIITTIKNAEPGQALSDIEKYCAYLSGRDSGDSNTREVGLV EAVAGAREPSGDNVTVSDTITDADITAEEDSALVAQLAALHGKIPERFGEFGGQYVPE SLMDCLSQLEEGFNAIKDDPSFWEEYRSYYEYMGRPGHLHLAERLTEYAGGANIWLKR EDLNHTGSHKINNALGQLLLARRLGKTRIIAETGAGQHGVATATVCAKFGMECTVYMG AEDVRRQALNVFRMRLLGAKVVAVEAGSKTLRDAVNEALRAWVVDLDTTHYIIGSAIG PHPFPTIVRTFQSVIGRETKEQMLEKRGKLPDAVVACVGGGSNAVGMFYPFENEPSVK LLGVEAGGDGVDTPRHSATLTGGSKGVLHGVRTYVLQDKHGQISETHSVSAGLDYPGV GPELSSWKDNERAKFVAATDSEAFLGFKLMSQLEGIIPALETAHGIYGAIELAKTMKK DEDIVICVSGRGDKDVQSVADELPKLGPKIGWDLRF FPSE_01317 MTSWAPARPALRALASPSPVTPTRLFSTTLPQQTKTIKSHLLPS RIIPPYPYGERQLYKQSNKGLYGSARIRFGNTVAEKYNNKARRYWRPNVHVKVFKLPA LDANVKTRLTLRVLKTIRREGGLQEYLLKSKPARIKELGPGGWNLRWLLMQSKDIQKR FNAERVALGMEPKEIEDRDDIIQYALDVATPGPLSKRSQVTRGELRAQEFVLGEDDLA DLEGVEELSDEEEALLMQQLDNAEAEAATTEGAQKITV FPSE_01318 MTMFPPVEDSVLQNNPDFANLYNKLTNVVLNPDCSTQNGPRAKE RASVRQELDRRRLVSAKQHLLTCAISSATPSSTPPATRASSRLQPPKGHQAGGSNSQQ QQPSLPEPLLDLLIVLPPLLDANNPPLPQDTLQLLFAHPPLSELETLLPALAPIIASN LRTWALGLARIAHPSTNASFLHRHIASLPTTLSGWRSDLAAAETELSSHRLRSLAALT SLLQAATNALSLLIRALEVKHGKVARSLELRAAEASLHARRYDADAVITAASVRRAFY TPEAITALRNYGAHLRDAKMRSEERVRGLAAELGEYGVGVDGGESKEKKMREMSRVYR EMTRQMDDTRRDLDRLNQG FPSE_01319 MLSTLRVASRRAARPAQYNLAAIRAASNWANVPQGPPDVSITEA FKADKFDKKINLGVGAYRDDAGKPYVLPSVREAELKVVESKLNKEYAGITGVPEFPPA AAKLAYGANSPALDRITITQTISGTGALRVGAAFLAKFFPGEKKIYIPQPSWANHKAV FNHAGLEVEQYRYYDKKTIGLDFEGLIADVKSAPNGSVFLFHACAHNPTGVDPTQEQW KQISDVVKEKGHFAFFDMAYQGFASGDTDKDAFAVRYFVEQGHNIALCQSFAKNMGLY GERIGAFSMVCADADEKKRVDSQLKILIRPLYSNPPIHGARIAAEILNSPTLYKQWLG EVKEMADRIITMRALLKDNLEKLGSKHDWSHITSQIGMFAYTGLTSEEMTRLAEEFSV YATKDGRISVAGITSENVGRLAEAIYKVKG FPSE_01320 MADAQDKVSPAPATAPPSDTTADAPAGPGIQDPSKTHINIVEHA RSAAHKERNMTIRQGIKLYPKAIFWSVLISTCIVMEGYDISLVNNFYAFPQFNKKYGV LGDDGEYQVPAAWQAGLSNGAHCGEIIGLFINGWISERFGYRYTVMTCLVCVAGFTTI FFTAQNVQTLLVAEILCGIPWGIFQTLTITYASEVCPVALRGYLTTYVNFCWGLGQEI GIGVIHSMLKREDEWAYRIPYALQWIWPLPLLIGIFFAPESPWWLVRRGRNQDAKEAL LRLTSLDRETDFDADETIAMMVHTTALEEKITAGASYWDCFKGTDRRRTEIVCMIWAI QNLSGNSFSNYSTYFLEQAGLSADKAYSFALGQYGINMAGVFGAWGLMSLGIGRRSLV LYGLCGLCSMLLIMGFLGLVPEAHRTQSSLATGCMMIVWALFYQLTIGTVCYSLVGEL SSRRLQIKTVVLGRNLYNVVGIINSVLTPYMLNPTAWDWGNYAGFFWGGICFLCIIYT FFRLPEPSGRTFAELDVLFERGISARKFASTEVDVFHETVEENVMNRYEELADAPSEK VHNKA FPSE_01321 MLSLLVQTIVIALLAALSFADVDPVKDLATYNNGFLGQFPSQTF KSSDAVAPVFQINTFEPSLIDNSGFLFLTLKYGDKSGPAIFSSKDLSLVYADIKYERT FDARAQMRYGGKYLTFIEGGRCHAFDANYQKKWSVSVRDLGAVEADIHDFEFTTQGTA LLTAYQDVRYNLTVLGGDIDGWLSDSIFQEVDLDTDRVFNVWRSFTHVNLTDTMVDYD PKTTYMGGHGFDWFHIDSTSKNHYLVSSRGLSAIILLHAGTLDPRWILGGKHNQFKDL SGGNATNFANQYNARFVQGNESRLSFFDNQVTENGMCNDDNCSRGVVVELDYDKMTVR LLHEFYHPQRIYSGSGGSVQGLDNGNFLVGWGANPGITEHSPNGTVVMDIQQGVIPHV FDNNPDKDMSVYRAWKMDWIGRPPWGPSIASSAPGEDTSNATVYVSWNGDTQVHRWEL YEVEDDANVTSTPRLLANSSRSGFETEIHLGGLGLPSPGSARAVAVSKSGKILGSTAT VDLASGEIHGNRSSVWILTPQPSDEEPIDHRPDGKKKEDDEEDTAYQTKPSVSSLFAL LLAIAFISF FPSE_01322 MSVLFLRSPFICRRCLQVSRRCRTLPLTYYSTQAGASKTEKQQS KGVIEKDKESSDAPTPEKELGPMARRLEEATEQALFTGGRAGRRAVEDAGFSEELKER LLSKIADAEFKSEHASAFAEAGLSSSVGEGTRHIASVQAWTGEEHTADTVLRMLDDAR KPLAPGLRGKFQPPPVDMRLRGQSKRSAGEKVAKARDKVNTYVGMGAQQPKNGMSEDE KEAWRRELRERFEPGARALPNTITGLAALANERIENAIARGQFKNIPRGKGIERDSRA DNPFIDTTEYIMNKMIQRQDIVPPWIEKQQELAKEAAVFRGRLRNDWRRLAARTIASR GGSLEEQIRRAEEYAAAEEVHNPIRRKNSLGEVEELKAASPVVGRPFRDSAWEQAEAS YLKLSIDRLNALTRSYNLMAPDLAKKPYFSLDRELKACFAEVAPTVAREIQDRATGGK ARSLGGGGQAGKQTGLLETLTGSDKVKVHVEAQEKAYGLKEWWRDVWKKN FPSE_01323 MLSHLRFHRRGPSSNPTSPSPDQTPTSPAAGHPAPFSPDVLSPE LRPTSSNPSALPPTLPPISRVTTEDIGKSRDDRQNAAATSPLDTRPQPASKTSSFIGG VALRKYQRDLEAQATEANDSKRGTGLLGQHSASQPSLTSNNSPVRPSPQVIKNTRTTS SFSTPTDLQQPTGRRPAGTRLVTELPSLIQTTSNTETPKPKKGLPFLKKPMSTLLMRR KTSQHAPDLRPLPLAKRREDPVYDPRIMGTRVHDFSAPRPKRNTPNRDTTQTSLASPI PMSAPLPVQDMFLSPSASQTEQTPLSASNLTTRMPSDASDSIYSQDSRALKMTPSTAS AVPHAPIPDFNALTLSDAPPVPPKDSAPISVQPKSPRASRLVDEDAYIHDRPSVSHQA GRSRGPSLSGLSGKDIPSAIPRHMKSTSSRFSFDMIGAAKQEKLLEDRHRQRELEKKT ADVPAPQDSRFEDLDDDGFDYDAMMDDDGFEEDIPMVGDDYDDMDGDFNNGLDDHMHD HMDDHTGGNMNNTLNRTLSTFDNNLNNTLNGNMNDDLDEEFDPDNDQENFSGFVFQRS GPTSSITSPRSAGFLPTPRDIEGNRIGFAMSQYTPEMLSPLSPRVPLDQRMSQEMEAG GLGIQGLEIPRVPSLENEAAFQKNQDLPPINTGRSINDDELYYDDGMLEFERNEFAED LAAPPEWDDTPFDESIFDNNDTDQFGRPIAGAFAQAQSQKRSENQDEPNRASDSTEPL SAASEIPQTTAHTSLSADETEEIEPGAAQDSPVTRSSPALTAEVPSLSPPGDDPFAAY QAALAAATYKAVASGKFHRGSTPPPVETILSAPDHPSDMPDHDNAFRQDDYESFDDYG DYDDTYENMADLELDDDAIIAEANASALANDCDGWYGQEFGFYSAGQQQGPEFEYANG GFFGPKGGLDRSTSGRMISREPNLTPITERSEYSNRNSFMSLGMPGFGGGTTPLQSPG LAQLALLGDRGDEMTLSALLRLRSRAWGGSQASLVSSQNGSPRSERGDMSASPWGQSF MSPTTFHTRKNSVLSTISHDSDSVSASGSPTLTGGIPGFTLSPPPIPTLAKLETGVKN DAQIPPLNVSRPASKSFGNPISPVSDSEESMPSSAMVSPLAPSNRASMVEPSVIPKRP GMGHHHKGSADSISYIKEEDSGATRWVMERRRTGEFGQVEIEREVLEEGRI FPSE_01324 MINSLWKSPAELDHQIPGRRDSQDSVMSRLGGRNVFIFCSSRMW RGTPKLLLQITAIFFTSFLIFGILPDRLSGGQLGSGYRGMFPWGSYEPEPDRNLRVVV FGSPDVVGNVHDPSSERKAWTEELCDELECTSYLSFVPKAQPNRGLISNDMYEETVKD LLNTTKFTNVKEKPALNYKYIAKNYPTPSKVPDLASQVQSFLAMPPPEETPRETIWVF SFGTWEIWNMAAMPRQESEDAITYMARQILDQAEILYERSLDPTSIAYSDFWTNATES QISELTAPGALDKVDRRKFEGFRIIVPTIFDISLTPGWQGRKTPPAPNNVVEQTRNAA ELTKYWNQEVDFAVAEWKERTTKKPKRLTSSTGTSDKTKRAESIEPVEHSEDTKESAK STYENQRVIQAPYPMRNGLLVTLDQGVLDAMTEGDMERAAVVDLRGRGTLSANDSMRF ADVWTPCVRGDIADLKINEKEIKTECEIERDHLFYDSFTISERAMRNVVKAIMGDVRE ELFNAEEKRGWLYGGW FPSE_01325 MDDKLDFDPRAFAADMQLRTRKARNFDKVEHDLPDPKSAAFQKV QTAALAGPVNVSGWLSRVAGWNPFGKAVDAGDILWLMDNTAYRNPETDQWEAEFVAAV FENEPKCRVADIVSGIASTLGLAEDAAERDVIEERIIPFLWDIQAARVFWIEHKKKEI KLGPTSINGITTSVQPVDRYHKGSIVDATALVPHGTKGIHDMQTYYAGPEGWAVISDV DDTIKVTLTSDPLGILKSTFIDEPTPVPGMPELLSDLQTLLPRDTPWFYLSASPYNLY PLLREFRERYYPQGQLILRDSSWRTVAGLLSALTMGTEEYKTDRMKKIQSWLPKKKLI LIGDSTQSDPEAYGEIYRTFPGWVKMILIRKATDVAAFGIDEKNQLERFEKAFKHVPV EAWHVFEDPSECRNIVRDVVRKRG FPSE_01326 MFRRRRRSDTPPPTPAEQFRRDAEFTLPAATQGQSPLLTLPAEI QRMIFTELLGDKLVHVHLRHKDDKNEREGAPSKVSRWIHCVCLRERNAVPHYHDEKNH KWCFLSINILRTCQLAYLQSLPVLYQTNTLAFRCVRDATLFESLFVHFSSLIQSVDLY CTDCGCFAENLCRRQCHSDRRWRMNMYNFDSYYQLFLNTTSSSAKIRILRLYFENDDS SSFHHRIKALLSSSVQPDAQIQIFVPGEFDKRADATIKVEAPPTKRDRVTVITHAKNP FSVCRGEMSDEEAEQHTDGNVGRVVDSNPRDEGLA FPSE_01327 MYRGKNCENLDPNVVALLTSTGCAYKSAIDCDTMCWECAQRGSS LSLSLSLSPSSSPSPTPSPQSGSPFFTKLSREIRQQIYHELFGFLRIHVRYHNRNDQS SHKISWSYVLYQEHRPEPDSFSGNENIYAHVPESLESGLLYTCQQAYSEGRVVLYGSA TFFSRSPIDCIHFTKDVPRRSWPQNLDFDCTFRPELEPSVPLIDLLYWVVDDFRAAKV KIWLADTYYRTDRVGDKTQQKTIEALKHFLSYSKSDTTFILPSCFEPAVKNLKNGSSG KVTFKFLEDNTSEERL FPSE_01328 MFSATRALRQAAVHTERTPLIKFLGPRTIPSNLDHTPRPHPASG AEKLPESWSGYGNGDAAASHKNFSSYRDHVQQHGPLQKSGFGGTSAASLGSVNAPKGV AFDLSELPARFHRARLNAAEIEAIESGGAALFG FPSE_01329 MASEDAGFDMSDGFGLQRPNFHIGYHNSNSNEPLTDIQYGHLLN HGLAFATTPITNTHFRDRVFALVSEHLSILSSNGEKPTTTATGSRAEPILPPLTTKDT GLFPCAAVNTYTAVISPWIDLGSSNPIISSISRQVLNVEINYANFCGVRSIIIPGPRQ DASIDGGNQSLAQYSRAVEEALTVGNRLTFLVQMPMYREPGQESKEVNISSLDVKTPT KTEGKEIDLLAAWDSWHHVRSVCNYNTRLFVSLEIPRVMPEKALQDRWFAEPLHYLTF SPPTFQANKAGFPSLSKHHQNLIFSYMRLKNVPWILLCDVGPDVSHLNGSPQAPPTSQ DEFPSLSEAETQNQLSKGQASQVKTNDYVSYLRWLEDQQPPFTYLESPTLTSFQDWLQ SPLQPLSDNLESATYEVFEGDPVKYSQYEIAVFEALTEWKELKKPLSKEGKVVVAVAG SGRGPLVTRALKASEDAGVPIDMWAVEKNPNAYVYLLRQNELVWGGKVKVVKTDMRAW KGPAVSEDENGPVYGKVDILISELLGSFGDNELSPECLDGIQHVMSTPHGISIPSSYT AHMSPISTPKIHGDILSRVPGDPHAFETPWVVRLFALDFVAERVPNKPRFQEAWEFSH PIPESSLAALEAKRSGGVVGGGGGSMAGAAGANDHNSRYCHLTFVCRTRGVTHGLAGY FESTLYESQIPDNKGAKIEISTHPERIDDKSKDMISWFPIFFPLKKPLYFPADTELEI SMWRQTDDTKVWYEWLVEAYTWVGASTRVKVASSDLCSSRQVACLM FPSE_01330 MSDFMGGKRSSADADESTRKKAKKEGGDDEKYNPYLAHMHDNGD SNGNGQEPSPDSPLAGMKRQHTTAKQAAKAEDSESNPFTGRPHSQKYFQILQSRRDLP VTKQRQEFLDKYHSTQILVFVGETGSGKTTQIPQYVVYDELPHLTGKLIACTQPRRVA AMSVAQRVADEMDVTLGEEVGYSIRFEDMTGPQTMLKYMTDGMLLREAMHDHEMSRYS CIILDEAHERTLATDILMALLKQISMRRPDLKIIIMSATLDAQKFQKYFNDAPLLAVP GRTHPVEIFYTPEPERDYVEAAIRTVLQIHASEPEGDILLFLTGEDEIEDACRKISLE ADELMREVDAGPLAVYPLYGTLPPHQQQRIFDKAPAPLRKGGRPGRKVIISTNIAETS LTIDGIVYVVDPGFSKQKIYNPRIRVESLLVSPISKASAQQRAGRAGRTKPGKCFRLY TEKAFKKELIEQTYPEILRSNLANTVLELKKLGVEDLVHFDLMDPPAPETMMRALEEL NYLACLDDDGELTTLGSMASAFPLDPALAVMLISSPEFYCSNEILSITSLLSVPQIFT RPANNRKRADEMKAQFAHPDGDHLTLLNAYHAFKGQATSDPNSAKQWCHEHFLSFRHL SSADNVRAQLKRIMETHGLELVSTPFEDKNYYTNIRRALLAGFFMQVAMKESSGKLYR TVKDDQAVLIHPSTVLRTEFDWVLYNEFVLTSKQYIRTCTGIRPEWLLEIAPTYYDID SFEQGDVKRSLARAAEKKRRKEAMKAGR FPSE_01331 MSGAGGFYKYRCKYFYTHNCPNWVWVNNAPCASCLADGRENEGS ALPTWGHPRDIVVPQVLNGILQYTLMELVAPSEPGDDWTLRDKASRPPPSIPVTSAMP GIPGILAQHRF FPSE_01332 MRKAAFLGLVPAVMAIPAAVPGAAPTVYLRAAAPVAQPTEIADL ERRDIINDVETYVGGIASGIETKVKGWVNSGILDFPTGFPTGDDVKKSAGVDNDELKS EPTQVLNIPPYGNWTSKGWNVRVHGNVYKIPDLSQEKVDDLANVFLIDTEVKDLSKSQ QAQARNVTKSIFVVQQDDVEVKMNFVNNVDISPDQNGGAIDARGGAQNITMPYNTTLE GDFDAFVTLKNTSGSDNGYLIPGNETSKIQTLNLYAEGKNNSGNATAYLVPPKGITIV SDIDDILRVTKIYEPKEGLLNTFAHPFTPWMNMPKIYANWSTSVNDLHFHYLTTTPEQ VTRNYMSFIYNTYPLGSFDTRPLNFSDVSATLSIRRFLLDKVFQTFPDRKFILVADTS NSDVMKDYPALYKDYPGQVQCILLRNTSSTDSGDKFPYNTKGFKDIPQKNYMFFHVPD DLTNLDIANGGCYNSTIKQNVTFDYQGLPFGLSDDDNAASGGVSPQLGMVLAGLVAAG LAMML FPSE_01333 MATERAKCHTDHQSGTPVTVVMNSFIALSKINTRDASPTVRSSF LERDVYCRQLSFFGYPPMCRSRACNRTSWMPQLDHDIWLQSFALLSLKSSFMPYKRQK FVTRPLKSGRFDFGAGYSGQARRAVQSPVGAREDWCHG FPSE_01334 MANSSSSAPSAGAQPKVIEDIVEAGKKAFDPTSTQRQKGVEEYN KLIESTPVWKLLPALNALIKPSILPPWLRDPVLQTLTLLPLRPTGVRGTMEFVFSVHP SNVGRIPDAGAGPQKQGASITHEGVAVATKLLSSVPASLTPQAWFDGISGQMFELIDG TAGPELAKTASQVVGFGILGSKQYGAPGSPGWNAFVQPVVQDLNPSMRSMPNSGSTFI KQEDDEILDLSRDRVLTASHSLKTSLQRLKTLVISNSSPGLCRRLLRLVLIQVWVLAS WHNPLQNTEENFVKPARTLLQAYLRLFGNAESIRPFVRNLLCKGVVDGTEKHWEYQLR GNGEIEVVEPRGFESTTGIELNWNELEDKATKLVECVSTACSVTEVSSIFLDLLKRWI EAAGKQTEDVKIDIRPTEGRSDSPLQNLFEVTVLQKFMDKAPEKLVSQFDQLLELVCQ VLTADTQSRLADDLLAVVLSLLNLVITAPTFQKSHIKLEELKVVESALERLGNEDRPQ VTPTARNLALLLKYRDEMEENEGSASAPSAKQIEDQRTYKLAMEYVTGGDDNPAPIVS EGLNLLSSLIEKNSSILDIPAVAVLLSTLIESNDDFINLRVMKVYTQLANKHPKSAVQ ELLDHYLDAQEKSTTDVRLRFGEALLQVIQKLGETFSGDVAQQVSETLLSIAGRRGYR PKTMAKQARDEKRQKMKKKKEDEDEDDNDMDVDEEVTEEDKARNDILAQILQGWESKR GTEDVRIRTSSLSIFGAALEINIAGIGPTLVSGSVDLCINILAMEREMETAILRRAAI LNVLSFVKALDAAKKAGRKLGFGLTDDSREDIVTTLGYVAQTDNDGLVQDHAKEVIES LENWKMISMLPAQDQSNSPGLTTLAGLHVNPSGTLVDGSGKPRPRIEEVE FPSE_01335 MKSVVASIGMIAAVANAYQFPQHAHYRRDYNGTDAQTTLTVKTT VVETITSCAPTVTNCPSRDQTAIAQLPESDKTTLTATHTVILTEVVCPVADASSIYTS VLQHAETGGVTGKTITADVPMNTGGAYPPPPEKTVVSDYTTDQVVTLTQGEETITTTI HKTIQKTLTVPAGPEVTDDGSKGNGTPEDDTTTTTTKTTTGTITKTIQRVGETGPATG GDNGYSTGKSEDDGSKGTGNGNGNGSNNGGSGNGNGNGNGECSPETVTVTAPASTVYV TVVPEAAKTNGADDATVTGKTVKGDDSEDDDEEDDSSEDEICDTTTTLEATVTVVPYP VNGTATGGYAKPTGFSRRLR FPSE_01336 MSSGPKPQPRKRGRPANASKSAATSASQDRPDEYEADNEEPVLP KQRGRPKKLAQGDATEETVTQEDKPRKKRGRPSLEDKDAEKATEDAPKSKKKRGRPSL ERNQKEQEPDPEAIENEVSQKRKRGRPALGKNKNDDAPEPEPEQETVEEEAQAKKKRG RAKKNQDIDQPEQQLAETEKQPKRKRGRPAQEKDQHNKETEQEVADDSEKPRRKKKTK GNQVEHPDEQQEEEPEPQPEEAQPAPRKKRQRQAPEPEPEPEDQEPEEEEEEQQSRRS PRNSSSNGREDTNDKGRKAGKSKKTSQENAKDQQPDDATKKRGRRKGGKPPAEEAVQP EPKPQQQQQGISKKKRQNKNDAPSDSDESLPSPPKPYLHIAPFKRTIRSSHIAAKWSP LTGSSLPAATSILTLAQRPILQRTATTRNRRDHATAALNLVSRRIERKLDRGVPFPPA SSTTGTRRRADADGGRAMELDFEAVLDGKQALERQLHPGKHAVELLKAERDRMEKELE NDYEVLRGLEANARAQTRERKDLFRKSHVLAPTSPPKKQAQDTQFVANKDEGSLKELL DTPLEPIALQLAGHVDSIRGNLQQAEGVTPQLNRTKAALQDVLQQYLDSDTYEQVLLG FPSE_01337 MPPKKSNNLRRRPETPILNVAQLQAQVQTQDEPLTADPNSPPET PTTSPPFSRKTSLKPRRQPDFHDYFQPLDSDPNIRPVGDGHQFSYRPSLGPEQLSPRS SSSSGMADDDSSDEDSSSSEDEDESASPSIRSMGNATGPAVSSPIDDSDVDLDNDTDS SSDSDTSESDSDAESEKSEATDEVMASHITIETTATVAHNFTLEDVDPMDSDCDGLDV LQPTEIESNRSRSRSRSRHRKEHKTMVKDFRNLNCSNETSETEPGADPEGVPDEEAIF LKHRERLKRIRRMSMGSSFGKRTHSELSDSEDDGEGLDANEVGSSARRMRRKMHRTSL LFHDPPPARIEELEEPDSSEDEFVARHEHLARELPYWAIEIMEMDSS FPSE_01338 MSLISVHLEQIGYSCQGINDLPFPPPKVFTNALLSNHDITSIIR DTEPHERALFSVPPPPPPSTTLKPTEPEKKKSSSRRQTVFNVASGEVTTGPPPRTGTH PRRQTAVAAVLGGQMHEELRRGESDRRGDVDVNVLLRGAEKLCGVYELPGARERIATL RSKHAHGKNTAAYYEARVQEQADQLATLDHRDYFDEEEEEEEEGDVWTEDDLRREEEE AKEMEVKKRELQVRLRQMEKDLGGLMRM FPSE_01339 MPTISIKVISDPVCPWCFIGALRLSRAITLYLKTVCSSDTILTK WHAYQLDADAPTQPLVSKIASKWGIDQVPAVKTRLNSIGKREGLEFNFDSTIGNTRDA HRLEKLGRKVGLEMEVAMEIMRMYFLQGGNITSKGGLIGAAERAGIDKDEAREWLESD EGGEEVDAEVAEMQRLGVKGVPRYIINDKFMIHGAEDVGDILEKLVMAREVALAADV FPSE_01340 MEVTRPADDLQRQVLQNTLDEIATRQEEDATDCCVICLESITEI CEAIPCHHRNFDYICLLSWLEQSPKCPLCKAVVSQVRHALDEPIAKTYIVSKPAPEPE KQPSDHRPYAPSSLRRQLPPRRRPYTRRSRYETPSLSPSDEIARRRDVYRHNRYSKHV GTNRLSRYRELTPAVFCADSELVSRARMWIRRELQVFPFLNPDADETEPRPSLNAPRN AVEQRRANNAEFLLEYIIAVLKSVDIMGSAGQAEEMISDYLGRDNTRLFLHELRAWLR SPYTKLTDWDRAVQYETSTSNTLGVDSEQAGNSNSSSRRDQPEDKSKHSSDRNGPRGQ GDYYRPGGRSQRPRGVHRREPYARQRSRGS FPSE_01341 MDEDTRAEYESTSQQLRIDLKTWETDWAKSHEGKKPGRGDIKAN EDIAVKYKQYNKVRDILSGKISPPSKDAPKSTKRKSDGLPAQTPIKQNKHIETPAKNR IQNHDEDLMNTPAISRKLFSPTSVTSVGPTPQRDGQVLGLFDLLVEKELGTPSKKDSA TKAGSARKVDATPSKRSAATDDDEDERLGRTPMSSSKRQRLDHFLTPLKNKDGNKDTV TPSSVSKLQFDTPAFLKRNTLPVLEENGDFDAPAPLRLPRKPFTRGLSEIVASLRKVE EESLDDDLDALRDVEDGGMGEPKPKALFPSKPKDDILVDDNEARQLPLGGFDDEGVYD SPVEGDNPTRVYKKKGQKRTTRMAKMRPVRINRPDNMAANPQSDVENDEIQAGGEDAG SDFDEIVEKKKPAQKKEGTVKKAARKVNELAHANFQRLKLRNHGAKGGPGINSRFRRR K FPSE_01342 MVVNPFQHIDLDEDDGIDIPPEVLANPNIDWMTPSNQNHGFVVD DVFEDAFAPQEPHTNTDHGTPKMWTKLGEMEDEEDDCMSVMEQVDLSSMSPAPSPPNY DSGPGDLFEGIDVKILDDIEPEMTIEEDFGLAVDQSGATAESLEQEDGTAVKVDTLDL PLSNSVRVEVPDALFVIPQSFYEPFEPGVPIDKEHKVVAQLLEAAIQEGQDADDFIEF ELNDFAIYSKRPRYEHEMCSLHHLDTKSGHSNLYFDGKLSVGNTAFFVRHVPIRALPI GDYGTLSKHTVRDQIWVQSAMNLKKNIYYRLNRPAKEYRRFFDPFLWVADLAKHFVDY LKVMGENKHDVTIFHFRSTFSNWLAKTHNTDPVFTSWREQHPSADFRTSIVANLAFLH KEAIGVLDYAGTYHHTLWAEIWEFRRYGRLPKTKESDKEALSKPSRSTSRTPTSADQV DYDQGENVTDVPQTTVTQYIYDCFNHLPFGDRLEAMPLSDETELLRNELIQKRHLELP APLHQNAKNISTAGQQQIKDIQPGDTISTQRDGADSGTKWRRDLSHGFNDVDRWLALV QRVRVSKKGVRTFDVIWYYRPVDTLCALMKYPWNNELFLSDHCSCDESSKISEDEIMG VHEVEFGGTSNTKAEFFCRQTYVVTERKWITLEKTHLHCLHQRKPTQAPLYQPGETRL IMLDKASGRSEPCEFITSYDEEGTGIYRFRRLLRRHQVDPKTPNARPNELVYSENLIE VKNRRILEPCYVRFFKAQETIPTPYDRDGVGNFFYITHEEVIDVETAAKSYVPLETLP SSLRQGHNPSEPLQKLRGLDLFCGGGNFGRGLEDGGGIEMRWANDYDGKALHTYMANT SGPDAVHPFLGSIDDMQRFAIQGKFTENVPPVGDVDFISGGSPCPGFSLLTNDKTTVA QRKNQSLVAAFGSFIDLYRPRYGLLENVPGIIHSKVNRDQDVFSQLICAIVGLGYQTQ FFFLDASSCGSPQRRSRVFVVFAAPGHHLPQRPHITHAHPEHTKNYGLGSTPTGDPMA ERIMPIATPFDFVSARAATAGLPPVYDSKPDICVSYPDHRVSIGMTSNLRNKISLIPT RPWGMNFAQAWFGLDCKKAASGVLSPADRLVFPEEGKTSLTRGGPTSNAYGRQRPDRL IETIVTSQSPSDAKNGRTIHWSENRVLTIMEARRAQGFRDHEVLLGNPADQWKIVGNS VARQVAVSLGAVFREAWAQSLADEHHAESDVGVATTARSSSKAPARVGVEVSPSMSVD KPTFESASPSNKTPRSRKSRSQSRKSQTTPTPGPGRSSSSKRSSIAIEVRVSKSPKSE KDDSPSRGTSVASSRTRASQLRHSVSNE FPSE_01343 MEFESTDINFSVSWLLGLKPKSTYPPWARDFMTDEPRFLVSRLL GLLPSRKPRRRLRRRRCRSKLVIPSTEPIVEDDQDAVDEDMDVFGLGKLYGDIPYETE DDSDSESEDAPAVEEEPPVLAEPEFLRLCKNMEELLDDIERAHLEENDDSDNESIDGL EPEDCQDESPSRIEWLRQKTVEKVENKYLDRIMSLPGLEEAKAFFLQTRAKVKAAQRR ETDLSKENFDVVFMGNEGTGKTMLSRLYAKYLISLGVVKKSGVFAGINRFSAYNLSKT STLATIHNTCNACGGCIAIIDHAHLMNGDDSNLWSLYVRSQDLPGKVVLIFAGNGEWG LSDLMGYSAEVSSHFPILKLPNYTAHELRMVFHGMMRKWFKNRMEVEGGYDGLYVKIL IRRITSDANGKTFGNIWPVRKAFLEACRRQLERFIQARKTGNYLEDYLITKDDLLGNR PSLGPDKSPAWKELQELVGLDDVKESILSVVSQVNQNFIREMRGDDPLHVSPNRVFLG PPGTGKTTVAKLYGKVLADFGLLSKGDVITKTPADLLDRYIGGSENNTKEALREAKGN ILIIDDAHMLDPGTNGSSGSSKNGDFRGAIIDTIVAEVTGAAGEDRCVILCGYPEQMK EMYANTNPGLARRFPLDAAFVFENFSEETLGKVLDLKLAKEKLITTEKAREVSMEVLK RASVRPNFGNGGEVDNLLSKAILARSRRIAKERKSDDEDLDAVPLEPQDFDADYDRLS HAVKNTRALFDEFVGFEEIISKFESYQYMVQGMRMRNVDPRPYVPFTYVFKGPPGTGK TSTARKLGEIFYNMGLLAAPDVIDASASQLVGEYCGQTGPKTRRLLESALGKVLFIDE AYRLNGSRGSFAEEAVSELVDAVTKPQFARKLIIVLAGYEEDMDRLLRSNQGIKSRFA TEFTFRPMRTEQCIEQLRQVVGKVGITIQSTPEMETSIRTSLFSLLQRLSNDKGWASG RSIETLGEQLIAQIFKECAINNFTGKDLFVTGRQLVTILGKASGVPYASIRMPGVQAP MGAKVMTLKDLETSE FPSE_01413 MRNTQSLMHSFQLCLAHYCDLHGPTPLMVTEGLPASCTVCFEDG AETADDRPRTSPHASSTALNEALKNLDLSRSTSVPASEQDAQAQRAKLLRNSSSNTGA NASAIDTPPESPRPAPPHPRRDSSFRRTYDETVTKKQGPCDNCAMTLPRQQAGANSPQ LANNARGPTLRTRAPAERVFGASGQASPPNSQTSSDTDGERDEDPRAPRHRVTPSVSS RNTSRSSKSGGTGNSAAAERTPFHLHYVDYTSTHAPVLPDSFSLIRASCLRTLSFETL PRAPSTANPTSPVMTTSPAFVTTQSAGSAVTGGPIFFGDANAGYTTAYIFRIPDVHAR GHKRVYAFLALSTHRERLAMKTFAVVSTAFRDFATWIQQLAEAEAERAAENSPIGSSI YGGGYAPPHPPVESSGGTDRNGSSFLTGGSGFTRRMGGGPGASAPKARGLAEIVGQPD IFIELHRRFVHLLFEVGVTLSS FPSE_01412 MGDVAVENPANQVAPHKRAAHSAIPTIDNFEGVSTDGGDDYANL KKLQRQLEYIQLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDREKLKASSSVALHRHSNALVDILPPEADSSIAMLGADEKP DVTYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQTANVKVIMATNRADTLDPALLRPGRLDRKIEFP SLRDRRERRLIFSTIASKMSLAPEVDLDSLIVRNDPLSGAVIAAIMQEAGLRAVRKNR YNIIQTDLEDAYSSQVKGTGEENKFDFYK FPSE_01411 MSSSIAIPAGPSATRPFHKGTGSSTNSPYGTSPSSSPPSAGKLK ALHSRRPSLLSSSLSKQECTVINIGDPEGPPRLISYLSSSQGFAWNPEIFLPSYIDCD YVPLENRRDPVHEIVLSDDESKNMLPH FPSE_08816 MRLLNVSTLSLQEFIGRVPPYAILSHTWGEQEVLFSDIGTPTAA SKQGYAKLTGCCRKAAQDGFDWVWIDTCCIDKSSSAELTEAINSMYAWYQRATVCYAY LQDVTDNSLTLAFPVVEFYKSRWFTRGWTLQELIAPQTVELCSKEWNVIGTKRSLASG IGSVTGIPISVLRGANPSTYNVAERMSWASARTTTREEDLAYCLLGLFNVNMPLLYGE GSKSFLRLQEQILKQEEDYSIFAWTLQQDNGPVSHYVRATGFLAWSPAQFSNAATTRT SIPLRGQKPQDSGSYTPATPSKEAIGNDPIYQILHQKDYDRMFRSFDSIRAMRTAPNP PELTSRGLRVSLPVMTPTNHSLPAPTLAWLFYEVQDLLVCVFLDHDRETLAQVHCRAE STCLVGVPKSLLNLFESTELFIHPSGYFADSNTGYSPTSLFSSKRVKLLLKMQSTQMS SLRVVLAYPNDEWMKREMSMHDFSFRVLWICCTQGSQSSLFRIDFTISSDNASCSIRE LPDSQTNDKMDEARKSALLRACAQYKKSFLAHADRSVKRSGRLPGTVFSSALNRKPTS KDNLVVYEMRVDAWDSVKCPSWVQLSLMQDQKEVDDGL FPSE_08817 MSFFKAAAAAGLLIGAANATPHYAAYGNGTEYTTEVVTAITTYC PAPTTLTYGDKTYTVTKETTLTITDCPCTISHPLKPTKPVIPVPPVVYTTEVLTAITT YCPEPTTLTHGNKTYTVTKPTTLTITDCPCTVTKPQTEHYPQPTPGKPGHPGKPEVPA PHPTAPAPGKPEYPQPGHPEAPHTTGPVPVPVNPTGSSPPEGTNPAVVTAAAGRIAPA GLLAFIGALAFF FPSE_08818 MNVTIVGANGEVGLSTIAALKTSSTKFNLTAIVRPASINKPEIE FIKKQGVSVVPINIENNHDELVKTLTGQDVVISGVAPFTTAPEIALANAAKAAGVKRF IPSGFGPSCPPTGVLILRDFKEIIISHVKKIYLPYTIIDVGLWYQVSLPALPSGKIDY ALKFPTNIMAEDGSHASAITDLRDVGKYVAKIITDERTLNKYVFAYNEVWTQEQIHSH LEKVTGEKIPRNKVTTKEIESTIAKAQATYDQGDKSLPSLFGLVFPQYINCEWFRQDN LPERAKYLGYLSTKDLYPDFEAIKYVDYVDEVVEGRGKSIYVNREF FPSE_08819 MDIAIDNTATVQVIRQQLESNIKVLYPYETGIMVAFCRRDGGLY EASLIKLEGTRTAVLHRSDEYGEMEEALRELLEHTMTELHLKIRVDNPDLAYY FPSE_08820 MSTLQYFLVAGATGRQGGATVDAFLSHPDIKINSNQVYALTRDA SGSGAVKLLQKYPGIKIIAGDLNNPKAILQQLDKSILPKTGIYLAQAHGPTEVSDAKG LIDTAASNGIPYFVYSSVDRGGRELSDKDPSYCKTFSDKFLIEKHLISVCSNNKMDYT IIRPTWFADNAWWGFPGALCMTGWKVNMKGKKMQVTVAKDIGRWAVEGLLQPDKRGIR NQALSIASEELSFDDVEKVFIKHTGKGVPVTYSLLTRLLIWLSNDLNTMFGFIGERSY GADLPWLRSQLKPTTFEEYVKSDVPTGKVE FPSE_08821 MSCPARVHPLCKPKNHRVPVPRWHLSLPEGVTHVCTAYIGVQKH CDTPEAGDARDESIAIIQPWLQGDTGPLAHETFTVIDGCDTQETTIWICYWDDKTAYE KSLDRLSLKSIYSRLPNPGRASVGIWREAFVTEYSRLETNYSGLDYLPGLAKLPGATF PEHTLSAYWGAARDRIPNSAYDLFPPSSDHTPPTTAPKGLGQYLIGTNAENVAHIRSG QFWENCGQEEADSYNTKLEPTLRSGLEYLWENSPDTGALGLRYLQNQDPSSPESVPRK ESCGAGFFTNLEALETWAKSHKSHLAIYRGALTHYKAFGEDRKFRTWHEVSVLKEGDA RFEYMNCVPKTGVILSVPLEVENTEGAP FPSE_08822 MASSSPRNIISKNKTPIAAVAAFVSAAAVLTSIYKSYTSYKMSH NLHPSINKGITKGSSSFSGGKLRCKCSSNPVEVTLGGQVAHNHACGCSKCWKPEGALF SVVAVIPRDQVQVTANADKLTIIDESAAIQRNACRDCGVHMFGRIEKEHPFKGLDFVH VELSDEKGWQEPQFAAFVSSIIEQGFPAEKANEVRSQFKDLGLESYDALSPPLMDAIA SWTAAKAKI FPSE_08823 MSTGGPSIIVHPSPVPDSTICVSQYATISTDGTYIRFGPAPTLS IYVVIEKSNAEGQYQWCLTLYSNPPEKWKNYTIYRFGSTDEWIGVFHSCDPRAFNTIV EGFKIGQIDIGWLNTID FPSE_08824 MCRKGRAKVKSGCKTCKLRKVKCDEGRPACQRCLSTGRTCDGYG IWGGGSKDLAAKQIPRSNPATILASLNTHEKLYFDWFKRRTSTKISATFSSGFWSALV FQAGSREPAVMHALLALSSAHKKGVVEMGAHRNFPGAEMNQQEQFTLQQYVKAIGHLQ PHFSAKDRASCRVALITCIVFVCLEFFRGHFATAQLHLKNGLDILAHMQGLHDASSGI LALKPCQETTDTWIVEALSRLHIQVELFRHLYHHSCVILQPAPYPQDATPDRFDNLND AWKGLELVYNQIFHLTHRARQQQHITATEQLSQQLLITRLAQWQLMFQEFLNSRPGGP NRDMAKASQVVRIQYAMINVMADTCIHNNEMIYDSCTDKFILLIQELTGLWESSTHDY TLEDEMPLHVMATCMPRSIIDLGWLPALYFTAVKCRLHRIRIEALKLLRTSPHREGIW DAHIVACVAKKVIELEEGDFYEGIDLDDDFPLNTSMRDQDFQLPLLPEYRRLSEIETE LLGAPMDKIRLYGKREQAGINRRVLLSEYSVSEQTWNDT FPSE_08825 MDSESVVSQVQRKIELQAPEDLSYLIANVRRAAAERLNEAFPPV EGADGEDELRNQIEKLVNDYIDKTFSLASPNLSINGLPVVANTFLSETPAEPEAVYEP FDTRKRRRVADLITQEEKLLEDVAALKRSVPATAAANQAEQLRDSLKRDDNMLEERKK QIAAEAAEIELDVQPLERQDGVEARFRNAVEGLGRLKREMPAVVAKMERARVAGEYVV TQGR FPSE_08826 MASNPKYDNYDFPAQGAEKQDGHAGYLTEDQTAKLEQFRMMLES EGCTDRLDTLTLLRFLRARKFDVEASKTMFLDTEKWRKETKLDETVPVWDYPEKAEIN KYYTQFYHKTDKDGRPIYIETLGGIDLNAMYKITTAERMLTNLAVEYERVADPRLPAC SRKAGHLLETCCTVMDLKGVSIGKVPQVYSYVKQASVISQNYYPERLGKLYMINAPWG FSTVWSIVKGWLDPVTVSKINILGSGYKGELLKQIPAENLPKAFGGECECEGGCENSD AGPWHEAEFARPAWWEKKQDANVIENKGSEIEEPAKAPEAAPVAEGADATQTTPAPAP AAA FPSE_08827 MRNSSQRITLNVARLFHTSVAGPRLRPHRSFHVTSLANLPRNRS FFTSNPYLSQADDDYRPSPDTGKEEKGSSAAKRKPTRSNTAKNSLRRVAIIAQQPKRS PAAPGSTSDEPSNVVSATCVAESFKMPLVLEILSSHGFDIDPDGTKFDANEVVHARGV NGGDIFVFPSGTIVTWSLPSDVVTRQIMRAAEEAHDIKLREFEDLEFTTDTNREASIL KGDLIVLGTRKEHKEADKLDTTLAKVAFSSGLARSTKLAVLETALTSYFESTRNIPAL LSQGAGIPLGRRFILQKTGELLSLRARLNHYSELTDSLPDIFWDTSSELGLEGYYEQV GRALDVNVRIRALNQKMDYAAEIATVLREMSSEQHGTRLEWIIILLIAVEVVFELRRI VLEMMQEREMAADLPKPAMPVA FPSE_08828 MTTPTMAQPTAVQANGTSESPTIDKAQPVAPGKRKRDVEEEEED RDEEMVDQQNPAITNGEPKKDQRDLIKSFVEVLSSYDVGPSILKRPLPESSDGDDEDD HRVKRQKSTDPSTISDKATANVYEDLDQVADDLVSAIHVALKEIKTQPSEDKDESADD TLRTRIAEFKDKAMQLLRREKAYPKISSDPLPIEAKLPSSPQRGELVLSVVGYAPQEK RLFSSLPISDEANLRDLALPPGVSLTHIMPTTSHERAQTLGDLFSSPRSLPPLQPPKQ PKTQAKGNTLDFYRPELTDNCKFRNASYFTTKLSAGCYLDYSNATPSSQTLNKQRERA QSLAGKRPSTTELELSEMESLFRGAFSSFAPCKDDSGALVPSSVAGRMWWQRSGHKNF QNMIEVEYLGNANDEEKTDNDNAMEIDEKAIQEAIDNWDESVVDPSLEEALGTKRTNE EKEADEILEEVTDLIETLSSYQRIRNLNLPNSQNRSSSDPVTGDMLATPGPTPSEGEQ ATYQVLKAQLALIIKALPPFAVAKLNGDQLEDLLISTKVQVQTDGYKGVMEEDEAGVQ ARLRAQQQAAQVNARPPPQRTPSISGVSYGHHTPQNQYATPQRTPSMSQQQYYRPGPT PSFQPQPRPMPTQARPPQPNQYSRPNGYPAQYATQVAKAQTPYGHQNMPQYASQQRPQ FGQMPAQPGTPSQRYPFQPGYPQQQAATPAQPNFGVYTNGQGMPQRTMSPVVNRQAYS ASPNNMQQQQQQPPRYGTPNQAAGNQMNRYPSNPPQQGTPAQNPGLTGYHTVIPEAQQ QRILDQAKARVAAQERSAMFTDKIAQPGTPGYGVGNGSVGVMDANRLAAARASIAAQQ KPPTPNSSRSSMNGTPGPPPPHKVTPVPVPPIPGMQHKPSQ FPSE_08829 MKSALLTAAALLGSAQAGVHKMKLNKVPLADQLAMNSVEDHLQS LGQKYMGAARPKNAADYAFATNVPSVEGGHPVPVSNFMNAQYFSEITIGTPPQSFKVV LDTGSSNLWVPSQECGSIACYLHSKYDSSASSTYKKNGSEFEIHYGSGSLSGFVSNDV VSIGDLKIKDQDFAEATKEPGLAFAFGRFDGILGLGYDRIAVNGMVPPFYQMVNQKLL DEPVFAFYLDGQEGQSEATFGGVDKSKYTGDLEYIPLRRKAYWEVDLDAIAFGDEVAE QENTGAILDTGTSLNVLPSALAELLNKEIGAKKGYNGQYTIECDKVSSLPDITFTLAG SNYSLPSTDYILEVQGSCISTFQGMDFPEPVGPLVILGDAFLRRYYSVYDLGKNAVGL ARAK FPSE_08830 MGFSSASNDSPRSSMSRLSDESPLSPDSFLGHYPWPSTSTVAHK HYESRHILSDADKKKQIEAFYRDLIWSDPSIAKDALRTQQGPRAPKTCEWIMGVQEVK NWLKPETENQHVLWLSGNHGRGKSTMAVYLAEKLTNDFATDPANTCAYFFCGSKDVLR NSAQGVLRGLLSQIFQNHPHLLSDRIRRRHAYRGARVFEKAQALWNLLVEVAFRSDRH IFCVIDGLDECDADSRTILLELIEETFHGEKPVKNLSLVITSRPSSKIYEYLGEFTHR DLDSFSQSKNDVEVFINQRVRHIASKRGWSGTSTTQVKRVFQAKSEYNYHWVRYASES ICKLRSAEDAIKFLKGLPNGSEYPTNSISLNQKRENVEHLGFGNTDEDREKHHARLKS PLVSAILTGRVEMLAFLLKKGESITPYTIRAAAKWGCVGMLETIMTLRQDEISRDQDL SCAAAECATVEMMNLIFRHREFRWSDAAMTGATRNERYGLDILRLLLKLHGNDIELDE WMMGNIASACHPKTMDYLLTEHGRRIQITTAVAERAIENRHGPAMLRVLLKHRAHEGF VDEELMILALANTRSRPDFMAIFLEMPRSQVLFTKEVVLAAIASPEKDSDDWAPEVLE MLLKNRADDVDIDEEIAIEVLWELGYPGLQVIFEHKSDSVRVTQSLLDAAAGVCSAKE FPRLVERAEDDFKITQDMLINTTLNVRHGDRLLPVMQRYLKGTLSIGQDAVEAFLKAG QNGFKSLLVLLLRDRGCRANLTRGALRSWIRDEQVYRGSLAFLFVALHSGWCKLSDEV TGSRCERLETLIKHYAELDDVRQTFAEAMERSKKEMRGR FPSE_08831 MKFTTLATTILSIALGADIASAACCDLKVCDGFNLKGRCKTACY PYTNTPVAINGDGLKGPIASGKTDTDCHSDPACSSTPTRRAPMLLTIALLALHLLNVN VDKL FPSE_08832 MSSLKVDCSQWMELNDFSSYIRLLGSKTQFKKDSLEVCQSEICT AVYGTGNPDISGIGVAIGYVLEITFSVSLSLAIIALKQSQKTSQWHRIVKTGLVAFVD SAAYFALSLQLATIAVLIRKDYGISTADLGAIEARISQSVAVVSMMPLLYPIALLEPL TKRCPRDNVKHNSRLLLLSTTVALSFYPFLSRCIYAFSVSPIGNGEGSEVSSIDWSTI EDMCFPKKYRHLGKTMTYRSLNGLELTASLIVYLFSFWLLAGLPKMHSRLIEKTIADQ GLEAEPSWRERVNRWFSDRPFVAVVPLFVVVGLSVPLLWVIFTLRKVQEDMSENMGQE YAGNEWGFGQIVSIVLFIPVAVEMAYQWRFGLDMSSN FPSE_08833 MSGVAMVQIVPFRPTDDSLPACATYTWPGLGAEGYFCAPSRTTI TVIAEKTAGDETTSAIEPPATTTPPDATSAPLPEPTKTAAEPTFYSTASGHPPPTDGH PQPTDDGGPNLGTEHDLSSQQRIGAGVGSGVSGGLLLLFFAIFGCCGWPSRRTNTARK QNIPESIVHKYAPVPAPQAAP FPSE_08834 MKTSSLFSMAIAAASFASVNGQVSGKIRGEQWPVAKPVLNEMKG QGCWKSLGNMTKVYSGTSTTSGFCWNKCKTKYAVFALQADSCYCGQLYPQEGDEVDDD KCDWECPGYPYEACGALKKYYSVFNSGLELGPDNYVEEKSTSSAAPSSTEAASSTTAG GDSAASTQTDDTAASSEAASEPKKDGPNVAAIAAGVVVGVVVVAAIGGGAIFFVRRRR NAEIEEEHRRNAAVNAFINGSKPPGSSGSISMTDSRLDPVMAHRRLSDGSIADNQDYS RRILRVTNA FPSE_08835 MGSISAPRIRRTAQNTQFTYNLSRRVNDVQTYPVYSPQGATILI YGHENGVTIAWRGGKRFKTVKKAPHPEKQNGAADDSIMIIDSDDDGPPAKSKAESQYE DNSQFEDEVEDSPYPEIVQTLDLALGTAILKVAVMPMTPTPAEDAAWGGAKVLTDKMV FAVSCVTNDVYVITVPLTPPSPESKARSELRNDLLAGRAGSGAWGESLILLGGQNKHS EGIAINLIMPTATERPGTKPRAVVASHSREASGVLRLWDVPLDASDKPSKTVDPFQTE YLPNPLTSISFNPTHTTQLLAVSALQGVRIYDFARPSFADTDATGPFPVQGSWLLSMY QPFARPSSTRKPVIDAVWIMHGRAILVLLADGMWGIWDVDGVSPSGPGAVLSSKLQTG VKGAALTAFSVSGYVEGTSSLRSLTTQNKEQTTGEFAPMTPHTRRQATASLSSAITLD RLATIRGGIKVLSLPSSGSAIQDETVVFWVGDLEHVCVIPGITRFWDSQLRQASGTGG DIFSSAQPVKMIKLLDLSTGLLGERCCGVGLLIDPDHGITAQDSSIPADVIVYGETRI IVVREGEDGPGKIVGAVVNARRRNLFSKGDKSDAIIVHGKQTRPAKNLFNLSTVKPGT LRLRSALGKHGIEGESDADLPSRPAVGFDFMDTLNAAADVTVDEDERNLEVEMLDIME IDKTLENMDNSRRRT FPSE_08836 MGIKQLFQIIKEEAPDAIKESEIKNQFGRKVAIDASMSIYSFLI AVRSEGQQLTNESGETTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRFQ RKQEATEGLEEAKETGTAEDIEKFSRRTVRVTREHNADCQRLLKLMGIPYIIAPTEAE AQCAVLAQAGKVYAAASEDMDTLCFNTPILLRHLTFSEQRKEPIQEIRLDKVLEGLNM ERKQFVDLCILLGCDYLDPIPKVGPSTALKMIRDHGSLEKVVEAMENDSKKKYVIPED WPYKDARDLFFEPDVRQADHPDCDFKWEKPDMEGLVKFLVTEKGFSEDRVRSGGARLE KNLKSSQQARLEGFFKPIPKTEEEKAAHKRKLDEKNEEKRKKAKQEKKDKAASKSKPR GTK FPSE_08837 MSKTFTTSDVASHNKPGDLYIIVDGDVYDLTKFQDDHPGGKKIL QRVAGKDASKQFWKYHNEGILKKYKNQLLVGSLDSKPKAPEPKPAAKAAPLPAAPTPK TVKTVEKEVAAKEEEAEALEPFGDQIPFADPAWYQSYHSPYFNESHAALRAEVREWIE NDIEPHVTDWDEAKEVPEEIYKEMGRRGYLAGLLGVKYPTQYAPEGGVKSVPAEKWDL FHEMIITDELSRTGSGGFVWNIIGGFGIGCPPLMKFGKKALKDRIMPGILSGEKRICL AITEPDAGSDVANLTCEAKLSEDGKHYIVNGEKKWITNGIWSDYFTVAVRTGEAGMNG VSLLLIERSEGVSTRRMPCQGVLSSGTTYITFEDVKVPVENLLGKENQGFKVIMTNFN HERMGIIIQSLRFSRVCYEESVKYGSKRRTFGKKLIDHPVIRMKLAHMARQIEASYNW LENLIYQCERMGETEAMLRLGGPIAGLKAQSTLTFEFCAREASQIFGGLSYSRGGQGA KVERLYRDVRAYAIPGGSEEIMLDLSMRQSLRVAKAVGMKL FPSE_08838 MADQLADKLKSTQLSDGSPGASDEWKKNLNLPAKDNRQQTEDVT NTKGLEFENFALKRDLLMGIFEAGFEKPSPIQEESIPVALTGRDILARAKNGTGKTAA FVIPTLERINPKISKIQCLILVPTRELAMQTSQVCKTLGKHLGINVMVTTGGTGLRDD IIRLQDPVHIVVGTPGRILDLAGKNVADLSECPMFIMDEADKLLSIEFTPVIEQLLQF HPKDRQVMLFSATFPLSVKDFSDKNMVSPYEINLMDELTLRGITQYYAFVEEKQKVHC LNTLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHAKMQQHARNRVFHDFRN GVCRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRYGHLGLAINLINW DDRFNLYNIERDLGTEIQPIPASIDKSLYVYENPESIPRPISNLPRGQLPAAQGQQPP QQQQQYQQPQQTQQAGLPQQGQGNWQSQNPQHGNPHYNNGGRGRGGRGRGYRGRGGQG QGQGGRGRGPPRDVQP FPSE_08839 MPSFLPDQHNSTIYNNNPDILDLDPTNQFGLANKERVNGGSIRH ARSDTINERFDESSISSTHASEVSESTPAHMNGNSNGTRPTSMSSVSNGKSATATRPS RHNDAAEADNFGQKPMLNGTTNLADRTRHHSSDVNGVDSNTTSTNNHQHYNNLSKPLP SEPPQSEEVSERSQNGGIDGTHTFAASPFSTESRGSHNNTNLDAVQAVPARTNGGPHR LSSPPIYNPSSAAASSTGHLQAPPPGLKQRHTLDVPKYVPGRASKDGMDTAQASGRFS PTNAGTPSGRRPSLSMGRRPTRSMQSDGPRDEIVPDEDALRWAEALRQKRASKRRKKE EEDDDRVLVGTKVDETHANWETAYNMLTGIRVSVSRTNAKLDRELTDADFDTKQKSTF DIAGNELVPSAKYDFKFKDYAPWVFRRLRSLFHLDPADYLMSLTGKYILSELGSPGKS GSFFYFSRDYKYIIKTIHHAEHKFLRKILKEYYQHVKDNPNMLLSQFYGLHRVKMPYG KKIHFVVMNNLFPPHRDIHTTFDLKGSTIGRDYREEDLEKNPRATLKDLNWLRRQRSL ELGIQKKRMFLEQLQRDVALLKRLKIMDYSLLVGIHDVARGNEENLRDKTLQVFNPGG EKSPDDEPNSVLLRTPSKLENQRKARELRQMIRQERPVPMGQSANKMPDELQEGQHRP GWVFGQDDGGFRATHEDNTPGDEIYYLGVIDCLTHYGIIKKIEHFWKGLSSDKTQISA LPPDQYGDRFYHFIEGVTMSTEEAHREAERRHQEILEAQRSEARVSSWNSRRRSSQPV PPVPTHGPPPPPGPRSPEARETVEKANREAERSERHGYTEEQIPDRVLSTGTIKDTRD SLQHEPILPVVQEAGENGRDDNETRLLSFPKSLNKSLPPTRAPPPTPPKPGAGSADSG YAGFGNGTALGNNGYASQQRVSLDSLNKELPPLPRKQDGTNDSGVRMVA FPSE_08840 MGLWPFSSESRADAIRSGDAIPNRQERKICWAARDAYFNCLDAN NIVDATKDPSATKRACPTETVDFERDCAAAWVKYFKQWRVADIQKKRRLNELRAQGAQ EMTVTTSFAPEGGAGEKGKGVDDIQAMLNKKK FPSE_08841 MPRRRKPPRAGALAELAPLKIAGQIATLQAIYYFAALVLIIFTA LVSGTGFSWTLVFGWEGLRGDTTHGWLMSFIFLLDGGLIISIAIVALIARSKLVPDFA ITVHFVHLIIASLYSGHIPRHGGWWFTMIASAAISVSLGIWGCQYRELQPVFFGGRPI LPVVGPASVDGSATTQPSTADEEMAIPARGRGRDVGGEYEMEPMLPVR FPSE_08842 MFTFCPLQGALSDSSASQSLLELDGGVKVLVDLGWDESFDVEKL KEIEKQVTTLSLILVTHATASHLAAYAHCCKNIPQFTRIPVYATRPVIDLGRTLIQDL YTSSPAAATTIPQSSLTESAYSLTQTATTAQNLLLQSPNSEEIARYFSLIQPLKYSQP HQPLPSPFSPPLNGLTITAYNSGHTLGGTIWHIQHGLESIVYAVDWNQARENVFAGAA WLGGAGGGGAEVIEQLRKPTALICSSRGADRTAQPGGRTKRDEQLIDTIKACVTRGGT VLIPVDSSARVLELSYLLEHAWRTDAASEGGVLKSAKLYLAGRNMSSTMRYARSMLEW MDDSIVQEFEAFAEDQRRVNGANNKKEGGPFDFKYLRLLERKAQIARLLSQNVENAGT EGRVILASDSSIEWGFSKDLIKGLAQDSRNLVILTDKPGLSKNDNPSIARTLWDWWKE RKDGVSVEQNCNGENIELVYAGGRELEIREPQRHALEGDELALYQQWLATQRQLQATQ QSGGAAGLEAAADAVDDASSESSSDSEDEDGEQQGKALNVSTAIAQAGRKNVVLKDED LGINVLIKKKGVYDFDSRGKKGRERTFPLTIRRKRQDDFGELIRPEDYLRAEEKEEDG QDSANVEATDDKLGKKRRWDDVVKSGTGANKRPQAMRAGSHDGEEAGAGDGFVPDELD TVEDVETEEPVGPCKLSYQTETVQANLRIAYVDFSGLHDKRSLNMLIPLIQPRKLILV GGERDETLSLAEDCRRALGVDKSNPDNTGSERSVDVYTPEVGVVVDASVDTNAWVVKL ADPLVRKIKWQNVRGLGIVTITGQLLATHLNEAAAADEDVANKRQKTEEPPSSTTLTN TAAAIPSATPVLDVLPANLISAVRSAAQPLHVGDLRLADLRRAMQSAGHTAEFRGEGT LVVDGTVAVRKTSAGRVEVESVGMPTARRSTFYEVRKMIYDNLAVVAGA FPSE_08843 METYHGYVRTPADAIRLFEACRLGILPRVQRRLSEKERQSIRSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGGFNTNRRGGGKTPDSG RGSDEDQDDGEPEGYRYKADGLMKQSFSITTSSGQHLHLISYYSRPQPGQPELQQPTS DPALRGITPVKGMYPESSMGEANTTPALTRAPMQQPPYMPQPGQNPYGAYPQPGYGWP PSPAATPPYSHYAAPYHHNIPQQYLPHAGHPGHPGLPPPHYPQAPVYEHRAAPLPPPL TAKSPHHPHLALPRAHESPREQHLQAAAQSAMVDSRNGSSHTHQVALPSLGAVTNGPP GSSLAAISTPPSRTMSVSPTRSSRPEVSGLSTLHAVLHHTPATSESGSAKPGSAASSP RASVACGLEKGGVSEDARALRMLDRKFCI FPSE_08844 MRSFRASRVPKTVRQWPQRRSYAVRVPGECHKDIKTVAELKAWG PSSPVPDVEVSGWVRSVRKSSGVRFVDITDGSSMRPVQVVVDKSLAADMRPGAAVRLK GTWVDESGKKTLASYSAQLPDTKGECETSASPEQPELKVSEVEILGGSNPMTYPIQNK YQSPESLRTLSHLRSRTPLNSTMLRLRSDATAMLTQFFFRERFQQTHPPIITSSDCEG AGEAFVVKASSPDEFFRAPKYLTVSSQLHLEALAQSLGNVWTLSPTFRAEQSDTSRHL SEFYMLEAEMSFVDDMNEVMNLAQRMLNSLTSGLKELNAAKELEKNRVDSKEPSERLA FKDLVEQEQLDRRWRGMLTTKTWPHITYSKAIEILKPIADQFEHKPQWGAGLQSEHEK YLAEKIGYDETTDAYVPVFITQYPRDIKAFYMRQSSSSPSSGLTVDCFDLLVPNMGEL AGGSMREHRLPQLEENMRALGLEVPSKHSAGGKEMAWYLDLRRWGCPPHGGFGLGFDR LLSYLSGVPNVRDVVPFPRHYHRCDC FPSE_08845 MAPPRSSRVRPSEVAADTKRNFIPLVKKNYGEMFPPYSYLYRQP IAQLAIQRQKPTTRPPTFRIDVGDPVMQALAYAGMDTQQSEAMGGPRVRIPFICAANE RRPGGDWETGCVGYEEKLCRRSNLSATLNTPWPNSPESNNYPIPSQGGIFSDAVVVCR GPHDRYDRLDSWYDLPVVSVPPTRWPKLKDNGLKYSFAEEREMTRDKLRGALRICLYN GYDRVVIGDFGLGNGYRNPPQELAELWRDVFLFDPDLRGQFAYVVFVFEDPQQSTMRH ILDEIVKKESGSKNKSRSGSSSSSTTSKSHSNAPTDRQIFEHVFDAAEVDRVLRQPDP RYGLGMITT FPSE_08846 MADYAQYHALGQGEVIDPNDPNRTSQPNAQQFQPPIAPSPYQQQ ASPYGAPQYLGGQQAPPPMTGSPVPGYGYAPPSAQGPPGQVAPPQDASLAAQMGGMSL GDGHGTARRKKKDRHAYHTVEATGSSQAFNGMPAAGTSATQFLDSVPGGPGFGGQFGS PQGTPHMQSQSQFGAPINPAFSPGPMATQPPVGEGIDAASISTSGPKGVSPDDMPSVP ASRDALQQYYLKNVYPTFERHVPPPSTVSFVAHDQGNSSPKYTRLTMNNIPATQDALQ ATGLSLGLLLQPLAPLQAGEPDVPVLDFGEPGPPRCRRCRAYMNPFMMFRSGGNKFVC NLCSYPNETPTEYFAATNPQGVRVDRDSRPELCRGTVEFVVPKEYWTREPVGLRWLFL IDVTQESYNKGYVEAFCEGIRVALYGGEDQELDDNGEPKRRIPEGAKVGFVTYDKDIH FYNVNPALDQAQMMIMPDLEDPFVPLSEGLFVDPYESKDVITSLLTRLPDMFSTIKNP EPALLAALNSALSALEATGGKVVASCSALPTWGPGRLFLRDNGNQPGGEIDKKLYTTE HPAWKKVAEKMAASGVGVDFFLAAPSGGYLDIATIGHVASTTGGETFYFPNFIAARDS RKLSLEISHAVTRETGFQALMKVRCSNGLQIAGYHGNFIQHTFGADLEIGVIDADKAM GVTFSYDGKLDSKLDAHFQSALLYTTASGERRVRCSNVIASVTETSKESGVREQGIRE CLKFVDQDAVIGMLAKEASTKLATTSSNLKDIRHWLSEKAIDVLACYRKHASQQHPPG QLVMPERLKEYCMYLLGLIKCRALKGGIENSDRRVHEMRMLRSMGGLELSLYLYPRMI PVHNLAPEEGFADAETGHLKMPPAIRTSFSRVEPGGVYLVDNGQQCLLWFHSQTSPNL ISDLFGEDKDSLKSLDPYTSALPQLETHLNAQVRNIIEFLRTMRGSKGLTIQLARQGI DGAEFDFARMLVEDRNNEAQSYVDWLVHIHKGVQLELSGQRKKEGDETSSAALPNFAG LRPSYW FPSE_08847 MPPKAAAAPKKASGHASYQDMITDAIVNLKDRKGSSRQSLKKYV KANNALNVTDNMFDSLFNKALKAGVDKGVFEQPKGPSGGTKLAKKQPEPKKAAAPKKV AEKKDSAEKPAAKKPAAKKAAAPKKAATEKKAAAPKKAATEKKTTEKKTAEKAEKTEK AEKAAPAKAAPKKAAAPKKAAAPKKTAEKPEKPETALTKTKAGRVTKTTKAAPAKKAA APKKAAPKKAAAAAAAKA FPSE_08848 MASSNPFRKSAAFTVDTATFPNSTSSAAVRFPALDSFETASTPP PPTSFQQADASAIDHSSKVTKKVRVLSPPPLSPDPPEWAYTSPSLAQYAADQRGENDP FDATSTDESDLEMVAAAARNQALTGGQGAGNPFSKTMRDLDSPVAEQKLEQERKEEGH ALKVANKAKQSLDVNSFKRLLMTGNSESDKALGQSEKDSIHHPSVFRRKSHEIQEASL IYASDIPEDEIGQESISDSSASARLNSKSSKKPPPPPSSRHGKSIKLNLVGNQTPPEA LATLSPSEINKPPPSAPIRRSLDEDGESPFDREEAGKSPGIDTSPGPLGGERKAVPAP PPRRGHARAESKANASQQSLQNDENLSRSSSMRTRPEHKRQNSQAGAPPPPPRRSHVS KHSIQLGMNSSFADLSQSSSSPAPSLDVDLSTTSTPSQLRQTSTLDLASPRDGHYPTS KSSAPPPPPARNTSVRRPASIRSVDSSRRVSFEAKPHNQMAPPPPPRRQRGSSKGSID GPRRTSIDSVGKTGSSQVPEQEPDAVPEMIGSGHTSPKSTNKPGKSFDILADLDALQR EVDALRGKLG FPSE_08849 MTVKSNDVMQLAQAIKIAAKNNSTKLLRAWSYAPLGITVVLAAC FGIDSLFHKFNVAFPASVTCMMLLFGALIASELLLGTHKTRKVVNIIDVPAGWSLRWM GVFFTPSFVLLPLSPPIGIVEVMIIIAVFIIGFFVMMALAAWITRSLQLALGASRRSK SQRAEELGRQTPDIPISEIQTPGISRRTSISHAGLQIPLISASAPGSGPTSAAPSRPQ TPPQLRLQEHHYSNGNAPDNAVESLSMPTVLPQIPLPPPKAELWAARISANIDVATFV GLFLFVGIPLYYSTGYAMPIHLAVNVLAWFSAMAIPPKWKQYLHPVLVSSLATVLVVW ALAAIRGDSLTSTLHAYRTGAKYIELWRHAAQKQDLLPGAGDVFGTLLDASIVALALP MFQYRRELRTHFISIVVPNVVISVGSLLAYPPLCYACGISAARSLAFASRSLTLALAV PATVNLGGDVNTAAALAIISGILGAVLGGRILRWLQVPEDDYVTRGVTLGVNSSAIAT SVLLRTDPRAAALSSLSMSLFGTITVLFTSIPPAATFVRGLVGFRLYTVVLHQGPMTK TPHDVVPRGTWGNKKHGYRELVQQRPSWV FPSE_08850 MNSSSTRAENLEPTTETSNTANTYLTPGYGVSRIRRRASGYRNA SKSLQRKIECGSEEIDVALHGPSFNLMSQDQAMQSCPELGYRHSHSWHPETLTAALAK AVLCLNQQPRRVCCIGWTVAFDKRILNLPLDQVDHYPFLRWTIQQTIPDLKLAKSVDE GHATQKPIEMTATRQPTIQHRYRSCRLR FPSE_08851 MFRPILRQCAKRAATPLRPCVTRTFASASGPSFNWEDPLASNNL LTEEERAIGDTAERYCQEQLLPRVLKAYRDESYDPKILEEMGELGLLGATIEGYDCAG VSSVAGGLITRAVERVDSGYRSGMSVQSSLVMGGIYEHGSSEQKEKFLPKMAKGKMLG AFGLTEPNHGSDPGSMETVAKPHPTKKGYYSLSGAKTWITNSPIADVLMVWAKLQETG KIRGFLVERKDCPPGTLETPAIKDKNGLRASITGMIQLDNCPVPENNMFPEVEGLRGP FSCLNNARYGIAMGVMGALEDCLARARTYSLERKQFKGNPLARYQLVQKKLADASTDA AYGTLAAIQVGRLKDEGKATPEMISMVKRQNCDTALRNARLLQEIFGGNAASDEYMIG RHVANLFVTQTYEGQSDIHSLILGRAITGIQAFV FPSE_08852 MALKRINKELTDLGRDPPSSCSAGPVGEDLFHWQATIMGPSDSP YSGGVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRPRYEATAREWTRKYAI FPSE_08853 MSYQMQDWSEKPSERSRWTPLTRMLLSGEMTQEKQQELSSREKF DRWMINEGYRRFFVFVFMILHALIFSFACVHYAQKESLETSRQTFGFTFIIARSAALV LHVDVAIILFPVCRTLISLLRQTPLNGILQFDKNITFHIVTAWSIVFWSWVHTIAHWN NFAQVAIKYNLGIYGWLLANFVSGPGWTGYVMLIALMGMVLTSMEKPRRANFERFWYT HHMFIVFFFFWSIHGAFCMIQPDVAPFCTSIGSSAIGVFWQFWMYSGFCYLAERIARE VRGRHRTFISKVIQHPSNVCEIQMKKEHTKTRAGQYIFLCCPAVSLWQYHPFTLTSAP EEDYISIHMRCVGDFTKELAKSLGCDWSKKKDAGDASKVVGLTGREAEIDPAIRRVLP RVYVDGPFGSASEDVFKYEVSVLVGAGIGVTPFASILKSIWYRMNYPQKKTRLSKVYF FWICRDFDSFEWFRSLLLAVEAQDLDHRIEIHTYLTARIKADDATNIMINDANADKDT ITGLRSPTNFGRPNWDMIFRGIRKIHSPAEAGVFFCGPKGLGSSLHTYCNKYTEPGFS FVWGKENF FPSE_08854 MQLRKFLLLGMLVGAEASRLVVRQNTGSDSAAAPSTDSAPASSA APESSPTEDAATTAADPTTAPEPTDDATTAADPTTADETTDASTTSAGDVTVTKTVTV TDADAKTVSRMTTVMKTITSTVVVTSTAFETATVTSSDAETATETEFVTSTKWANEKR ALDLAPRTIGGAELVAPAAPTTTSVPYDFHVEIRRDQYGLRAFRRGLHKRATVTETTT VTEGDGSDTTVFNTISRTVISTISSQTKVTKTLTKTAQAGASTTVTTTSTVVITSTRV TTGVVRTATVAPSGEYGPAGTGGASSDDNNNSSKSTDDDGLSTGAKAGIGAGVGVAGL IVIGGLIYFCLRKRRNAKNKAEYDDVFGASEVPVGGRGGGGGGAAAVGTSPHMSQTSP AAASTLAPSRNTTMSEGYRGTALGDGRAGFAKPQQYGRNYAAVSPETNYSRTAGSDQA FSAVTPENNYANTAGHSPHNNAAEMYSPANTAELASDSAATKWHQNDAAEIDGNQVSS ARGTAPPEHVYEMPAQPYR FPSE_08855 MASKDGGENTTPSRRHSLNDECDPNSVADEKRLVAKLDFYIIPL VMVLYLFSFLDRVNIGNARLYGLEEDLSLSSSQFQVAVSILFVTYLLFEVPSNLVLKL FTPRRWLAFIAAAWGIIATLTGLVNSYGALLACRLLLGVVEAGLFPGLTVYLTFFYTK RELALRVGYLFVSAAVAGALGGLLAYGIGHMDGVRGMSGWRWIMIIEGIPSFLVGIVT YFALPNDAETAYFLDDGEKALMRMRHAREYGNTASSREFSKKDMMCAFKDWKVWAFCV AQFGVDTMLYGFSTFLPTIIRDLGDWTVAETQLLTVPCYFLGAAAYMSTAFLSDKLQR RGLFCVIFGLISCVGYAILLADVSSGAHYFGCFLVAGGLYVVVGLPLAWLPTNSPRYG KRTTGTGMQLTWGNAAGIMSAFIYPASDRPRYIKGHAVTLSMVALGTAIYGYMWWWYR RENERRQAGHMDEKHRGMGEDELAELGDESPRYRFTI FPSE_08856 MRFSKHILPIAMLLTGVIAAKDEESTTAVEKSKATDDKPSETTA NESNTADATGESTATATATGKKDKDSKSDKSDTDTSTNTREKEAASKTATEKGNGPTI TWDPPAPKTTVPDINAGSPSPMTPGVVVLSLVLGITSIGMALL FPSE_08857 MAQTQTPTFINLPPPGSRPETPSEMPGTPTSTTTSLSALSTTAI KDGHRGHFPQGHFSRGHQHNPSTQSLEAERADRISRLAGLERVSTLRAPPQASAPGAS SASPQTTPTSTTGFPPNYPSSQHLTPAYFDSNGQPMAITKMSTVGTASATESHVGDND DARTAAGDRDEDMFSTDTNYREAGSVTSMGGYPDAMDEDLDGATARSVGGYDDRMSDD GSASLVGFGEGAGSTVSGPIYHRRAAPQAWGLERTNSGLSDIRRDRETHVGGDTPVSA SALQERRDARMMDGVAMDPNPGQATENDSFVDTTSRGPVSASQSQAQTAAAQEAAERI VQRLDRGETRAPASGLVGPGRGDEPLGKFYFEGEKRED FPSE_08858 MIHSASSSCADSWSTEYVDRDDVEMDNSWEQGSDDILTIPKLEP IEDDINMDDLKAAPLASASIESASTDPKPKRPRGRPRKHPLATAVSTSKVTKGRSKTG CITCRKRKKKCDEAKPRCMNCEKNAVVCEGYHEKQIWKSGKEKAEEERLRQKSLPVIT MQPIFHGVETVEDKVFWKHYITNLSNILTVEGEAKNAFKDIILHLANQHQGLMHSILA VSSKHIDWDTPYGAKLLADHPHSSREALQQRADYHHDESMKRLYEDMGRPLNKDDPEY KTILSARYGQMLCLLLQTRADGNPRGEHRVHLQAYQTLIQHSPPEDPVFYNFITEFFQ YHIFADDLFWHPENMTARLSSEDWEPADPIQPPRLLGVGDGLFQYLSQITTIRNTIRA NIAAAVDPVVDYTSLYQAAEIDAAIREWTPNWPWGDSRDRVGLLYKQMMWVYLFRTIY PPSVSSMRRSTFSTLPISASASVARPPPPPLRRASMMATVSGANGTTGISDVHVASSC PTSRNPSRTSSMHEQDSSCQERASSPPPTRRPAYHDRRIILAVEESLSLLESFKPSDP SQTLLLIPCLIIGTACFEKAQQERVRKAVRAVRGYTGLRNCERVMELLEKVWALMEQG DWISVWDWQGIARRMRLDFTCA FPSE_08859 MPPYAADLETWWLGSGYHLIKELMNTATSGLDPWQCGFAPQIKR RLVSFDQDTATIAQIKKDWPAIRAARGIDYDANSRKYAKYVASTIFRKPEDGGINFDL AMNGLGYLDAIEIRRLRLIEAMDVAIQAASETWPPMLQELERTSSTNFKRMHGGLRIC ILTEELAKDANHRKEAAQIMALLNALMPSAVFMGPEDDVDPTPHSSGVRDSVRFAVFE HLISEDCFTQERQEVTKMKLRCWSDISDYTGVRDAMVRYCEEFKKVEELSLRVMNKLE KRPGTVSYHAVSADSPMVSTTDTSCNSGRQRNFIQVESTVLMPNASLDNKGSAKTPPT IPQPPLSFHPLLRGMPGRELSAAKTDIALLARDTSQPPVACYPNDLSKTSFYQHPLAR ELEMTTLEQYDVDSEDEADEDVSLASPHAPNPDKLKMHLAPGVLDKIKKEEHYQPALA ESTRLEDTHLQPLDECISNSNKSQPSHGKHPPMFTGNVPQFEPFAGTDHQKLSFDDTS HEASSVSQVIEQSPRQSTAQDTEESHSQQEEPERENLPRLVCKLAKPRISPMEYARMY LLEKSAASRENRECELPRPDMQWHWTPGYKKFLIIPRIPETIQRDLVPGDANSAPNTD VEESNRGHKAPEPTDDKDHFGHMRLSLHLGEAVLLPVFPGSTGFKGSDEGIPYQGSSK ETEAPNSIDAREGKDPIMQHRGPAGGRVEDWRPMSEYETEVDDEESDTATSVGVGDNK STNLKPKKATQGDTPTPTAPALEVQGRPRVHIATEELGECSSGSHKSFETAARNEFPG QADVKAEDDAGNLTLSGQLSRVCTKDMSVLDFATPRTKGTLTKRVVDGSGGQLLSENI RQAQLISSPWEKPLQGLKADLWDIDAESMLSELKPEPLQINRQRPRANTDDDRRWSRM FNGLNADVPSSLGMIGLNTSLAVDHEFAVANDDDDDGYTATESDLTPRASGTDIRNAA NATPTPRGLQRSTSTIITPTQPNRRLHHQASYNLDNVEHSGFTPEHRRESITYGTFPR SGVMLNLRDTQARLRYPLHSPEESQLSRSLDDTTPKAKHLNGASETDRYKQSSIKEWV KSIAITPRKPKIASHARIEDHRDSSASGDQVASYGTFDQQNAQPFLTTSTRDFSKSQK LQKQRSKPKHTPSSTHPFPKQEVDTQGRAQSPVSPTREQALAHRRTPSPSEQGSIAVP LTPSGIGSVLRRRVRSGYSTPGTPRTPRTPASPQLAWRPFDDDQPEPPCVSPWLSNNR EVKREKEKRVAFFREKAELELEDKQSPKRSGRRSSFGSTILGDHTNRDNPAVVNRMSN ESLVTWTNFIQDAPEPLFSSPPPPVPPLPTESQLNIALGRLQQPQTPSRAASGVETLP VSSYRARKPQGLKVDTDWARKAGQDESRTPGRHRGTKPASGSSNRTALRFDGRKMGLG QAESEEERQGSGRDDEVISRRK FPSE_08860 MGESAATTFASILVLGLGFAAAGYIYHKSYKWLVIQKMTHAFEP GDPVLDLAAIGKDVPHKPGGSNEHWIFRPEQERVDAIVMGSVAGHYHLLIGEKGCGKS SMLIDAMRKNDGDGVAMFEAHADLEIFRIRLGKALDYEYHEDYIGGYFSERGPRETTA LLDIERALNKLEKVAMTKRRERGKPLVVIVNQMHLLRHNDDGRDLIELLQQKAEQWAA ANIVTMVFNSDDYWVYERLKQLATRMEVLPVTDLPRQQAISALKRYRQKYWKEAIDHS ILEDVYERVGGRLSFLNRVARSRDMIATCESIKDTEKKWFLNQCWILGKEMDDDVMDQ QKWAAAAMSLAKALVDKEDDMANHETFDPIEGHKLPTYKFHIAQQIMTRCDFIRDLDS LNLFSITSQADVRASSVPMHRAFREICSEPGFDKHLELTIERIAAIESLGRTRELVTK DLVLGGQYEMEQTRKGITMKLKEGEEPQEEQWFPGDEGPPHRD FPSE_08861 MSSNGTGNSKWRDFRLASTLLSLIPGSQPNTPQKQNNDNGAANH DHENEQQHETKHQNELREELFQSPKVAHEDMEAENQLIDGIGGLDSYPDDVQAGSDDG DQEQDFGVLEMFDSNATQAPYSSQINTASSNEKAPEAFMTEEPPSAQSRKHKREKKER KRKDTNDSASPQLTGEDSSRKQRKSKKKSAQLVEVPDSLVNDISSNTYQSLPGQISAV DLNDDDAAPATQTKRKRKTSDSTDGKRRKKHRSRDDGAAAESQDNVPETQEGAQSASE EVNTHDPEAASFLRTRNASRPGAIYDDVAEDTPGSPSAARLETRDAQSREGSAAYDEM ELDASAAQENQDQDAEQPEFLHYNRQQEPQVEDVGMAARQAWDAQVRNAQNNVDTQDS AEQHDEMEIPTSAQRPHATHDVYDLPGSPIPTPNPPSTNTKKSRSAKAKKAKPTFFEK SPSPEQDEFAPLQSPATTMPAARKRAKKASGRKSDTNRNLLSKSMQDANDEQSSQRQG RMDGHTKGRFSDEELSRIARAVEAYRSANNMEQYKLNEMIHTPGGTTASDEHADLWAQ IFETCPDRHRQKIINITRKKFHNFVARGTWTTEQDMELRDLMEVHDKKWSKIAGIINR HPEDIRDRWRNYIVCGEMQRKDTWDEQEERNLTQHVMESIAHIDPSNDRPYMDLIDWQ EISKRMGRTRSRLQCITKWRAMNIKTHGKDKLASNAPDSSISFRLEKARRQLAAMPEE ERYRLVMAIHNTSASAEVKIPWQRLVDKKFRASWHRPTQSLLWHRLKQTVPGGEGMEP SECASYLIGVYSQTGELPNVEDALYNDAAETEFMSTIPMNHLPHGQNMSAVHVNDGDD DEQQPNEYGISDENIQPDLPIAPMEHLDPAPMASMDSVTPVADLAPMDPAEMVDPALS AEPVEAVEATPLEEKQAHIEEEEEEAPVAKPVDTPEFFEPAPPKATRVAKRTGMGKAK APKTPRKASARSTPAKATSTKRNARRAATLSRDPIEDDGEAQLSAPGDDNSEVDEAKA RKRKTPSRFKSTTAADFSVADDSDSVMDDMEDMPARIV FPSE_08862 MPPQRNSFSAYSHPYIPYLRKGRMDRVPLGELPFKQKNGELLRK RVRIFRPLPSCEVEDRENSGAALYAAQENVKTLGEMMVEQMRRDIDSLVATLAEGPPR LSMPPVDYDGSSSEPEPDDSILNGRARPMPALAQAAAMADHIDASFNGNHAAEEENAG VDQQSVSAEEYDDDTEEEEDDEDDEEQAQDIAPLFDPATAGLKEISNLARFTVSSHKP GNGVEELKSDDLKQFWQSDGPQPHKLTMYFTKRVGIRDIRFFVDYNEDESYTPTKVVF KAGTSENNLIEFATMTMENPVGWQQVPIAGAGGDPDGNTLVAWVVQMQILENHQNGKD THLRGIKIYSFDNDSALGPGREGNPVDDVVGLMDNATGRADGGAARAQHNNFGATHFE PGEGGLSIPSFMREAEIR FPSE_08863 MSSSILNNRSARSTPAGANPAFNPPAEASSSSTQSGVPYIRENS PEAESIPSNPAMTPIITHGDSLASPGGRNSEADTVVPLQPAVSQDAIRSRPGSRTGNF VTPKAARFSQDGAEPIMQYSSGSVKSARRRTREREDYDFDQAADYAPMSEYERYYRNE GRNDRDRYTRRGPYPPPTFMNRRRAPPLDSDEEFYSSDDPRIPPNDRRRMMDEEAGYP GRPHAFRRTSTLNGFNITTGKLQWNELSRQEKSEIMRLPLTQWMNSNFKNHFVAGVGE FIGTTMFLFFAFAGTEVANIQADTNNRTTTGESTGSLNVSKLLYISIIFGFSLMVNVW VFFRISGGLFNPAVTMAMLMVKAISVTRAIVLFLAQILGSMLASVVVRYLFPETFNVR TTLGGGASLVQGVFIEALLTAELVFTIFMLAKEKHRATFIAPVGIGLALFIAEMVGVQ FTGGSLNPARSFGPCVITGSFDTEHWIYWVGPAIGSLIAVCFYWFIKTLEYEMANPGA DGDDLNDPTKNPEKRAEIQASKPVPTAAFGSGKTASILS FPSE_08864 MNSQLAATATSLHINSTAQPQSYFGHQQTVSQRDRLLFSIAKPP SQAAAAAAAMAHNSTETLQPGNHAPFTRDAAAGFVLGALGGIIGFSFSYLVVCFGLNA LRSRRLRQREQRELLELIEMMGRSDSGYETSA FPSE_10145 MTLTDEELDRDWQPNGRRPQSSVFPYTRIHPLKTSTMSTIARSF SAELMDIFRIENSLTDLDQQVHAKKQTVDKETEELAALEARIREMEDRLRRSTGGAAQ QRSPLPQTQTANQAQAQAQAQQPSSLDAPKDDSKVRSRPGTARASQQAPSSGNMPPTP GASEGEYYVVTRDDLQDDPR FPSE_10146 MKSLILFAALLVASLATVFAIPHRQGLNRFSLEATRKSSSKPSF VREWVAAHQKWGKPVSQETLAAFSLLDDDGRVDVKPLTNDNMFIADIKVGTPPQTLKV AIDTGSADFWVQSTDTIYKEVTEGPWAPLYRPNASKTAHRVRGAEWEVEYIDGTHADG IVYLDTVRLGGFELKNTPIQSAQIIAARFESETGVTGIMGLAKTLPSNVEPPTPSLLD KLRPVLDKAVFTVDLRRNATGRFDFGYVDESRAKDKISWVSTRNDSHHWDVTFDMTAW TGARRVWMSRSFEATIDTGTTLIFLPADLAGAYWNDVPNMRINPDLGDAFTFPCEFAD ELPDLLFKVPKTEHVLKIPGRYLRYSTVDDDPEYCWGGMQSADGLGGVTIIGDMAIKA WYVAFDLETNKVGFANKELDDIDD FPSE_10147 MASRVSPLMTLLATIFLFATNVSAISAVLGVDLGTEYIKATLVK PGIPLEIVLTKDSRRKETSAVCFKPSRNGPQKGEYPERAYGADAMALAARFPSDVYPN LKTILGLSTEDSVVKEYAARHPALQLQSHPTRNTAAFKSKSFTEDQEAWMVEELLAME LQSIQKNAEVAAGDGSIVRSIVLTVPPFYTIDEKRAVQTAAELAGLKVLGLLSDGLSV GLNYATTREFPNVSNGSKPEYNIIFDMGAGSTKATVMKFQGRSIKDIGKFNKTVQEVH VIGAAWDRTLGGDALNYLIVDDMVKKFIESKGAQKASIAAESVKAHGRAIAKLTNQVG KIRHVLSANQNTGASFEGLYEDIDFRYKISRAEFEEMAAEHAERITVVINDALKAANL DIIDIDSIILHGGASRTPFVQKVLEKLSGSTDKIRSNVNSDEAAVFGAGFRAAELSPS FRVKEIRISEPGFYSSGVKWETNGKTHHQRLWSAVSPQGAAPKELTFTNGEDFTATFY QQIGSDEKDVKVFTTKNLTATIAAIKQKYPSCVESEIQFKLGVKLSNENGEVQIAKAA VECEAEVKEGLVDGVKNLFGFGKKDQKPLKEGSEGSEEEMKDDKSSSSATSSESSTAS EADSASSGSAEEVKLEVKKRETVGIPVEITVEDLGIPSLTKAEISASKDRLKSFAASD KARLQREEALNQLEAFTYKIRDLLEGDAFIEASSEKDRTKLADLSSETSDWLYADGAE ATKDVLKSKLKVLKDLVAPIQKRVEESEKRPELTTSLKEALERTSEFLKKIKEQIAEH ESWHKSASESASTSSSTESSSTDAAGEKATGDFDGLEDEDSAAATARKMEDVIKEKGP IPPLYTLEDLKEASDLYKSTQDWLNELEPKQAKLAANVDPVLLIKDLKAKREKLEKVS MEVALKGARKVEEKNRQAKKAAKEAKKSKGKKSKTAGGDPSQEPMQFNAEDFIKDGEI DKEQLEKLINNMKKENEKKAEGEKKQTHDEL FPSE_10148 MAAQAGQPQSKSAKKKAAKALERTESPAPSVTSASATADKTSDD SFESPYIKEIQKNIRNVNKKITNASKTDSLLAQNPGKSLDELIESKIINADQKAQILK KPALQQQLAQYEEQLTQYQKVDEQYKTRAASDKAEWEKNLEKAKADAKAEAKGEATKD LHDNLLVLSQFLRLAAYRREEAQDPESDESQAIEGVLLAIYSGDESAVQSMLKLVNGS DDQIVSVPGEQLQTPFSKVKTLAQEYKTPYDDAQPAEESTEQPKEVVTDPTIAHATAT EIDAGDTVLPTTTTEPSSNGLANASVADDAANAVAESHWDSTNNEMSASQEWVDVKPA EAVEEAAAPAPTRVANANSWADDHPETTAPTDPNDGFHQVQRNRPRNEGGNHRGRGRG EHRGRGGFRGDGRGRGRGRGGSGGAPRAARRSDES FPSE_10149 MALGKKPYPKATVKKIIKAHSNHNIKKNADVTVFLDYILFMETL VKEAAIHSKQSGERGLSARSIKKVTRLRMPKMTANLVGIDVAFCASKRGI FPSE_10150 MASLVQRRMLSKADEEAADEVEVRREDQDKINRFSRLHQRELVL GDELSAKNKEKEELDDLSTELELADEDEKIQYKIGDAFFHVSVEQAQEMLEKATETLE EESTSLEDKLSSIREEMTKLKVDLYARFGKQINLET FPSE_10151 MPRVDSFSNSQRRDTMPASESSDTVREPSPCHQIHHLDELDAQS RYEEETADRGIGALHNIHSRTRSRTDETLISWEVDDPENPVNWSNTKKKLVVLCTSML VINSTMGSALPSMAIPDIIKDFNITSEEQSVLPISVYLIGYVLGPIVWGPLSEHYGRR NLSFVTFCLFTIFTMACAVAPSWAALLIFRFFCGAFASSPIAIVAGILADIYDDPRTR GRAFAIFMVCTTGGPILSPILSGFAGPNIGWRWVFWIALMIAGVTVVLIVFLPETYGP ILLSRRAQKLHKQDPSSRAIAPRDLETTDLRQLLTVVLTRPLRMLIFEPIVTTTCTYL ALVYAIFYMSFQAFPIIFQDVYSLSPGVTGLAYLPIFGGAALSLPIFWTWDNVLARAT ERNDSWVRREEYRRLPLACLGGPLFVVSLFWLGWSARDDIPFAVPMLAGILFGMGFLL IFMAMLNYLTDAYEIFAASANAASSTTRSLFAVVLPLATKPMFRQLNINGACSLLGGL SALMCFIPFIFIWQGPRIRARSKFCIALRERKVEMQRKEEEAKARKDRYDLRYAEKGK EDEAV FPSE_10152 MPSFNPLDFFCCRKSRWRGSGSPALATQDTTALQPVPSLNPRLE EQQEEPEPTCQNTPMGLSDMVWAVPEYQSSFESDSVTNQHIESDSDSFISDRDLPRST KPGTAFGAVRKKLIRSISHNNSSDQPSRISVGNSEEEVARRAELRRIMRLRIRDQLES DEAEDQLESKPTVSILRVASSADSSPPVSGPRDAIEFGVNNSSSSNGQPARLDQERRD YGPPEDFGGPRKGSNALERASSEQLDVVGRNDDSSEDAAIQRPSPIHPSTRISLADDL GLSSSQKSFQLSNSSGRLHRILGPDSSFNNRQASSGDGQSALGVWLIAQGLRSRDNSA IFFDEDEDAQTRNANGKAAYSSPVVGTQATPETRDCHQQGSAFINPPRKLDPQCQLES SIQNDFDDNLAFSGEIPWGSTVRALLNSFTDNTSSSDPSKSPPSPRVWSYPLSDTRSH GVISNVQSEADAASMVQSESASFVQREAELRTIKRRFSEALTQKNPEKTVFTRFREDF SHSGARSPVKKPSTSNICLAIPTSHFRAKSEGSLYWHGKDHRDAPRAQKFLLVPAERT KVHEKGTSDKSTRRSNMSIRPYMSRLPTEEYRKPSLERQESATDLWQRAVRLEAESRH SSSFLNTPNHDQRSLSSNRSLKRTGAARNSNNSICDVRREISQLTPSTNERSSLNNSK WLIERWVSQMRPRSNHPTESATSVGLVGPPRSWSKFPSFNREERNRNITSRDKVRPRD FAVKHVTSEGQIRWATDTGSGRDDQHAHTLPRSLSTRFGGLVKSKMNRMMPSKALRHR VSQVFISRSVTSSPPHMEYPEMGIRPTESGYTEIQAMGREINYMKGRAHLQTPERDLS KPHSSRSLGDRVVALMHDAIGQRHSKHDEPLQSVDIPMVPFTPSLARQSIAATTTDFF VTPKSHLSDDGESDEEKNEGEGSSATRERETCQVILHSDRTLPKPLFAASITKFDNRT HSSPENKTPG FPSE_10153 MVQSAILGFPRMGVNRDLKKATEAYWGGKISQSDLLAEAKRLRL AHWKIQQDAGVNIIPSNDFALYDHVLHQIQDFGAVPERYTKDGLDPIDQYFAMGRGHQ KEGVDVPSLEMVKWFDSNYHYVKPTLQDGQTFKLTESPKAVADFKEAKDAGISTRPVL VGPVSFLHLGKADRDQKVDPIDLLEKLLPVYEQLLTQLKEAGAETVQIDEPVLVFDLP QKTKDAFKPAYEKFASLGDKIPKVVFTTYFGDIVHNLDLLPKDVYGLHIDLVRNPEQL DKVLGAIGSNTILSAGIVDGRNIWKTNLKRAIEIVETAVQKLGKDRVIAATSSSLLHT PHTLASEKKLDAEIADWFSFATEKASEIALIAKAVTEGPATVREQLEANAKSIKARAD SPRTNDPQVKDRQSKVTQKDYERKSEFTTRISQQQKKLNLPLFPTTTIGSFPQTKEIR IQRNKFTKGEITVEEYDRFIEKEIEENVKIQEELDLDVLVHGEPERNDMVQFFGERFQ GYAFTTHAWVQSYGSRCVRPPIIVGDISRPNPMTVKESKYAVSVSKKPMKGMLTGPVT CLRWSFPRDDVHQSVQAEQLALALRDEVVDLEKAGIDVIQVDEPALREGLPLRSGEER DAYLKWAVQAFRLSTAGVEDATQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDAK LLRVFVDSEYPRHIGPGVYDIHSPRVPSEQEIKDRIEEMLQFLKPEQLWIDPDCGLKT RQWKETKEALTNMVNAAKYFRNKYAK FPSE_10154 MPPIIILDSDDDEDDIGYSPPRNVQTSHLPVPAKAEAEGTSDSF GRVSRATTSTDPSFFQNIYNEQNDAARGYVPDPTEGSHDQHQHSSSDMTAPTPFKRTV TGLLETSSSSTREQSNTGGQRMPARNGPDEWTQASTPGRRKAPTAVMDDMWDVPSSPD ERPVKPKIKIKLKRSGAQSDSTTDSRCAKESGRMAKTPDSKSERPGDSPSSKRKRRKV DHPEPYFQGSNEVDLVTIPFSHDHEGDHHDQSPPTPSMLPPTMPVNDDLSFFIAPNPL TDTQRMEHESMQLPSSDSPPHQLPPVRQFNIQNIASSSEATNVNTPRSNATYLMSTAP PPPASTDPPRATLGQATGHRWDSSPDVIAAIDSPPSKKVSRLREQSAKDDAPVPADNE LVELQQTEPEQAGLPIMQETTSDSYEPEIMTKPTKAKKPRGRPKKKAAAAEQDVPLAD SLPARPEVVESVTKPKKKRGRPRKSDAKEESPNIDQSLSTKDASLSKDAVQPEKRAKI EIEETETKDDLAEEDEAQVSTKGSESPALKETDPNLSRRSISSIDDNTASKNPETPRT AKQEGKPERSTISSRGSTPSKGLSSIINKPVYRVGLSKKSRIAPLLKCLRKE FPSE_10155 MSPLPSKNEWADQAKARIMSSEAKSGNDPEFAKLAQSETDKALA KKESEAEQAQNDKAQVDNASTDKGKADNTQTDESQTDNAQGATDQGEQKK FPSE_10156 MTTGARLVHQDVTGHQSQLSSLSAPATTSAISMSNGAGGRRDGE TTALTATGAAIKAAQNSPSNRFIKRYRTEIAASSSSVFSTLAAFPLDSVKTRMQTYHY NGFLDCVRQTYQAEKLGGFFRGVTAPMASITLVRTVSFSIYQRAKYSYSAWVKRNFGF DIIGHVNRHGTYPNLYSVACFGAAGATAGYCITFLACPFELTKLSAQVSVLLAERAAS KGSHAVAASYQNKGTLRTMANIIKHRGIFGLYTGLRLHLLRDTLGTSIYFMVYESGKQ IGNTLAGDHPNSNKVAVVAAGGMCGLVSWAMIYPIDSAKSIYQRNSLLYSKGEKVEPA PKIEFFKRHMYRGLGVSMGRSCVVNAIFFSSFEFVKKHINQMDEENRI FPSE_10157 MAPPKKGKEPQPKKATKTVEDRTFGMKNKKGGAAQREIGRMQAN LKNGGTAEEKKKQAEKAAREREKKAAEDAKRDMEAMINRPAQIQKVPFGVDPKTVVCI FYKKGNCEKGKKCKFSHDLSVERKTEKKNLYTDKRGEEEETKKVETSADWDEDKLRSV VLSKKGNQQTTTDKVCKFFIEAIEDGKYGWFWICPNGGDKCKYKHALPPGFVLKTKEQ RAAEKALMDKSPLKTLTLEDFLESERHKLTGTLTPVTPESFAKWKKDRLDKKAAEEQA RNAKENTGRAMFESGKWRDEDDSDSEDDDDVWNLQKLREETEIIRSKKEEERLMGSYE TPNNGTTGQATPAEDTPAEEASGS FPSE_10158 MITDGQLYQLAIFFGVAAMLLILVYHFLEVNAPENTAANGKEGA SKRTLRKIEPRIHNKELGLNMTRSGAV FPSE_10159 MSGKLDQALDDITQAQRRGARRRSNPRRAAGRPAAAAPIGGIQK NTKPARGSGAKPAPAKAAPTNSDSKIIVSNLPKDVSEQQIKDYFIQSVGPIKRVELVY GPNSQSRGVANVTFHKSDGASKAFQKLNGLLVDNRPIKIEIVVGAAQADKVIPTAKSL AERTSQPKAQAKAQPKSAAADKHNAGAAKGAAKGAANKKRRGRSSRPAKKTQEELDSE MADYFVAPAAEAAGNTAAPAAAPAPTADADMDEIA FPSE_10160 MSDTVYPEVLWAQRSSESDVSKNFIYLTISVPDVSKDNISLDLQ PTKLNFTGTSSTLKKKYHVELELWGEIDPAESKINHTSKNVEIKLQKKELKDEYWPRL LKDSKRVHFLKTDFDKWVDEDEQNEAPEDDFSQFGGMGGGMPDMGGMGGGMGGMGGMG GDFGGIDFSKLGGGAGFPGAEGEMDEDEGDDDMPALEGDDKKDAAPAAATEKKD FPSE_10161 METFKSLFAKPDPQAQMRKCNALMRQNMRKLDRDIQTVKQVEAK TKNLIIQADKRGQRDPSRSSQAQKEVRDFARELVRARKQSQRLVTSKAQLSSVQMQVN EAFAVRKIEGSIRASVGIMKDVNSLIRLPELAGTMQELSVELMKAGVIEEMVEDVLPA DGDMLMEDEEAEGEVEKVLGEVLKERKQPSLPVAPVPEPQKPQEEDEEEEDAEAMMDQ MRNRLEALRS FPSE_07568 MFGSYSSISSMCASSAPMDIASRNIYRSQDSACAFPSWPRRDSL SESDREERPTSYLSDDDLFLSDPFDDDAQSVSSAGSSSPGAMASPPSRISDFELLQAE RERQAAIQRECIRVVNLEKERRRAAARKQRRSSSHKKSPKTKLTSINEEAIE FPSE_07567 MVNEQDREHLRLAVSLAHEALKAGDAPFGSVLVSSDNKVLQTDR NRTVTGSDGDGRPDATLHPEFTLARWAQLNLSAEERKNSTVYTSGEHCAMCSAAHAWC GLGRIVYVSSTEQLEAWKNEFGVDAPVAPLSINQVAPGLQVEGPVEDLAKEVYQFHVE NWTGKGFESKSKA FPSE_07566 MSVPVAASSRNRTVSLSSGGRSAARSKSSQVPDSTTMPHVTDLK YFDPCAATASMFLYAQGSSVVCCHHDTLTIERRFSRHADEVQLLAVDNQSESGGGRLV VSYDAGQTAIVWDLMTGDEIARFASYEHLTVAAWMKNGNVAFGNTKGSIIMFEPSTSE HVSARTIDQIAVTALAPSSDCRTFAIGYQNGSLFVATLQPRFTILHNLTTSRGPSPIV NLAWHASSSRQKSDMLAVQMRDGDLRVWSVAKKYSADDPAKVVRNLRKAEISMDGPNW MGWSKNGRIIQYSDSQTQSWDVRTKHVTHDPIPTLDLVRGLAVYGPGATLFTLGPNNT AQQFDLNSPAIMVANVQHPANLLPPSPPVSEEIGDRSANSATTIASESESSSIPLDMN ISESDEDHMSPFARLTRRQGYDSGNEPYESASPVSSRSGLSSLSKSSAGSSQTPGRYP QSTRSRGLSENTYISAGTSIRSSTIGNGNGNVQGNSRDMDAYSMGYSLGTTSVSSMTS SRSRHRPSRLRNEVPRSPDDNKVHDLFKFTRARLSDIPYKHAMGSNHARLTNDDLRRQ MLNTIFGWNKEVEDLIRDEMSRHPQGSPSRILLAKWLGDIDSNIMNANFENMTSSDWM LLALSGIGGQASQQKLGQAYVQRLLEAGDVHAAATIMLGMGEHNDAIEVYVSHRRYME ALILTCLAAPSVWERQAAIIRKWGEWAVQHGQQQLAIRCFACTDQESTEPWTSPSAAQ LNFQSMTPSIPEILSPPLSPPGVQRGPQRSIAKTSALKLITSFGDQSQKSKFFAGDGG QTPIAAGVTPIADSAGSPALSHASNNDATTAFLRPSNNSRFNTPVSARARGRLPSIGE LPSDLNRDAIRTAGLSAAPSDYEPRSNHGRTPSGNDNMMFGTALQRAATASPMMMREH AQRVVQPSEGDYPPPPDQAVMIKMQQASRNLRNGSRDRIPLGVNLQLQSGVSHDEVTS PEHSVTSSNRYHWPTRRRGPASVTSSVTSASSAGRSLRHQAVRNREDYIHSLDAANHF SKRQRSRQRSHSRTRDTSRSRPGSRERSARSREPSEERGRVVARGWSKAKRSPTSPIP MSPEDLLNLSTPRHGQVGEPSTVRKASTSTMKPGSRGSSRAGRRKSPDSRSRPAALTL RGRSQGREGSTQRSPSSPLPLEVTTAHYQGSEDEEDFRAAMVAQEEFRNKHSRSASRG LNSPAMSKQDSSDSRRRANSEVANLEGPMSVHGRAASTEHAGDLRQMKDERQRKKEQA ARELEERRKSLAKRAQTPSIPHPNEFSPALAITVETAEPKPLPDDIPPRSATEPPQRS MYARQGPVIGLPATPKAMRLIIEGNHDQSGSTPRPEMPMIPATFSQRHSPEISPQQSP ERLEHSAEPSLTLLPSTVYQPPSRPPIPRSMSAPIPDEPGQRPARFGRKSSVGRIEEV VPGERRRSHEDDPLPPPPPPAPPVLKELRHLASPPPPPPAPLPHAQRSQHGGAIASGM IEIVMDDDLSSGNDQASEASPPAPSSHRGHGRGRSIGDSSISGRIHKATERLRSGSRS RKEYVRSPPFESPYESVPMPRQLRSPPPVSFNPDVLRSPIDSRNKHMSTGLHQNEMI FPSE_09869 MLSISPRLHIRHPSPTTAEFTVTTLRPLPPALHTVVLISRVVLS IFTLLLLHARLTLHPLLAYAAPSLLKIIPPSYLRAPTSIGSVAQDIPLSVLVPASIAV LWLSSRRGYVSESILVMRGLGVQTSESPGSYLAGTATRFIPTEKIQDILLNEAFLGFE VRYYLIVIVEGEDDVVVVFPGLLPRRKIVEEVWRGVRRCLYEQRGEDKVQA FPSE_09868 MSGESNYQSWTKNGLIQKVKELEAELGNRPPQVKNEEVYDPKKS TKPKVKRKMDPNKYTTRYIALKLAYLGKNYGGFEFQAMANASSIEEELWNALTKACLI FPEDERIVDFDCCEYSKCGRTDKGVSAFGQVIGLRVRSARPVPKKRRRLSEAGEAMVV DEQSGDNAEEEVEDNTPPFDPIKDELQYPRLLNRVLPPDIRILAWCPSPPPDFSARFS CRERQYRYFFTQPAFTPEPSAGGSRNGWLDIEAMRDAAKRYEGDHDFRNFCKIDPGKQ ITNFERTIYEADIEEVRDVSSALPYLSSPQFAPAEGLPTDDAKYPKVYCFKVRGSAFL WHQIRHMVAVLFLAGQRLETPDVVTMMLDVAKNPCKPNYVMADEVPLVLWDCIFPSEK DAPVDPAAEKTDGLDWVYLADDPALSKSGPYGVMDGMWAHWRERKLDELLSNQLLQMV STQRSKDPSGQVSRPEPTGKQANSVVVYEGGNVGRMGGRYVPMMKKDKLQSPEEQNER YAIRKGYASSEDMRTQKGMGKRKADEAMDE FPSE_09867 MDPEVIKILLVGDDKCGKTTFLSRLSAGENVNPIPMLRNIDQPY IFGIKTGTKQFQFEFFDTSCPDNWRTLDPDAIVICYDISQRLSLINMQRYWIDEVKRS FQRYDTLPLIILGLKRDLRSEDDPNGIIYPQEAYTVAQALRADRYVECSAVTGELLKL AFEDLCKTAVTPTTGTNSQSASSCSIL FPSE_09866 MKILEAQSAVLTNYEVYEHLTEQRERYKSDKRRGPPNLETVVRE LLQYIRTPPGPLSQEPVSYTEGCISQLLQRLRPYNLAKGEVVMIINLRPASVAALNTV VEEMTERFNEEQQEAMVNIIAEVLGQFPAPEEEGAEEGGADVSMNDAVA FPSE_09865 MVRNIVVLGGNSHPQLTENVCHILGVPASNRILGKFSGGESRCE IKDSVRGKDVYIIQSGSGNVNDNLIDLCIMISACKTGSAKRVTAVVPLFPYSRQPDWP YNKAGAPLSQISGASKDYTFESVPPTPRPGGPKSAGLPNGVNNLTEKLSKTAEAANGV NGTNGHPTPRRSDTISSTTSENRGHHPENSTSSQRNAYTTHDYENQSNISVFQPKPGY KQWIAQAGTLVADLLTCAGADHIITMDLHDPQYQGFFDIPVDNLYGKALLQNYIQTNI QGHQNAVIVSPDAGGAKRATLIADSLKTDFALIHKVIPTTDLSHDDQSSFNASKERRP IRFTEHRNASMMLVGDVSNRICILVDDIIDTGNTITRAAKLLKKEGATKVYALVTHGV FSGDSIARINASSIDKMLVTNSVPQEEHRRLCPKLEVLDISPVFAEAIRRVHHGESIS VLFQHN FPSE_09864 MGIRQFNADVRAAMGSHFPCVSNLRKGDSDGEITFTYTPENEAA PIAIQALSTEPEAYPRHPGFLVFTSSEDGPVELEEWLQEISASTKDKSITDFINLTSK RLATKLESSESSDDDSAFDDNEEESSYDVVFDDELGINSSPSRGPSQATYTSTNRLKR HLREAKREGLHVWIPTEGRGHDSGGHGGKAPGVFHIFSLSIRASKLNVPEEALGAWGL KPSEYVVMLCRLPSGYPPLSTFLQSSQTAPVQFKFGKCTNPNPSYSSAIRVFDGDDSK TSEGARKDESESPFLSMYMSLSLDALLNTDFPRLLKLRRSEGISWDQAQELWFRLGRG DHDPESVLQSTSQGQHESLDRFELEFLQRNFLGAEDINIILIAMQFGLQRLINCTKYC LVCSQRIEGGFEAIKPFVCEDSLCLFQYLSLGFCQSIEYEIINNPNVVDLLISFFYAA VATNFTVPNSSLREFPKGLGLKVATSGYNIPSDTEPTITAEVCFESNTIRFNPLDYAH YTSITEGRCITLVLKQSLVPRQPIMQGRYAKYVCIVQSCQPTEVTFRVISTYTATTAR VTPTNPVDSANHSTQAWESVFIYKHEQDIDGLEIQERNQALTVISECIPPVHEMRQYL VERPGCTLSSWKRMDVSTLGLLNWIVASNRSFIVQDASIPGLSQPEEETHKVNNLVKG VEPGWVQFRFVQGSIEKEEVFLRELMGTPNSEKPWPTLFAWHGSVLGNWHSIIRTGLN FSVITNGRAYGNGVYLAKDFQTSRSYSSRGGGNSWPNSALKITEAISICEIVNDTARF VSTNPHFVVDQIDWIQCRYLFIKVDIENSQASSHDNNGSASYIEQDPSHQLTSNSQRI GIPQSAIPISRRRVLGQRQILGSSHDFPIILGETEGEPDFESNNELDDLLASDDEGES EDGTAAVTRKRRRSSIDSGLGDTRQPDNTTPFQPGQLDLGSLPKLVEPTWAASSPAAL RALNGQIKDLQQIQSNTNIAMLGWYIDFEKLDNLFHWIVELHSFDMNLALAQDMVRYG CSSIVLEVRFGASFPISPPFVRVIRPRFVPFAQGGGGHVTMGGSICSELLTNSGWSPA LSLEKVFLEVRMNLCEKDPPARIEQSAGVRRAQNGSHMDYGMFEAVDAYRRAATAHGW QIPSDLDMLKSM FPSE_09863 MAISIFFGRQRRFMSRRLTYAVLLLVVFVYTIVSVLSPTIVPVA GSGDTEKQGTSNKIKSSVSGLRDSLTKGVNGLNPFKGPAHAPPIRAQDSFQGTSWWAD WKWLSVPLSSSLTLDEDRALLPPLHGRPFVYCYYDATIKKPREEKDAESKLLLTWRRA WWAQGFQPTILGPSEAMTNPKYQELQKLEVQSDLKADLMKWLAWESMGTGFLADHTLF PVAHTQDSLLVFLRRGKYPAMTKWKGLGDALIVGSKKDITNTIRKLMDSPALKTTKSV SQGPTKNGFKIDKAQSSLVSYSPEVIQKQYSKIHDSLTNGRARGLGALERLINAHLHA AWQSGFPSGIDVLSPFSEYTTAMIAPALNLANSLALCPDNPIPSSCPPGVSKCNHCAA GTTSMKVTTSDQYHNSTDEFAIGTIPHPWTLATLTSGKERVDVSWIRKEAPRDPWLET ITKGLLGSRVSSNSRIMSFKQAVADEQAPTYTLWLTAEADIPADLEWQFGFRIPKSLG EVHIADNSKSEGNQKQEAVKVEKQANAERADKSDTDTRPEKPGPQPHDISQEKQLTPQ EIQIRQKALLEHAKKVVAFKKSTVETRLRASLEAWNLADTEAWKFARAFLARRSRERI EWEKQESKYSGGSGSEKGRSAWNRWADSKQRE FPSE_09862 MAPLTPHWQQPSHPDIQEVVVVNAQEFTTKSISRVSLPPFSVFA KFDFPPCTTASEPTYATVQTGRDTHLSLNSDLLYINHSCEPSLLFDVGNLNILVGPKG LKVGDELTFFYPSTEWHMAQPFDCLCGTGSCRGRISGARDMTQAQLDGMWLNGHIIQL RAEQVARSEDPTTNALRDAVVHAEKVLESARLALRSYTSNAHAQNGTANFPSKYVNGT LGKAGVLEHRGPTSRELSGEMGGDTVCA FPSE_09861 MAAYRYTDSDLLRLRRTFAPDREVAYKLAERVDEDNDLGEIIRT TSEHSLSLIVEESGSSSNSDAQFRQAAAQQLDGTDSERQYQDRSDSQIDRAPLVAPAG LVSQRSEGFKQFYNSVKSPTHMRVTAGGRIVPNSRGPPSPISKWNREFGSLDSQSYSR NMNGNQPEGFAYPILPPSWGQVTAMVPPQTHGTMPGMTMRPPEGYALMAPPPMAYNMA AVPYNQFPASGVPIQHPGQASYFGKPPDMGMEPARNVHLSPVEQFDPTRPFFYNGQWL LANGNNLYPINVAPQMNFVATHVPNQLAPRPSDDHMAHPAKYGRVSSRQLNPSPMNSK PTVEDMSRSASPPYSSIRPSSITQKQLEVLRSQKRYHQDQLQYNKHQIDLRDMEQRLE KICAEINKFEHLYAMQLEFEARKYPKLESPNEANSNSSAGYPHSHSDSDGPMAVTKMP TLGYGYQQPGVQAHTRSVSALGPAKPAAAVASAKNPSALKNDNGQQRKPSSLPVNAAL APVFQPRSEINGSFVATDQTDEAARARHWESMSRANASWRSSFFAKEIEAQNNLGSPY LVGKLKPGVLPQDARRDDYTYERELTADEQRARYIYWEKAPHYFQDGLPRYDGKDFYH APDDKENSLRAGNTISPSLSNPAETQASTTKFRSAVPFSKVFQAARGSARHLFDEVTR SESLHRTDDSLRASSNSEFPRSESHAAHPGSRYMDFRRAINESTRVSSEKFQAKVSDD SAEEEGSLIFKGRRVKDRAVSSKYPSDIWSTMRKKGKTSANVVAGQVSPMTAQGVLPH YSGHATASLTPTITTNSRGHAVRQGDMNPPPTLGRREENRPPLELLEQQLRSASFQDK NPHGFSTR FPSE_09860 MTKINPSVASSRRKSRAAHFKAPSDQRRVIMSAPLSKELREQYN VRSIPIRKDDEVTIVRGSNKGREGKITSVYRLKYVVHVERVTRDKANGQSVPLGIHPS NCVITKLKLDKDREDILRRSKVGRELAANNKVTA FPSE_09859 MNAAPQLARSSARAAASSWSCFFCQNARPKAQRTGLNFARNASN QAPRPRKPYTPTMEEIRAHYSKKNRTIAYYTLSTILGFVALTYGSVPLYKMICQTTGW GGQPIRAHGGPGSEDGDLASRLVPVKDAKRIKVTFSASVSDVLPWKFVPQQREVRVLP GETALAFYKATNKSDQDIIGVATYSVTPAQCAPYFSKIQCFCFEEQRLNAGETVDMPV FFYLDPDLLNDMNMKGVETVTLSYTFFKARYDNNGRFQRPMSK FPSE_09858 MTMERPILKDRCEHGSPDEIELERFQTPKEQPGSEQHHVYQSRE ESNLLFTSPSSPDKNPRLSASTNSTHHQESRPMGAQTLRKRPKHLRKGLIANLSRWFA SVLFVIAIYVVLWHFSQQNVMGTGTKREFNALIIGLSLGLGMSITISLEAMAKEIRWW ILELREWSKRETELILKAKDLTKVIQLTWETGSRSIRIYAITFVLLNLVSQIALAMLG LIYSTNTADSAAILKPGNVTVPDFSDLETARVLSSKSQALGAMRYTANRFVFQEWASR PKKYDLVVSTNRTVDATGNCRSWKVTKGGGGNEMSITIADDDRTEVNITAMNGVNQTT FMFDAAKDQGETWSEIAAFEASETSPWFYRCNISFGPVLNAYRKEHVLGVNITSLASS AIALQGYGASSLGPTNSNRQFQSYPAESTYGAPANGNTDKMGALVAAFSAGVVAVVGQ AASTLIIPGLQPQNGVVLEVSKWAYVHLILGLSLAVQLLLGVGVAILANT FPSE_09857 MTDSRTMNSATDPYRFLRKKVAVVGSGSAGIGALWALNKTYHDV YVYEASDRLGGHTNTVDFSKGKFSTKVDTGFHVLNAQTSPNFIRFLEKLNIKTAPTHL SFSVSRDCGALEWAGSSLTSLFCQRRNLLSPRMWRMLFDIIRFNQFSVDLLSRDEDDI ANLEVKGKPVDSVGYDLTIGQYLDQEGYSQAFRDDYLLPLAASIWSTSPDRCAMEFPA LTFVRFLWNHRLLSTFASRPQWLTIEGGSQAYLDAVMKGFPPNHLFLKTPVRRVTTES DGQVRLHLENGTSALYDHVILATHGDEAFDIIKSSATEQERSIMSCFKTSQNEVVLHS DLDMMPRRKKAWASWNYLTLSSPSSRKANINQVSLTYNMNQLQHIPRNTFGDVLVTMN PLRKPKAAKTQGRFYYSQPIYTTASVRAQKLLKHIQNSRGISYAGAWTKYGFHEDGFS SGLEVAQNHLGAKLPFPYTDSTYSRGKRPLLGLFDLMLRLAILIIQVFVIQILERLTG SGVPKRQRVVNGKSASMKR FPSE_09856 MSNPLDTDAGSELFSSYEAEYKLIQADLNQKLDQIPELAGEPRK AAISQAERALEELDEQLSAMRLEKQNIPTSSRTKVNQRFRNYESDTDAARRKLTTLSS DRSALFGSRYTDDPAGSSDVNMEQRQQLLSGTDRLDRSTQRLKNSQALAHETEAIGAD TLANLGRQREVIQGTQITLLESEGYVDRSVKTLRGMARRMATNRIITISIITVLVILI VAVIFSKFR FPSE_09855 MSEFLGSRISLISKSDIRYVGTLHEINSDESTVSLENVRSFGTE GRKGRPEEEIAPSDQVYEYIVFRGSDVKDLRIEEHPNIKENKPPAVPDDPAIVGAARA RPGAQGPNPNAPPGPGAFGQGPYPPNNFYGGPPPGNWGRGGAPPGPGPGPGPNFGNMP YPPPPGWFPPGQGFPGGPGGPGGPNPPGGPGPWGNYPFPPGPGGPGGPGPNAPVEVSA NQRATPSSGPSQDAKPAPIGPGADRAKAATPGQMAPPTEPKSLAQGVRQPSHAPTPPV DSKPSVEEVKQTAAILAANGQAKAEEATKAAPTGPRNHRVNPVIPLTGSTAKPFSLPG LGGESAGKAPAAVAPTNVQDATSAARAAVAVAMAQLGNGGGNAMDNLTNKVNEMRVNA VRGGPAGRGRGRGGRPMNAAKVVVPDSDFDFAQSNAKFNKQDAVKEAIAGSPLEAPEN LAVAEHPEVTTTGEEVPVAYNKTRSFFDNISSESRERTENGGQKPGGREWRGEEQRKN METFGQGSVDGGYRNYRGRGRGRGRGGRGYGRGGRGGAARPQYPNAPPQQ FPSE_09854 MSSRQLRKLQKQRELEEVQNIVEKDSEESEDDQLPIAPKPRASL FAALGGDDEDEAQDEEEEEQQPEEDVSEIKQPVATGKSKKNKKKKKKASKAQAPPPEE DEDEIDKAIKELNITASKSADPSTAGDSTVQTRRINELLSINPYHLKVANEMKNLFGS DIIQSAEAEEEQERNRRFRGNAPREVDIETFLRGPPGQPKLPEISLRRNVFIQGREHW PKQSAGGLTMKEIKKADDGSWTEYAYFHDKNYDATQAFFFSCVQIGDPMRMVHVLKEF PYHVSTLLQVSSVAKQDQNMALAAELCERALFTFGRVTTNAFRQNIEHGKARLDFCRP ENRQLWLAGYHYLKSLIRKGTYRTALEWAKLLYSLDHKDPYGMRHFIHFLALRARESR WLVDFVTELEKTSDNRDTIYLRQSLVLAHLQLGDTARATEELEKGMRRVPWLYCGLFQ ELNLDTPPSIWGISADSDSRSFWVKLYIHQAKDLWNNAHATALLTKVAKNLEKVDTSV LPADDSPPDHGVTRLTFLEGQTSLIALAPREYLDVQPNYEFDPLPPPEEENIFSGHGT RLPWEDRQRGGAHRPTNEIEERLRNIFARRAAAAAPANGAGGGEEEVDSDDEAALLAQ LDDEELERDLAAARNGSDGGVLGVLMQLLGVQTGPTTDSQRPDEADADRDGQDQDRET GAGSESGSGTDGGIPGAWPEDH FPSE_09853 MTTAHSTTHDAGSAPVAPPPQSILTSTSYDTPLSQTPPHHLQQT PSQSLALTHQQQQQQRSVKRPRPVKSCTECRKRKLRCDRLCPCSQCQKSNRTCKYAVD HDSANLSEGSDSEMPEPTRPAKRNCNTGIFNSTTPLPSDLAYGPVRNGDAPSAPSLEE LSLRMERLERQLMARSPAVSEGSGGRLIAASSETIRGLTVKQGALRTRYHGQNSPRVL LNLFDEAKEFMANNSNNSAVREVLLNFKWFHKALIDEYRKSLSPITVFVDSMMPVQKR MTDILPKKAVCDRLVASYVDTSETIYRIVHLPMFTEQYNLFWEGKIQSEYFLPQLLSL CSIASRFETKSKGLGNERSEGVHIPTACALVRTWLDSLRGKQLVDFAVLQVEVLLVHA QRMITPRIQDSWTSLGSIVRMAMNMGLHRDPAEFEPRVPVYLGEMRRRLWFTILDMDL HISLASNLPCLVREGDFTCRPPRNLDDNELYPDMKELPTPKPIDVVTDNQMQVYAAMT LGVRMKVAHMLNRIDTIRDYQEIMDVGAKLERFLDDINYIFPRQGILSDSQKSKQWRS RVILDMHVRRPLLALYRPFAIGASDAPAQISRAYLRSSMVIMKYLDELDPMLAHFQDI TEMYHQVLKKDIIQAALSVCFYIRSAVRPASDSSGLGSQALRMSPDSSDDFPIYNPEN LVLWSPSRLITTVEKTLDLLVRNISGRDTKDVVCLAVVLECVSKPEVKTEDVVQSLRM ILDRCSRATNMSLDSVPIGPSGVVDGYQGDAYRHHVPFMYQRNSIGVPAALNDFDNWM LWEGWE FPSE_09852 MHILLLSTSDQNGSAVLQSAISRNYTVTALLPYGSYSPSHANVT LVTGSSTSQHDLETALQTPMFPEAIVIAFDHANLWELVAQALLKAIAAVNLRKRTEWK LSCSQISLPFRLVFTHSNATQMGRDDYNRVDAIVRGSGLPFVLAPNSRVSKIPKTIRA SPCDGRGAAWMATVKRVSMTTDTAQNNEPNGVVPSLVEAASIGTS FPSE_09851 MSSNKKSPVYVLGVGMTKFIKPRGKVDYTELGFEAGVKALLDAQ INYDDVDQGIACYCYGDSTCGQRVFYQFGMTQIPIYNVNNNCSTGSTGLNMARTLVEH GAAECVMVVGFEKMMPGSLQSFFKDRESPTGTTVKMMADTRGITNSPGAAQMFGNAGR EYMEKYGATAEDFAEIARINHTHSPNNPYSQFQDVYTKEQILQSPMIHEPLTKLQCCP TSDGGAAAIIVSEAFLNARPHLREQAVEIAGQHLATDAPSLFSRSAIDLMGYEMTQRA MQEATKQAGISPRDVQVVEVHDCFSANEMVTIDALGLCDKGKAHELVRNGDITYGGKY IINPSGGLISKGHPLGATGIAQCAELVWHLRGWANNRAAPNTRYCLQHNLGLGGAAVV TIYKRADGRAAPAVNSTMVGNRNKLGYNPAVVAKGFTQEHVDMVRSKKARSEWALQDV ENKVEARF FPSE_09850 MADTHTYEFDIAMSCGGCSGAIDRVLKKLDGVESYEVSLENQSA KVITALPYETVLQKIVKTGKTVKSGKADGVEQSIEVAA FPSE_09849 MAAPQIPSQQWAQIFETTGGPIEYKQIPVQKPGPDEVLVNVKFS GVCHTDLHAWQGDWPLDTKLPLVGGHEGAGLVVARGELVKDVKIGEKVGIKWLNGSCL SCSYCQNADESLCADALLSGYTVDGSFQQYAIAKAIHVARIPEECDLEAISPILCAGI TVYKGIKESGVKAGQSLAIVGAGGGLGSIAVQYAKAMGIHAIAIDGGEEKEKMCMSLG AQTFIDFTKTKNIVADVKATTNDGLGPHAALLVAAAEKPFQQATQYIRSKGTVVCIGL PAGAQFSAPVFDTVVRMIQIKGSYVGNRADTAEAIDFFRRGLIKVPFKTVGLSELNEV FKLMKAGQVAGRYVVDTSR FPSE_09848 MANQTPAVLTSARSGTGFSKLGFAGNDSPSFVFPTAIATKGPTG GAGGSGSGRPAVANKPSFLTGGAGAGGHLSGKRGTEDLDFFIGDEAIAASGGPGYGLH YPIRHGQIENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIFFES FNCAGMYIAVQAVLALAASWTSSKVQDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIK SIPIAGRDITYFVQSLLRDRGEPDSSLKTAQEIKEEYCYVCPDIVKEFSKYDQDRTRF AKHVVSHPNGRQVSVDVGYERFLAPEIFFNPEIYSSDFLTPLPVVVDGVIQQSPIDVR RGLYKNIVLSGGSTLYKDFGRRLQRDIKQLVDARIRASEVRSGGARSGGLDVSVITHK RQRHGPWFGGSLLGQTPEFRSYCHTKAEYQEYGPSIVRRFALLGGPGGS FPSE_09847 MAMKFLKAGAPATEAVEAALRVLEDKEITNAGYGSNLSIDGIVE CDATVVDHFGRSGACGAVPNIRNPVSLAKLILDKSNRPLSLRRVPPNVLVGEGAKTFA EEHGLMTYPNEYMVSKTAKDRFLRWQEDLKRADTKMKEFKASPEITDTCPPCQYDTES STKPQPSLPREQRAAIVAGTWNEGQPDSPFIGTPSQSATSTPSPDQHTPTSYFRLAGP SSTPSNRPPSTRTVPEKLAQTYAGVAGGVLGSSSPFRPRLSARKPNPLPEDFKSSMPE NVLIHERHHARSTGKTDHVLSEVPPGNSGYGSDRQSSIGPRGVKRPSSPDDKAQPHSR AIKDRFRIGPGYEDAITDTIGAIAIDDQGNIAAGSSSGGIGMKHRGRLGPAALVGVGT AVVPCDEEDEEQVAVAAVTSGTGEHMATTMASQRCAERIYHGTRRGRGGKDVQDDDED AIMESFIAEDFMKHPGVRQCHSPGAIGVMVVKKTQTGYYFYFAHNTESFALASMGGLE RQPSCTMSRLPESAKIAKGGRKEESMILVCNELLLELSDDCEADTGCGV FPSE_09846 MPSLTALVPLVILIAIPLLGKFLRTFLGWSLQKRTEGRRAHLLA LMTEEDRAARSRDPRGSAETKLVFDVDDNLQNTLSSQKDWAGIVGFFHPFCNAGGGGE RVLWAAIRATQDRWPKAKCVVYTGDHDVTKDAILSRVKTRFNIELHAPTMTFLYLSKR DWVLPSTWPHFTLLGQSLGSLVLAWDAFSLLVPDVFIDTMGYAFALGLCKFLFPKVPT GAYVHYPTISTDMLDSLDVKEDAGTQGAHVGKGAGAQGFAKKNYWKLFAMVYSWIGSS VDIVMTNSTWTQGHIKSLWGPYRTEKSKTDPITVVYPPTAVREMEHEVEVSEESEKRR EKAIVYLAQFRPEKNHQLILQSFATFVKTKSEAAKGANLILIGSVRDDSDAKRVYQLR LLANELGIKNSVKFHLDAPWSEVLDWLRKASVGVNGMWNEHFGIGVVEYQAAGLISVV HDSAGPKFDIVVPIDGQPTGFHATTEEEFAQGYEKALSLPDTLAVRLRARESAKRFTE EEFAKKWTVQMARLVTLARREA FPSE_09845 MKIPILWLAASLYYGLLSASPIFEEFLSPKAGTKGAVVSEAQEC SYIGRDLLARGGNAVDAMIGTTFCVGVIGMYHSGIGGGGFMLVRDKDGQYEAIDFRES APAAAYEDMYQGNVNGSIYGGLSVGVPGEVRGFEYAHKKYGSLPWTTILQGAIKVARD GFIVNADMAKFIEKTTKGHQNLFTEDPSWAEDFAPNGRLIAEGETMTRKRYASTLQAI ADHGADVFYTGPLAENMIKTIQDSNGTMTLDDLKDYQIISREVLHTDYKGYDVYGMSS PAGGAVSLNILNTMNGYSHQDADQNTTLHIYIEAMKFAYGARLKLGDPDFVHGISELE HEMLNHTTAEKIREKIDPWTTQDIEKYDPDGIYSSDGHGTSHVVTADRDGMAVSLTTT VNLIFGSLLMDNLTGVILNNEMNDFSIPGVPNEFGFAPAEANFVRPNKRPLSSCTPII VSNKDGSLFAVIGSAGGSRIISATTQVAWRVLTSPSWSIKDAVREPRVHNQLMPNTLL VEKKFSSYDIPSLKERGHNITWVDEGLSSVQALVRDSDGVFEIAAEPRQKNSGGATL FPSE_09844 MASVQPEAAVPTNGNAQVNGNSQQQNKRPNQQNNRQWKQKKTKR EKNITEGSSEEVLKYDIQALLKERAVEYGEGTEHGPEILPEEGTETEVEVLELSSTGD GLAMKPGSNQVYVVSFAVPGDIAKVKVFRHLPRDNQTLADFISITKPSPLRDDARISC KYFSSCGGCQFQMLDYSEQLKLKKRIVEKAFKNFSNLDPNIVPAVQDTMGSPLQYSYR TKLTPHFDGPPGFRPSKRGKNPRIPFEKCPDIGFMQKGRRKVLDIEDCPIGTSAVRLG MTRERERMQKEFGNYQRGATILLRENTQRVARDSEEASSETQPYTTRVENEDTVDIKS CITDSKATTTEYIGPYTFTNPAGSFFQNNNSILPSFTSYVRDNILPPAGTGMDIKYLI DAYSGSGLFTVTLASLFQHSIGIDIAADSIAYASRNAALNGMGEDRCKFIAADAGELF KSVTYNRDETVVVLDPPRKGCDADFLNQLRKFGPRRVLYVSCNVHTQARDVGVLVRGE GEGERYEIESLRGFDFFPQTGHVEGVAILNRVDTKA FPSE_09843 MEENTAASEAPPAQSLEDQTLIVFARLMEGGQEDNETCRDLDEL TKLLNDDFEARQKDPSRETICKVIDADCVDTVLCYLDMRQPEIVRGHATLSTSAYLKA AGDDGSKNLSTFFFDRVRRGTYDDYIVAFCVAAATFPIVPELTAQLFLNEDFLPSLGA LMRRKWKSRKVETACLEMLNAACMNSMCREAINKYCIEWLEEIVDQDLSVIVRSTNAD PNLQSDGGSISMRRHSEQVQYLAAVILAKLRAVPSKPAPGESKSRIEPAVTSIEDLSG MFTKMILRDEDHGRKHSIEGLAYASLQPKVKESIVDNPELLQKLVKTLSDAQPRSPTT YGALSIFVNLTKYLPNLTDEEKKMNQLKAYANAAGKLGGPDPLNDDEHVAKRCKSVFE AGIVPVLVTHSKNGSPASLALIISVIFALSVTKSLRGQLAQQGAVKLLLISWMSLPQT EAPARRLAAQALARILISTNPALVFGGNRSTPVGAAVRPLVSIIPPDPAAQTRDLLPS FEALMALTNLASMDDEELRRNIINTAWSHIEEQMLASNTLVSRAAVELVCNLVQTPEA VALYAEETAKARNRLHVLTALADAPDAGTRSAAGGALATLTNFEGVIRGIISRDRGVK FILGMCVDDDENIRHRGVFVVSNMVTAEGEVGELAREKVKSEGGVETLKECLKKSRRH DVVDITVQALKALLGEQS FPSE_09842 MDAVDVSEHYEVSTREDTPSLLSIVLDTNPRAWASLNSLLPLSR AIANILVFVNAHLAFSNANRVALIAAHVDRAEWLYPTPPKPSRDASGDVEMNDASQTQ TSANKFPEFAQIETAVLSGIRKLMDQTKEADLSATTTQISGALTLALCHINKAAQALC SPTANLEDSHKGSSSTSPPTVRGRILVISVSDSEPSQYIPTMNAVFAAAHTQVAIDTL SLTGEPTFLEQACYNTGGTYLAATHPQGLLNYLMFGLMSDTEAREALINSVHDTVDFR AACFCHGRVVDTGFVCSICLSIFCETPENSECFTCGTKLSLGNYGAKPAVVPRKKKKK RKVVNGGSREETGSATGTPRP FPSE_09841 MSLLRRTAHNISPHSRPFLQTTSPVRRTTLTNSISSPCASRRNF SFASTLGEAVTITADSLSWAHSVGVPWYVTIPLVAIGINATVRFPLQYYVRGVQEGRK PLEPLTAAWTRRHLRAQNPNDQDLPLRLRLLRAYGASTKSKKRIYKTWGVQRWKSFTP LVGIIPFITVSEALRRKCDAPLGWISHQIGLGNADSTTAGLGAASSMFDESLTNGGLL WFTDLSSADPYCGLPVICSGILVWSIWGRMEKAQLKTLLGMGHSDEFARPVIVRLTQL LSRTMLLVPVVPLMFADLPSAVFLYWGTSFAFTRINDMILTKMITPKTTSLTIPKPTN ELPFLQPRQSQKEEKTQGKSI FPSE_09840 MSFDLESGRGGYTDDPAFQELQYDLKSKLQTLLSSNRKLANDVN VLGTRKDTPRLRERVHNSMDKTRDMCREIGDGVKRLQTWEDLTKQQKYEQTKVSSDFQ AALQEFQSLQRRALEKERASITAARAAQEGESAEGASSETQLEQLQQQEQRVVLAPQD EVDFQEALIIEREEEIRNIEQGVGDLNVLFRQVAQIVNEQGEQLGSIADRVEDVREDT RQADVENRQAARYQKAARNKSCCLLLILAVILTIVILAIVLD FPSE_09839 MAATTVRKSPYKDFLQPALHRRFTSTATVLLIVSYLQAIILDSW SSYFWAWFPIGPAGFRTAFIFTCGLAIVVLRIANYHVGLRTTGSGFQTLQTTVTQLKT YETLFWYGFSSLLFSHVFLWSMHSTANLSWITYFHGDRARLNERPLFLLAYMISCAIT QTFNHYRRDTDRLVLGLSKGKNEKQPDALKLVYQAIPHTFSDSVAGAAAALPVALVLY YAILRSFIWGWALMFLRIFYNLPKTNMLPPSWPSDLWLLFRCMEAGTLIHLIWNIGNF AFSSFMVKAPLKNGKPLTSESKDPNSSLLNGLKSKKLSIKAFAMWELAYIAQGFDTRR QAIYEDIDRKDGPMWSQVYSICLDVVKSIETRVDTYGKAPDAPLAAPTAEPKQRVSAP LRDDPIFNNRAAPRTMRSEVEKRLSQVARSPGESPASKLSPIAKKTWKEAKDRVLTKE QQELVAPEHLKGQFEQWTLQLMEVDAIAALFQQRFRTQFAATVLGTPYAEPTLCINAI HVLHHLSVHSLAEDQFGNVHRDVPSIIRTFTSVIKKLEAFRIEFPLHWTDVSCKRVSP EVEKVMDALKTALKQVLANFEPYSSDLRLTLSDIRLAKEACADAKNSEMTQVQS FPSE_09838 MASPVSRRRLVASIIYRTLYVLLYVCLLGLLLATPADAIHRSFQ NRQLYNIWILIAAYVTAIVVVSFVFITRLYINKTDLGSIPKGWIPIEKGDLRSTVHKV IGLGLGRSASIAYESRPRLQTKDATPEEENVETRVKLGFDGPDGPAEELVVVIPRRKP VWGDIEHHGWSSPNAPDLPNLEYSTVFSELPNLIEGKALTLAPPDPTSQTNPPLLDPE AVALLQRTANMSLRDYIVHLAELGVLTMNTNTTKFLSHYEYARFANRPISNAQFKELM HLFAEILRAMEPLDPNILDDQEEASSPSSNSDDDARSGTSRSNLTPSDAASISSSARR LRRQPSTNTWNVYETAPNSIRSGTTGSGSLSRRYSNMSLALSQRRYRMSRPSTSSLRS KSSGSSGSVIRLATHQDATDLPYVLSLRDTTASY FPSE_09837 MAPAPPAPLPVADNYASLTNRISLSIASRSSVLKNMNSSITATP VRRRVIPDDNDDDLARGTIPNTGVGYVPERKDIQKYANSKEERFLRGRMGKGTTGKAK KKVEESESEEEMGRSALGKRKRPRKETAEPEPVPEPEAMPENQVLPTATAESEEKNGD AAEVEADVEMKDDVAKEESAIPEKTADKKRRRKNKKKKPKTENAETGAEA FPSE_09836 MATAHMAVRTDTFPHSTSRSHSHSHSHSHSHSHSHQSMGARIIP IPTPTIKMSPPSNGDPMEITSPAPSSNGNHNSDNEANGNGQSNDRPKNSPVPDSNTVT SNNVNNSNSMPAPPPAAAAVHQPKIVQTAFIHKLYNMLEDPNIQHLISWSASAESFVM SPSADFSKVLSQYFKHTNISSFVRQLNMYGFHKERDVFHTGNPDTTLWEFKHGNGNFK RGDLVGLREIKRRASRHALVHRESNYTKPVTSQPGTPAEPVPLPPDSADARLLNIEHT LFDLSNRLQRSEEAAHYMHVKNQAAMETMNRLLHFNQELSRTMLSIVPAESPISRDVI ALQGEIQRQVDVLRTIEEPPQEPPYTGRQQYFAGVENAPVSPRQLAQDDQRRGPTLNV PSARSQNFYKPPVPSNLSAGTRRQYGSISGGSTTQSSPLRTAPPPPPPAPHPLSNVET VPGPGSLARRHTAADIRAHGWQPTPSPFASGAPSGAWPPSPSRAAPEEQRIRDSLSTY SLQNASQAHPHSRPTTPPPPFVNGLGGGSDTFGGWSWGSAGRENKNLSVKDHSAPTTR RGSMAHILNPSDTAERSDEDEDPRGDDDRKRKRMQ FPSE_09835 MDSTIAPKPRPRPAHTQGTTRCAYTPDGNRLVTVGSNNTIRLYK TGSDGEPINIDDCQEQNMTVAAGDQFFVVGSEDGTVSLYSLETNIFERFLTRTTLPIR DVALTADNQWCAVASDELSVKIVNTKDISQVKHLREHARAVRNVSFDPQGRLVALSGT DGIVYVYSLTAEEPELIRKVEGVIGVVDAESEKSTRVAWHPDGRAFAVPTPMRDIQII SKNDWEKQRTFANGHLSDITALAWSPNGAMLASASKDNKVLIWETRTQSVVARYDYSN VIDLAWHPTKNIISFTTTDGEVYIYPDFLTDQFSTLLKLNTQPAPFIHDPLAEISANR RPPPQNGQKQHGLPTRPRRDSLGSLDSFLEGGEGYGDDDFVEDDDGAGYTAGLGQKRG RDDDDGLGFANKRRHLLEPQYHESFQPGATPWRGNRKYLCLNLIGFVWTVDQDGHHTV TVEFYDHEFHRDFHFTDTFLYDKACLTEHGTLFSCPPKDDAPAVIFYRPHETWTQRSD WRTELPRGEVVTAMSLSESFITVTTSANYVRVFTLFGMPYRVYRPKSTPMVTCASWRD YVITMGNGPMGADGNTRLLYTIENVKRDEICQNEDTVALPEGATLKSVFFSDNGDPCI YDSTGTLLTLLHWRQPSRASWVPLLDTKLMDRLASGRKNESYFPIAVADNKFHCIILK GGDQYPYFPRPLLSEFDFSIPISSAPKPSKRKDREGSEDLDMGDGDDDKDEDEDGSSE TRKLEQQFMLHGVKAAQLRDLVEATSGSHNQRSQLSRLELEIDKTLLQLLAVECREGE ERGMRALEMVQLMRDRTGRMMEAASKVAERYGRTILGDKIREVGEKRVEGLGDDDF FPSE_09834 MQSADRDHFFETDAVQAQRQRRAAKADNKNGEPIVMKSKVLSVV PDPASPLTSVFIAESAGAVRRINIETSEPKTTYRGPKAPVTCVAIGGKDNQTVFAGSW DKDIWSWDIETGKPGRKFSGHSDFIKTVVCATISGKHILISGGADKKMFVWDVESGKR LHTLQDPTTTMLAVQHIAIDPVLSDPNKVVFASASSDPHIRRWKITLDSYEQLPESFS DRPDAERLTIEEHETSIYRLFYDQSSEDVDLWTASADGSAKCLARSRNFVADDSYEHG DYVRGVLATEQWVITAGRNEDVKIWDRSSGKLYCTLIGHYEEVTDIVMLQDSAGTPRK VCSVGIDGTIRTWPLAKSELDEVVVKIQKAAEPKEEEEEKNGNLLTAEEEAELAELMD D FPSE_09833 MSTDNKQLGSIVAFEGHVDTISTQLRLLPSSPQILILPNVQNYI PNEEADHRLDVRLYVKRIHEAAVARHEAARAFLQESTPTNRRLVFMSGGTSSARALCI EAIMDQETEGDANQAESLFHQLTKDGVLGLNNSLRDWRCPTMFDCLNSQGLGDELEDP ITRAMRAAEALDRQTASLQPSTEVDLTLALAPRPRSNSLPLYGYNDNFGDNAPFFVFG VQKNEEGGNMAEEVKGCSHPTQPSRFSRFSITHYDRSADRIYRGITHLQSPSFKTSFV ASPSCVGESYNLMAGSVSPGFEAMTSRSDVLSILSTDNVVYGEASVLDMRSPSRSSTL SRVKSLGRIYPTTPKYRDLCVPAANLEPRQSDLDVEQDPSETHRTSKALSINERSHTE VPRTIIVKTNLPVIKMAPVPLEKKRKPARESYVDRGTDAKDMTESKVFQPVLPLIEDL VVHFKEDVSDPLLDSVVRGFKTGHFSTFLQSPTSEPDDYNTFVPPTPESQNITGVRCI EDQYQEPPVHKKLDLDEYDPFAYNQPSWLKRKPLPSLPKVTVERPPTPVRTPPLLVSD MDNKIHDLQVNGQQTAIAVQNSLRSVLQDYFPPETRGYRQFQFPLLPELEGLWKPVFW DTAPNGSQESCHRIDQIFAIGAQRGVKRDYTSAVTGQLEKLGTKHSGMSRSGRLDFRY LLANAMQAYTTQPLANQTKDNPFNNPFLLATLIIPHLETYLALHTEVRYLLLEYPPEH LSAVLALQKLVGIDMMKVAQIVDTSSKNIPFTHLRGNSITGPEQGPVGRFGKTYPPKS CSGHDATVSKANFLLTSTASDAEIATFVATVSKILSGISCFYVPEELPKKHSPKKSKL PSVAGTFSAFPRIPSAPYSPPMSSTVGASAGTAFSDSSAMPSRTPSIAETVKTAKSTR SKPCRSKSNRKTLASDARSILTMYIDDSDWDSEDRRIMPLLEEKPNGHKANTHKALKF LGLS FPSE_09832 MASIESQESKQGEVPLQNYNEDAEQLATLAEGKVADAVKKTSTR DQPRKDDIKIEDYASDLDRKKAEQAEAREEIKAQRKAGVDVDGSLGQGRLSNEDNSSV FPSE_09831 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMSDKKVVEYSVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGTTTANNTKPSVQVGQGQGVGSSSNNSCC FPSE_09830 MAAPIRSTISRSGRPCVASIRNLSNAHATKAQVQTINSHEPNAS SPSSDASQLTNHVGRGALSRTYFDATGVRWVGSHDDGPKDPNKAKLGKTLRVLQERLP TLLLHPLPHDILAPNIALHLFPSTHPHLPTVSGRVAYNAALWTSPLAWNRVPILGNVC IEVLAERMTTEPLTFLPRRAGAIPEQLVVRWCEKRKGTVSPSSLGVIGRSLPFGRGVD PDKAFTGLFVFDFDSEGRILSHTIEQSQAGGDWEAGVGAKVVGLTDWLLGGCKDPGTP IPMFERVQRRRSN FPSE_09829 MENLSITDAPPQGRGAPQPLPQLPPQMFTTAAQLLDLTDKKLMV ALRDGRKLIGVLRSWDQFANLVLQSTIERIFAPSPDSAGSDRPTGLYADINHGIFLVR GENVLLLGEIDLDRDDDPPPGFELAELEVVKKIAEEKKAADKARDKLRVKKLAKHGFE GENIGEIVL FPSE_09828 MSSSASGDSGGGRSMESRVGRSKQRYNTKGERLVAGIVPLTSDQ NYVLLIQSTRRKGWVLPKGGWESDETCQEAAEREAWEEAGITVQISYDLGDIDEKRAP KSSSKDRSRYHFFEGTVTGEFDEWPESHKRERQWFTFTQAWEALSTRPELQEALQRST VKRQ FPSE_09827 MYRPDQRRSCCPHYTVRLDSTQFKPSRDQRQTINRFNKFVLGGL YTKEASRLYPRSRDEAKKRDNHFDLVERIHEAEDVNVQKPPEAAHKLVVTLEHDDFTE EKFAIYENYQRVVHKDSPSEISKSGFKRFLCSSPLRRETMVAPDGRERRLGSYHQCYR LDGKLVAIGVLDLLPECVSSVYFLYHESIHQHAPGKLGALYEITLAIEEGYGWWYPGF YIHSCPKMRYKIDYLPQFVLDPESLTWDPLDRGMLDLLDKKPFVSLSLEKKQAIESDG EKPSVLSEEIKTSDSTETKGSDSSGSGEEEDSDWLFTSGMPGIPPIASAATWDMDHIA LKIAPGGPLYETSDLVSWDERGVKEHPGLKATVAELVAATGPDLMDRICIDFAPRR FPSE_09826 MASQQNLFSPAELAYLHQSLSLHPPIRPDGRSPTQFRPLTAETG VLPGTNGSARVHFADGTEAIVGVKAEIEKTGGSQEQHDDQDRSRNDWLELAVEIPGQR DDEASTVFLAEMLREALLADNEFAKKLRINRRFHWRLYLDVLLISPPLSYPLPLLSLT THLALLATRLPRLKSEGDEDPMFDDDWESSTFLYPRDGVEAEGSRPPITLLVVAIGDN TIFDPAKEELAVAESALAVSVAEVRKIKADGEMDVDKKGRELKLLSIRTVDPPSRLTP PGVPHITNNSAQKGEAQTTEGVWKAPLGGTKFGVMDGIIQSVLEKGGVVDEVLDGLEG VELS FPSE_09825 MTTRAVIPRFLLPLQGPLWRGFSIPLSQNSIRIRFASSSSKDKP IVLEKPARFNPPSHGSRLKKGNVPRHYGPELTPSEVAVQSRKHYPGMMAPEGTWEHFF WHSKMLHTFITMGTLFALGIFTFFLNYAYNSPYKELVPPISDLWTQPIHFFVAWKQVI TLHEQDKAVKAKEHRTNHLDDVAKRRLFMKMHGIETKDPVTVMFGKGEEEPKPEQEAA VEAEPAPKIEAQDKVEEPKKKWFGVF FPSE_09824 MAGGDIKKGANLFKTRCAQCHTVEKDGGNKIGPALHGLWGRKTG SVDGYSYTDANKQKGIEWNDDTLFEYLENPKKYIPGTKMAFGGLKKAKDRNDLIAYLK DSTK FPSE_09823 MDGKKVESLNLSTTESEAENMTIGAKRPRPNLQKGLDRDVYLVL KKLEETSNDGKPFKSTTAVYEAIKRSNSSLSRQKKRPLEDSIDRALQYRKEELGDDSS DSEADLEEPPKPDDDRFLLNRQMTKHWHAPASQAPQDTAPQSTAPQNPNEAARAAKKR RVQEDGEERSGAVTPKGALTDTDAAPAEKQEKMPFKKTQKSSRHRVEHPTDAVQIGGV ADVYTELFKQTHSLLKWPELYATKNWRKVPGILLSGPAGTGKRSLIKFLASKIEVPIV SLTGCFEDPERLERSLNDAIEEAMRLAPCILFIEQLEWHMSNPGSKSHSDSQRRNVIQ FMRQMRRIEAEQDKDRHILAIATTSRITDVDPAVLKTGLFERTVQMRIPDPEAREDIL RLVTKNISLSEEVNFKELAKITHGFVGADIVNVLTIAEQEAAERHMDLSLCQQHLDQR FSTDHDQILPDLMELENVLPSSLFPKPPVIALTQEDFKKAIKNFVPSLRKDGFTVVPN ITWDQVGALQAARKQLELSIIGPIRDPDRYRRHGLRRPAGCLLWGPPGCGKTLVAQAV ANEAQASFILINGPELLNKYVGESERAVRELFNRARSSTPCILFFDEMDSLVPNRDKT SNEASTRVVNALLTELDGVQDRTGVYVIGTTNRPDMIDPAMLRPGRLSISLFLDLPSP NERVDILRAIYRTCHPDAAVAELERLDAVARDPRCTDFSGADLGGLHEKAAESSLQRE EEKADMGIDEVDWEYALSRSYKSVTNPETYRKMEAKMKKTQ FPSE_09822 MGGNASKVTAQDKAILDMKNQRDRLHQYQRKITVLTDKETDIAR QMLAKGDKKRALLALRRKKYQESLLTKTDAQLAQLEKLTSDVEFALIQKDVVFGLQQG TKVLKEIHTEMGGIENVEKLMGETADAIAYQQEVSDLLGGRMTNQDEQEVEDELEALE AELAGPVPAERLPDVPINELPESQKVEAQAERAKQPAMLAA FPSE_09821 MPHTKVDPAIIEALGLDPANAVMHSHGGSGFASTFKIKAEKDGN PVNYFVKTGVGKEAEVMFRGEHASLNAIADVVPNFCPRSHAHGALSDRPGHYFMATDF LDLGSSAPGGSGKTLASKVAQMHTTPAPMPDGHDKPMFGFPVPTCCGSTEQDNSWRES WADFYADNRLRHIARQGVRNNGADPELEEAVEQVAGKVVPRLIGDDVVKDIKPVVIHG DLWSGNHSKGQIAGQGGCEEVVYDPSAVYGHSEYELGIMSMFGGFSNHFWKEYHEFVP KAEPVDEWPDRVRLYELYHHLNHFAMFGGGYRGGAMSIMRKLISKYA FPSE_09820 MFKLGRSRAVASALNASKFVAPAARFPGVQQRRALSIHEYLSAD LLRQYGIGVPQGSVAKSAKEAKEIAEKIGNDDMVIKAQVLAGGRGKGTFDNGLKGGVR VIYSPHEAEMFAEQMIGHKLVTKQTGAGGRLCNSVYICERKFARREFYLAILMDRQNQ CPVIVSSSQGGMDIEAVAKDTPDAINTNYIDINVGVTDETAREIATKLGFSEQCIEDA KDTIQKLYKIFREKDSTQIEINPLSETSDHQVLCMDAKFGFDDNADFRQKEVFEWRDT TQEDPDEVRAAESNLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGQPANFLDVGGGA TPAAIKEAFELITSDAKVTAIFVNIFGGIVRCDAIATGLIKTVESLNLKIPIIARLQG TNVEKAHQLINDSGLKIFSIDDLQTAAEKSVQLSKVVKMARDIDVGVEFTLGI FPSE_09819 MVTVTKRPLEEPQGDRKAKTLKTKKETSPTSSTTDFTTIMASQL ALIESSTRTTFEKQVWSLLCQIPRGSFSTYGIMAAHLKSSPRAVGNALRRNPFAPDVP CHRVVATGGALGGFKGKWPKNGEGITLDEKRKLLKGEGVRWDDKGKVIGTPFGGFI FPSE_09818 MFTSRSVARLALRRACSPLPVSSPLRQFSTSRPLAVSYHSYTLP THTSTPPRNDDVPETSIAQPDPLPKVHETRPPPQQPQHPQPPKQQEAATTTTTAQNDV PATSASAPKLNEKEAQKPKAARPRSKLRPRKAAMKLTPSAVDQLRELLDQPEPKLIKV GVRNRGCSGLAYHLEYVDKPGAFDETVEQDGVKVLIDSKALFSIIGSEMDYVEDKLNQ RFVFKNPNIKLKIQVMA FPSE_09817 MSTHEKEHNIAQASSDTADSDSPAAGFRPKGWMYKTLRLGRLEL WYASPKVQLFMVSIVCFLCPGMFNALTGLGGGGQVSNEAQDHANTALYSTFAVVGFFA GTFANRLGLRLTLSIGGLGYCVYAASFLCYSHTQNMPFVVFAGALLGVCAGLLWAAQG SIMMSYPPEQSKGRYISWFWVIFNMGAVIGSLIPLAQNINKKAGPVSDGTYAAFIALM AIGAILALMICDADKIVREDNTKVIVMKNPSWSTEIKGLWETLYSAPWVVLLFPMFFS SNIFYTYQNVNMNLAQFNVRTRALNNLLYWLAQIFGALMIGYALDISSVRRSVRAKIS LVVLFVLTFAIWGGGYAWQKDQRPREIAMNPANEDDKIDWDDGAKRFVAPMILYFFYG FFDAAWQTCIYWYMGALSNSGRKTANFAGFYKGIQSAGAAIFWRMDGLGKPFDTIFAA TWACLGASLVIAAPVIFMKIQDSISMEEDLKFSDEKIEDVVVAPTTKKTTDIES FPSE_09816 MLSNQSMRASLKVAPLTINKSRTDLSGDASSTSENDTSLYSQSQ MTPPATPNGSQEDLSPAPSPQYTPPPVFHNFLRAFYPFNPSYVMTDSSVTLPLNEGDV VLVHSIHTNGWADGTLLISGARGWLPTNYCEAYEPDDMCSLLKALLNFWDLLRSTSVN DKEIFGNQEFMKGIIAGVRFLLERTNCLNKDSLCITRSDALRKCRKSLLSELSSLVKT AKRLQETQRLEITPVEDVNDIIDEMILKAFRIVTKGVRFLDILEEDRRARAPAAVTVM DTVQEESSVPPTPPADQSTFDEQSQRSPIDSDSQTAASVAASESSDATQVSTSIFSKR LSSLSSRTGPSSHRLSQGSLAQAHRLSATISHRVSLAGPSSVSRAHHLVTERLNRSHD TFLSHLGSFIGRLHLQSQSRPELASAIRQSALSGGELLAVVDGVYDHINSSSEALAQA RSTMFARIQDLVFSGRDTLVSASSEDADLIMPHDNTLLLASATGCVRAAGDCVAKAKS AIERIGDFEFELESSSLGIDLSILDIDMDERARTPSVSEHHAENSASDTVSVAGSNRT SNSSRRLTVVAIDKPLPEVPQVTTPTDEQPVHQSPGSSRRSSVVDDNISSVASSISSL RPSLPPLPKLSTTILSSDDYSPVDNHDGDFNSSSRFDSMVASSAGSSATYLSRDSEVS MISQSSTRATTPDHALAPQKQPSLSNLSNGGSSSHAEEVDVESRLMEKTFAHELMFNK EGQVTGGSLPALVERLTTHESTPDAMFVSTFYLTFRLFCTPVRFAEALIERYDYVDDS PHVAGPVRLRVYNAFKGWLESHWRDETDRHALDLIMPFAEFKLASSLPSAGRRLFELA QRVSGEGSLVPRLVSSIGKTNTAASYVPVDTPLPIPAITKGQLSLLTSFKMGNAQPSI LDFDPLELARQLTIKQMNIFSSILPEELLASQWMKKGGVDAPNVKAMSSLSTDLSNLV AETILQQQEVKKRAQVIKQWIKIAHQCLELHNYDGLMAIICSLNSSTISRLRKTWDTI STKRKDMLQTLQDLVEPSQNNKVLRTRLHDHVPPCLPFLGMYLTDLTFVDIGNPATKQ MCLGPESEEDGAGGITVVNFDKHARTAKIIGELQRFQIPYRLTEVPDMQDWMSSQISH LHNNEEGNGNVQVKYYRKSLLLEPRETASRPTVDTSAATIAGVAGTRADLFSWISRDR GTSTPTPTHT FPSE_09815 MNLERSPRSIFLFLGMIIRNDAHVLCISYYAGFESSKAYVSKYW HQLIVHADTWQSWPTQDLWETSIPSMWFSIRCGAGANADEETSHMASRFKS FPSE_09814 MAQFSIATRPPLTLSQLVTFSSPPMEASPLTRQPQPEVFTPKII QLYDSLFKDDYDDEEKTDGFWTEFFLLRPDRAALKRILNDLSPSHVLSLDVRTQELFA RAVATVKGAHSLAQLHALETLSVFLSCLLSKRYPQPSSDIMVLLAGLDHIDSVFTEFV SALEAIIRNSKDLDVRYKAIEVLLAVTAGAYQTTLLTYLIQRDLFPAVMKFIQDSATS DQVLQPFTLLGLLANYNKFEFQNPYQQRLNDFVNEAVIQKIVGAVGQACSTVRSRYIT IQDDLPEGWTFSATLNMIGLGAIAPGPKPVNNPVYDVETAKALFTQLPEEEAAVLLAT YDFTHANKLFCFNLVTMAAEPDTEQPIASYLSLTSYLLQHAYLSPRATYYAHLNLMVF RLLIEDPAICKRICSDDSRVAVRLCRQRQPYLPLVKGERVLAISLLDTMIDAVNHNLR RRLDVSLYTLCFGIMLRIISYLSRTRTRLEYHWPEFFRSLLSIVRFLATYTADLKDLP HIDTLLDHVVNLIALSLSAGEAFLPTPADYDDLFYKVVESGEVLTKFKENYRLANRHS NSIDTLINVSAHYNQMLSEGGASRRKPSLLTTQEVTEVIRQGYETLSIQAKEGLDSWE RYREADERTLLKKMARAAVGDVRVMIER FPSE_09813 MESKSSSDNPTNVGQEKGNTVTEQSGDVQTGGGFAFQEESYWTR NGLTLESFQRRKDTTNVELDRRMKPRHLQMIAIGGSIGAGFFVGSGKALYNGGPGSVL IDFLLIGAMIFNVVFALGELAVMYPVNGGFYVYSSRFIDPSWGFAMGWNYVAQWATVL PLELTVCAVCIGYWNDELSPGIFIAIFLVAIIIINIFGGIGYAEEEFWSSCLKLGATV AFMFISVVLVCGGGPDNGRYNEYWGGKLWNEDPGAFKNGFKGFCSVFVTAAFSFAGTE LVGLAAAESENPTKALPGAIKQVFWRITLFYVLGLSFIGLLIKSTDERLNISGYANAK ASPFVLVGEYAGLNGLNHFMNVIILVSVLSLGVSCVYGGSRTLTAMAQNGYAPKMFAY IDRGGRPLISVAVHILMGFIAFVNLDPKGGEIFDWLLALSGLSTLFTWGSICVAHIRF RKAWARQGHTLDEIPFRAAFGVAGSYFSLGLIILVLIAQFYVAIVAPPGSSGMGTVEG FFISYLAFPVVLAFWAIGYLWKREGFISIDKIDVDTGRREHNWDEINATRAKIATWPA WRRFLHKIM FPSE_09812 MSTRSSSTRPNNARKRAPKAYINSLQERLASLERKANQQATGQS KNPELDESIQVSDLNSNIPDLVVKASASISPYASAHTQSHQHNAQGNAPLINPLAFHT YDFVPGLKSHLLFMGTSSNWSFNRRVLTMTHERIKGTALPTHNLHFSGLEGKIFDFKW DGTRKTPGEAPDMSSLPTKDFALYLINSVKFHCGWLYTLFDEDLFMERFHRFHEHPAE YSRAEPLWFVHYLLVVALGKAFVVQSTKSRRPPGGDLFIQAMKLMPDFSFFECNIIDE MQVLCCAAIYLHSVDHIQQAHRLVCNALRHGLEHGIHTEMQSTALDKSYVDKCRHMFW TIYILERQMGSLMGLPLSISDDVISARFPAFPGQPEKLEALKIHVDFCRVLAKIDQTV YGLEGKLDSRYLEATQSVLKSIAVVTERLNKSFEIQSSEGMAGISRISAHLHLLQHQC IILTTRPLLYTFLLSRLGHLEVALMHWLQSESVMGLVQMCTESAQQILRILSSLSDQG LLETFLRFDHDATFTATIALLMAAAIDSSLLPDHSPWTQRAYAIFDEMGSRGNPVANM VASELQQLEGLLQEFLVHNDSRTLVAAQSHGTPREGLADDTDTAAATIAGYNDPFNLD SGDEFGLGLSYELSAEQLLNVANSLDIDSLTWPWPEDPMVEDMEGS FPSE_09811 MFGFNLSYQWLYFTRPSGPPSPVPEGLERHWVDTPQGQIEVLSN NPSITDRTDGPPVVFCHGGMGCAWVWTEYMQYLAARGVPCYAVSLRGHGDSWHPSYFR MVFATPRSALASDLVAVIDWVEIREKSEVMLVGHSSGGGLSQGILSDGLANVKALALL GAVPGFGSMGVYVNWWKMDPWFTVRMIFHLWHSNSPLSHPKLTQRAFFGDKFPLSAVV AFQRHMNRYEAYLWPFSMMYSFTSASTILKHIRNDGASDEKILVMAGTQDKLMTEKVS QETARYYREAGTGNRDGVILRFVEGAGHHLQNDVQWEDGAEKLFEFYQGIA FPSE_09810 MEGRKVPRVPELSTEGPSSAGFKTYSAAVSADRISLVSGHLSHQ TSSYNAASPALSSATQARSLSTTTANMSSQPEHATLLIPGPIEFDDDVLKSMGHYSES HVGPGFVNTFGETLSMTRKLFQSTDPSAQPYVISGSGTLGWDIVAANLVEAGEDALVL STGYFGDGFADCLRAYGANVTKLDGEVGGRPQLPEIEKALSEKKYKILTVTHVDTSTG VLSELKNLAATVKRVSPETLVIVDGVCSVACEEIAFDEWGLDGVVTASQKAIGVPAGL SISFFSGRAVAAALENRKTPIPAYFASMKNWTPIMKNYEAKKPSYFATPSPQLVHALH TSLTQILSKPLSERFQGHIEVSNKVKKAITDLGLKIVATKPEDQAHAMTAIYLPEGVG APDVLPKLAGKGVVFAGGIHKAIASKYIRFGHMGVSALDPSRNHMEKAINALKESLFE AGYKA FPSE_09809 MAASIEELDVLVRSFYEGRGEQQKAAQAALNQFKEDPDAWLMVD KILSDAQYPQTKYLGLQVLDHVIMTRWKVLPREQCQGIRNFIVQFIIQCSSTEDSLRQ QKTLLNKLNLVLVSVLKQEWPHNWPTFINEIIQSCHSNLAICENNMIILRLLSEEVFD YSAEQMTSTKTRNLKQTMCAEFSQIFNLCQEVLNTATQPSLIKATLETLLRFCNWIPL GYIFETPLIDTLRTRFLSTPEFRNVTMQCLTEIGGLQTGGPGQPNSYDEELVKMFTET LTTIANIIPVSLDLKSTYPSSNSRDQEFIQNLALFLCNFFGMHLNLVEKLPNRDFLIH GHYYLIRISQIDDREIFKITLDYWLKLVQELYEEMQALPITDLNPLMAVGAGMSGGGA PNPTMLNNYPLRKHKYNEVLSNLRVVMIEKMVRPEEVLIVENDEGEIVREFVKESDTV QLYKTIRECLVYLTHLDVVDTENIMTEKLARQVDGSEWSWHNCNVLCWAIGSISLAMN EETEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVN KLFEFMHESHEGVQDMACDTFIKIARQCRRHFVALQPSEQEPFIEEIVRNMGKITCDL TPQQVHTFYEACGYMVAAQGNKHQQERLLSDLMAIPNAAWDEIIKQATVNPSILQDAE TIKVIGNIMKTNVSACSSVGSYFYPQIGRIYHDMLQMYNATSTLISEAVARDGELATK MPKVRGLRTIKKEILKLIEVYVDKAEDLQAVRAQMVPPLLDSVLVDYNRNVAGARDAE VLKACSTIITKLSALMEDQVPTIMQNVFECTLEMINKDFSEFPEHRVEFFNLLRAINL HCFPALLKLDNNQFKFVIDSCSWAFKHDNRDVEAAGLNMALELINNIAEKTDVQTANA FFQRFFITILQDVFFVVTDNDHKAGFKTQSMLLMKLFYYINPADGTQPKIQGPIYSPD QAQAGTDNREFVANFVANLLQNAFRNLQTNQIQSFVEGLFTLNTQYDKFRLNLRDFLV SLKEFAGDNAELFVVEKEQQEQEAKNADMERRQKVGGLLKPSELDDEEL FPSE_09808 MHPSPHSNPATEDKTSVAKLRRRHRKSRNGCLECKRRHIKCDEK RPSCGNCIVSERSCSFPPAAPGSTPTSLPARPEPSTTPSFNEPLTSASNSGSRLQSPV DSVSSTGALHPPSSIPPPSYLGYGANPNERTPSTTLPSFTESFAPDFVESPISTPQTD GGQASFQLVFTNQHLMLLHHFRKAALLGTDSIETVLDIALEWSSQAPYALDQLLALSA DHMAVLHPESAASYRRTATELQTRALSYFNRDAQELASHDTYYIPRFLFSSLLSLHML YDTLTQYRSSFHVFIERFCESVYLHRGVRSTTSNRYNDLLETPLAKFFIEVRDAAELG DKGEECSPLIDLIQQSDLSPASVEACSNAARTLQWAYNVYRNLPPNRNVQAVSAFPVV LREDFVEAVRKHRPEALLVLAYYGVLLHRCRHVWLVGDSGAFLIHLIAGHLGSYWQEA LHWPLQELESNQG FPSE_09807 MATEKPKKRSPRAWDTLNPPLSEWIRDAVATMGFDQMTPVQAAT LPHFMGNKDVVVEAVTGSGKTLAFLIPLVQKLLRLSEPTKKHHVAAIIVSPTRELAAQ IHTVLMKLLQFHEVSAEILPHLKDDDEKRPSTTVPAIVPQLLVGGTTTTAQDLRFFLR HSPNVLISSPGRLVELMSSPHVHCPQSSFEVLVLDEADRLLDLGFKPDLQKILSHLPK QRRTGLFSASVSEAVGEIIRVGLRNPVKIEVKVKIKGGGILEDRKTPASLQMTYMVKP ASQKLPALAELLRQLPVRPQRSIVFLSTCAAVDYFQHILPLILPEGFALVPLHGKHAA KVREKNFNKFLSSVSPTILLTTDLAARGLDIPQVDLVVQIDAPSDPKVFIHRSGRAGR AGRKGLAVVMLHPGREEDYVQFLEIRKTPIAPLEKPTITTSEDDAADFAKKTRDLVLS DRGLFDKAQKAFVSWARSYGAHQATSIFRAADLDWADLGNAWGLLRMPRMPELKGWTG DKMCGLEIDWDNYAYKEKTREQQRKVTLEEEKSGVKKQDKSEEFKRKRKNNEAWSAKH EKEDDRVERREKRRKRRDAEATSKMTDDEKVKQMELNDLIAEVRRQNREKAAAEAAAA KQEKDGEFKGFDD FPSE_09806 MNEKSPTIQQPQQQQQQQQQQDHLGAEHNGQTLRHNQRRHSHDD TDDHILDPGKRQHNHPANGSSHYDTPNTFTISSPSEPITTERSSRRDKYLHSTIPNTF WPPGFNSLRRIVVGAIYCSALPPFSLTMSSRSKIPETPRVISPSPTPSERDASDYMGP VTRSAARRRTPTPQPLEEDPDEDLPEPPEFRRARTRSRSPIDPNAVTRLTKRTSTAAK AGKISKDAIPEEDTSNGALNGNGNGKASTSNGHLAPPQPTTPIGWSWRDFSRSPSPLG LIPIHRHWRTFVHKHEVPRKVLHVSIGFFVIWLYVTGTQTTSVTPWLMSALIPITTVD WLRHRYASFNRFYVKALGALMRESEYSGWNGVIFYLLGAWIVLYGFPKDIGIMSVLLL SWCDTAASTFGRLWGRYTPRLRKGKSLAGSTAAFLVGVGTSYFFYGWLVPTMGPFPGD ENFMFKGILSLPKTICEAVGVSQEQTTISGAVALGVMSIVSGFMASASEVVDIFGWDD NLTIPVLSGISIWGFLKVFS FPSE_09805 MSSHYTSVGYSMPLPVPSKGSQYPTYSQYSVSPPECDDSVSSAS GIPSYSNGGYSATSSGYTGAYGEYESTRSASGVDFQEYMQDRFANSFDPIPLDRSMAM QAQTSGKMNAKHRELMELQKKAQARLAKTRERFQEGMRDAHEVRSDLEWTQKKVSSLK TKASRKHGKEYSKARARYPSPEN FPSE_09804 MDPSDNDVEGVDEALMAQMGFSSFGAASPPSKRRRYNPNADASL PSKSSATGANSTALGTGSNTDEIALDDDDDNEDKKEDESGQQASEQVRPASLPQRPAP AAAPRQGQHSVPHERRDTWYIGYYDSLSNVNPWERIEKARGLTTRGTWASLEKANAAT TADAPA FPSE_09803 MAELPPIKLNTPTKYCPSTKKSPPVDPPTLDWITTTWTVTHSTL SMWRDARNVRISYKMLPGTADGRPRMDDLVEYEPLNKDGTLKTVEGVDTQASSIGWDW RGKGWLTLVHSHWEILGWGEVVTAEGVKERWAVTWFAPTVFSKEGLDVYCDRKEGLSE ATYERIFEALKGLKGSEAKKVADLVEANMQPVEIRLPWVEK FPSE_09802 MPLHLLGKKSWNVYNADNVARVRRDEAAAKAAEEGEEQRMQEID AQRRLAILRGEEPPPIEEPESTKPNETSIGDRDASHRGPRRKRKRPDEDDTDFEMRIA RENENTALVKSEPERKSTSSAPIVDHNGHIDLLGDEKSRAHAEKNEEAEKEAKKKKQS YEDQFMMRLSNAAGKDGVLRPWYSQADAAAPDASSKDVWGNQDPNRRDRDAKRVASND PLAMMKQGASKVRELKQDRKRFQEEREQELKNLRREERHREKKRRRHEGTVVVIERDH QIVMSEDNGLKSEGMIVIDNMIHGVEDMSVMTEKGLGMREGVTTMMSHEERGAGKIKN TMRNKTCGLEKEEIKSDDEVVMNYDSDRHDTRLLFILCSMKQFEPRFS FPSE_09801 MSRTPFGGFMGGSRGQQPPPPQQQQGYGGPRYNDPRQQQQPPQN YQSYPGGGGGSGYAEKPSRPGGRQVSLRVEKVTDKSLQSRMIYGNLCAVSPDDFPPNR DGSDLYILLQAGQPMGEYVVTAKPVPGFPQGCISLSDPQRSWAGITMRDVFQGEIYDP FAAGGKAYLGSVNLEIGFASPNKKTDVPYDEDELSKMFIETYQNQVLSPGQRILMDHR NIPLLIVVKTVSLTDLAMTSDDSSKKAQREPHARGILTTQSQVVFHRDAKGDFNLKPS MHKPNSNAILAPDFKFEDMGIGGLDDEFATIFRRAFASRIFPPGLIAKMGITHVKGLL LYGPPGTGKTLIARQIGKMLNANPPKVINGPEVLNKYVGQSEENIRKLFADAEKEYKE KGDESSLHIIIFDELDAVCKQRGSGSGGGTGVGDSVVNQLLAKLDGVDQLNNILLIGM TNRKDMIDDALLRPGRLEVHLEISLPDEAGRLDILKIHTAKMAANGLLDSSIDLDELA GMTKNFSGAEISGLVKAAASCAFSRHTEVGQLAAVKQDVASMNVKREDFMVALTEVRP AYGVSEAELMEAIRLGIYPYAPHIDMNIQQMMRVVGMVKEDPNKFSTSVLFHGPQGSG KTALAAHIAMQSGFPFVKMVTPSDLVGYRDDFAKKDYVHKAFTDAYKSPASILILDDF ERLIGWNPIGPRFSNTMLEALTTLIVSRPPKGHRLLVFVTTSKASVLKMLEIDNDFAK KVAVPGVSNLRELAAVLQESPEFEHDPNAAVNEIGRQTGSDSVGVGIKTILDCIFESK RDPNGQVIEAFVELVVGKIQELRM FPSE_09800 MALSCNAPARGRAVEMEVMEAPEASTADPLPPASDLSTAPLAAT TVKDPTSTKTTHQPRSRSGSGLVAAPTAIVTALRKRENTSPSFSTPTKWPSVRSTTTA ARMPTSTTTAAKPLVPLSERRIHQTKHTPRSLKDSDSSADNQGSLARWEIAPDGGSAG REGRQFTVANVGNNGRIYLRPTVRPAYQRCPQPQFVFPITPPSTAGLDAIYQNKQVQD ETSELHVSQWTPTEPSPYDTNNRTYFEHDSYPLRPVRHRRALSDSTVHEVAVAKDSEP GAFKIVISKPLEEYRPRTMEDLDSNDPLLDISIPSWRIGVPRFTGRGTPMIRGSSYAP TEEFRSSSASLLSRPQGVLNSSHPDIASPRRPNSMVVPMLRLSRTMSALTQTPSSAQF SKPVRPSWRSNSKVEPAMFDELTFKPACDDRTLVKYSAATGAVVAATPPRLVAEITSP SFLDYELISDFFLTFRAFLEPVDLCRMLIARLRWALARDDETGMVVRVRTFVALRHWI LNYFMDDFVVDYNLRLVFCELLNDFVDELSQCKRARKVQLKILGELKKCWRRVCALYW DSPDFDDTLDSSVPVTPGGIAGHRDASLDPSFWEQDGAETPRIESLLPLRKSLAERTS FIADISRAGRARDSVVVEPRPSTPDGQLTRDPMEGQQASPVSMISMASMDIVSCSFPT KIKPTAQPAAQNHQTNPLAAHPVTATSNPHSGPVATTPRALVGKRVRPQGAHKRNNSL TDSLREHSTDKLSFKDQEFMMTAPYAGSLVRGNLLPPGQAYVDIDMQEFSNGLLPMTS ISQESQSLIKHRTPGSAMSGHGMKKLLGSVRRALSTRGQGTSSTQGSFVSINNIGPRG ATTNRIPGTAVVPQNRQRPSCPRPPVRIDLLGAEVVEDFKKAVRDEAAAEANRRGLPP PMSPSTSLTPARDIQCSAAVYMDPNDFEQLPHDYRHRPISDMAITTGSKSIVIVDDTT PFDPSLLHRIPTSNASVEAFADAFKLTGADPTPPSTPPAGHSTGTPRRSSYLLNQHLV RPSLDEDPLPPFVPDFATLAPVTSAPISEDGNRVSYSHTARSSRNHPPVSLQNHRRLK SGKTHRSLNSLLRRRSSATNALVRRSAVQSFDATTVSAPSVADPESEEPMPKPLRILR RRPGGDLRAATNVGELDPVNTLRRSHSVGSLTTYSESIRSSFIQSPRMNSFGHGSFGR GSYTRGSYGTGEVVSIDYTQHKSEAFSVGQLADKPRRDLSLFSTHSSKPAMRPSFEKE AQKLAQIPDDDDDGGIESALAKLEGKFPERRAHKSAVGPPPPLSRAVSDSVATHLLDV EHRNEHHDQQVVVRETFMFDAEDSEPEPMIESQGQHLTPQRPVTEAMSFLTGSSHNSY SSVPILERDSVKGRPWTNISVLEGPDEETTPRPHKTLPYVGGEGDPQFASYDFVEKTK SIEGIKPGDTSPSVEEDQSFLEDDSDLSSEMSADAVEYEESDFGESVVPRVKLPAHPL GSPAPPPNRKPPSPPMTLVQALEMSPTSCVHVPSLHEEQVWGQKPLPPTPETTPTAPY VVVAERATIDGYRQAAKPESIESNKYSAHLPFILAFDSEILAQQFTLIEKDALNEIDW KELIDMNWKDAAHSDSRSWVDFLRNSDAHGVEVVIARFNIMVKWAISEIVLTQGLEER VRCLTKFIHIATHCRRYRNFATLGQLTIALSSNEVARLTETWRHVPPQDLKTLQDLEQ LVTPMRNFYNLRAEMETGSDQGCIPFVGIYTHDLLYNAQRPSEMAGSPTTPPLINFER CRIAASVVKTLLRLLEASTRYKFHPIEGITERCLWMSALSDEEIRRHSEMLE FPSE_09799 MAPSNLPSVMNATSQDIETLLAAQCHLGSKNLQVHMENYLWKTR QDGVNVINVGKTWEKIVLAARVIAAIDNPADICVISARPYGQRAVLKFAAHTGATAIA GRFTPGSFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALADTDSPTEYVD VAIPTNNKGRHAIGAVWWMLAREVLRLRGTIYNRETPWDVMVDLYFYRDPEAEAEDKV EEEKLPGADEEGPAAIESGFQASAGADWEAPASGFAGASGAAAAPGWDGAAGEEWGAA PANTEWAASAEPPKESQW FPSE_09798 MPPRIPALPSLGSLNLCLRPASKPATPNFLPIVQTANLSQREKK RKAKQDPYRWAQAQQRKAANVQRREELSRERDEAWGDPVLGKKTPFIESLETAGLKNS DSSVSNIGIRNHFLTDAEVKKATAHAYQLTKPMIGAVEEQMEPETKEDKMKQHEENHQ KVLEALNRITSLENGSSKDRFHANVQRIIKEFGRHRTDSKFMMKPRASTDGEAKLERC GPDTGSSEVQIGILTAKIHNLSNALQINRGYKDKHNKRNLRLLLHRRQKLMKYMDRKE RGNQRWTHMVETLGLTPATWKDQIS FPSE_01383 MEGLYYNVNNGYVEGIVRGYRNSLLTGAAYNNLTQCETIDDLKL QLGPSYGDFLASLPPNPSTSALAAKTTDKLISEFRYVRAQAVGSLATFMDYVTYGYMI DNVALLITGTLHERDTRELLDRCHPLGWFETMPVLCVATNIEELYNSVLIETPLAQYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYNFVNTHPEMAGTPTAEVMSEILEFEADR RAINITLNSFGTDLSKQDRNKLYPSFGKLYPEGTLMLSRAEDPEGVRLAVDGVHDYKS FFDAINVGGGPSGPGNMGGGAGETKTLEDMFYQKEMEISKNAFTRQFTHGIVYAWVKL REQEIRNITWIAECIAQNQKERIGNYISVF FPSE_01382 MSLFVLAETPAGYGLFKATDKKMLKNEELAAELGRPEKVVEMLK LKKFVKFDSAATALEEAASLKEGKVPELLSQLLDDLKSEKKASLAVADMKLGTAISNL PDLNISPVSGSNTMDLFRGIRGQMANLIPGLLEENFDRMALGLSHSMSRHKLKFSADK VDSMIIQAIKLLDDLDKELNVYAMRTKEWYGWHFPEMAKILNDNLAYARVILAVGMRT NIADCDLSEILPEEIEVSMKAAAEISMGTEITEEDLENIKLLADQVIVYSNYRTQLSS YLESRMRAIAPNLTALVGYLVGARLIAHAGSLISLAKAPGSTVQILGAEKALFRALKT KHDTPKYGLIYHSSLIGQATGRNKGKIARMLSAKAALGLRVDALGDIEDDADEEERAI LGLSNRIKLENHLRKLEGKPLLPKGANVTPSGEIVGAGQFTVKETRRYNGDADGVADD EETNEATPAKKIKKAKKLIEEVDEEMKDASDDDSDDAATPAKPKKLSEADYERLAEEA GISVKKFKRKYERGDVELNADGTPKVVSKKELKKLRKAAEAAEKATATPTKSQPAEET EGKKKRKHDSDDEATPKKEKKQKKKKRHSEA FPSE_01381 MSSTEGMINGGSSTANSKAPAPAYAPEHTTEHTHAPTEGNGGFI SQNKMTVVPPKKEDLQRKYATVVEQEAGDNDWYGGMMNVLGGIVGTMGAIPCCIICPN PYKEVHQGNVGLVTKFGKFYKAVDPGLVKINPLSERLLQIDVKIQTTEVPEQICMTKD NVTLRLTSVIYYHIVSPHKAAFGINNVKQALMERTQTTLRHVVGARVLQDVIERREEI AQSIGEIIEDVAAGWGVQVESMLIKDIVFSQELQESLSMAAQSKRIGESKIIAAKAEV ESAKLMRQAADILSSAPAMQIRYLEAMQAMAKSANSKVIFLPGASQSMGGALNAALTN ETGESSGNNFGGQQDFGGQDPGFQQAMNARVVENI FPSE_01380 MNTATKKDWQVSTDKHHPRVSYLGENRGEALPAPKPKRAGGTTF GSKVVTSGYSSLTTCSRPSPAPATKHDLRPINAFWLLKAKLEAHPLCHSIQDHCAVRL QPRYNGEALH FPSE_01379 MESLEALRAYLNENLVPHAQRYPLQAILVTAIVLFITTRLFTGS PSSVRKDGSKTPPLAPFWIPLFGHAPRIFLSPSTALTRFRNRYGQGVFSVRLFQSIHS FVFRPSLAARLLEQPDSVADKEFITRHIMMTNFGLSKKDLAAYDKAATEVYQVTKKHL SGSHLDDLAKATLRDLDDNAADVISFNCYSTDQMDWERLANAELLEDIKDENIMAVDF FELIKTFIARTATISVFGTDFVEVYPDIWPHLWIFNNGFHSLAMGVPVWAPFPTSQRA RIALGRLLTFMREFHDEFETFLSGEEPGQKWQDFHTVSPLVRARAEVYRKHGLSSDVR AAFDVSLLWSTTVQTTSLISWSLFELYQDPVLLSQIREQITPHVEIVQPNHDFGGAVW IPPQIKKLDLEGLLTKCPLLQGVYLETLRLYGGGWSARYLKEDVTLKDKEDSFVLKKG TFAHVINNLHHSDPRCFTDSKIWQAGRYLEDTVDKKGVKTQKVDPYTVQASDGTLTMC DDSEFTLRKVLMYVSVFISLYDLQPAGSEIWPSPSIVKGVASVQPWSSLRLWVKRRTP PKDN FPSE_01378 MIPQLLQRSSRACPRYNPALYRLSTTSQQRPGLTQTFWTSAPRR EQPRTPTDSKSTTTKPSAVFPAIKQPTKQNDPLATVEKSAPEQRKADWAIMKEMTRYL WPKDDWGTKLRVSLAVSLLIGAKVLNVQVPFYFKGIVDSMNIDVAAVGGTATTVAGAM ILAYGASRIGATVFQELRNAVFASVAQNAIRKVACNVFDHLLRLDLTFHLSKQTGGLT RALDRGTKGISFILSSMVFHVLPTALEISMVCGILTYNYGAKFAALTVLTMVSYTAFT IWTTAWRTKFRRQANAADNKASTVAVDSLINYEAVKYFNNEKFEVARYDKALGQYEKN SIKVATSLALLNSGQNIIFSSALTGMMYLAANGVAEGTLTVGDLVMVNQLVFQLSVPL NFLGSVYRELRQSLLDMETLFNLQKVNANIKEKAGAKPLVLSKGGEIKFENVNFAYHP NRPILRDLSLTIPAGKKVAVVGPSGCGKSTLLRLLFRSYDVQGGRVLIDDQDIRDVDL ESLRRSIGVVPQDTPLFNDTVEHNIRYGSINATHEEVVAVAKRAHVHNTIQSFPDGYD TKVGERGLMISGGEKQRLAVSRILLKDPPLLFFDEATSALDTHTEQALMMNINSILRE RGRTSVFVAHRLRTIFDSDLIIVLKEGHVAEMGTHRELIDRDGVYAELWSAQETMFGE DGKEKSEEENDQKKN FPSE_01377 MQAVAQSLVRRGHQVVWLTSADNEVRVRAVGATFVATEAIATVD EPLINNNETGILDKMYNRLEIRLLAQVSDYRRVLRGGFKPDLLLVDVMPYGARAMYDL GEIPTYATLGVIPMYMSSWGAPQSVSGESPSTSLLWLIWNFLHHLISQWILLPYLLRP VINAQRQILGLENLPFGEPIESFTYSPFLHIQASSPSLEFKLLPKPEEQKKHTKFVGP TVTQIQTDYVQLPPQWDEIVAHPRVVGITQGTLAMDPTSLIIPAIEALSNDPQLLLVV ATPHVEEVTSRVGDLPNVRYVKWIPYHLFLPQLCLLITNGGYGSITQALSHKVPLICA GQSEDKKDTSARVDWAGAGIDLKTDTPSAEQVRKAARTILCDKGYVKRAGRLGDELNE LGGADRASELLESLVESKARQ FPSE_01376 MDQWSFDFLPSGMLRNIPDKVDQPLLKKIVDASSFGKYDFMYLR IDFANDCNSDNLHIVIAKV FPSE_01375 MAELDLATNAHGNVMGGRDASNPLTIAQWNAAQVTAGGLERLLS IVKSEGEANSTTHSWITLATPEQIKLQWTNLEALGPQATTLPLYGVPFAVKDNIDAQG FITTAACPTFRSVPAASDATVVSKLKAAGAILIGKTNLDQFATGLVGTRSPYGAVPNS FDPTRVSGGSSSGSAVAVARGIVPFSLGTDTAGSGRVPAGLNNIVGLKPTRGAISTHG VLPACRTLDCISIFSLTVADAETVLSIAEGYDISDAYSRSRHDPSSASFDAFGGSVEL LKPKLAICASPKWVGHDNQRSAYEKALIKAETLGWSLVPMDFDPLFQLARLLYDGPWV AERYEAIRDFIERCPSDDMDPVVRNIIVRAQSLSAADVFRGEYLRKELTRKIEHAFAE FDGIFVPTSPTFPTLDEVHKSPVEENSILGTYTNFVNFLDWSAIAIPAGFRADELPFG VTLISNRWKEPYLFSWAKAWLGDEKIFLGATDVRAEESQSMVPSAPSDHIPFAIFASH PSSAPSTKGLVSCGGKFCKKNKTSSLYRLYSLDTPQGTKELGLKRVSANDGAEIDIEV WHLPKPSLADFILKFSYPLAFGSIQLSDESWVRGFVCEPCDFDNVVDITEHGNWTACH ELLETKIKPPSDDNQKRFISTILVANRGEIAVRIIETIHKMGLRAVAIYSDSDANATH VSRADLALKLRGSSVSDTYLNLDQILELAMHSSVDAIIPGYGFLSENADFAAAAEDRG MTWVGPTPQQMADLGLKHRARSIAVKAGVPVIPGCEMLLDSLEEAILESNKIGFPLML KSTAGGGGIGLSYCKDVEGLSATFEVVQRQAVANFGDGGIFLERYIERARHVEIQILG DGTGRVVSAGERDCSLQRRHQKIVEESPAGMIPKSVRTEMKEAALRLASSVRYRNVGT VEFIYDLRTKEYYFLEVNTRLQVEHPVTELVTGLDLVECMLNIANGNATQLFEANLPT TGASIEVRVYAENPLQSFRPCSGCITALEFPSSLRVDTWVKVGTEITTSFDPLIAKLV SFGADREEAIENMVSSLAATRIEGVQTNLDYLHQIVSSPMFRSGQYTTKSLDAFQFKS SSFEVLEPGALTTVQDYPGRTGYWDIGVPPSGPMDSLSFRLANRIVGNPPNAAGLEFT LSGPALKFHCNTVVAISGGVAPVTVDGVPVSQNRTLSINAHQTLCIGAIEKGHRVYLS IRGGVQVPVVMGSRSTFELGKLGGFGGRKLQQHDILQIGDPGICVSKSFHSTISIPVS TYSKASWIVRVIPGPHGAPSYFSSESVKSLYLCEWKVHHNSNRLGVRLTGPQPEWSRK TGGKAGLHPSNIHDAPYSIGSVSFTGDEAVVLGCDGPSLGGFVVFCVVCSADLWKIGQ ARPGDTIRFVPIEVGSAIELEEQLDQAIESLSPLPIIEEEFSDKAALEQILEVIPTIV GVIEHDGEVITAHQAGDRAILLEFGDGLVFDLRHNFSISAFCENHQKKPIAGVVELTP GIFSLHVTYDQGLSPKLILTRLREHIRSYTVSSKISSRQIRLPIAFDDSVNRAAVARY TATIRSDAPWLPSNINFLEKLNGIQNLTKVLTDAEFIVVGLGDVFMGSPCAIPLDQRH RLFGTKYNPSRSFTPRGAVGVGGQYMCIYAADSPGGYQLVGRTVEIWDSNLLDVKGSN AWFFRRFDRISFYPVSEHVLDHTPRSELVQITDGVFDLAEYETWLEENKESISASASQ QEQAIASAPFLEDLLLPYQVDSFPNDQDPSPRCSDISGARVKATMPGRCYKVMVKEGQ TVEKGDTVLCIESSKMEVEVCSPVSGKCLAVMVQAGVLVHAGEDLIIIDG FPSE_01374 MFSQLPQLQSSQTDAGSSDLIWAGAGTGQSFMTIGPNDRGDGNG NSNGSGAGSSTPSVSNTRSRPCDTCRVRKTRCVKEEGQSRCVLCTFHSQPCTFLRGPT PRQRRHNRERDREKQNEPRDGVDENNATTSPPSGGAEMGVSPSSRHGDTGSTPASADN NPQIAQMQQAMGFENNIAESTRPGILSNTLGLDLKTHAEYIGSTDYRDPILLGLHPPN LASQEPSPFPASSTFARHLDYQTVFLVHPDESTASEKLRIADLDAIEATVHPLGRTLV DLYFRIVHPSFPILHKDVFISKHRLSHRHFAPSLLAAVYLIALDWQIYDSSLAGREVE SIPDPTALEALAERTIAQDMRRPKLSTLEAGLLLLQRNRRVVDSRSHTHPTSNRIFTA QIVAMAQDLGIHIDCSNWSIPSWEVGLRRRLAWALYMQDRWGACIHGRPFLIHDSDWD VQPCTAPDYPELDQFDLETNPEHNSPVVVGWELFTRHIELTQILSDVINTFYGAKATR AGGTLDQMGVVAAVELAKPLVLRLREWHANLPARLQLQSNQLRELCANGALHLAHVAI EIALRRALVRITTPDTPTSLHELLRSTARAKLQSAIELLGSLRPEHTAAFWGSAAAYQ AAQIGSLAGLLWATTDSFEEMAWCSSRVDELRWALRVRGAATPFAREALRLLERDIGG LGMVQTATEGST FPSE_01373 MAESKLDNVDQTNGDQQTATGDKHQIEHEAPDAKRTKMEDGQTT LDDMLIKTDKDEKEDEPNDEKKDESKDEKVDTEKQEDDTSKSTNKKDSNGKDAVQPSE EPDVPSSILEKGIIYFFIRGRVNLEDPESVDDIARSFIMLRPIAKDARLGDGTIADAG NTRILALPKKTLPESGKEKYMVFVEKSGASFDEIKKEFLAADEYDTKSAVTRRTPPAK PVGEGVYAITSTGRESHLAYLTTLPEKLDEVQKELGIKEKGSFIISTKNPEFPGPQNA QLPEGPGFPKEIIDEFRSLRWLPSKPAHFDYVNAQILLIGESEGIEKAVEPQKKDQKD GKEEPETVLEHLEDDDVKRMKHLADDQSTAIYADLHVHAKDYPKLQTTF FPSE_01372 MDVPVAANILGTLGAVCWSIQLIPQIIVNYRRHNATGLQPSMMM LWAWAGVPLGVYNIVKEFNVALRIQPQILTLLSLVTWIQCYYYERKWSVSRSLLVVVP VAIVMGGIQASLIIALRIARSKDLEWPLILMASLSAALLAAGVLTHYWDIWTHRTVRG ISFLFVAIDAAGDVFSLASVFFQPEVDVLGIVIYATEFVLWCGVFACGGYYNLLPWVR KRFGGDSKGVECEGDTREENGGNEIMMGDNVSSSSVFRTASGEEGMRARNSRGRDPLD IE FPSE_01371 MPHRIDADANLPYIEPPSQEGLRAIKLPPPYTGTPWKIFVSDVK LFFKNFFFLPYLFIPLYPWHSGALCELYPSTENLIDIALHVILSITQLAFLASLFTLA FLPTFTYIGYIAVFFLLNELVCWHFNRGIPRSGLKSTDDVLSQSWPRHDDEVWIFLNG ICVGKNWFQKNIDRISRTFHRPVIGVHNRTAGVVFDLIQCLVQRALLYATQDVRECYV LVKNALLDEQKKKVVFILHSQGGIEGGMIVDWLLDEMPFDKLQKLEVYTFGNIANHFS NPCRGNDPSTPVIPHIEHYVNEKDFACRFGVLNFTRHYTQNENRFAGKVFINRSGGHQ LNQHYLDDMFPLDKTLRMARDAKQGDFMDRNVRVRKDGTIKETTRAELPSGFTLTWDS GARNGLVSMDEVPRMGDLSRLWKYRNGQLPDSYKSALVDGIEN FPSE_01370 MPSVWSSSSRRLMLNKRFSRMAIAGLCTIVFLILVFWSVIHGSY GVSEYYSFSTTSSFYPVSIDPVGKTTQDLCATFPKHMLQTIQPVLKMGHADTKDKLDA QFESVSACFEKDELLVYSDLDESVHGHQAIDVLADSPSVYYHDNPDFVNYIWQKEMRV NGTLDVDKEATARIQGWRMDKFKFLPMIERAWKMKPNKDFYFFFETDTYVFWDNAFRF LQTFDPDAPLYMGSPSPGRHDVKQDIKTWFANGGPGFVLSRGAMKALLVRKTTPYGQY VEPPAAEKWLPMLRDDCCGDSVTGWALWNVSVPLRGYWPLFNPHPLHSIPFSDKYWCQ PVMTLHKTRPEDMTSVWRWEFGQRQLGRPLLYSDVWKFHHPGDREVAENWDNGKWDFW VAPPEARIDSFEACGKYCKDSSDCLQYNWRGRDEQKCVLSRSFRVGDPRQAEKIVEPE IKDDKGNVIPPPADRKDRWVDFKSGWLTDRIEQWRQNRNCTEVQWVGPSIKRIF FPSE_01369 MGQADEETAQSTTGNRDEAIAIVGEEQHAIDPIVAARAVRKIDI FLIPAMIIGYGLVYYDKAILGSAVLFGMTTDLNLTVVDASTTPPTVDTRRLSWATSLF YFGMLGGLYPMTFALQRFDIGRVLGGVVIVWSAICMLTAAVTTYQGLYVQRFFLGFVE SIIPTGFMCIVSGYYTQSEQSLRQSWWFSSTGIFTIIGGSLNYGFAQITGGGLKRWQY IYLLAGSLTFLFGLFCFVMPNSPVSAWFLTSEEKFAAVERLRHGQTGVRCTKFKGSQL KEALLDIKIWLIALMMASAYTVNGAVSGFGPLIVSTFGWSTLHSILFQFPLGGLCFIV ILLTGWLGSKFNNIRIFMLVFTCLPVIAGCAIIWKSEWSYRAAAPVVGYSITGFFGGT VSLIITIGMSNVAGHTKKSFMAATIFVAYCVGNIVGPQLVWSQTKKDHYPALWLGLII CYIICILASTTLYFVLRSENRRRDALGLEDESERAKLAFQDLTDKENPYFRYVY FPSE_01368 MTRDNLEIVLAERPTTDIIPGRTFTQKTGKAPTPADLQDGQILV ETLYLSLDPAMRGWLRDMRSYLPPVQIGETMRGSCACRVIASKSKLAKEGDIVAATPG WTEFAIVPEGRFEPASYYPGVQDPKDMLSALGMTSLTAWVGMAKIADPQPGELVVVSG AAGATGSVAGQIAKLKGATVVGIAGGEDKCKWLTEELGFDVALNYKADDFKEKFNEAT KNYIDVYYDNVGGDILDMCLMRAKEHARFIECGLVSQYNAAKPQGPRAFSQIVTMRIK MQGFIVLDHAKDFATARADLAKWMAEGKLKKTETVIRGGLKVAEQALVDLYKGINTGK LIVEIKNHNDTPSKL FPSE_01367 MYQIWNIYALASFGTIGGMLFGFDISSMSAWIGSDQYLEYFNHP GSTEQGGITASMSAGSFVGALVAGGIADRLGRRKALMIACFFWIAGAVLQCSAQNVAH LIVGRIVSGVAVGITSSQVLVYLAELAPSQIRGRIVGIQQWAIEWGILIMYLVSYGCS IGVDGPAAFRIAWGVQAVPGFILFASLFFFPESPRWLAQHDRWEEAHFNLAHLHAGGD LDSPVVLAEMEEVREAVRIANESKDIGYLGLFAPGVWKRTVVGVSVQVWQQLLGGNVM LYYLVYIFRMAGMTGNTALTSSIIQYVIFLVTTGLVLPYIDRLGRRPLLISGALICGV LHFTSGAVMAVHGYPVDGIEGNDILTWAIQGAPAKAVIALAYIFVGVYGLTWAPVAWI YASEVFPLKYRAKGVGLAASGNWIFNLALAFFVPPSFTNIQWQTYMIFGTFCIAMTVH AFFMYPETARKTLEEVDVLFDSNVPAWRSAKATGGFEEKLEAAQRSDGFKRGTDGLET THAETA FPSE_01366 MKFLLPILLAAPAVMGRACKAPSPSADSVVPVYSAPEGASIVSK HVAGDVPVYTTLATHVASPSKPTEAVFKPEAVSKVQAVSKSKAKSQTKSKSKPKAKSQ AKAKPKSKVLGNGASVSGSSTFYGGNLSGGNCMFSAYTLPAGIMGTAFSGQVWDSSAN CGACIEVTGPHGTIKAMIVDKCPECDPGHLDLFPNAFKAVGGTNGIVKTSYKFVECGI TTPLVLHNKSGTSANWFSIQVVNANEPVKSVEVSVNGGKTWQKTQRKDYNFFENPSGF GKTSVDVKITSSTGKTIVVNNVGVTPDAQYKAKSNF FPSE_01365 MKVATIALFLLGTVAAAPSDKGTDKPAGLNTTAVVNKASCSIAC NFWYSKCYYRPWAYTCDDRGNFVRRGWNPDCDEHCWCKCDAKA FPSE_01364 MSGEPPNNLIAFGPDSNCTLALCPVEWTVYQYRPSLAANGAFIA LYALAMITHLILGFRWRQWFFTSFMMLGCLFEIIGYIGRIILYNNPFSFGGFMIQICF VTTGPVFYTAAIYVTLSKTIKHFAPEVSRFKPQLIWWIFIPADIICLVLQGTGGALST VSQGSSQMGIDVALAGLSLQVVILVLFCAILGDYLWRYFRSGSSGALSKRMQVFFGFV SAAVILILARCAFRCYELSKGYQDSDLITDEALFIGLEGVLIVIAVFCLCVGHPGLVF NDRVTRKISASSTSDVEK FPSE_11245 MAPSEEDTHMAEAIEIDDASNVEEQPQIAKSPPAAKSPAAEPRT KDQGTQTIQEPPPPPPQAARNVQIAPAPGPHQAPPYPYMPQWPYHPMGYAPHPHMPQY PPHPHQQHPHHPQQHPQQMVYAHPHQVPHPQHMAQNHHPQMPHYPYHPWPPHPHMAQA PHQYVSPYMGPHVVGYAPQPGYAPHPGNVPQAGRGQQPGRGPQPANAPPQPVPAPPAG PVSQPRNVPQAGNASQPENVPPQSPLFTPVAIPRLSPTPTPAPQSVASTPARQSASPT PVPESTASTPAPETVPSTPAPESVASTPAPESVASTPAPEPSSSRTTRTSDMGPPPKK KRGPKPKPLSERKPLRTTPIVRKENSYSKQKRKEVITWMVHHRVSRLGEMVPPSAQDA ENHFKIPRSTIAGWKTALLGNGPIPK FPSE_11246 MSQYPHILLRAEEKPLEHRSFSPSIIKTLVDAGYPVSVERSSTD PKFKRIFEDSEYEAAGARLVNEGTWPNAEAGTLILGLKEIPEDDFPLKNDHISFAHCY KNQGGWEKVLGRFPQGGSVLYDLEFLVDEQGRRVSAFGFHAGFAGAALGIKTLAHQLQ DPSSKLPSVETFTDGRGYYLNEEELVNQIREDLAKAEKSLGRKPTALVLGALGRCGKG AVDLFLKAGMPDENITRWDLNETKDRDGPYEEIAQADVFLNAIYLSKPIPPFINEELL AKTGRNLAVVIDVSCDTTNPHNPIPIYSINTTFEEPTVPVEIKNDQNSLPLSVISIDH LPSMLPREASEAFSEGLKESLLTLKDRKTSRVWADAEKLFNEKVALLPESLRTKRV FPSE_11247 MKGNDEVIQEFNEVVNMTASELEKWLKSDDSNSAGWPKEDENGE SVGHDSGRKIVEILKENPKKEPSKYTDEQIQHMRKVVSYCNRHLAQETKSNNDKSPEE VKKTKSYASLKNWGHDFLKAQGKDDGSNKKQEEEKEEKEEEADDDAEEEQEDGDDEKQ TGEKRRATRSQTGSNKKRETRKGESTKSNDEDEEEEEEGDDADEKPQKKQSNGKKTNG ASKKSEEADDDENDDDEGGDGSGPKKGPKKGETVSWNWGEGQPKGKVLDVKAEDTSIT TKNGNTVSRKGDEEDPAVVLDTGNNKAIKKAHELN FPSE_06039 MTMMRQITRNGAVVITRKRIILHHVRCTNPIINRTGLTNHRIEP SIRVRRAIHANDATLLRRILKTHPSYLLNPDSSPSGLSNSNMHLAASLGHHEVCAVLL KLGHEDPCPALNESHQTALMLAAAGGHTEVVQILCEHDKTCILRRDVRGRDAVMEASL GGHDTILQLLLTYVPGGPYDAVRRADVEGNTALHFASGNGNLLVLRTLLAAGADIHKR NIWSWTPAAYSATVQAEVYLKGLVNEVGKLQQQQQKREIESAKKGGGVRVVESTSDEE FPSE_06040 MSGGWNPMSGRDARGTRPNGAPIGAPVPTQGQPYIPHVGGPGYN FGLVSTPYGVMYGGAPYGGAGYGQPAYVVPQGPVAGFQTPMTYANQPNGNGNILSRQA QAHPQIDTQMPAAQMTNSTGGVGCEPGYNYFFPAEHTKVHVFKSETPPWQLDANAQIP FIASHVPTSTKLGDLLKGFGCTNANPKKNKCFELYSGGNGKWYKGYSFNGDEEKEIEK TFNDVGWTSDRTGSDNGKPVVCLWFCKS FPSE_06041 MAEEIEYADLAPTNSTKKCMKDQGEDKFQEGITRIDTNVNTNTE INFDAKIKNPLHGIPRDQLLSDVEEWAQRKNLTEHIALLKKGALVAQNPTGAALLDGE YTLTAKELHHLEREATHRWDLPRRLILTIITCSIGAAVQGWDQTGSNGANIFFPKVFG IGSESTKDKLLVGLVNAGPYLGSAFIGCWLSDPINNLLGRRGVIFVAAHFCIWPTIGS TFCKTWQQFLACRILMGIGMGVKGSTVPIYAAENAPASVRGALVMSWQMWTAFGIFLG TAFNLAIFHLEGGINWRLMLGAPFIPAVPLMLLIYLCPESPRWYMKKNRYPEAWKAML KLRHDPIQVARDMYYISSQLEIEEHLAGKTNYATRFMQLFTVPRVRRATLAAFTVMIA QQMCGINIIAFYSTTVFKEAGMSDFKAMVGSFGFGLVNWVFSFPAFWTIDTFGRRSLL LFTFPQMTWTLLAAGLCTLISKDTGDLRTALVCLFVFLFGAFYSPGEGPVPFTYAAEV FPLSHRETGMGFAVATCFFWASVLGISFPFILDRLQTVGAFGLYAGFNMAAFIMILFW VPETKQRTLEELDWVFAVPTGKFASYQLRVAIPYWFKRWVLWKKDVTKEPLYEFEIVG DAGNSNVKTTEERA FPSE_06042 MTSLPVPEDQPLVLPPSESEDTLSGSKRDKNEYPTLGEVSPSWF QRLGVAVGLLTVPVVYLVILEMVAYNSGKKQSSFGDNTLEVLQIASTFWPISFAAVIG PFLRTVALYCAERGSTLGSLEFLLTSQTTVAAVKNLFAFRHIHIWTIGIIAIWTFSPL GGQAAVRSLYLQPSTHTEKLNAVHYFSQVPLRTLCDYRRRKSFPNQTCGSIFGQDGSV SGLIPAFRRAAFAALSRPDVLASHPDVLADDYEAVIGRLGGVSRAARLGKQDIWRNVR VPFMELLSEYDHHAPESWIQIPSDKIVPYSSFVGVPTRKASRPEAAGNSSMLLRTRYQ TLNCSDALNGTSLLKHKGPESLILYHDTNLTHSIPLEHQSLNYIMDTEPNLWMDLVAN DAALLHFKDDLDAEVESPLQLVVGGQCDGDHMIRFCDIVTSYVDVDVSCKRSSRTDDL NCEADRIRRTPDSKYSINLSDMSYMPVSERLVFEMPFTTASYDSHMPSLLERYIHQPS TAFSTDSIDFSDHWPACYSNLSRQLFEARFATVLNTFLMASYDSITLTGAESTLEYDI DTHGNVAWQNTIATWTEFTDSIYVMNIAWYFTFIISTIILLGCAIANVVIRELILAPD FLDSVDGLTRDSPFVDIPSEPCATGSGVSSRDRLQATKDIRVQIRDVEPDGVVGRIAL TTDTTDKRLDWDRAYV FPSE_06043 MDTLVQAVNATAKIPLHPYSPLNAALPEYVTNTLSSRTLVATFV VGSIAIFAVTLLFINSAPRKLSKGEIFVTLWFALCGCIHFFFEGYYVANFADLSSRLS LFAQLWKEYSLSDSRYLTQDSFLVPMEAITAILWGPMSFFCAWSIVKEHPLRHPIQLI ISVGQLYGDVLYFATCYFNEVVHNIVYCRPEQFYFYMYYVFCNAIWIVIPSALVVHSV VATKRAFAKVQAAETRKKAL FPSE_06044 MARKAGNGCITCRIRRVKCDMTKPACQRCLSCKRQCDGYLPEGS TITRRQLAEAARRISVVGPISQALCQYPISAGTKSANLTLFDVFRTLTAPSTASFIPS QFWTREILQLAHSEPAVWHATLALGAIHQRHELYFQGCNDKSEQMWLEANVSYGRAIS CAREVKDPTKLLSLCLALVSITHLIGRWSDSQVHIMAAHGLLNQAGYSEETSSAAEML TRLDLHAMTFSDSSAPYPYKNAPSRVWIDEDMQRIAGFESYAQAGTALFGVMRRLMMM GQKADDEDQETMGEDQDMMAITKRDLAAWEYKMAEFERNHASPHDQRGAISIRLYHTL MRTFLAGGAFGPEMRWDNLIGLYERILTLAETLCANKQSFHVNSPLSLEPGVIVPVFM VAQRCRHPWLRRRAIMFLYKLKRQEGMWFSDGAAAVSKKIMEIEGQTYFESDLANDDD ENKPSALALGDIPWEAWATVDVEGLPGRTSWAGIERVPEEKRMRETMVMVFTEERRIE LSLIMSAGDELGTYGEVRTESIMY FPSE_06045 MTSSIVEALASVQPSETPDSEDHDSENETQESPQPTNEPSLDDP QIGKPISHGQIVDLWKRSKAQDDANYTLEQLLRGASVYIPPPPPKPEPACLLIQFPSY EANQVQSPEYKALMARLRRQEEARSYERMMNPAPKHETFKDRYPFSAAAFAEANRPTS ASDTGEEDIAMEEVHKQVTLIINFLVSIAGVAGTLWVTARWWSLPARLFLTMGGSILV AIAEVVVYNAYLWKMDEGRKKLGKVKEVREVVESWTVAKMSETPASSTSRPRAKPLRT YGKRSTRDDSPRETSLKKRRISTATTPAETSASKDTDKEVQSLPTIAPEETENAAEST SKPAKKGSILSFFKPVPSSSTAASSPKSDEPQAESPPSSPPARIEIRKKPRLLRFRGT SLPLLKGEITEDDDQTGEAKEESTTPASRESSLNRESSSGNDVKAGKKGKAKSSAVQM TLNLSSQAAFSECKVCNTVWNPLCPDDVKFHMKQHAAILRAKRKEKENEL FPSE_06046 MARQSMLMRTNLAAAPACALCRQLTVSRGPTRIHSASLHTSPRR DSAWGAAVQVASNVVSNVVKRASKDAMHIDPLRSVAKEMKFLTGNIRKLLGSGHPSLD RAAKYYTQAEGKHVRPLIVLLMSRATYLCPKTPATAPTVTHRGVDTSLSPAQILADVN PAAHPLSSPEQEIPDANSDILPSQRRLAEIAELIHTASLLHDDVIDHSVSRRGSPSAN LEFGNKMAVLAGDFLLGRASVALARLRNPEVVELLATVIANLVEGEFMQLKNTERDER NPKWSEETVTYYLQKTYLKTASLISKSCRAAALLGNTDAVTVDAAYSYGRNLGLAFQL VDDLLDYTQSGSDLGKPAGADLELGLATAPLLFAWKQMPELGALVGRKFAQEGDVQRA RELVLQSDGIEQTRALAQDYVDKAIASIADFPESEAKDGLIEMAHKSLKRQK FPSE_06047 MASPSTEQHPEGLISVLVSSRVVVTLPDDSLVTTPASVVVSPVT GKIVSIIPEVLPSSSFPAGTNYVDHGSKLLIPGLVDAHVHLNEPGRTEWEGFWTGTRA AASGGVTTVVDMPLNAIPPTTTLHGFEEKLRASQGQCWVDVGFYGGVIPGNANELLPL IEAGVRGFKGFLIESGVDEFPAVSSQDIALAMETLKDSKTTLMFHAEMIPPITQSVGD TVQTSEAPLAPTGQLDAYKTFLESRPPAFETYAVEEILSQAHIAPQLHLHIVHLSATQ CIPLLKAARQSGINITAETCFHYLGLTAEEIEKGDTRHKCCPPIREGKNRDGLWEELV AEDSCIRTVVSDHSPCTPQLKLLPQHLETARPDLPHNDSGIVIPAPEIENPVAEGKGH GDFFAAWGGISSVGLGLPILHSAAKKRADFSKTPSITDIVRLCCQATAVQVGLAHRKG AIKVGMDADICVFDDTEEWTFTQGDMRWKNRCSPWEGHEFTGRVKETWLRGNKVFELG APNAGFVVSKPVGEPITEKRTV FPSE_06048 MSQHGSPSDNIDIEKVDRPSSLEISLDPRVQQSDMSRLSVSGGG NLSLADVQAVHVHIHDLAVSVDTAPSWLAPSTYGDLVSSKFNTTSKMKPLLHSVSANL PLGTLTAIIGGSGSGKTTLLNTVAERVLSSRLSQQGIATFNGRVGVHSVRHAYVMQQD ILLPTLTVRETLRYSADLRLPPSTTSQERQRVVEEVILELGLKECADTRIGNSQHHGC SGGEKRRTSIGVQLLANPSVLFLDEPTTGLDATSAYQLVRTLKTLAQKGRTIITTIHQ PRSEIWDLFDNLIVLTKGSPVYSGTIKESVPWFGELGYQLPPFVNPAEFIIDIAAVDN RTPELEQETTAKVERLKSAWNQETLKRYPPPDKTVDVSDGKKKKDKKTEEHAGFLRQV TVLTDRTLKVTYRDPLGMAASITEAVFMGLVTGYMFYNLGRDQAGIRSRQGGLYTAAG LQGYLILIFEVYRMTFDIPTFDRENSEGCVDALPFVLSRRIARMITEDVAAPFLFSVL FFFMAGFERDVARFFTFFAITLLNQYIAVTCAMVCVATVRHFAGASVIANLVFTLQSM ACGMFINVNSLPVYVRWLKWLTYTFYVFSAYCGNEFEGSFYDCPASNDKSDPRCKQYT GSYIMESLGFPKDWVAKPILVCLAFVVFFFVLSVIGLRIIKVEMTIARARVSDTDLSA GKEKMAARSVADVRTIDLELNEFSLALDKRTQLGKTLPTKTILNPVNATFSAGVLNVI MGPSGSGKTSLLNAMALRLRDSVGTKYRPAGKLTFNGALPSDSVIRSVCSYVCQDDDA LLPSLTVRETLRFAAGLRLPSFMSKDEKNRRAEEVLLKMGLKDCADNLVGGELVKGIS GGEKRRVSIAVQVLTDPRILLLDEPTSGLDAFTANSIMEVLQGLANEGRTLILTIHQA RSDLFREFGNVLLLARGGSQVYSGPGRDMLGYLARHGYECPHHTNPADFALDIITIDL QHEGKELESRKRVQNMIDNWKAASASTKGEKLSNIQEKDEAQNTDSADQINTTQDGIT LPPAPPQKRRSFNKANLSTPAELGALIRKRASITTALPLLLHRALINTYRQPELIVAR LMQVIGLALILALFFAPFDNDYYSVQSRMGFVQELGAFYFVGMLQNTAIYPGERDVFY REDDDGVYSVNAFLASYTILEVPFELISCLIFGVLAVIAVDLPRTATLYFTSVFACFG IVSCGESLGIMFNTLFGHTGFAVNIMGVFLALANTMAGVLSIGMPDLFKAFNYLSPIR YGTRAVAPYSLRGIEFTCNNDQRLENGKCPIETGQDVLKLYNFDVDPVVNIACLAACV VVYRLLAWGLLKIARTHWKGKGKKKDKESVNKA FPSE_06049 MGEASSFVAVRLMRVIPILAILGATYYIFLTCFASFQATDVPVP EEWRNHDAVIDEVDEITETLTHDSDVRPTPHKLTIAEIEDLENKGEFMGFEDEDEIDV FINLDDDGDWEEDDLKKELGDELEGDFEDEEDEDFGDDDDDVDWSRFAYIQYVTNEDY LCNSVMIFEQLHRLGSKADRLLMYPKEMLEPDAAYSNKRGGQLLIRARDEYNVTLQPI EIQHRDGQDETWADSFTKLLAFNQTQYDRVLSLDSDSMVLQHMDELFQLPPCPVAMPR AYWLYNENPPKRILSSQVMLIQPDDVEFERIVQKMNSIGPNDYDMEIVNSLYLDSALI LPHRKYDMLTAEFRNKDHTAYLGSEREKWDSTVALSEAKFVHFSDWPVPKPWINDVET RLANQPDCPDDETSCPDRDIWNGFYTDFTDNKKRVCESVGKTGQKWIHWRRL FPSE_06050 MAKSNGFIGFFSSDYNTFISLVFTTPIATTLLCLSLNGTLFSGA FLKFVNDNRPSVQFAVQIMANMMSALQIVVICRVINLGVRRRLKTRSMSLDLMRAWMD AMIPRINWELPFVHILLLGLLVSVSMVLSAIWAAAMTPVETFEYIEGTVQIPSWDNLT HIKEYPSEVGSVGPTKHTALGKFTYSVGIQMLGSLLASAASASPIHNSTRNHEKLDQT GYSYVGRSYGVGSSPGLGEVHFQTGHSVLGWQYQEVGYRAKVNCIYNASSDFKLYQED AVIRWAAHGELPDSDDGYEHSTYVGFGDGNAVVAIGVAHFKDKDAIVAPERKYIGFAA GGFYKFLNTTQCEVDFEPTLFNVTVSSRGKNITVVPTNDTRVRDMDPTRWIKGTVLRQ FELIANDETNLYVSTVGTAFNASITDHRLRLETNNTLTSRTSANVTLEGVENAITAMV DDMLVAYASAQFMVGEFKDEVKGSIRVTAIIIGEKKFAIAAFSLNMAIIALFLIEAIR TRGWKDITEFDPSDIRHVMIAASEGGANLAQVGFSNREKLGKISVRVGETGAGRFTLS VDGEVSPTVKYESMRGANGGNDDDVERYKASVVETISTRSRDRSI FPSE_06051 MFRRLSLLSSNAVRAEYQPLPQNDNINESQGTMEDAERSQDVRT EPYRDAAAMLLIKMGRSPDEHITVSPADDARILRRIDLALLPLMLSVYFLQALDKATL SYASIFGLIEDTNLQGDQYSWLGSIVFLAQLIMQPPLALALVKLPIGKLTSAMVLAWG TTLILMTWAKDFRTLMVARFFLGAFEASIGPSFIAITQMWWRRREQTLRIGSWYCMNG LTWVLGSLITYGLASIDSKMKPYQIIFLFFGAITVGVAFIMFFWMPDSPTEAKFLTDE DKIIAIERLRNNQMGVMSREWRTPHVVEALKDLKTWYWVAMIFCISVPSNGISTFGPL IIKSFVSDPFQTMLFNVPVGISHIIAVSLSAYVSMKWKLKGPVIAMLCVPPIVGCAIL LHFAHSLENKAACLAGYFCLCTFTGITPLIYSWSAQNTAGDTKRKTTSALIFISASAG NIVGPLLYSPDEAPAYTRGLRANLALYVLVVVLVAGTSLHLSRLNRLHSQRRVALGKS AILVDRSLETAEEVERIEHMERTLRGGVTLREAIGDEHDRISQAHDVEGDRTGQKDGD KGFGDTTDLENEDFLFVF FPSE_06052 MGGGPEGFRTVAYFVNWAIYARKHRPQDLPVENLTHILYSFANI RSDSGEVHLTDSWADTDIHWDGDSWNDVGTNLYGCMKQLNLLKRRNRNLKVLLSIGGW TFSSNFKGPASTPQGRATFAKSCVDLIKNLGFDGIDIDWEYPQDANEARNYVELLGAV RYEMDAYAQTLSRPYHFELTVACPAGATNFQKLDIRGMDRYLDFWNLMAYDYAGSWDQ TAGHQANLYPSHDNPVSTPFSTSAAIDFYVRSGVSPSKIVLGMPLYGRAFQNTDGPGR PYQGVGEGTWEQGVYDYKALPLEGAQEYGDRGCCASYCYNPQTRTMVTYDTPRVAWDK AEYVRKWKLGGAMWWESSADKEGEKSLITTVVNGFGGQGALMRQDNCIEYPATKYDNL RDGFPNN FPSE_06053 MSHIDYALYESPVGYAIFKVVHQQDAVGLKLKETQAATNDLAKF GKMVQLTNFSPFRGHVEALENINLVTEGIVSDYLKSVLELNLPQTSGKKTKVVLGVSE KNLAGAIKAQFPGLECETADTSDIVGDVIRGIRLHADKLLGGLKYGDVEKAGLGMGHA YSRAKVKFSVTRNDNHIIQASATIDFQDKGVNQFYMRVREWYGWHFPELVKIVSDNYT YCKLVLAIGDKKSLNDDKLHDIAALVEEDGEKAQAIIDAAKVSMGLDIAEADFEVINS FAEAVVKQADNRKSTNLYLEKKMGDIAPNLQTLIGTPVAARLISHAGSLTNLSKYPAS TLQILGAEKALFRALKTKSNTPKYGLIYHSSFIGKAGVRNKGRISRYLANKCSMASRI DNFSEEPSTRFGEALKQQVEDRLEFYATGKKPAKNADVMKDVMDIMDGGDDAGSDAEM VDAPVVEKKSKKEKKEKKDKKEKKRKLDEEAPVEKEADGEKKKKKKRKSKAADDE FPSE_06054 MFSRFYRSFCKPSDAIIRFTGHYAHQTPCLSRNLYTSAHNFRRQ SNYGQTIRNAQIKRIRKSESDLEQEIILRKKLLAILKLEPKQSFFSKLFPVQSSVYPK PEDIQKAIELFSILYTKT FPSE_06055 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMAKKRKKKVYTTPKKIKHKRKKTKLA VLKYYKVDSDGKIERLRRECPSDTCGAGVFMAAMQDRQYCGRCHLTYVFDKQ FPSE_06056 MVKKRKNNGRNKKGRGHVKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFAEYTVPKMYLKLQYCVSCAIHGKIVRVRSVVGRRNRAPPPRVR YNKDGKKIVPTAPKA FPSE_06057 MWMLLLLFVAHLAFAFPANWNETVSCPRDALYSSLVEDGGGFCS SVLEGNHCGAGYSTPAEYVRYNQTRIASYCGCIITGSEAMTTSSKPYGVTTKDDSFTV EYSDTWTTGEETSASVGQPASGTYPDIMTTSGVSETTSRSWNQTRSAFTSSIGTTKDD LTTGPYTNTSSSITETLEESSSESSNSGNITTGSPTNTHSFISEPSQFQNQTSGIQPT SPFTQPGNQTQSRQSSTDVTPQPSNTKSREPGMTLSGTTSGSLPWSIPGNSTSSPSGS GTRPTGPISTGWNSSLTIPSSSLPGTGLPFPSAPLPTTPLTTNSGISSSSSAGPGWNV TVSPPAGNVSVPSVSTPSVTLTSFPTLSLPTTSALTESEVLSGVTTASGWNSTTSKGN GTTPTPSLSSDTLIPLPSVTLPTTPVTTTSGTSTGGSVPSGWNSTTFASRGNGSVPTF SIPSSTLMPLSTLSLPSQSSSVEATPSSSSSPSWNSTSLPSSGNVSVPTISISQSETF LPFPTTTLSSSSPSSIASTGRSDSVVPSWNITTSPSGGNVSVPTISISQTGTLLPFPT LSLPSDSISVTSEMTSSTSGITVSPSWNGTTSPSAGNASVPTISLTQSGNSLPFPTLP SSVMSLPTISESPSMPTQNGSVVPSWNTTAGVSETAGSDTITDSSESIVSASLPADSE LSPSVTQSSSVGSNFTSSISGAVSLPTISVPASEIPPIPSLPFPTDSLEVPTSTESES AVAGGNTTVSAPGSTEAVTSFSSPVATASTPGSGSNGSESGSNATSTGVPEFPAANFT HVTRTAALSQETCYTLPDDPEGDTTRRALLFNSRLREDNVSIPMPYIESVEFESDGVN PLYLTVRDEEGGTYFVDISHRGRLSVVGPAGYVVTLDAKGMHFSGSNCTYDVSITIDD MYTQIAELAGVQCAALRRQKRANDMDFSQVLYLHDQCGNVVDKSLRQYPQLLVGDTAC ADVAVDGDTGRWDFDCTFPGSQSGPMRCQWAVKNSIIDFIAIDPFGGSCPDLSTVVTT LEESGQDIVDPSQLRQDLANQGLRTERARQEADQAVGAYTLLWQALGAMFSTSTGSSK GALEKYIDVYNSHRNFENDICQALHEGEIPLNLTLIAGATKIPAITTLNWAPESPRTY NITVQDSTRIACCPDARVAEGKGATCAYPREAIIPGTGCVCGTTAGGEGIAFEWTECG NFAGTCEADGDCGDGYVCLTGSCCGGGVCVDAYACSENGTALVQFDGGF FPSE_06058 MSSPVRPRRARRTAIIDSDDEDDVSNRSRVQDEEEDFEPEPQPP RRATRSGRKSTTPAPSVASTPKPRGRPRKSATPAATPAATPGPAPDTSEVFDGDSTIK PEPMSSPAMPPPRKRKSVARSSIASAADIPPTPQPKPPKSPESSQKPMAALADITDST MNTSHADDTQPMIKPIKPMDTIMEKPMDIVLKSRTMVIPAVEDTTPKPRIVLTHLILE NFKSYAGRQEVGPFHASFSSVVGPNGSGKSNVIDSLLFVFGFRASKMRQGKISALIHN SAQHPNLGHCEVAVYFQEVMDQPGGGHEVIPKSELVISRRAFKNNSSKYYINGKESNF TTVTTLLRDRGVDLDHKRFLILQGEVESIAQMKAKAGNEHEDGLLEYLEDIIGTSKYK APIEESATEVETLNDVCMEKSGRVQHVEKEKNSLEDKKDKAIAFIRDENELALKQSAL YQLFIHKCNENLTVTGEAITQMQAQLDAELEKHHGSEQIIKTLKQEYDVGSKEFEIKS RSTQALVKEMAKFEQERVKFDEKRKFLDDKRKKLEKTIANAEATSIEADETIEQCGEE IETRTQEIAELEQQVQAAEAELVQIRDSLKGKTQEFSDQIAALQKSLEPWNEKINQKQ SAAAVAESELNILQEKANAGAIALEDLQSKIVSSEETKSAKRAELKSCQAEKAELLKE AENMKSELSVLAEQEPKIRSKISNARQKADEARSNLSNTQVRGNVLSALMRMRESGRI DGFHGRLGNLGTIDPKYDVAISTACGALDNFVTESVEAGQQCIEYLRKNNVGRGNFIC LDKLRRFDLSPIQTPENAPRLFDLVTAKEDKFRPAFYHAMQDTLVAADLAQANRIAYG AKRWRVVTLAGELIDKSGTMSGGGTTVKRGLMSSKLVSDVSKEQVAKYETDRDGWEAK FQEFQEYQRECETRLKELNEKIPQLDTKMQKIGLEIESAERNITDMQRRIKEVSREHQ PSATDNSRITTLQKEIAKLNRDIERLRGETSSVEEEIKTLQNKIMEVGGEKLRAQRTK VDAIKEEISSNNEEISNAEVRKVKAEKQKVKLEKDHAKSSKELATASRDLEKLENDIN NQGERAEELQAQVAEAEEGLATKKQELKALKAELDEKTDELNETRAMEIEMRNKLEEN QKALAENQQRLRHWNDKLSKIVLQNIDDLTGGSTNGHSKPRKQPKPQQNDDGDIDMDD APQDDVDMTDAPEEEEEQEDADEEDEEEEDEEDEDEEEQMNGQPNELPRYTPDELADM NERTLKGEIAALEEKTQNVNVDLGVLAEYRRRVEEHAARASDLQTAIEQRDSAKKRCD DLRRLRLEGFMEGFSAISLRLKEMYQMITMGGNAELELVDSLDPFSEGILFSVMPPKK SWKNISNLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFRNVSIVANYIKERT KNAQFIVISLRNNMFELAARLVGVYKVNHMTKSVTIENKDFIVRPQSQQQASQRSQGG NTATILPFR FPSE_06059 MFDYLNLHDVFPEGLANPYDRDTQRETEASRKSFDGALFIDRVL RAVGITKAKIYPPKTDNALKQLHHQVCEANMSTHHKFPIFYYILLDFDRTSGRDNVSD VFVDSSGMPKKYQIFMKGLWYLDHRDFSTALEYISHPSLIPDFADDIITVLVRSAEDH DYSIALSYFYAVQPILKTSAALELLFNAMVHTNISEALFYSRTYPPHTRELLFQNLIG AVLESRTEDFADRATELAFLPFDKSEEAWFEEYLLHGNGKNHKKAKDTLVIRKIACDQ FSEVSKIRHGGQWSGILDGIKGGISGHAE FPSE_06060 MRNDTPNIIASAAITLPLATLALIARLVARRITKAGYGIDDAFS VVGWLGSLALLTNGMIWIKDGLGKPMENGLTDDFTFYDKNRLAWIHMWTTSFTYTFAI AFSKFAILAFYWRLFQFSDIRIPIQVLSCITVAWFLLRLLMVCLQCLPLTALWDGTQE ERAAKCHIKESTFFFSTVLTHVLIDAAILILPAIEVGKLHLPKGQKVAVIALFAFGAM TCLASIFVLIESFKFESDSKEMTLQMGQHYAWSIAECNFAVIAACLPMLRPVARRVLP GSFLTSNSGGRSTQFSAPFSAPFSNGIRLEGVSKTKSRENDGASSTRELASSSSQPDI YDMAEWPQGGTQTTISSPFRKYASAKDYEGSETGIRVDLETDVSVRQGDDKIPLDNAK SITIQLNLVVSCVVL FPSE_06061 MASLLRMKAVTGLSGLSRVAVSRVALSHSATLCQRGAIDPQRRL FSNSGFLNGSYIVSVPPMAESITEGTLSSLSKKVGEAVEQDEEIASIETDKIDVLVNA NEPGAIAEYFAEEGDTVVVGQDLARIVTGEDAGSAKKSEGGEQKPAKEEPKKEESKPS EQPAKTEEKKSSPAQEAPKPSKPAESKPAPKESKSAAPAQSSGAPGRGERVEKMSRMR RTIAGRLKQSQNTCASLTTIQEVDMSNLIAWRAKYKEEVAEEHGVRLGYMGAFTKATT MAAQKVPQINAQIDTEKEIITYHDYVDVSIAVSAPKGLVTPVLRNTESLSIVELERAV AAAAKKARDGKLTMEDMEGGSFSISNPGIFGSMFGTPVINYPQAAVFNMNGIRQEVVA INGEAVIRPMMYISLTYDHRLIDGREASMFLNTVKKYIEDPSRMLLA FPSE_06062 MSSRLGNLKASALEAASLLLETFSNKSITRRQLIDANQLQKLAL TLNRPTLNGKDVSEHPPAKGTPVPPGYHLVYFTPNGTEEELGNDGSDTTFSASAPFTR RMWAGGKMTWATDLSLRVGDDVHETTKLLSATPKKSRSVGEMVLVEVEKEYWGEKGLL LKDRRSWVFRPEIDLDAVKEVPKHLDNIVRGPSIIKDIDAQSEGYPIRELRWSPVGLF RFSALTFNGHMIHYNQDWTRNVEGHPGEVVHGPLNLINLLDYWRDIHGNGERPREISY RALSPLYAGQTYNIRTDVIKDTENGKMCDVLVEREGTVCMKSEITA FPSE_06063 MVALRRAVALNAVAIARLQTRALTACTRSLALASSQYRTYTTSP RQHAFHSQLENTPTPSAFQYQKPPTVENPQTLVEKIAQQYAVGLAPGKKIKAGDYIAL APHHCMSHDNTWPIAKKFLDIGASKIHNNRQVVFTLDHDVQNKSEANLKKYSLIHEFA EKHGVTHYPAGRGIGHQIMVEEGYAWPGTVSVASDSHSNMYGGIGCLGTAVVRTDAAS IWATGQTWWQVPPVAKVTFTGILPPGVTGKDVIIALCGLFRDDQVLNHAVEFAGGESL PIDDRLTISNMTTEWGALAGVFPVDEMLISWYRGKATTNAMFGGSSKDRINHKRVDEL EQNRLEADPNAKYAKELYLNLSTLSPIVAGPNSVKIATPLKNLEAEDIPVNKAYLVSC TNSRASDIAAAARVFREAAKENKDVKIAPGVNFYIAAASLPEQEIAEEAGDWQVLRDA GAQVLPAGCGPCIGLGTGLLELGEVGISASNRNFKGRMGSTSAKAYLASPEIVAASAL KGKIAGPGWYQKPEGVEKVIIGEGSGDYVADKALSIEDALDKLINEADALIAAAETSE GAKEQAASPAAAEGEESLTEILPGFPEKVEGEIVFCDSDNINTDGIYPGKYTYQDNVS VEKMAEVCMENYDTEFGKFAKAGDILVTGFNFGCGSSREQAATALLAKKIPLVVSGSF GNIFSRNSINNALMGVEVPRLVARLRETYKNDTEKPLTRRTGWKLVWDVRRSKVIVTE KDGSSWEQKVGELPANVQEIIARGGLEKWVKAKINA FPSE_12424 MSASNNQQKRKRNADDETMEELNRYKNKPLSPNSKSVYSKLVYR AHQYSDAGYDEELRAFTKFFVAKQDQNIEANKTCQELNDKVAELNGTVADLNGTVAEL NGTVAKLESDGKEMKASLDSALESNQEVTEELNEANLRVSEYEFMFKYGDWLKGVIDQ IKAKELDIQAKQTNEICNKTLNDFKGQLKALKAGGVVPTAEQLEEHKAQKDAAHKVAK KIAKWSCLKPTATEALMMINGEIDAVKQWLQDGGNETSAPGTPYLDRIAQASEKVGTT RTMILLWAEQYSKRNEIAHHPPPGICQFWKKVTKDGEEVYAEVPNKELNYTCINWKDM RDSMVSEKSKIQDYFTEGKISQDVRDCFVSLVDQYWQYFCIGESADGNLILTQDAKDA AKKSTPEYNPSVPPKDFLKEYKEGKWDDIQ FPSE_12425 MAFRPVARCINQMHRPILPPISRIRRSTSSTANTRHLMSLAGFT ESQLMVRDAVGQICAEFPNTYWQEHDQSEQDPKEFHTALATAGWLGIALPESLGGSDL GISEATMMMQTIAESGAGMAGAQSIHANVYATQPLAKFGTKEQLEMTIPKIVSGDYRI CFGVTEPNSGLDTLRLETTAKQNSDGSYSVTGQKIWITCAQVASKMILLARTTPLDQV KKSSEGLSLFCIHLDRTQPGLAMRKIKKMGGRAVDANEVFFDNYQIPSSSLIGEQNKG FKMILHGMNAERCLLAGEALGLGYAALARASGYARERVVFKRPIGMNQAIAHPLADAY IKLEGAKLATYHAARLYDQSKTDALVRQDEIGVAANSAKYMAAEAAFTACERAVLTYG GMGYAMEYDVERWFRECLVPRIAPVSREMILNYISEKVLELPRSY FPSE_12426 MAFTTFHPFPRLPTELRMQIWDAACLRLHQNFQAVHYVTLDKYA EMAPLKHNWDDSKPRNKSIYLWHAGLWTACQESREVVAKHWGKQPRPYNDDEMLDCCS LEEYNHYDRIWPEEDEGSRVLMLYSRVDGEHWRQIIHPKHDLFCIVADDWEDSVSKLT YVSSWGILFDARLAVEFDPSWNLSLENKTSTPFIEDVPASLEFLLRWLFGHIGNDGSP KLKLIVRDVDWILRHDITGPQIRDFDEEYIEIPADELVPYIPSGGDTTFIARDSTLSD FFDLLDQVYYEKSLDIYCYETFLDPCDWDYDIGTSSHRFDIRDSFSILLSRTNQVER FPSE_12427 MEMNRVRVSTKNAPAVPSNLMNQAIIANDFVFTSGGVAMDPKTG KIIDGDIEAHTRQIIRNLDAILDEAGSSLNDVVEVNIYLSDMKYYAKMNEVYAEYWGD LKPARTCVAIKSLPMNANIEIKCVGVVTRIKSKL FPSE_12428 MDKAKELRAHSFAPAIEPPVVSHTISNADVAPAEYLELENEIEK AKAEVAKWEAIMDERAAAEAAIDAEKEKKKD FPSE_12429 MPSSRAPAGSLQFWNNRVLGRLERIASSLFPSYPIPVPVSVSVS VPVPVSVSVSVSVSVSVSVSVSVSVPVP FPSE_10961 MTNLEDINSCLATKLPYPFRVATATAGGRSGGQATSSSHPAYQR RYQGKPSTPQGQTFIDNDLTKRRAVKTKGEDNLGPDNSTNIMLA FPSE_10962 MLIQRYRLIATLLGVPVLTLAHDLIPPLLEKADTFTQDIWLLDQ SDKIIYLDNDFASVSDKDGLTLIPPTALDFATTFRDDLDQITGLNWTLKQADKIASNA SGIYLGAYTGESSDLTYENGKANSEGYEISINSARITIGGNGARGLWWGTRTLLQLLS QAYNGTLTTTYVRDAPAYSTRGYMLDAGRKWYSKDFLKELCSYASFFKMNEFHYHLSD NYPLNRGKNETWQDVYSHFSLLPEDEDLRGILHGRENETLSRDDFDDLQQHCASRGVT VIPEIEAPGHSLYLTKWKPELALPKKDLLNLTHPETLLKVQKIWKEFLPWFKTKEVHI GADEYDAELADDYITFVNKMSRFINSTSDKRSRIWGTHEPSKKNQTIDRDVVIQHWQY GQSDPVQLVKDGYDVINSEDWWAYTSLKNDHMPILPARYPQFFNENRVFNFADKGGWQ WTPADFNPFNTSMQLEDDESRFRGATLAAWNDNGPDASTQLEAYYSMRRGIALVGARA WSGSRGPQLFNETTDTSIDFYSSKAPDQNLDRQLSISDTDTNDTLLSWSRSSGDGERI NLGKGSKGMNYTLTLSVSGPFTLSGPDNSLSLDKSSNLVFEADGWEYPLRQVSEDDAL ELDTGHPGRIWVNASSSTHKPVTISVPAEITLVADVLHGTVVLIGSKVIGRFEVFVYG GRNTQFSWSQMAFVAPLDEVKGEVSRLTLNGSAMFSAIKKNEKTPNVPGKNDAAPTPG LPGLITLTLMVMAVMVTWQGF FPSE_10963 MTFGDHHDAVFHGSSQLRPPLYCSPILSAYRLPPFTRHIRATPT SNISQHEHFLLSLERTDREISILMGPIPFSFLQSYQPFRYRGDHRTKNPRNDGPAIEI TRDDLLLLGHTFPHKGTFADTDICFSKLEAKSKVKYWNNHICVRTITLCIILCNGDPC RMDCRCPAAANGPQSVTTVHCIVERTRLSKIDDE FPSE_10964 MLTVCLHVFSCLALSEPTISQPTTFFPEHIKFNMGKHTNNKNKK PRAVSAPYSNRGNQRQVSGAPSGQLQQQQQQEAAPAANPPRPLKGTLAEAEANVAHWN SKVLHLMDKKTALEQEIEEWTAAVQQRSVAPSGQSIIDAEIKKRLAKAKEDEKDKE FPSE_10965 MATQSIVDDIQWYCNIKEQTRLRTTCVSLAAGNTESHAKSLRAS DSDTSIAVDWSRFAYTQYVTNSEYLCNSVMFFEALDRLSSQADRVMMYPSKMFLSEND TSRDIELLVKARDEYNVKLVPITVQHKDNADATWADSFTKLLAFNQTQYSRVLSIDSD AMILQNMDELFLLPPAPVAMPRAYWMLPDADMLSSQVILIQPSKTEFSRIQARIDSAS KNDYDMEIVNDLYGHSALVLPHRPYDMITGEYREENHTKYLGSDAETWDPVAACNEAK LIHFSDWPIPKPWIPTPDDLRLEIQPPYFKLKRKEVCEKVKTG FPSE_10966 MLATFAVFTLTLARIAPISAERTPREVPWSDKTYGPDGPWRAIH AKIGEHKQDVDLFPGSDWETWLIEEAYCEKGTCSASRAGTYDTSAATTGGIQWKAALE NYMRGVNLQGEGGTAYQDGIELDGVTVKNASLAVLGTSTPQKIKYPGGKTAGFTAGCL SLGGRRETNQSFFVGDNVPTINASLPSGWLWDNGYTESYSFGMHIGSAQPATRVPGSL WFGGYDQNRVVGEILSMNGGPRDGITLRDISIDVVGSKSPFEFRSKGGLLSEGNSSIG PSFKVLVDGCSPYLTLPKSTCNNIASHLPVKFRKDLGLYLWDTESDKYKEIISSASTL TFEFISTSNTNPVKIRVPFMHLNLTLEAPLVDEPIPYFPCHVNGGDRWVLGRAFLQDA FIGANMRRGVDTWWLAQAPGPNIQATANPVTIGEMDDTVKKGGNDWNQSWTGFWNDED APSSIPSSKADAKDDDEPKEDEEEVGMSVAAKAGIGAGIAAAVIAIGVGAFFFWRRRR SQTKPAPETAYGQNAMQQHYIDTKPQELSQRSPQELSGNEVFMQRSYQRFELP FPSE_10967 MPQIEDKPAFLYESWGLYALGLIILLARFTVRLRTVGWRGLQGD DAFSFLVLLFYTTDAVTVHLIYYLGTNIEAGVASRDHKELTDSEIREYELGSKFQLVA WYSYTALIWSLKGTMLCFFARMTIGTWHKSFVQVVSILCGITYAAVVLTISIGCLPYN ANWQVMPDPPARCSFKIQNFLVTTVLNVITDGLILCIPLPLLWKLQIPCHKKFIIGLL LSSGVFVMAAALVRVVLTLSANPSALTINAWGIRETIVGIATVNIPVLRSLFSRSFWK GKTPSEICPSYQESSGSVRNRLTKQNISTPYGVKKLFDGSGGWKHSHEKSEESLNPKA NKLADIIVERSYHVQHEHYDGWQGHRHARSNTSIYAQSPV FPSE_10968 MKFITLSAILGLAGASAAALTAVPIIEKIAPKSKSCPSGNKDCR TAEQAAPFLINAFKDYDIYDTKMMAAVLALMAFESGDFQYKRNQVPGRPGQGTANMQM PNYNLLYAKSIPELASKFEGVDSVDGMSDDDLNKLLDAVTVDKYNFASGAWFLAKQCK QDVRDAFKTDADAGFKVYIEECVGTEVEPRLEVFGVAKEAFGL FPSE_10969 MASNQVKNGAVASNGYGKEKSPSSASSSQKNEVPAPPHNKSEGL VKSIKKLRLASKRPLPTEMGDGSYRQVATRPGLKQDVRRLRGKDLKTLLEIISSKLKG EMQQDDKTMIMERTIQLVANLSDHSKVQEALTNSFIAQLWNSIDHPPMLYMGNKYRFR QPDGSWNNPYLPQLGAARTPYSRTVRPKGMNLGAQPDPEAIFESVFARGIFRKNPNNV SSILWYWATIIIHDLFWTNTKDPDQNDSSSYLDLAPLYGSTEKDRDSIRTFKDGQLKP DCFADKRLIGNPPGVPIILIMFNRFHNHVATNLADINEGGRFSKPGAHLDAEAAAAAW KKRDEELFETARLVTSGLYINITLIDYVRNIINLNRADTTWTLDPRQEMGVSVGTKNL SESGTGNVVSAEFNLCYRWHSCLSEMDEKWVEDFYTELLGENYGPMNLQTMMRALKAF EATVAEEPSERTFGGFKRGPDGKFNDDELVEALATAIEQPGGAFGGRNVPRIMKPIEM LGIMRGRKWNLAGLNEFRKHFGLKAYDTFEDINSDPEIADALRNLYQHPDFVELYPGI VAEEAKTPMVPGVGIAPTYTISRVVLSDAVALVRGDRYYTIDYHPRNLTNWGYKEVDY DLNINHGCVFYKLFLRAFPQHFKGNSVYAHYPMVIPTENHKILTDLKRVDRFDFSRPA PTATRINIVGYKAAKYILEDQTKYRVCWEEGLKHLMGEGGGRFMLSGDTALHAQQRKC MGRLLYNDTWRNAVKSFYSTTAEMLLKEKSYTLAGKKQVDVVRDVGNVAHTHFVARMF NLPLKTKQNPKGVFSEQELYMILAVIFVCIFFDIDPAKSFPLRQAAREVAQQLGKIVE MNVKLATSVGIKGLFTSKPNKNDDPLAAYGENMAKGLKKAGLSIDDIVWSQILPTAGA MVPNQAQVFAQTLDWYLSPAGEKYRPELHRIAALETGDETDALLLGYAMEGIRMAGTF GLYREATTADVIQEDDGREVPVKAGDRVFVSFVTAAKDPNIFPDPEEVDPRRPLDSYI HYGVGPHACLGRDISQVALTELFRALFRKKGLRRVAGAQGELKKVPRPGGFFVYMTED WGSIWPFPTSMKVTWDE FPSE_10970 MDSRIAMRPTRPQTVKELVAQAENFSFNTNIPFKHWMRAAETLY QEASFAVSDGDFGRAYMMLYRHSLLILKYLPTHPQFKEPDNKKAYIVLSKRIQRVIQD LEQLKPEIENAVKEWERMALSPKAAIESSDPPSRYEQFAARDPTLSGNARILDASDHQ DLAVDLAQRELTRRDTVRRTTRHSGITDEDIMSRRRGGKWDQWDGVRIGDDEDLRRQM EATRHALDSAHERRNDDDFRPTSHTYNYPSISRPRPVEYERSTTPTTPSIQPSRPPKE PVALPPKEPLHPSLSQPLPPSLPQKVPLSGYAPLIPSPSPQTAGDRPEVPRKEALSPT GDTGPPAIPQKERLTFKPGAYLENGDPIRSLFLPKNLRQKFLDIAADNTRRGLEMCGM LCGTPINNALFVRCLLIPDQKCTSDTCETENEEVMFDYCMGEDLLLLGWIHTHPTQTC FMSSRDLHTHAGYQVMMPESVAIVCAPKFQPSYGIFRLTHPPGLDHILNCNHQDTFHQ HSIDNIYRGAGQPTGHVYESDKLDFYVHDLRTK FPSE_10971 MDTIKNNSTVSAAEVKPFNINAARGSAVNTAVEIFTVDQGHVIL PNDLSPLDALSSILRSISSVFELDVCPDLDLSCRNILHRILQSIFDTNTQLLLEQETS EFFAHLSSKQKARIVAQAIAAFTIIFQRLAQTGEKVNYGVLNREAQLFAESDQRALMQ SLIEGDNVFENCLKKSDIAEAIIAAMDADEDAATPQPIAADDKQDFMFSPSAALEHKN MGVPWGFSIMTIN FPSE_10972 MSDPQAQLQALSEDFQKLQGEMQSAIESRQKLEGQKQENVGVQQ EFERLQEGETIYKLSGPVLLKQDKFEAENTVKGRLDYIGSEINRLEDTIKETQGKLER KRTEIIQLQQSAQAAAQGKGKEASK FPSE_10973 MSTNFRDRAIAEVQKAITADHNKEYQKAFDLYMSSMELWVKALK WEKNKALKVTMQEKMATYLDRAEKLKQFLANENDPSANGNGKSAMGANGASTSKGKPA AGEDDDSKKLRNALSGAILQERPNVRWEDIAGLEGAKETLKEAVVLPIKFPSLFQGKR QAWKGILLYGPPGTGKSYLAKAVATEANSTFFSISSSDLVSKWMGESERLVKLLFSMA RENKPSVIFIDEIDALCGPRGEGESEASRRIKTEILVQMDGVGNDSKGILVLGATNIP WQLDAAIRRRFQRRVHIGLPDQNGRARMFKLAIGDTDTALVAADYNVLASKSEGMSGS DIANVVQSALMRPVRKILQATHFKAVMKDGKRMLTPCSPGDPEKIEMTYDDVSSEELL APDVQLKDFEMALDDSHPTVSKDDIARQIEWTNEFGSEGA FPSE_10974 MFIARQHARSTLARPFPRTKLPVKGQLQLRYAASRALNDKLIPY NELAEQLSDTGLWVNMMGKKKKNAVGDKHRVNIVSQDLCDDVANYIGPSLQRHRGCDL VDINPGAGLWSKTLHEIVQPRKHILMEPDIDLYKPFLGDFLNKPSVEVVPKAGILWKE LQEVLQNHIKQEIRKPDAEPERNDTLLVNVNLSYCPPKKYQMFECVSTMVLYQLMSSI RTASLFQQYGLVRMLIWISNDGKRRLLPRSSIRRRRSAFEAELALEWLHEVAGHDTEI EDRYELRDEWINMESGYRTLDRMEKAGLTMPSGRETAIYKNLTANREYAGRPLAGVER PIHSRPFRQELIDTEKAFESSDTTLPSDRLKALRFRQKYDDADSTLYLELLQERDRLM KLGFKSPSKLPEAEAEFDARLDNIKKNTRKEWAMVRDNYQLFKQKDPILFWDRRPFEP LATHAKEFFPNMPCALLDLQPKAMNKYIRQHGPDARNGTISDTMLRYWWQHMLLPVPD AMDGLWPGFGDQAPGVASIYDPAQGGSPLTRHGALAARCVTEQHWADIIKAWTEWPFA PSYTTLVGRLMDEYEYTPPSDDDDSMKGGAMGVSGSSF FPSE_10975 MPKMLAPGFRTRRTSSFIRFGALATLLVFAFWSFSNRAPTPQLL PLHRSQQQPADGSDPIPPPSPKKAVQQTLGKDKPVQGPHPIDKLIHDGGKRHKEQLAR ESHTLEDAAQAYRKRRGRHPPPGFDKWWDFAKQNNAVVVEEFWDQVYHDVDPFWGVPQ PVIRKEAYSFEMSIHIRNGKANSTSDWFWTLIWLDMIRSIEHMVPDLDMPLNAMDEPR LVVPWEDINGYMTKGAESRKLWSAKEVVSDFQKLPTAGRHDRNVRIPFKRWEKTSPYW PIASRGCPPDSPARQAPLKDSFNEVPKIDMSNAEPHSYAGYVSNFTQSSEICHQPDLQ GLNGLLINPISVSSTKVLFPMFGGSKLAINNEILLPPPIYWKAEERFTGGEDNIEWKD KGSTVVWRGVATGGKNKAENWRGFHRHRFVSMLNSTKLTAAEKGTAKPENFVLPSEDY HLQAQAQNRLGDWVGEWSDAGFVDLMCSPSEDEGRCWYTDHYFAKVDGMDMHEQFAYK YVPDIDGNSFSGRYLGFLNSTSLPIKATLFREWHDSRLVPWVHFVPMDNRFQDFYGIM EYFRGYADTAGHDKAAEKIANAGKEWANKVLRKEDMQIYVLRLLLEYGRVIADDRDKM GWVDDALKDPSIEKTWESFNL FPSE_10976 MNTGLVNSRFLSKPDEVGVVAVGFSGGQPKAGVDIGPAALIQSG LLTEIRDELGYKLFGDESVQQFEDLIPESDPDFRGMKKPRHASAVTRKIASHTYEHSR EGRMTLTLGGDHSIAIGTIAGTAKATRERLNREIAVIWVDAHADINTPESSDSGNIHG MPVAFLTGLAKEEKEECFGWLEDDMRLNVKKLVYIGLRSVDIGEKKILREHGIKAFSM HDVDRHGIGRVVEMALAHIGNDTPIHLSFDVDALDPMWAPSTGTPVRGGLTLREGDFI CESVHETGNLVAIDLVEVNPHLADTKQAEQNTIHAGCSLVRCALGETLL FPSE_10977 MVAKSTLTGLTGTTGWTRTTGMTGTTGMTGTTGWTGTSHTRTSM TYDGPPPSTHVRTYNWPPLQLNFWIFVILLASTSIIGVFATFIQTQSQLELGIPWYFP YFITVGSLSILFILGIFWLISQRRLLPAIVMVGGFMFFILWLVGLVVVAIQLFGPDGN IQSVCDVQVFGQNPKGTSQATMAWLQQRSICQSWQLVFAMALTGTVFFIWVMIMAYQV FVNS FPSE_10978 MDFVKNAMGGGNKDGATNSNAGGAQKEDYVDKAFSAINKKAGTN ISRDNQEKITDFGRSAYEKQSGSKVDPKYSN FPSE_10979 MANDEQDHSLGLGHSSPPSTPVQTSNSNDGSRPQTAHRVSHRDD YHEGAETSDITDDTIGDTLQAEEQDQTDSIAAPSPHDIAINIDDTHAKSLNRASSNNN PPTTQQESLLHRPGRSAPDNSYFDPISVDLTRAHDDSLTEEDVRRHLGDVESSFLPAL SPIPTAHTNQQGGIDDTFQFDSPTKKPAPQPIQQRQQPQQQPEPEYQDEHDVTTSTNT SGFENFFSSPTAAATRRTISRAVSMASQRTQDRSATYDESENQDTEHESSQVSSAGDN DASLSTTHSQSEQADIGNTSGQSSRSRRPKYLRSRFGSQRSSTSSFATNPESHEGSDM TVGLGADYALQSGGAVPAMGMLRNPSNPLLRSISIGSMGSVGSAFGAEDYTDNSLPQL EPLPEVESPERPRPRDMLSTPRPSKEGFTTAPTDTVIARHVRNVQVPESLAKEYKFKG GLETPQKPPSVLMGSVNTNTARSGRNLTLKEQSSTIERLSKENFDLKLKVMFLSDRLD KLSEEGIKEMISENVDLKTGLAVLQRDNKVLRRRVKELEKQVRDEGERPSTAHSGTSS TDQTARMGEEEAQEREEELIFLRERVEEYATEIERLRSESLTKENERRKFADIVRSFG ERSNDNMDRQEEADVWKDLLEQETARREQADDENKRLREEVFKLKQDLSGGQGGMHHT TNIYNITKKHRDGTISEGRPISGLSGDMESSNGNFSQATTLVDELRRESEQLRHENAE LRREVGAQTSMLTSRNREKERLYQEIEDLKMAQRRGRPAPSTIDSLLERSASQVGGRD RPQSRGSFKTQTLIEEEVEREEIENRMAEMRDKISEVKLQNQELQRELEICMEDFETA VDGKRQAEDAALTLQEDLNNAMNDLVALQSERDEALREQSEMENEFESLRKEAQEEID ALEAEADQQAEEMQRVQADLQDRSENFDALQEEMRKMSEALIRLEDDQENKLRRIQQL EQELDSSNKELEDLEQKLMEANDKNQRLSVQQESSQGEIAFLREEQETDKIRIGDLEA AVASAEQSIHDEKERVKELENRLQQERHQREIVADQEKEEVQQFVNELNREASAAKDE ARRLRKNLTSREVEATEWKERLMELENNLREALGDLNGTRSSLLKSIAKLQRELENTI RDLDASKAALVEKDRIIKQRDSLLESHALESRKLSELLEKERIAHRNTKSQYDTFQKS HQHLTRTASSQDLRIAELETNKSQDRRRIAVLEQTARDQLQERNELLLQLWHKLSHLC GREWVHGNSLIDRQVLPSVEVIANRLPSFTKNLLAAVKSIENMVASFETRIKSVERDL HREYQTLEGNLEVRTKKLDRLETMVRNSISSGSLSHDSRYNRLEEAYRQLKVENTTLR TANDVRARAAHSSRESSDALVTHSPSPSVPRGPGEQTRDRSSRSKSRSSTLTRSHTTT ALPGSSASGIGLRDMTALNEDNNNSGGAANDNRWLFRLRDMESKLKMEREGRNQDRHA ARQRLGGLEMENRDLKERMKRALGDID FPSE_10980 MLRASLARCKTPSLGFKRHMAVAAAAAKKEGDISDAFTSLSGAQ REPLPDRFRLRKLELVRGREEQIERSWKALLRELRRENDVVANKGPSVIPQIKYDDFE RSAEGLKEEIRKRGVVVVKGVVPEDEARAWKGEVEDYVYKNPFTRAFPPHDPQVYELY WSAPQLKARSHPNFLHVQQRLMSLLWHSSNPSTPISLSQPLSYADRLRIRQPGDASFA LGPHIDGGSVERWEAEGYGRGGVYDAVLAGKWDEYDPWDASGRVHAVNNLYDGLGACS MFRMWQGWMSMSHTKPGEGTLLVNPLVKLSMVYVLLRPFFRAKNMGARDFLGVDNWEF MGGEMDSELQGATPGTGQELTDELHPHLELEKTMVHVPEIRPGDFVAWHCDTIHAVDK VHGGKADSSVLYIPVCPITEHNAEYMVRQREAFLRGTPGPDFPGGAGESGHVDRPTED VLDGAGRRAMGLEGLEVKGLRSDGEREVVDSANRVLGF FPSE_10981 MSNSQDSASTISDGAATTAASTTDLSSSIVDTSSETSTVPEDIT TTAIPTTSAESITAVEETTTATTEAAVVTGFLVNSGFDDTDTIQPWGYTPRAFTCVYK QNHIDRGRLALLLGFDCQASSSTLWVDTITFAKQ FPSE_02508 MSYTLYDAAILHTKEALQSLSAILKKASTHANASSFPEAKLAPD MLDLNFQVFFMTDTAQKIVARTTGVEPLTLSRDDCSNFEQYQARIAQVLEIVEKADKA TVEKRAGETVTIGLGPGKSADMKSRDFVQGYAIPNIFFHLTTAYAILRKEGVEIGKQD YIGPFIGQYLQ FPSE_02507 MAAHWWLLRAVQSLEDHIVASILRQPGFHRAVGRIHKTIHEKQY GRNPHEPLAPGEATADPNSTSRNEQFAKHFIDEIKNQFRGKPTEFPGDKTRK FPSE_02506 MPTTSTSSKHVKFVASDPSRGGLPVKRKQVQHACASCRKKKRRC VHAENAVEESTVSPPELQAQNETHQQSRSASLPLNVPLSTRTALPDLNHNTSPSARQP SLHLQYGTVPTPPRRESGGTPKAQSSSSRFVGDLNPEGMFMEATGSASIRETSQKGDV GIWLSSTTTTNSGSQGQAGSSSQFITSRPPPIMDQFLLPFVREHCLPCLPPEHDFRKL RRLYLDRIHPILPIIPESSLDVVAPLGDDPTTIVLRQLVCLAASTDPSMASHLHLQNR GDELLTCQEFIQSLSSAVRAILETSIITDRVLHIRALVMLSLYTQPSSSEEADLPAQL GGRAIHHIQTLGLHLLKYDAPNCEELETLFCAVWAVDRINAAAYGRPCLMHERDIGAN LDGCIKKRPPCFRLFLSVIQWLDQVIELYRPGPSAEASGLDKIAYIDLPVLEAMIVNA DALKVPSPLIATIETFYHAVIILSCRLPRPGTLTAASTLPPPSANARRSLAAERIACA VLRDHLSPMPIVPYAVCLALSVEYRKMRHSRLPMFRARAMHAFRRNCEMLRKFGDYFW SANVVAGLGERVLKEMERAANTLTRESTPPTHEGTPNSVPSSFSQPVPRPPEFPVSVP VANPTMVNSVPSVEQPVDFSLIDAISGADVFGAIDPSFNLNAVEDALEANLDIGLPMN WVDWGQYATLP FPSE_02505 MEDLNLPIALRRSRRSSIKPEPSDILSSPMPIPAKTPRTVSRRK VRFSEPGLSSGLTPMVGRISVATPKRRRHSSAPVATTPSVNRPTSALPESGDVTFLPL RQVLDGRVQRRIRRNGLSEEMNVIQQEKRRRSIETKEEIEKLRDQLKARDREIYELQN ATIVIDTNRIWDLEKQIEDLQEELTKRSGRSLSEKRGYNWTLSAREKFSPEYMDMDDT AFGEETIAQLVCSTPSRARARPDASTSFMDMTPPATSPTIPCTPMSWNPISSTLSAGV QACFPDPQQQQLEEEVSSLQLEVTKLTDTLESYRSLSSRLSDKLTEFTPAIESESTPS HHQLEAQIDAMLRTMSDRACALQQLTTNITKLGFHGSDASDMITSLSSGFRAARLELE YLTPGEITLPLSSHGAEVLDLMLTRLRDMAKRAKEDEGAIDEYHEIEQSLRKQLDARV TVMDGLKAEMSKAEKLMSDKIARIRELEVANTRLKGAVDDYVRDISELENLVERMERE AHDAEDEYHVQLEVKRKVLSRKEDSIAELETRLSEALQRSADLQREVKEVQETKIRDV AALNKRHGAALAAGDASVVKYRGEIDRVNASLRAAHATICSLRVENGGLKTQMEEERM KAKTVIDSMKEELQRVVQMSQEFLTPKNKALTRVESESEPGSGSSSSTVSAKRPAFVS VDGGARRSSRKRLCRGRDSGMGLLDEDEEECF FPSE_02504 MTIHILRNAFKRPINVNFSHLQRRFQSSKKVEEAIPVPSTVAPL PLWQRLGPLTTAARAYARAQSKNPLRTQVVTAITIYIAADLSAQYVGDNEYDPKRTLR NAVIGGVAAIPNFKWFIFLSHNFNYSSRILSIATKVAVGQIVFTPIFNTYFFGAQALL SGENIWGTIERVKDTVPTSIINSCKLWPMVTAFSFTFLSIDWRPLFHGVVAVGWQTYL SFLNRQAEMKERLRHEGKTEDVKTVGFAVAQAA FPSE_02503 MLAITVRFSQAAPPGYSTQVEASNTFAAHARTQVLSLVDQPTVH RAQVLLMLTGHSWGAGEGRRAWVYLGMAVRMAQVLGLFEEPPHSTTRDEFIEAEERRR TAWTCFLMDSLLSGGKGRDRMLSADNMRIQLPCDSESFNFGQLVVCERLDGSVVDGAG TAMSIRVKGNLGIVAYSMRVADVWGAVAKWACTRHDNNVPPWQPQSDFQMLLGRLEVW KSSLPERLRYELFLLRAHSVSNQGQAYCYMHCIYFMSVIFLYRSYLPEVEMQRARIGD KDWDQWSTWSSKELVQVAKQVCDMLQEIRAFGLYFLRGLVPWIGFTIYTAVGTMLYFY HFPNPGDTPNDIDKRREHIVEGLLFLKDMRQAWPMADTWREKIKAMQIFYSNIKTDGD LAVTPSERREMRNAIIDYGALQPDPVRQPDTESTDEQSATDGENDQATTSTSADFNPE SMNFIAPADIDLFDTDFSFGSNMYATFADATQGFWESFPGSMDIQADMNFDH FPSE_02502 MPRVRNPILPGFNPDPSIVRVGDDYYIATSTFEWFPGVQIHHSR DLANWTLVVRPLTRKNQLDMRGEPDSCGVWAPCLSHDGEKFWLVYTDVKRKDGSFKDA HNYIVTATSIEGPWSDPVYANSSGFDPSLFHDDDGKKWFNNMTWDHRSRPRTFEGIFL QEFDPKANKLVGPRKNIFEGTDLAFVEGSHIYKRNGWYYLSTAEGGTGYTHAITLARS RNVWGPYEVHPQKYILTSKDTPHAALQRAGHGQIVETPDGKTYVVHLTGRPTTQKRRS VLGRETAIQEAYWGDDEWLYIKNGPVPSLYVDLPAERDDTVYWEEKRYTFKDTLHSDF QWLRTPEPERIFNIKDGQLTLIGRESIGSWFEQALVARRQTHFSYDAETVIDFSPEDE RQFAGLTAYYCRFNFFYLTVTAHADGQRELLILSSEETFPLGRLNKPLAESVKIPNEG KVKLALTIRGNKLQFFYALEGKELTKIGPVYDASLLSDECGGHPNDGSFTGAFVGMAA SDVNGLAMEAKFDYFVYRPVHDDSDRYDI FPSE_02501 MHLPKLPPRAAYIRLSNPAKRNALSIPVLRDLKAQLTTALTSRT SGQLRILPPFKEHVLSDLEEALRRKDTAPEVWNKYGWLVSAAEWKKERDGLPDVLVLR SEGPVFSSGHDLKEISQLGHDDVKLLFSLCAEVMSLIRRSPVLVVCPIQGLATAAGFQ LAMTTDFPIALPDTQFSLPGAKIGLPCTSPSTAVSRRLPPGATYRLLATAEPVAASEY PGAVDVVKVPQGAKPEDAFENRVAAVVEQLVAKSPQQQAVGKWAYWTQLGIGSSSEDG GDGYESAARWAGRVMALHAKSEDAKEGIEAFLVKRKPEWKSSSKSKL FPSE_02500 MAAKVVLATLLALAPLGLAVDPPRKPHQPIGEGDRLLTFNETSP SAKLRPSSISVDWSSAGGDGNYIVLSDDGDLVLEDILSEKTTKFVTADKLPKDLHEYW ISGDAKKVLVASNYTKQYRYSYFADYFVLDVESGESEPLVENQVGDIQYAQFAPNGDA VAFVRDNDLFIRRSDGKVDQITSDGGPDMFHGVPDWVYEEEIYGGRSALWWSPDSKFI AFLSFNETGVGTFTIPYYMDNEKVAPTYPRELDLRYPKVGSKNPTVELNILDVSEGEY KPVPVEAFEPEELIIGEVAWVTKDHSALIFRAFNRVQDQDAHVVVNPETLKSNTVRER DGSDGWLEHTLSISFVGPLGSSGNGSYYVDVNDQDGWNHIYLYPVNGGEAIQLTSGEW EVSTILNIDTAEKLVYFQASKRHSTERHVYKVSWETKKLTPLVDDSVPGYWSASFSSS GGYYILHYQGPDVPYQELYTSNSTSKPVRTLVDNKQFYKNITQYNLPNITYFELEHPD GYTLNVMQQLPPNFNASHKYPVLFTPYGGPNSQRVAKSFQALGWKQYISSDPELQYVV YTVDNRGTAMRGRKYRSMVTSQLGKLEPLDQIWAAEQLTSMFDYVNAEKIGMWGWSYG GYLTAKTIEADSGVFSFGLITAPVSDWRFYDSMYTERYMKTLDKNEKGYLETAVHDVS GFKNIAGQFSVLHGTGDDNVHYQHAAAMIDLLVGSGVSPEKMKMFAFTDSDHSIVYNG ASVWIYKYLTARLYDEVKRQPKAKALTHQWNKRRIEIVA FPSE_02499 MAKLDTEMWIWYSLTLVVVIFRMTSRRMLLGSFKGLLADDYLMA LTMITYTALLAVVSVLGHTPTNLIDPALNIKLTPEDIKLREYGSKLVLVTEHMQMITL WGVKGCLLFMYSRLTLSLKQNFLVKLVAGYVIVGFVVMQILWFAAWCRPFNHYWQVPP DDLNCSAETNHMITNAVVNISSDVMIIILPMPVFLQSQLPLKRKIILCGVFALGIFTI LAATMSKIYSLGDPYGIDWSYWYIREVSTAVIAANLPLTWTLLQRIFRLGSFSARYGK SSNQRTGEGGTSRFRSTYGNLSSMDRRPKRPTYVEPGMSLNDSQEEINGNGIPLKIYQ KNEVTINITTEEVKDKDDQRTSSPPGGRPEFLREAVSHSSLEGDKTPNDMELGIVTKV YHGV FPSE_02498 MEPSSTTRLPNEILFNIFSHFCLHCQDHYNESWDERPLRAIKPC REEQQPDAKSWYSIDRDTLFAVCLASKSLRGIAQPILYHEFVLGYGDSWKSELYDWEG RLISFMRTLARRPDLCRQVKVVYINTCLFTSNNEGKRATLLEAARALKIDLPAVWKRR ASKILASEAQDWPEVYSIFISTYLEESRDLTEKQERRLRRAMDDAPAPAWRWLNSELI AMLIAQTHAVQYFSIQGNRTWPTNGLPESSLRSLGVINLPLKTLDLGIAANSLIELAP SLRTLNLHDYSGDLSSWDTELPHLKTLRITNDYLSANTLRRLLDACTGGLVAFEFEAY KRVHESPRCGFEDYDNYIPAPPHMLRDLHFQPSDVIQILQKHKSTLRTLHLDLTNRQH RTKKIPLDVNLKDFSTLQHVFINAIQLFGWIPLVEENMEHEVLALAGPDKPESTCSTI IGSKTVKNVPTSTGTVKKNITIVKKIIRKVNVVVVPPTKTTTTVETSTVKSTTTNFGL ISTTTTAVDLSTSIDVRTSWKIEMSTTGSITTKFITTTITRPPLWIAIKGDPDWRPRK RAEKNDGFVDVKALTSNQLPQSVRCVREIPKYSTKTVTTTVQGHKITLKAATKTKTST VPTTITVTDYPNARITATEIVYLSVTTIVQDVTSTSTIPETATVESLIPTATAYDMCS DDNILVTANGGIRPGYIRLPYSGDFNPIQIGVGYTGKPCCIACASNPLGVGSYIEDGV CIIYVPKDSDICLNGGQDEVGGYMTFQELDSGSDIIFSNGRCGKFKNYGISVNQD FPSE_02497 MQIYAWLISALVTAASATETYDYIIVGGGTAGGALATRLSLGLP KSKILLLEAGPSALDDVRINIPGMRGSILGSSLDWNFSSIAQPGLNGRSISVNRGKVL GGSSAMNFLCYDRAASAEYDAWSELGSPGWNWETMIHGMKKSENFTGNDGDVHGRSGP ISSTYNRIVPDVLKPWQSTVNKLGVPTNDGGSLGGKPNGVMFQPTNIDVANYTRSYSA NSYLPKAGSNLKVKTNVHVANVIFSSDKSKGLTATGVALQDGSTIKARKEVILSAGSI QSPGLLEMSGIGQTSVLANAGIKKILNLPGVGENYQDHLRTSNTYILKEGYESFDPFI FDAQGTAATEQFNLWLENKKSWYDYTSSAYAFLNWAQVSDKVQKDITKLAKDGFAKNG TVVDKKKLEYLKNPSIPQLELLLEANYVGAQGYPAAGGSFITIFSTVMHPMSRGSVHI NGKDLEGKPIIDLNYLNNEHDIQALIEGAKYARKVAFTEPLRSVWAAEFEPGLGTRTD KQLRDWVVKTVNSFYHPIGTCAMLPKKDGGVVDSNLKVYGTKNLRVVDASIIPVQLSG HIQTAVYGIAETAAQKIIDAEKKSDKSL FPSE_02496 MSLTLENEANSSDDIHLPPEVWYRICSFLPKSDLVNLRLVCSDL GIIALHECYKTIYIEVHRESSPRRLCEIVKSCKLRHQVRELVLYDNADYLGGFKNDRS RQSFLNTLPLLRFFSRLISLRVRFRAYSQLCRRNQDSYLAMQHRQDMLDTIFYWIAGM GNTDPRHMIDPDAVFHDDKIQDHSEGKSYLIDLADFSQPAIPLQQLIVTNLPDQHDDR LNTSEAFLKIMSMPSLRDIRLHVEPRINILHPVASSPFSPGKYKFFNNLHVSWLAPDI AGNLQILTLNYKEFWGWCPKMDFRRFELPQLKALSLGRYVFSHQWQIDWFASIGNGNG SGGLEELYLHDCPILYEATHIGPFDTSDPGYPLQESVLGMTGDCETHNYPIRWHDVFS QWAGSLNNLKVFHMGKSTYQNSRNKTFRRKLNVQNESQRHQIWYDLQEELASPCPSGP PTYSRLKQASSLKGQLTSIEFNQNRRFQMKYIEFDIGRMCHNPHWPWVRRGNSNECWA PEEGTVVRDAAAYEVFMDAVHSRVVV FPSE_02495 MPPQDNPTGSMTQTLTISVDRGLSHGAFQTYGSESTPVPHLDLH KQLELELSGELELTDPDVSSVPAKLLIAWAMVAKSFAGTDTVVVIGPKAASIVLDGSS LVVDQINSICSHLDGSAITPVVDQPHPNLHPLALRYAYSEGDEIATMPTNAPLSITFS NRQGRWSADLSADSRAIDQGLLPLLSSTFTRAFHQLRSVSSQLTQRDISLSDKQDLGM LQMLAGRPPPPVSSLVHDMIAQRARKQPDAEASISWDTTMTYADLDELSDLVASHLVP IGLQVGSTVVTCLEKSGWVPAIYLAILKAGGAFAPVSPGLSADQLTSAMGRLSPSIVI TSTSNLSKFVGLAEHVLDISEILKTPDNNNAQLLSSITVAVQDPACVLFTSKGEGEET LLVLDHVAVCTSIVTNSNVHDFSPATRTLQFAPYDSRTSVADVLFTLAAGGCVCTASE QEQTGRIADACTRMKPSLVCLTPSLAAVLNQDDLPGIDTIVLAGEHLNKDSVGKWAAV TNLINAYAPTAALGYACCTAPLITISSPRNIGWPRGCAAWVMDPQDPTRLAPPGAVGQ LLVESPFLGQSYESGDGVSASALIPRPECLSRPAFSLQPAGDERCFLTEHLVQFDIGD GTLQVVGHKNSKGQLLQFDSHHASSSVSSVGETPGVTGPISTPMVDSASETSLDTTAI DVDLPTSDTRTALLGLSPEKLSRLEALLQPLGQVQQCYPCCSVQEGILVSQVKSPGTY NILVVWELVNAATVSLARLRTAWERVVKRHAPLRSTFVESLRDGSVFDQVVLASPSVD VVELPWIEDLTEDDDMLKLTSVTWDMGRPHHRLGLSKAADGRLRCQLLISHAVIDGLS VQALGHDLERSLNDLLPDSGSMDLQSRYFQQLQQIPGEGGREFWRNYLDGLSGCLLPK LTDWTDQSPARVYTKRRLLPNIKQLRQFCQEQGTTLFSLTQTAWALVLRAYTLSDDVC FAYMATDRHLLGDDADRAVGFFINLMLCRVGLDGSTPIADVLNKLRQDFVEGFPYQHH PLAEIAHEQGVPASQLFNTTITFMSDDETVEPHCDGVQLHRVADQDVAEYDVVLRVFD SYQDSVAVEFSYWSSSLSSAQAENVFGAFLAAITSIPQSTTVDDVQLMDERMKQQIQQ WNSQLPMHVDTCTHELILDAAQDYPDAPAIESHDGSLSYGEFDVMTGKIAAHLKSLDV GHGVPVVFRMEKSLWAIVAMVGIMRAGCHFVPLDPAWPVERTQFIIDNVGASILLTTE STPALPVEHINHTVVLSPELLEKLPTETSLLPHVKPSDPAYILYTSGSTGQPKGVVVE HQTLSSSSTAHGKAMLMDRQTRAFQFSSFTFDVSLGEIMTTLVYGGCVCIPSSEDRLS NISGSISKLRANQLFMTTTTLGTFSPEDCPTVKTVVCGGELLSQAIKDVWAPHVNLLH GYGPTEACIYAVSGRANGPTLPPSVIGHAMDGNRVWVCRPDDPSILSPIGALGELLIE GPIVAHRIPDSWGTPSPYRLYRTGDLVRWNMDGSLTFFGRRDGQLKVRGQRCEAGDIE NHLTTIEPDIAHCAALVPKQGACASMLVAVLSFKTTHPVLSTTTGEVQLLDTQQVSGI IAKLQESLAQQVPGYMVPQVWLPVVSLPSTTACKTDRRRVSRWVDQLDKATLDNVLNL ATTHSATPLADRSPVHKILATAWSEVLGTPVDYIPDDRSFFSLGGDSILAILVVNKCR AQGIELSVSDILRGRTINDMANNIAISDQHSTSDASTQGHVTAAHSLALGSGYDLESP VLSQSRTVQLQLASSIDQHTLEDAVKQLIHLHPALRTTYVKEDDAWFARESTDVSKVL LFVSHDGTKAESSALLDATEGPILAVDYFPGDNRVAVSALHITLDLASWNLVLRDLDC ILSGSPVIPHARPAMDTRASPSHTDEPSAVANLDYWELDPEETYLPHESKYELRIDAD ASQLLLESCSRSMLTVVDVVVAAAAESFSRSFTDRTVPVIHAADTPHTHVGYGDSVYP VQLTNDLVSSGTAVVAATAKNARLASSKDISSYMAESYTPKVLAARLPEILVRCLDNA RFQGNLLQQQGDDLDTATLIPSCISITVSPNDDKSLGVVVAHSWDLGQQKKVRKWVRV LQTALLDTIREVARANFVSPADFPLVKVSDDKAWEQLRSTINEALGTSGPTVEDVYPC SPVQQGMLISQAKSTSSYTVDVVWKISTPSGSSAVSVAKLENAWAKVVQRHSALRTIF VDGSAANEAFLATVLRNPSARVIHQTVVGEDAVESLLAFDPELPVASHEPPHVLTIAD AQDKVLVHLRINHAVVDGISLDVLQRDLHRAYVDEVGSEWSVSDHSFRDYVAYVKAQD TDKSLDFWKSRLNTVSACRFPQLQVPDVAITNEKRTFKTQIDDISPLLKLCQTHGVSI SNLAQLAWALVLRGYTNNHHVCFGYMTSGRDAPVSGIESAAGVFINLVISDLALDDAM TVKEALESSRAGLADSMDHQYCPLSKVQKALDMGGEPFFNTVLSCYREDDVTPSKTGV AVDLVHLDDTSEFAIAAKIAYTRSTMELSLTYRTEVICPEAADVVGDVWLRTLQSLAS LSDTKISDISLMDPLSGKLVKRWNEHVPGPVDACLHDIITDVARIEPDKMALYSTAGT LTYAELDEFSTRLGHHLVSMGVGPEVIVPLLFEKSIWAVVSMLGVLKAGGAFVALDPA HPAERLALIISDTGSPVMVMSAMQATTPLVTGDLSNLEVAMFTVTHESILELPALSDK PCPTVTPDNAAYVIFTSGSTGKPKGVVIEHRAVSTGTKEHGSQMNYTSTSRVLQFASY AFDATIGEVFTTLVYNGTVCIATETERIEDLTGFMNRASVDWAFLTPAVARMMTPSDV PTLETLICGGEPIGDLTPRIWSDIKFIQAYGPTETCVFASISDRQHREVRPAIIGHMM GSAAWVVSPSNSDLLVPVGAVGEMLIEGPILGRGYRNDPEKTDASFIRDPEWSVQYPG HSNGRRLYKTGDLVRYNLDGSMDFVQRKDTQIKIRGQRVEAGEIESHVTSAHKDVQHV YVTFVKNGRLSSRLVAIISLKGFGSTESSSSGSLRVLQGDDYERAKELLRTVTEYLSS KLPRHMVPAVWAVVEGSSVPLTTSGKIDRRLMTNWLEKADEDLVRQILALGQEESVSD DSLTSTEVTIRSVWALVLNLDPQKINSEHRFFSLGGDSITAMQVVSHCRSQGIALTVK DIFKHQTIASLAAFVDYDSAGNICAPATSNEFDLSDPVEENFALSPIQKMFFDIYPDG VNHFNQSFLVQIASGNKVASPTLHAALNQLVSRHSMLRARYTKVQGQWVQRVTDDVNG SLQYQEHKNTSLGQISNLIDVAQQSLDIQHGPIVSAKLIQLPSRQILALVAHHLVVDM VSWRVLLEELEAILTGKPLAPASVQPVPFQAWVRVQSSLAEELSAHNVLPYPVPEPRQ DYWGLDLAKSNGWASVREITFELNEAMTKAILGPCNEPLQTDPQDLFLAAAFRSFAQA FPDRPLPAIFTEGHGRDADVDVDLSRTVGWFTCIVPVALAQDVPEDLLETTMRIKDSR RSVPSHGVPYFSYRYQSEDTEFRQHDQMEILFNYHGQYQQLERDGALLQTIPEGEFAQ RDVDHSARRLAVFDISVAVVSGRARVSMLMPQSLAPTLAQQVEVWSDSFQDKLADVVY KTSTMKSEFTLNDTPLIKDMSYPNLAEMKTLCLEHTGKWGPGSIEEIFPCSPMQEGIL LSQMRTPDLYDVRFAFEVSSRNGSPQVSRLQEAWEQVVKRQPMLRTVFLPNLRGSGSF DQAILRKPLATVHHIELEELAEPSSHLVKRVLETMEEAPASSFEYGKVPHELSIYTVG ERMFILLRLSHALVDGASLPYIIKDLQQAYMHKLPAAPGLGYRELVSFIQKQPMDEAL EYWSGYLDGAGPCRLPLLLDDAVIPSPGKLEARDIPVPVPDAKALRSLCAKYGVTMAS IFHAAWALILRAYIGDDEVHFGYLASGRDAPIQGITSLIGPLINMLICRVNFDRSKTV AQLLQDICEDFASSMSNQYASLAQVQHSLGLGSEPLFTTVVSFQRHDPTSAGADGGSD GIKLTGIDSRDPTEYDVSLNVVDSDQDLSFTFTYWTSKISSAHATHMIRALLSALASF ADNVDQPIVNVNLVSPETRGELDSWNAIAMQELHTECVHTLFEQQVEKIPDQQAICAW DGNFTYRELNDASNAFAYHLHSLGEATPKPDEFVITCFDKSAWVTVSQMAILKAGAAF AAVDPTYPIVRVKTIVQDLKASVLFTETKYKDLFQGIFSKVIVVDQEMLDSIGGPQLD APSIQVNGNNLSYSIFTSGSTGQPKGILIEHQSLSTVAKHFAKPYQIDQNTRTLQFAA YTFDLSVGETFMTLLNGGCLCITSERRRLEDLTGAINDFQVTWAFLTPTMADILDPAQ VPSMKSLALAGEAATSENIRKWHDKVHFVIAYGPAETTICCNATDGVKATSDPANFGP ARGAGELLVEGPIVGRGYVDPVKTAEVFIDPPTWLTTQYPRVYRSGDIGRYNPDGTCS FVRRRDNQVKVRGQRIELNEVEVHVSQADEDLQHTVVLLPKTGACHGRLTTVLSRRQQ QEEVEAQRVLCPVTNEEDRNRNAALRNKLSSTLPGYMIPKIWITVEQLPLTTNGKMDR RKIQDWVHALTEQELAAIVSSTETTATGMQDTRKLTPMEEQLVKAWSQVLNLPASSLP LDQSFTSLGGDSISAMQIVSRARECGVTVSVDKVLRSESLSELANHARFKALAPNSNE IQSLVVEKTEPFPLLPIQRMFFEMNPSGNNHFNQTFTVRLSKTLSAERIESAIATVVK HHPMLRARFLKDHNGDWTQQIVPDAETSLGFRQQSFQSLSDAVPVLDELQTSLDIQNG PLVASCLINLPDAQVLSLAAHHLVVDLVSWRVILSDLEILLSSDSKSLPSLAPAPVTM PAWTDALLSRAKDYNVESVLPFTVPSANFGFWDMDQGRENVMADTVVIQSRLDASSTA ALLGRANIAFRTDPDDLMLAALAFSFLRVFPERSIPTIYAEGHGRNAWDDSIDLSRTV GWFTTMYPLVSSATTRDLVETVRQVKDIRHSIRDKGFPYFASRYLTAQGRDAFKEHTN MEVLFNYLGQYQQLQQSDTVLRELQEPLEIQDAAPSAPRMALIDILAAVEGSELVLSI GYNGRMGHRDRLQLWLNEYTAALRSLSTELPTMSPSFTPGDFPLLGIDDAGLKSLTAT CKAKVGSLDPAMVESIYPCSPLQQGILVSQSQDAKSYVVYAAWKIRPARGTSFNVNQL KDAWRRLVRYHPVLRTVFCENGTSDGGHAQVLLRADTAAAEPIIKEIQCQRSDVAEFL RSSTSSLPTDKPPHILSICTTDNETYVSLQVSHALIDGTSMELVMDDLVRSYNGDLQG SGPSYNDYISHICSEPIARSLSYWTEALADTQPCLFPVLSAEGTSRVLNRITLPVSSS TTDAMRQLGRAHAISVSNIFQLAWSLVLRAFTGSDSVCFGYLTSGRDVPVDRIEAMVG PLISMLVSSTKFGDSDDEAQSALDLLKSINRSYLDSLPHQHCSLGSIQNALGVSNTGL FNTVMSLQKLNEEAETSEEFGFDIVDSHDPSEYNMTLNILDFNNIVELHFTYWTDKLS DSYASAVVDATLRAVEAIVNDPSRKMPVVDLLGDSERQGLVSRINQDHPTLQTTVHAI IEAQVKAIPDNCAVTSWEGDLSYTELDRHATRLAVHLRSLGVGPEVTVPLCFKKSIWT VVAILAVMKAGGVFVPLDPAHPADRIKGIVEQLPSRIVALTSPQCVLTVAHLVDNTIS VDASSIAQLENVSSAESLSPGATPSNAVYIIFTSGSTGQPKGVVLEHSAAASGTTAHG HDMSYSRESRVLQFSSYSFDASILEILTTLVYGGCICVLSEEERINDLVGGINRLRVN WAFLTPAVAMMVEPSQVPTLRLLALGGAPLWLAVLQKWTAVGTCRVVNGYGPTECCAL STHNYYSRSYMRPEVIGKAMGCNTWVVDPRDPNILMPIGAVGELLIEGPIVARGYLND LVKTQDAFLDGVSWLPSGRLYRTGDIVSYATEGNGDKISYIRRKDTQVKVRGQRIELG EISYQIGASHGSIVAHLVVLGSRGKFSGQIVAIFALDGFPTHQQGNDEPLQLLDSPQD LAKVRAIISEVSEFISDKLPSSMQPSAMVPVNHMPINTSGKIEARRVSAWIDGLDDAT YARIMRIADEPDDEPENEPEANVIQKSEAEDIIRAVVAEVVNVPLEQVPLRRSFFAIG GDSISAMAVVSRCRSRGITFTVSDIFKHKTITALAQFVSQATQLITKKDGDGIDRSDE ANVDFSLSPIQQMFFDMYPDGVNHFNQSFLVQLPSTEALTSTAVHEAIRQLVDRHSML RARFSDEDGDWVQRVTPSGDAKSLKYEVHNGVNVDQVVKLIDVIQTSLDIRTGPVMAA SLLNLTDKRRILVLVAHHLVIDMVSWRVLLEELEVILSGNGHTLQNTQTSLPFQAWVR TQPRRVQKWSPSRVLPYDIPKPRMDYWLKRGEDNTCGDTRELGFTLDADATKALLGSC NEAFQTDPQDLFLAAAFQSFADAFPDRGPPAIFVEGHGRGDGGSEGLDLSRTVGWFTS IVPVALPEGVVATNVVDTLMRIKDVRRSVPGQGVPYFSYRYLSAAGVRKFRNHDKMEI LFNYFGQYQQLERDDALLRPVVGDEFPQYDADASVERLAIFDVAGAVTSGRASVTITM PGTLAQDRIDGVSLWLDRLKHHLTSLVQVTSDMSTAFTLHDLPLVKNMSYDELSDMRE VCLEHTGLWGPGAIEEIFPCSPIQQGILLSQAHRPDLYDVRVALEVSSRNGSLSAQSL GDAWRHVVLRQPMLRTAFLPNMRGNGSFDQAVLRDPLPSIRHVDLGDATDDEMALQTV KQSIAETKGDIFSYGKLPHEFTTYTIGNKTFVFIRLSHALVDGFSLPIVLNDLREAFA HRLSTTPGLSYRELVSFINQQPADQAIGHWVDFLKGSTPCRLPPLLDDASVPSSPELL AIEVEVPCSNALRALCAEHGVTMAIVFQLAWALVLRAYTGEDDVMFGYLTSGRDAPIE GVSTLVGPLINMLTCRAIFNDRNKTVLQLLSQLQDDFINGISNQHVSLAEIQHHLGIG SEGLFTSIISFQRHDAAAGAANDDDGLLKMTPIDGRDPTEYDLSVNVLDEADKDIQIH FTHWTSKASPSHAKQMMRALSAALVAISTKPNQPLVKVDLVGAETRREMDAWNATGIE FVSDECIHNIIERNSQATPDRQAICGWDRTFTYRELDEAANAFAHHIHSLVDLKPDTF VATCFDKSAWTIVAQLAILKSGGAFVAIDPTHPADRVETILSELGSPPILLTESKHHE RFKVLFPHVVTVNEDTLSSLGVPNGPPSTLVRHSNTAYAIFTSGSTGRPKGIVIEHGS LSTAALTHAGPYQITSDTRALQFAAYTFDVSIGETFYPLSQGGCVCVPSDAARLEDLA GAINGLSADWSFLTPTVADLLDPSLVPGLKTLVLGGEAPTSVNIRRWHDKVFLISGYG PAETTIWCNATGRLNGNSDPANLGPPMGARVWVTDADDPSVLLPVGAVGELLIEGPLV SRGYTDPEKTAAAFISPPGWMTTAYPGKLIYRSGDIGRSRPDGTFSFVRRRDNQVKVR GQRVELNEVEVHISQAKISIRHAVVLYPKSGACQGRLTAVLSHHSLGGEELDQKQTVP GSEGIIAVQSDEAISASDLIQDRLLSTLPPYMIPKIWITVEHLPSTTNGKMDRRQILT WVESLTDDNLASIVQRQSNTTGSVESPTKPKTKMEEHFLQIWSNALNLPIDAIPHNQP FTSLGGDSITAMQVLARARERGITTTVHDILRSRSIADLAGRSRFKNIQLNGSEDSKA LTVITDQPFPLLPVQRLFFKTQTSVNHHFNQSFIVRLSRTFTADQVRAAIRAIVEHHP MLRARFFTDGNEWKQKISSDIAGSFKFQHHNCSSLPDSIETLDALQASLNISQGPLLT SCLLELSDGQALFLAAHHLVVDLVSWRVILADLEKLLAATSGATSLPSLEQEGISMPA WTEALIQKSTEYDINSVLPFTVPAADFSFWDMDPAKETNIMADTASLQVRVDGASTAA LLGPANAAFGTDPDDLMIAALIFSFRSVFHERSSIPAVYTESHGRNAWDDGIDLSRTV GWLTTIYPVAVSDLHNAERDLLRVVRQVKDIRRSIPGKGLPYFAYRYLTEEGRAAFEY HDDMEILFNYLGQYQQLQKTDTIIQQIGETTLSTQDASDSTNRLALMDVVAAVEGSEL VLSLGYNTRMQHKHRFQAWLDSFKYMLETLASQLPAIPATLTPSDLPLLSLGEDGLST LAAACHAKVGSWGPDVVEASYPCSPLQQGILLSQAKDESAYVVSGIWKVSPAKEGSPV NLDQLQNAWRRLVQYHQILRTVFCESGRSDGIYAQVVLRENAIAAQPTIEVRKCDGPD PLAFLHSSTPALPSDKPPHALLICDVGTDVYLSFNISHALMDGTSLGLMMDDLLRGYH GTLEGVGPSYEPYISHVYNKPVSQSLSYWSDTLANARPCHFPVLVDADGDDTVRSLNK IMRPVPGIEAMRQLGRTHGVSIANFFQVAWALVLRAFTGSDDICFGYLTSGRDVPVDR IDEIVGPLISMLVSSADFSMADGAPSALELLQTMNRTYIDSLPHQHCSLADIQRVLRI GNKGLFNTALSLQRVTTGDETQDQIEINVVEGDDPSEYNITVNVVDYGETIDLHFTYW SDKISDSHASDVVEALIRALDAIVQDPHRTLPAVDMLGDSGRKRIMEWNGDGQAQPAL NSTVHALIEAHVKESPNSCAVTSSWEGELSYAELDNYATRLSVYLRSLGVGPEVTVPL IFTKSIWMVVSMLAVMKAGGVFVPLDPAHPPERIAMIVEQLPSRAVALASPDRTSLIS SLVDNVVALDANEAARIAKDTDGDNELPSDAATPDNAVYIIFTSGSTGQPKGVVLDHR ATATGTTAHGRAMCYTKDVRTLQFSSYAFDACITEIVTTLVYGGCVCVLSEDERINDL AAAINRLQATWMLLTPAVASTLDPSEVPCIRYIALGGESSSHATNKKWSKGCTVLHAY GPTECCVMCAYDDRTGLPTRPEVIGGSVGSNNWVVDPRDPSVLMPIGAVGELLVQGPI MARGYLNNPDKTQEAFLDTGLPPVSGLSRAYRTGDLVSYCNEGKGNKLTFVRRKDTQV KVRGQRIELGEISHQISASNDKVATQMVTLGSRGTLNGKIVAVLTLRGLQTTEDGGDT EPLQILDNPKDIQIARDIVAEVQNYIADKLPGYMHPSVMIVVNRMPINSSGKLETRRV AQWIDEVTDEMYERIIKNLADTEPEAGSESAQNVVVQIISEAVAEVINLSGKVSLRRS FISMGGDSITAMQVMALCRRRGVSLPVQDILKSNNIICMAAKAQQIGGSSVDSAKDED EFAPFPLSPIQKLHLTQFPDGENHYNQSMLLKLRRPISETVLHEALLQLVCRHPMLRA RFDNDSTRGQWTQRVTNDVQGSLSYATTKFDTLEEAMDTMIEAERGLDITAGPLVAAR VVRVQDSMSIFLVAHHLVIDLVSWRIVLQDLEQLIAGTSLPGTQMSWSYQRWAHSLAK YAEANASTALALPFTPTEPDLDFWAVKETSNDFNNLVQGDFTLDPSLTSALLDAADKN LKAEVLDVLLAMAAHTFSSVFSGRAAPTFHTETHGRDHPQDTTASVHETIGWFTAIAP LVLDTPSDEYIDSVIRVKDMRRAIPGLGIPYFTAKTLQGSQTLPVEILFNYLGRFQQL ERDDGLFESLPKSMGPVDVNLSAARLSVIDISAVVEKDALTVSWNYSTQIQHQDKLSK WFALYEQALHDVVSALQKTSLQLTKSDVPLLPISHQQLKPLNETLAAVSRNGVEAVED VYPTSPMQRGILLSQSKDSSQYDVHAVWEITPTNRHDSVDVSRLQRAWYRVIQRHPML RTVFIDSLVDNSPFDQVVLNKFRPSIKLLTFDDDEEDYDSMMEELWESAHGSFAPNAP QHRLALCSDTQGKVYAHFQVSHALIDAGSLRTIIKDWSLAYASPNLTMTPGTPYSAYI AHIQKTSLDASLRFWSAQLEGATACRLPRLTDGLAPTDQSEIRHLHTDVDSGTRLKAL AKELNISMASIFQLAWALVLRSYTNLHDICFGYVSSGRDVEIDGIVDAVGPFINILVS RIVFGRGDTAAAMLKQLFSTYLDSLPHQHASLADITHALKMPGGKLFNTAFSFQKISQ SNGSAKAQDLPLSFSTIGGADPTEFDVTITVIENDSSIEFSIQYSTSFLSEPQANNLS QSLIQALDAIEATPLEAIETLDLVPAKHMEQLKTWGDRLPPTVDRRVHDLFDDMVRST PTAPAIHAWDGEFTYAELDRESSRLAGLLLKQGVKPDSFVALCFEKSAWVAVAYLAIL KAGAAFMLLDPEAPIERIQYMMEQTKTSMVLCSPTYKDMVDDWDATAIVISKEVMGTL PDFAGPFPNISTSSAAYIIFTSGTTGKPKGAVIEHGAYCSSAIAQKNALYIGPGSRFL QFASFMFDATMIEMVTPLLSGGCVCIPRRQDIISALPRVVREMDINMAILTSSFIRTM SPEEVPTIKRLIQGGEPLSQKDIDIWADKVILGNAYGPSECSVMASCLSDVLRTSEPS NIGFPAACAHWVTEPANMHRLVPIGAIGELLLQGPTLSRGYINNPDKTAEAFVTGLNW ATQVGRDPDTRFYATGDLVRLNSDGSVTFVGRKDTQIKIHGQRMELGEIQHHLTTIDE IRHSVVLSPSEGPLQKRLVAVLELANISSTAASSEEIQLIAPSLRSKATESIQRIRDT ITQRLPSYMIPSTWIVVQSMPTMISGKLNLPAVQFWVQNINDETYQELHAAEAVSELD SSDYVAMQVSRKLSSLLVDAPGSTGKLEDFVGKDIVPMQCGLDSITAISFSTWLRKTF GVTISLATLLSLDTSIQTLAVTIKADMAKVGPSGPSNVQSITESTSTTKAAVDLHSEF QHYDQILSQLPVSEIPNTGVVKIPSNFLVTGSTGFLGSQIVRQLILRPNINKVFCLVR AEDDTQAQERMMEVARKGQWWQPELSDRIEAWSGDLAKPHLGLDDTRWASVVGGSIDA IIHNGAMVHWHLGYRDLKDANVGSTFDLLSALSKAPSPPRFAYVTGGYFPDEDRTDNE VLDLLQGGDGYSQTKFLSEALVRSHGQRLRQHSATFPMPVVIQPGLVIGDADHGVSNL DDFLWRVVASSLRIGAYNVDEFNDPNAWLLVAGSDQIATSAVDACMTTVSTSTTTIPP SIRFVDGVPVKELWNLLMDEFDFSLRPMSGPEWLQALENDMDSEGPSHPLFPVFEFLQ LKQGAVGTLKPTNGVSICPQVETLYRLRQSVDYLNNIGFFASSDSVSPFASKAAFRQN KIVTSILLPSHIDRVRIDPSVQIVKPNISKAKIETWAISQTASYLSGDLNIYDSMPGA TFLEVEGLAVSMPGAAEGSSDRSMFSRTIWGNDVSLGLLDPERNYAEDIDIKLLHAVG GHFAEVVRGNEQLLEVMTKDDMLNRFYMEGYASIPTNKFFGDIMKQLTFKYPRAKVLE IGAGTGGTSWSILNAIEDAYDSYTYTDISPGFFPNVAMVSVVGPSILFYNADETFAHV VTSELEAIQLQRQFATSMTGAPAEWIKRAISFGTVAYGLTFITSRNPQHPCSQGTKHT HHLDSIFHDTELDFFTCLGSVALVIGNVGQSNYHAANFFMDSLINQRCTHGLAASIIH IAYVTDVGYVTREERDHHLDSHFRKVRLMPTSETDMHHAFTEAIRGGKPDSITGSHDI IMGIEPLTEPVSLDQQPLWMKDPRFGHFAPLTSVHFHIDADDEMKRLSNRSWDLERGD TFELVLMSRDCDNHELFIAYHHILMDLVGPGIIMRDLNTSYQMKLLDRSVDSVAVHDE TRVAHAIEVGCGPVVKFGQETVLQRSSLGFDLSLIHIFCALANGGRLIIAPSDLRRDP TALSDLLSREQVTLTIATSSEYLA FPSE_02494 MSGISFKSFVQSQYTPIPLPNHDFTGQTILITGASRGLGLEAAS HFYRLGASKIILAIRDARKGPECIAFVRQYNPSSTTTVECWELDLTNVETIRQFVAKA KNLERLDAVILNAGMATMSFQAVDGMEKTLATNVTGTFLLAIGLLPALRLSGLRNNIR PRMVLVSSQGHEAAGFAERTADDIFAALNDDSKTDMTDRYDTSKLIQLLAFYALKDTV DKSWPDSITFTAVDPGLCDTDLTRDIPLLIRIIHRIMKMLLARTAEVGGRCLVFGAAD NDHAHGAYFKDGVIGSPPVAVTDPEGVKLKNRVFSQLKSLLYSVDPEIMDACPSMESD EEAIRLS FPSE_02493 MAPLNTYTSTEVLLLDHACNDVHELGRALWSITVDRYHHRDEWT LTCLAEVHGRWDMTYRLVSIQNATTIGQLLAAERLIESPKDVADEDNVFAFATTGSRL PVRTLLGRSSFILQLSEFDGRPTAQVLFSGANNKGQARILLNAFHSLWETHGSSPLNA ERPVCEVGGLSYEDVRILELVNSGRLAQQQECIHDVVLQHALQAPTQHAVRAWDGNLT YHQLNDHADRIATMLVTAGIGPGDFIPSIMEKSYWTIVVILATLKAGAVFVPIDPKCP ASRINGIFLQVWPKVYFTNLGPQMRRKLNPSVACIDNFAEIVQQVQPGPLPPSRPDAI ATCFFTSGSTGKPKGAIHDHSAIATGIVDLLGPFHMDSRTSSMHFVSPSFDVSVTEIA ATLYAGGCICVPSEQGKLNDLNGQMRALGVTHAFLTPSVACQVKPREVPTLQYIMLGG EPLGRATLEALCEDVHLINVYGSTESGLWDTASERLTLRSKPSNIGRSTGPRMWIVHP GNPGNLLPFGTVGEVMVESHCLARGYIGNQPAKTGFAPAPEWRHQLFPGMEQGRFYLT GDLGSYNSDGSIMLHGRKDSQAKIRGQRIELGEIEHQFKAALPSSHVVAEVVTIGSRT MLAAFVELSTAEDNAGIEPSVCADSLSIRTAQAARLGATPALSAALPVYMVPEMYIPV NSIPLTLSGKTDRRRLRELASTITTVQLEMINGVDDEKEQPRNERERLIQATWAAVLQ RKASTIGIHDHFFKIGGDSLSAMKVVAAARSRQLTINVGDILGHPTIASLAEFLSSSS TISYATKGMVNRDVQVTVTVL FPSE_02492 MPLILSITSSSTVFVLPTLLALAAVVILSIYRLIKYRHIPGPWP CRISHHYVTLFDLLRQRPQTVAKWHLQYGPFVQIAPGQVSVSDVTAMRELYSSSARNP KSGYFDNFLYHNARAIFAEKEYLGHREKRGLVSSFFQATSVYKPDIQQPLRDRVLASM MRIEEKIITSDQGVVDVLPIINHYAWDNTTALVFGPCHSSQALQGDENDRSILARLKN SELWGAVKYNLPIVFGAIKLAVAVYTRSTEYFSAEDDLDKWAMQRLYKTTSDPKASQD ERSIVQLIQHLRQNGRPLSDSYLESEIIDNLYAGQATVTVALTYAVYHLSRNPYWQSM VQRELDGVPRDADGLPNWSLLNKAPILEACIRESYRLNPVASGRAERVLARDSRYGEI FIPQNTIVSASTIALHVDPSVWLNPREFNPRRWLDATPDEILRLERSFIPFGYGARLC LVFLIDSMCPFYSTDIED FPSE_02491 MTKEIPYGDLRMQIDHAGDSSEASPVCQAHDPKLHHSHLLGNIP LGIPEVRRRVKILVSVDFDAVSGWMGTGQHSRNCLSDYSAGIFAGRVGVGRLLKILDR VGISDKVTWFIPGHSMETFPLETKAIVDSGAEIALHGYCHEDCTQLDPQQQQDILDKC IALAESLTGKRPVGFRAPLYRIDHDTISLLERKGFLYDTSLSGHDAQLYHLDSGFPLD VVDYSKSASTWMKPSPQPRQLSVVEIPANWYMEDMTPMQFLPNVTNSHGFVTAEAIEK MWKDRFNWLWNWGPDGSGPGDFVFPLVLHPDTSGMAHVAGTIEGMLQWLKEWGPQVEF VTYEEAAREFVLEDKKVPA FPSE_02490 MSSYSFSIENVRSQFPALAKPQIFGDNAGGSQVLGTVAKRQLSP ITEYLIETNVQLGASYKTSTQSTAIFDNAYKAAAKYINAGIDEIVIGPSTTQVFRNLA AALKLQPGDEVILTNVDHESNIDPWLHYAALNNATVKWWTPSDVKNPKLDPDQLRSLL TNKTRLVACTHCSNILGTINDIKAIADVVHEIPGALLAVDGVAYAPHRAIDVKELGAD FYAFSWYKVYGPHISLLYGSKAAQAQISSLGHFFNPDGSLMDKLELAAASYELTQAII PLTAYFGESPKQTWEAIAEHEQKLQTRLIEYLVSRPQITVYGEISTDKAVRVPTVSFT VEGMSSQSVVDSVEAVSHVGIRWGHFFSKRLVGSILGLSDDGVVRVSLVHYNTVEEVD QIIVALEMVL FPSE_02489 MDSLLNSITIDPAERRKTQNRLAKRKSRIHAGKQQGAMEAANAL VGRQPTSFFTSTQQTIKVRPTVGITSMNGSAEPPNGAVRLMQTASPLEEAAGDYSHTT SASSSSTLGTSLQTWHSQASSEDITYNAQDMNLYPSSIFTNLSPGSSSSLSMQDSNVI LCSPMTSPMTRHVIPSCPKDNYGVQSAKPGSPLHIAAAMGHLKVVKTLITYGANVNEV DAAGYSPIHYATRNNHTAIVALLLEKGADVYSRDPEGCTPLFRASQEGNNEIVERLLK HGAQWSYYNVRSIDTVSRVLRSVM FPSE_02488 MAVSGCINDNSFGPIVQGCRGDFDFTLRFQNIILGIVPAAIFIL IALTRVATLAFRSRIVDGKVLQFTKLAVIAVTAALQLVLLILSSTSNEDAFAVANSAL GFSQWLVTLALSFAEHSRAPRPSSILTLYLLLQILLDVTRCRSYWLMASSFQITRYAG VFTATIPFKVVTLLLELQNKARWMRWRKEDHSPEETSSLFNLGVYFWLIGIFRNGFKN VLSIKDDLYILDHEMQSKILLDRLTTKFANSSANVGRRFRLAKALGRALIIPLFLPVV PRLAMIGFQYSQPFFIHALLEYLIHKDVPKNDGYGLIGAAVIIYAGIAISDALFWYFH QRCLYMARGCLASYVYRSTTQGKMTDIGDAAVLTLMSTDIERIIYGFHGLHDFWANII EIGLGCFLLQRQLGLAFISPIVVILLCVVATTAIAWAIGERQSRWMERIESRVGLTSS IISNIKSLRISGITAPVRDLVQKMREQELSIGNKFRWLLIMTATVAFVPSAMSPVVAF AFTNEQLDTLKIFVSFSFITLLTNPLGAMFQSVPAVIAAFVCLERIQKFLEIEPRVDY RKSSRPLSPADGSLLEQDDEKPLGSGQGASKSLISIVDGSFGWTSEKMTLTGISVDVP IGKLTLVVGPVASGKSTFCKALLGEVPFSSGQVIVPSTEAPIGYCEQTPFLKNGSIRD NIIWHSVYNQTRYEEVLDACLLRTDLDILPEGDATTIGSNGIMLSGGQKQRVSLARAL YLETDFLLIDDILSGLDNSTGNDVFRRVFGPNGLMRRRNATGILCTHAIRYLPLADHI IILETDGTVAEQGSYDKLAETGIYIPTLGLSDADDASSTNSSTPVEDTVAATMPIPLT AFSTASIGNLEAESRSTGDAKVYRHYYQSVNGWATLTCLLSGIMYAVGRNFPSIWMGF WGTNAFSRTSSFYIGIMGLFRGLQVISLFLCATAVVIFMTTQSGKHLHNEILNTLVNA PLRFFTTTDFGAVTNLFSQDMTLIDGELPISLLNTVIQVFDVFAMAVVVAVGAPWLAI AYPVVFSVIYMLQMFYLRTSRQLRLLDLEAKSPLYTNTISAHFLDTIRGIATVRAQKL REQEILHNQDLLDLSQRPAYLLAMAQRFLATFLNLIVMVLAIGVVSISTQLRTNSGFA GSSLVTLMTWGDSISSLIQYYTQVEVSIGAVSRLKAFAQNVPSENLEHEDLEPAEEWP TKGDIAIQGVSASYKNEDESPDSDDDEETPNLALEDLTILVKPGQKVALCGRTGSGKS SIILLLLRLLDPLSNQSENIVIDGVPYNRVNRSILRRRLIAVPQDPVFLPDGSSIKEN LDPFNVASDEECLAVLEDVRLTKFATDHGSIHSGIRADELSAGQKQLFSLGRAVLRRR VKQRLFSIPGGVLLLDEVSSSVDSATDNLVQEIIKEEFADYTIVMVSHRLNIVMEYFD SVVVLDRGRVVETGDPRDLAKTEGSWFSQLWAMEKNLNKKPSGFQLQSLQTYTLEFIR ALHKPFFEQATSQSPFRYSRKAVMETTMRVLAWPSSIGLIAGSEDRDLQVLDTDPCDL QPKDAEDAMYQTINSAVGLCYEAVDER FPSE_02487 MSSRTERLLLLEMALTASGRRNYTDPDEDGERSGNVRHSDNPTD ISHLVPPQSGGKHRLWITDRILEPQTIPHFIEFLMHGCLPGDLKASRPLLTVEEARNM DKPFPEWAPAPFNVEQRSTTERIGVRIGSHEDSSRLWLIAKELHAMKSRLWEGIPPLS ERRWNELQLDDPKNFAAACQYFVAVIDVFAYLNHPRTKNALRTTYNLIWNHLRVFEQA VNAKRREENEVYEEVSVTGLWYQYIRAHYDCICDSAHQWVIEHIDRIQEPLVLELGNH KSTNPIDVDARQKEITDKIDDLGQNTMDADYIIFMPTDGYKGDSLPSKEREQVTAAHR HPFRKDPISWSANINWRERDYSGRIRYLQTKEMYNHYEREDLLFEPTINDPQVLLIGC ISGIDAQTTARYELRGPPEPTMDRWIEYAQRPVFRVNGFAAFRFCHKHDDKKWNEFKT KFEVDIADWGLGNKDINDVRKGCKIHWIDEKVDSIKDAKRKFHSVLSDLPVHHRMFLA IDEATIQSYLEPNASKFVLAVDAQYRSVEEGQEDNEPPNEQEHETPGYDGTMRILGSL LWDELGAMQTTQGVLLKHLWPYAMSDVEKVYRGYKPGTVLKLSSYEETMAWEVLNALL PFVLKLAERRDRSRRANPRPSAAR FPSE_02486 MSTTTRTSGTASRTNLGPLTTTFTYPASCTVVVQECDTCENGWQ AQTCSDNTFNAQGVQDDAKCWPPRRGSQSTGVALNGWGFYSPGIQCPAGYTTKCVSTD DVDGGFTFQFPLAKSETAIGCCPTGFQCKYTSGVNNAQTCFSIATTGSFAAVQCSSGK SNGFGYLDIPNTVAETNSGSEETVTVSSFTVYAPLFQLHFKSSDLPSTETGSITIDSI ETSSSASSASSEPTQASSSGGGLSTGAKAGIGVGAGLGFIALIGAALFFMRRRKRDAA VPTLTEEPKSELPAGPNQHAAELHAQSTPQELPGDSVPRYK FPSE_02485 MHFNTLTCVLVGLVAHSSAVPTKREAVNSCLTQAKVPTDAQGSQ SWKEDGTAYNLRLPFEPAAIAVPTTVAQVSAAVECGAKHGVAISAKSGGHSYTSLGFG GEDGHLMIELDRMYSVKLAKDGTAKIQPGARLGHVATELWNQGKRALAHGTCPGVGLG GHALHGGYGMVARKHGLTLDLMIGATVVLPTGKVVHCSKTENSDLFWGIRGAGANFGV VVELEFQTFAAPEKITYFDIGLNWDQNTAPQGLYDFQEFGKGMPAEITMQMGVSKNGY SVDGAYIGDEASLRKALQPLVQKFGGVQVTATTVDWMGLVTHFAGAGVNVNPISASYD AHDNFYASSLAAPALTLAEFKSFVNFVSTTGTSSSHSWWLQMDITGGTYSAVSKPKPS DTAYVHRDTLLLFQFYDSVAATAQYPSDGFNLIKGLRQSISNSLKAGTWGMYANYPDS QIKNDRATEMYWGSNVAKLEAVKAKYDPKNLFRNPQSIKPKA FPSE_02484 MVSFKSLLVAVSALTGALARPFDFLDERDDGNATSVLEARQVTG NSEGYHNGYFYSWWSDGGGYAQYRMGEGSHYQVDWRNTGNFVGGKGWNPGTGRTINYG GSFNPQGNGYLCVYGWTRGPLVEYYVIESYGSYNPGSQAQHRGTVYTDGDTYDLYMST RVQQPSIDGVQTFNQYWSIRRNKRTSGSVNMQNHFNAWRSAGMNLGNHYYQILATEGY QSSGSSSIYVQTS FPSE_02483 MSSTKAIVIIALCALQAAAQTPTLTSTETPATLPTHPTLSMPPC WEFKNSLPITGAPAPPMDMSELGVSFALGSLYNTADPCQLPVITGSSADDFSEWASEW TIWQAQHVSEFRVLWKSCSDEPYITDLVPVGPDACSTLKARITGTSAADDDGEDERVA STTSDTKPEETGDGKPEDVQDSSASHSTGSLLAFLIAAYVFGVAA FPSE_02482 MTTSTAHQDMDMDMDTDDSSASSFALDASLEAFPDTRPIVVSGS SRAGKRVLVQKLIDSYPDKFSSVVSHTTRAPRVGEVEGTTYNFVSHSTFAQMIETDSF IEYTVVRGDYYGTSRDAVTTLQREGSIALLEVNDQGSRAIAKAQGNNARFVCITPPTF HESEDCLDTGRMRCRPIRQRFILNLESDKTWPLLGIRISNADLDQAHEELEEFVFLTL LDEPSPTWS FPSE_02481 MESHNNAMQSLGSYLTGQTPDLVISYFIVTLLAVAVYWSQSTQP SDLLNPRRPFEFSNIPRLKRYMENSQSLETIASGAAKFVGKPYRLFCEWGELTILPPH MIDEIKNDRRFDFGAAASDDNHAYIPGFGALMHDPVMPKVIGRHLTKALAKLTSPLSE EAALVMQNVITDSIEWHILNLNEDISTIVSRMSSRVFMGEDLCRDEGWNNASAYYTRK TFKAMMILCIIPRWLRPYIHWVLPQCREVRRALAAARKELNPHIERRELIKTEALARG EKPPFDDAIEWFAQSGSELPPADCQIALTLAAIHTTTDLLSQTMVNIATYPENFTALR EEIIRVLSEQGLKKTALADLKLMDSFLKETQRMKPILIGWRRRALVDVTLSNGMTIKK GNKVVVTATHMWSDENYNNAKTFDPYRFVPKDKEQMSYLVNTSPNHLGFGHGTHACPG RWFASNEVKIALCHLLLKYDWKLIDGKVPEPVAFGMAYVANPEAKLLIRRRKEEIDLS TLEY FPSE_02480 MALVDVFLKHLKYFSGIVFLTTSRIEAIDEVMFSRLYLSINFVP PGSETRRRIWALNFMNAPADDNKIREQETRDKAIKELVRYKLNGREISNAINSARTLA RFEGAQLDMPHIRRNCDK FPSE_02479 MADFAARRRENIEHNALLLKDIKPIIPKTEPSSTETKTSSKTSK RKANPPRAPTRQSRRIAEAATKPTYDDDEVDDTSLRRGAPRVKRSTKPTRALPESDSD HETDAEPSKDVDSMIAGWTAWEPEGDEPIRDVDGTLRFETHPDFRPNKSPEEIIREGS FGGSYWRPLFSKRLKFTVKDDWKELPASWTAGLDVDTYLTSTTYDPEINKYGVQCGQS IEEWEAAGWIAHEYDVRGWFQWYCRFYMGRRCDDDERQISRWKKCVGETGRWRRILLK KYVTLGIRSVFADDGEDEDGPDVSPVVHQTCHHWAYEVRQDALDRFWANGK FPSE_02478 MPGPKSPGPAVPRNPSPVPRPDTPPPRPATPDSP FPSE_02477 MEVDNPFSVFPREVRDMIYSYSIPEIPATDATVFKTAYNTKNAP LLFLNEAISKDVQHVLYQYHAIVIPVLNPSEYELKETKFAPIVSQCSRLMKQRSNRVI IGTSRFIAASYSPLLHINIHDGTSAEDWIQKFCGFDNPEPLAKKLIDELISLRPELPN VKVIEFKFFEGFNVLVALRWREYLLELKEAWPDIEIEIDFVPAFLGWEHVEAFFQDAQ DSMEEDGVFKPDRLRDLEERKYGTA FPSE_02476 MLKKLALVSFAAVGAIAAPQQLPSSNLSWYADMDHTGDFSGKAP YAADSYQVFKKVAPGVGSAIQDAIDSGNRHSQWLASEPRVVYLPPGTYEVYKTINLRT DTILMGNPANPPVIKPAAGFNGTTLLNGKDPSVAEAGEISFSVGVKNIILDTTAIDAN QEFTALYWGVAQVCQLSNIHIKMPTAGKTSGHSGIRLGRGSTLGLADICVENGLNGIW HDGHQQAFYKSVSFIRNRVGMRIDGGNTITILNPTFDTVGTPVKHVSGSPFVGIIDAK SVNSGITFESSGYPSLLIENLEKDTDSDIVKLPSGVALGKASHVDTFTYGNTVGRSPV YGGETTSVKRPEAIAPGGRIPAVAAPTYADHPVGDFMNIKDPKQNGGQSIKGDGKTNE VEALTAALKYAVQNNKIAYFPFGDYRIESTLLVPVGSRIVGEGWATISAAGDFFKKAD APKPVVQIGAPGDVGTIEVQDMRFTVADVLPGAIILEFNAAGSKPGDVALWNSMITVG GTLGAQGLTDNCGDASNPCQGAYIGMHFTKDSSAYVENVWNWVADHITEGFTGGSSIA AKGGALVESTKGTWLHALGSEHWWLYQLNLRDASNVVVSMLQTETNYEQGAKAKKLLP SPWNPDETGWGDPDYAWCGKDDGSCRMGLSNYVNGGSNVYYYGSASWAFFNGPGYQRC EDEMNCQQSIHWIAKTPENLQSFGWCAKASKVALRLGNGDNIMTQPDFTGSWGSLVGR YTP FPSE_02475 MILMHTILSSVVVGNLVDALATPTQESPISARVAPFIPGGKKAG SAGGSAVPFWKDHLGWWYDWTPAPTSVAGVVGVSMLWGSGQNGPQDSKRFSEFGNLKE TPTYLLGFNEPDCSGQDTSANFGVSKGVELWNSLIAPKGQQGALLGSPAMCMQKDEKW LKEFSTAGLSKTWDFTAIHIYKPDMTGVQADIDYYWNTYGKPIWVTEFGCVYDQNNFT PCSDQGQINKWISDIVDLFEKNEHVAAYAYTDSGGLGQAWLPTTDGGKKLSQSGQAYL SAISKYH FPSE_02474 MCPSELAKLAKLTTDELAVSIKPILPELSQRSSQAEPAAVAAIT SILTPHPGDDDRVTKTIRGHIIYCILIKLPFEQLQAYQNTVAAVNAQNTETSKVKPDF YFDNMKRLERFMVEPEAVWVAEDWLDLVGFRTLVDRVHTAEQMRPYMRDLYHWLVDSE LPDSYSQKQLARFPETAAAVAAEIINDMVAGKEALSKNARRLNSLISNVLFVYVPMGK DWMLLRKPIESLTEVLKEVVKKGGWNDYESCWEACEVAI FPSE_02473 MRQSFLTGLLAAPLSAYAVALTGYEYIVVGSGAGGGPLAARLAM AGHKTLLIEAGDDYATTNYTVPAYSANASEDPEISWNFFVKHYADDERQARDFKTTYD TPDGEYTGLNPPADAKLKGTLYPRTQALGGCTAHNALIAVYPHQSDFEYIADLTGDDS WSAKNMRKYFVKAEDNNHLLPLQPGHGYDGWLSTETAPLSIPLGDAQLMSLLVGGATA LSEVTGELLTIETLIAGDANADTLARDQKSGYYQIPLSTKSASRVGPREFILSVRDAK FDNGTKRYPLDVRTNAYVTKVLFDESEKTPRATGVEFLDGKHLYSASPLSTGAAGVAG NATASREVIVAGGVYNTPQILKLSGIGPADELKKFDIPVVVDLPGVGTNLQDHYEISV QGNTPENFTAFDGCTFSLYTDEDPCLDRWRKPVLGDHGIYSSSGLAATMFYKSTTAEK NNFDIFAFGGPVNFRGYFPKYAVNATIDHDFFSWAILKAHPRNTAGNVLLRSADPLDT PAITFNYFDTGNGDSDKDLQAMYEAVELARKAFASQPVKTQETLPGDHVKTQEDVETY IKDNTWGHHASSTCPIGADDDKMAVLDSKFRVRGVAGLRVVDASVYPRIPGTFTAVST YMVAEKAADIMLAELEE FPSE_02472 MHLLRISVAIKAFGVAFLSATANAASDTPLDHASLKLLTKSADS QVQGVLDNKNESLTGSPRSLTRAVRQLVVPFLWPNSTYFHNETLVPHIEEMLEVLQKV QHDDGTYTVGNRHSPPDTGFLIEDFGIMVRILEKDDHKASQPFADVIRGLLVKAGPGL AKGGIHTPNHRWKICSALARISSITENESYIKRIDEWLAEGIDIDADGIYSERSPNYY SAVSNPSLLTVAHELNYTDLIDYVRMNLELAIEHAEPNGEMEVIQSRRQDQAQPPVVN MGNFYPQFRELALLDNNGRFAAMARLIEELVGPQLGDFLANIMERPELGAQLPDPEDP FVDFEKHYKSAGLVRARHGNLTVSAFGGSDWYIDGKKAEFYNRMGSGLSTNPTMFRAW NGKAVLDAIRLSPNFFSMGHFRSNGVNVSDDGVINLGSEIEVPYYLPMPSERRDENGT YKLSRSVDGRFYAMADFENRPTSMRRLKTEVEISPTKAGYDLNFQVTGEDDVELTFEL TFREGGKFKGVKEFVDSDNTTIYRLAEGKGEYTMGDDKITFGPGNGMGVIQNDAGEQY SWHGGNLTLQGSHVYITGVTPLNYTLNLGFA FPSE_02471 MMSRASVAVIGAGPTGITMLKQLLQDGFNATLFERRSQVGGLWA FDAKHGWTSALDSTTANISKYTCGFTDYPIPDRYPVHLTPADFQEFMQGYAEHFGLLK HIIFDTSVKLVNRNKEDNGWDIQVENVGSGHTDTRHFDKVAFCHGYQTIKKMPVFPGQ EDYKGDLIHAQQYRSPESFKDKTVVILGLATTTDDIAPQVVSVAKKVYVSHRSGQIVV RRFRKGTPSDLLVSWRRRVISQWIAKNLPNTYRFLANTLAKLLSSQMAGMKLDPAWRL KDFKDLTLSLPGIIENVLPLLKDGSVTSLHGIKRFTGGKSIEFEDGTIIDDVDSVIFT TGYQCDFSPAPFVEKSIPKSSNYGGPSIHRLYMNVFPPKYADSCVMLCYSAYGKNNGF SFSDVMNMAISNIWRGVSNLPSHQEMEEWVDHHHEWLASNWAREPTVDLSMVKQYEFQ PWMHKQAGTGMENLGWGWAGWKFWWKDRKMYNLMNHGVETAHMFRFFETGMRSTWDGA RDEIIRQNRIVKEAFGGKKNKTRSD FPSE_02470 MEIIVKEPVCPMKHKYPFNLAVDMSLLATFGMNALEQMWDQVPA TIQHAVELVRRVGEEYIWVDRLCIVQDDYETKQEQISQMALIYGNAYFTIVATAAYSA EEGLRGIKDVSPSMYHNVWPERANMDHYGLVAWSPWNERGWTLQELVFSQRSLFFHKN ELTWECHCAIWHERMQLADIGETSCLGTHNPNARGFRYSPWPDLQEFHQLATSYSRRQ LSFSSDILPAFAGITTALAHSFPGGFLFGLPEVAFDVTLLWRSAGPASRNSKIGEASV PSWSWMVCLDQKISVDLSPWASGFAYLAGSSSEQTDEGGRRTYTPLHVAYQQEWNSRW SRKRPPAGVLDGLVTESICSWYVKEETGERLISNDLDEFKYCCHHQSNELPMGWQRDG DLFYHPVDPQRRFKYPIPLVTNEDDISIEKDLSFLIKTQTERAFFFNTPEPYGQAISM LEGEAVVNLCNSEQVWVGCLRVQTRTILWRLLTKKVECELVAISKGYIDSNAGGWSRL DEHEALKSRELEGYEFYNVLFIELNDGIAYRQGIGRVDKTAWEDSVSSLYYHVGVGRG KLVRMPLPSWMPG FPSE_02469 MFSSMILLSGLVASVFAHPTVEPRASCTFTDAASAIKGKGSCST IILNNIAVPAGTTLDLTKLKDGTHVIFQGKTTFGYDTWEGPLIAFTGNNLLIEGAAGH SIDCEGQRWWDTKGSNGGKTKPKFFSAHSLQNSNIKNLNVINTPVQAFSINGVTNLGV YNVHMDNSLGDTQGGHNTDAFDVGSSTGVYISGAVVKNQDDCLAINSGTNITFTGGSC SGGHGLSIGSVGGRSNNDVKTVRILNSSISNSDNGVRIKTVSGATGSVSDVKYDSITL SNIAKYGIVIEQDYENGSPTGTPTAGVPITDVTINKVTGSVKSSGTDIYILCASCKNW TWTNNKVTGGKTSDKCKGVPSGASC FPSE_02468 MSRYLMLATALLASNVAAHGYLKTFTLDGTDHGGFSRWNPSPDP KAIGWSFSTEDEGPELDISSPNFVCRSDAKASKNYGKIAAGSKASFFWTSDDKQINPN GWAESHRGPVITYIAPCNGDCASVDKTQLKWTKIAEEGVVSGPANTEGVWATDKLREN GGVNSATIPSSIAPGKYVVRNEIIALHRAHLSEPEFYMQCGNIEVTGSGSDDLSGSGV VASEIYSTSDSQLFGFSVYDNKGDSWTIPGPALYGSGQGKRSKIALKFRG FPSE_02467 MSRNEDIVLDKLRADINHVKKRRGHASRNTASAANKRPPDKTET VQHSSVPPLDNHPLTPWYQFPLSPIIGIIILVIIIIFFITGAAIFLKSITLEFKDALS SLFFSAWTFTTSLAPTFHAPIPHIPLSDVPQDVPSVFRYVKYWGPALDIESNGIEFLS AMVKDYGYPTLPIRKVDQLHDFNTYFNSEFSPSLAQGRLEIRFLLDDAKSNPVSNIWN DKKWWEFWKPCYKCRLQAQFQALLAIFHEAQESRTSLHNILEEINDNTVHNIRTQTCT VAKDFQRQHIKQAREISSFDSKAPDVYLVQLGRTAETVTFGCKMVEVDQENVQRTLAI MMKELEFLKNVLGSLESITRKMDRERLEVGAIQKRETKFLEWGEERYYIT FPSE_02466 MTEGWRIRVCKIYQEIFIRDPYLPPKPLHAILNIDATKEPFKSF EHSSHAGQRNHKAVRQIIAEALHQQLLLISPRRNLEQKDDASQETGDNYEDWVSLMKR VAAVLLDDDPRNNYQRLFVPALTGSVWDVMSSEAMLLERKLERLKTLCA FPSE_02465 MKKKLKLRLSLILHHLLLHGPSLRLLHYRSGLNHDLELHQRLFL PYQQSLSLVFLTVPNLPPTVTLAVLTPINLQYHIARQGKARQKGPV FPSE_02464 MTCHDEDGSNKHDAENILITQTLHYGKISLPINLCFYSPFWNNP PREITLKFRTPTSAEISGESLQSLLNFRWGYIVNCGDVDIQEGSGRPKGRPVPEDTPP APLRTPLVRNDDNDNENKHNTRSMLFTTRFNYGQFSISINYCFYDSFWNTNPHEITIQ LQTPTKTVISEESFQSLCNRIWGYIIHCVDVDVRESIGEKEGWKRNLCLVWKIMAIIT MISFIYRFFPETVRTVYVQPPFDTAALPTLDEYAHILNQEIIIAREYVPMQRLSLRRQ DVIVDEVCDEIRKKGGFWDKHHGLRLEPWEHTLYREEYGIPPGATDIVDLCQNITRGL HAVESSFSRTMHSIAYGLPSDFTMVFLKTATYLTLWFPKSDTCVGNGEGRSCDPLGTD WLDIFRWEILQNGIEAGTRDLMKDMDKLDKDFKRALENLDLLISIASHLQQTPSWFEL FRRKTHIDKQIEQLQQFHYNVTQMSTKVSSFIDTQTNILDQEAFFTEWLSSLILWSQT HRSPYKSPSHLNNNTIHTNPWAIWRPREENGNWCTVPRCPEPNADGDNVLVKLVPPSA EQAYGYMYEFLEFGNKVKKELRARVTSWQKRA FPSE_02463 MRLIEAKILVETQCISFKEFYGDLPEYAILSHTWEADGEVTFQE CHVEASKTKTGYEKITKTCELALADKVQYVWVDTCCIDKSSSAELTEAINSMFLWYQK AKFCYVYLSDNAGQFPLAKCRWFTRGWTLQELIAPASISFYNQSWEFIGSKRSLLGSL SDITSIEQEILGHIAPISSACIAKRLSWAANRETTRIEDMAYCLLGICDINMPMLYGE GDNAFRRLQEEIIRKTYDLSLLAWTPPFSTAEEYCGFLATSVKHFASCSKMYSVANSL LDEGEMSISNKGLRLRARVYLLDYSESSYPGLVDVTYRYVLELDCMVPGYKGEFLTIP MRKIGPNAFVRARGYEENREIRKMTASTSFCLVPAVAGGSIFHTLTLLTKLPERRIQR PLLQARQSDLVSHSRFTMVTIELPPSIAIIDSTELPNKFWDVEDSVFFGPYGSYQNWG AFVLDTNSLFLCFWHKGATEWALKGTLLDMGTAGVYALWKDLFLSADQLGYQQPVVQN FLNNAQSEMKNSIETKSEGRKIKLSFTVWRKDNPTLCSGPRWRVVFREDVISK FPSE_02462 MSQTTRPKVIFFDLDGTLFDDYHSLQCAIAEARHTVRIPDTMSD GELAATYLKARDTTYSVLRNAELREGELRLNRIYKFFSLIGLKDYTDDELQAFDATYK EAYRSSNRATTGTIETLTKLKEMGYNIGIITNASAVSQQDKIDRIGIAHLIDGLFASY ELGWAKPDPQIYHYALHEFNITPEMLEGEGKTYMVGDDFRCDVRGAWDAGLDPIVYAP PCAEPVPGPPWTSLPVIYEDMTQLLGIIADEEALERARDGDEGEDEDEGKDEGKGWGW GFRCSIL FPSE_02461 MPPYKISPPVNCDADFGRDQLMGKTVIITGGASGIGEAYARALH KVGAVVVIGDKNATTGEKLSSELSGSKFVHCDATQWEDQVCLFKEAARLSPSGKIRYV IANAGFTKSDQTFTFDGHGKEPQKPDLKVIDVNLKGTLYTAKLAMHYFVSQNGTEPRQ DQDDTCFILISSGAGFVDVPRSPEYSSTKWAVRGIMHALRRTSFYYSSRVNVIAPWYI RTSILSKEQFDEVETSGVELATTEDAGECALRILSDKSINGRTLFVCARKWAPRGYID LDIEDYPDSPLLQEIQEDQMRNAPVELGLFP FPSE_02460 MASPQQIVIEPMTQQLLVQNAGEDWTGITSTAERRKLQNRLNKR SQYLRKRQKLTKSRQADKPATPKPSTEIAVQTSPNSMIQAIMEMCEVFNSPDISQRVF ALASMAYLDYTMNAPRISQLPFLITLNVNIAIAKNATLLGFDRALMCIDEAISPFNQN GPWPVCYNPPKVLEPTSVQKAILHHPWLDIFPFPKFRDNIILAADAELLDDGELCEDV AEANIQNTERPSLIVEAEQG FPSE_02459 MDSLYETLRTLPLSVSIPLTTSIIIILSIVTNVVKQLWFPNPHR PPVVFHIFPFIGSTVQYGMDPYAFFFDCRDKYGDCFTFILLGKSTTVFLGPKGNDFIL NGKHADLNAEDVYGKLTTPVFGEEVVYDCSNARFMDQKRLLKLGLTTDSLRCYIPKFV KEVEDYVKTSPYFKGDTGIVNITEVMAEITIYTASGSLLGNEVRAMFDSTFATLYRHL DDGFQPINFVMPGLPLPQNFRRNHARKIMEKLFSDIIAKRRETGNQGDETDMVWTLMN AQYKDGEPLPDHHAARMLIAILMGGQHNTAASGAWLLLNLANKPHLVQELYEEQTRVL GSPQEPLTWENLQKLTLNGQVIKETLRLHSPIHSILRQVKSPMRVPGTEWVVPPSHTL LSSPGTMARSEEFFPRPSEWDPHRWDKIEPLEKTAEDGQTVDYGFGVMSKSVSSPYLP FGAGRHRCVGENYAYAQLGAIVATFVRLVHIEQPDPKAPLPAPDYSSMFSRPMNPAEI RWRRRETVE FPSE_02458 MNGINNCPEGETRDVKELVVKLIVAHLTAIIAFCHLQSLRNERL VSIEPVLFLLSPFTVTVQTALGLCLIHYYLINSLIRSPKSWSSHVRTYTRQWSILFAR KPRPGPKKDDDIRSQPAEACVNLGRLLVMSGTLFQFVATIFLYRRRWNLYGWESLSIV DHRTFELSVGGAAATVLSILLVLRLPGFVEAPSIPYTQEHGTTPEQKLLFCRGDARRC PQWYAPLYISPYVSGTTAATWLLCVFSSTYEGELRWLKHVAYLYTLVYEAFNERLGLN MSVWSFYLVAGFLFGLWMAAAKILTRVTNTGIDDFLKKHPWVAWPILIIVGTALLSFF FVFMFLIITCISLLGFAILPAILSGPLSIGWAKGLETQNMFSQVPFGTRDETTECLLL WKDPVADYLWSLV FPSE_02457 MTSLSTTPTVLEDTTPLNQDITETPKQPEEVPSFTPGQCLFCST SSPTLEESMVHMQKSHGLFVPQQDHLTVDLETFVRYLHLVIFNYRECLYCETSRSTVQ AVQQHMTGKGHCKFDLSEDSEFADFYDFYQTEDELSEGYVNEDGSRKDLDRKPLQVDQ DSMRLPSGRLISKKLSAQAEPSLFQARRRLRTLAPQLEYTPGEAGNAGEAGDEADTST NVPIPDTQVLTRREKRQKATATHQLANMSASDRTALMHLSSSEQRALITTNHKFAEKV QKEENKSQRRLDRKGNKNLYAYWNTETPVYQCG FPSE_02456 MSIKFSVQEPPVTQLRPLHTYQQSLQSSNDQLLHWTSSFEPRPD RDTAIKAFVKLVSRLIVLDDGESFCIQDSQRGGYILANSVAETVEFVQYEVDQDIPTQ FSIGQGKDVQLHIEANGEIRLTVKSTTVPEAALQALGCMFDDILSQENQTGLSSWSKP SVLNFPPRTSPMDLARQDGTQSNHVQSDSNKTGFLHRWFEQRALEHPETTAIDYLTDL NSGSRVQFTYRQVSNIATTLAARISELAAQSSQSFKTVAVLLGPCPELYISYMAALKA GVAFCPIAVDAPKERKEALMADLKPVAIVTSSSLLNSDTWLGTRDLSTEAVDVTPYLA SPDIEPTQLSSQPTTVDDIAYILYTSGTTGLPKGVAVSHLSASCTISSLSTHYNFTLP PAGSKPVRWFQGAAPTFDISLFEIFWTLSSGSTLCCAPRHLTLQNIDKVVTTLEADIT NITPSFASLLDPKSIKGLMVGGETLNARLLQDFAQWNPSGDDETTHVPRGIFNGYGPT ETAIYCIAQAHVPDNQRGSVIGTPLATCGALIVDQPSSQGLQPVPMGAIGELVITGPQ VSKLGYLNRPEETALAFIDDATWGRVYKTGDRARVVFDPNGAPVIEFLGRISDDQVKL SGRRVELGEIESVLASKVDGVRETMACVWKQGAESGSEKVVSLVVVEPRGGVSFETVH QECSNAARLHLPDYMRPFRILEVDALPRSASGKADRRAGLRMVNDLLAKDGDMSFLTP SQGGNSHTLQPLEDSNDVKLEEELLCIVRGVLSDGSTEGPIVTAATVLADAGIDSLRA MRVLRDVRKRWAVFERSSSRSSRARLQPSLSVLLDANATLRSAFFPSIEDLETPTSSS TKESDTRAKLEAFGAKHLPEAVEKLGLKESDIEMVLPATSTQSQLAVSFAMDKRNYIS HTVLRLKSGVSAAALKDAVSDVLSRQAIYRSAILPCDDNLSPFIQATLTMGAWRGLVG GSSVVMHKANDERLFSDAQSWIELAEEELNLETQRLYYIQIVESENTDNGGLLVISAA HCICDGPSLEVLMSDIARQYAGMEPLPRQGIYEAVFDWASNVSADTNQLWQKALEGWE TEPLGAISGNNVKPPAAGARQHAMVQHSSDISWDVLETKSRALGASPLTILQASWAML LHILSEADTDDVTFGSVLSGHDEFIHAPTFSVVPCRVALPESQSVRQLIHSLMGHSRF AQGHRHTSFGVFKTLPYNTALALQAYPSPDDGSDGAALWTEISNPAIRYDFAMFAEVF PTNPNSADRNGRFDDVFFKVTYRDETFSELSASCIVKQFAALTEVILQSVPNDLVQTL PARMERALLSAEGTIPVQHDIEAMPEDLREAYERTQVLHAQFEDQAAATPGLLALSFY SSLDAPPVELSYAELDIRANGLANILREQDIDIIPICMERSVELYVSILAIIKAGSAW CPIDTTSPVQRRTSLIARAQSKFLLTNTESLPLVEPCLEQGTLKDVQIILVDKYTDCK TSVRAKPRDSISSSKVSGKDLAYLLWTSGTTGEPKGVMIQHSAAAQAMRDLQVQVEHD DSEQVRTLQLSAYSFDVFVQDLFYTWGLAGKVISGTRELVLGTFTEFIWKSQPTHAHL TPSFGASIAVNELKGSTLQYVTFIGEKLTEDVAEAWAAPDITTRAYNTYGPAENAVVS TMRRFYGKSRDDAKAANVGFPLNPCTAYVMREVGTAEGTNRWELVPRYGVGELALGGA QVGKGYLANEAKTTKAFIQGGPGIDERIYLTGDLVRLNDHGFEFLGRNDDLVKITGIR IELSEISAACASLKDDDAAIEHVETLYLQRPGAPAENNNKVVVTFVSVKVANVDTGKI RTQVFKRAKDMLPSYMVPGHVVVLDTTMPRTASNKVDRKALQAIYAESDLNVLAGRDD AAGSQGQGPANTRQQWTSEQLTILKTIASNFNIAIENLSPDDSLAGLGLSSLQVTKLA WLLRRELQCQVGVLDLMGCESLGELVDITLSRMPKTTQSQTSEVKPAESSWLAPIKAA LTASIKGALRPSDTTSIIPATPMQESLIVETMLEPQAYWAHRVFDLNHLDEIDARQLK RAWIAAAKRFDILRTIFVPLTQLDVEGNDNTVSWAKELGVQSTILQLIRDKPTVRWTQ ISDEQNQSLGEVARVLQTELSPTTTIEPPWAVTFVEESNKMMLSMHHALYDAVASDMF LEAVSKFYNMEPLEGLGKVAQFDTGLDLGLLPPPTKRDEATSLWNRRLEELSKTVSGG MLNGLLPDLTQSRQKQTQKILLAKKDIPASLLDSALSVSLPTLLQSAFGCVLASYLEL DSVILGHTVSQRALHPDLENVVGPAIATLPLIIRSNATSAEELWKEMARDSAELFKTT HNLHPVDVKKMLNRGSSSSNAPFPGLFVYHPASDDIDDGSVSHMFNEVGQALSLNVEH PLALNVFEGDKSIELTGDGRRISQPQLELLLDQILDQARVMIEASQVPLSQLQNRMGG HLLSTSGAVTTIENTPANPTDSVALHASQHPDWIAAEEVIFQSSDDDEEIVTKTITYA QLDKLTNAIATKLAQHEANIQPDDPVAMCLGRDIKSLAVTLAIFRAGFIYLPVDEDLP SARKQLLIRDAGAKLVITTDELVADLRLDANDDAPVITLPDDQDDLDIISAWPVSERH FEAGDGGYLLYTSGSTGRPKGVRVSNNNLCHFISAFSNRLIEHSPATAKLGSVGKYLN LTSRAFDPHLTQLFVPWHLGHRVVIGKDRSSMMANLKELINELSITHFGSVPSVLTQL RLRPQDVPSVRVVTTGGEKASNELLNTWAQDTKAGDDRAVLFNFYGPTEVTIGCLGHA VNHDSNARNLGLPLQGLETVLLCPSTSSDELVVARRGQPGELCIAGPQVAMGYLNRPV EDAKSFQTVSIHGSTKRVYRTGDMMRMMNDGTLEFLGRADQQTKIRGQRLELDEVVGF LKQVAGNAGDLDFAAAVASSGDGSTQQQQLLGFVAKKSTGIEVELLYDYDRESGLLLD TIEQECQAKLPAFMVPTMVWVTKIPYLAASGKVDTKLLGRLASDFLARQRHEEYEDAI GQSLSTGEDLSPEESLVVAAIEESVGVSVKATSNSSIHRLGIDSLSAMTHILTASCTV RDIARLADNAASSTDSTPIATPTSMDGQHEMLVNTNDLTVADLGPLPTGLEANNIEAV LPCLPLQSALIARSLVWLSAYDSDSEGHDVPYVAQFNYRLDRDTDIARWKNAAEQVLI SEAMLRACFIQRDEDGQIFQVVLRSPPVSPLQDTRPADIVAQMHVQPPVRLLVTESPD GATVSLKIHHALFDGVAIDSIKQKLEQAYNDPSSVSSTSFHTLNTLSRVSHHCNLTGE EFEGTKRSWQEELRGIQPCRISASDDNNTSGPMARATLCLGFTSSELNAKLKSGSDAT ISTSSAFQLATSLCLAQLTQQSSITYGFVMSLRPLLDDVADNVDSLVAPCLNTLIRTL ALNDQGESLPELAQRVHKGHTNVCRGKMPLVSVDKVQRWSSSQDKLFDSLLSINVMPA RDEEESKPGRMTALPTSSSSDMALAIDVDLHADGKIVLTLSSAGALNEKQLGDVGQLF EKVLYSCSDSNVKISDIGPALLVTTVPSSITQKGSNSGIPELNTTNTDYKKALADVQE TISRLLRLNLSEVSSKPESTSLYQLGLDSITVLPFVKQINKSNKTKLSSHAVIKARTI KGVAELLRDARTKQTSTTNGVQTTSVAKPEINALSEGNIYDRTLAQLARDLMFVATPL QEGMLSASLATSGNAYTYVHAIQLSDTALDADTPNLDQFLAAVKDTVMACEILRTRFM FTDDDDSPWVGIVSPTEQSDLVNWEVKSSPRGRVHLRIHHALYDATSIQAIWHILEEN YLRRLNGEVSQSPSSPKRLFRPFAKTVASSQRSAIAFWTGEVRDYTYTPIDFPPDELK ASSAFHFSLDEQDLSLLQSRCKDLGVTVKAALQLAWVKVLCESLYHQADVVYGEVVST DADDDVAIVGPTINTLPMRVKLGVTSGTITVSQALSLVQKQIDSARGTNSMASLRKVQ TQWRSSQQGQTSAGLFQSLFVFDGVIGSSDAADASSSLFKPAEANSGDSTGPAYDDYP LIVSFHIKDNKLNGKLRAKTSATEVNAIGEQLSASLKHVLQGPESPAINTKHLRVAGK VEVTKTKSDTVKSTDLNGLTPTADAVLNVVEKVIGTRRGGKKIGYDTKLISVGLDSIL AIRLSKLLKDQVGLSVSVFEIMKGASVRDIACRTSKGGKASVKKVTSSTVDEGLKVPM AKALGIPESLVKSVLPALSGQRSHLEQWVHNGKRFFEPPWVYRVDDSLTEDMVSNSWA KLVRTHDVLRTTFVCESAGELFQATLNEQWSPLQNFTTIRESTKTIEALIHDHVAEEN IKVSGMKSPAARLSFLEASDGKAIVIRLHHALYDGWSIKMVEKELNKLLSSNKIEENR PSLQHVVEQMKDIRDPDAETAYWKQHLSHAEETVFGAADTASPSSFGPQFKATFPTNI PQAVVDLLSQKSQSQTSTTIILAYAKALENMTQTTCPTFGLNHASRSLSSPDGTQTLD LTDASIPTLTVTPLSVDLTGSLESQLGFIQDHLAQLTCFAQTDGLSKISPRFNSYLNI IHRNDATPSNANDGGALQRYRLPEPLASSYFTTTKPSSTVSTVDQLSTSHLCAHRLFF NVIVSESDSIKITLSADKAILGGDDKMIQNLVRCFGDRLEEVVKELD FPSE_02455 MAPGPIDAIPPRNPTPPKEAWESSKRTSFRLPDGKTNIWIADTD NDYLVGSGNATLARWSTDERQTRISPPMINDDRAQFGPVLELEIFNADISDPSSPFAS HGEHSNLALTWASVYALWVHPNYRDEDLIAISIDSHKIGEYIRSTGLGVLSPYSSTST PRETVYWLSHDTFWQGAGAPDSQHWLQSRPEVTNFPGFNGTMGVFASQLGFTRKGNVC TVHPVRPPKPRPGTVIYSRYIVDLGQQLQIRHIDASNPAHFEAYKSWQNSDRVNKAWK ERGPDEHHRNYLANQLTDPHSMSCVFEWDGQLAGYTEIGWVMEDNAACFFRSACNITV GEHDQNSHIIVGEERFRGGKRYQAVATSIKHCCFLRDPRTKQVIAEPEYDLNHVQIQD RFLPQERKKRFHLPHKTAMLFALQRERFFQEAHFV FPSE_02454 MATNDGKSFPPRKWYRRVPLLSTTPIEQRLTAPKSSPSPANVED QNNGHHEKLPLPEANANWLSVLTFDWLGRLLRTGYTRPLQIDDLYDMPSHRLVEDHSN RLEEAWAARLATNRGRKPVNSKNPLSWRPFWARHTTDTTVLTLALNDVCFKWFWIGGI LKLAGDLSQIISPLLIRFLIGTLSDSSQQGLRSGFGYAVGLFLLLVFSVTSNVHGFYR SYTTGILLRGALMHVIYRRATTQLSERAKLKHGLGTGKLMSLISADVTRVDFCCGYFH VAWTSIFQMLLCFALTVWTMGYSALPGFGLLALLYPLQSFMMRRLLLLRRGSMPFTDA RVKAVVEAVSAIRLVKTNAYEESLLSKIGKLRADEVVYIRKRMLLRALNTAISYTAPT LASVVSIVCYGATSKNGMDAGVVFSGLAYFMLLRTPLMALPTALSAVADARAALERLA MFMLASDVVADNQKSDLQSDLGYSSENSESDAVIRVEDATFAYHDDEQLLAADEKREP ETSTVSQRLWLDSFLVKRNQLVCIVGAVASGKSSVLKALLGDLQLVQARSFHLGLDKS SSQIAFAPQSAWLLSETVRENIVFGRPFDHAWYNEVLTRCCLQPDMKVLPDGDMTVVG ENGVSLSGGQKQRISLARAIYGRSSLLFLDDCFSALDAHVGARVFDMVVNQARRNNEG TIVLVTHSIRFARQADHIVYMEKGRISEQGSYEQLQNLNGAFTQFAGSQPLIAESDSG SETASSHDDEQAVDEKVTEKEDPGSNAKDKPSTTRGKEVMQTEERVVGSVSGRTYIRY AQFGNAFLTVPLLLISIAIYQGTSIVSPLWLSWWQKNQYTSISEDAYMGGYAAFGAGQ SLGLFCMSATFALFCFWCSNKLHHASMSKVLLAPVAFFDTTPQGRITHRFSKDVDAVD NVVGETLRLFISTFVQVLGTIIVVSIIVPAFLAIAFALLLVYTWTGMYYRPSSRELRR LNNLLRSRIYEHFGESLSGLPTLKAFGAVPRFVDDNARKIDTENTAYWLSIACQRWLN LRLDLCGATLVLAAGLLVVGLRGTIDPSSGGVVLSYIVTAQAVFGNMIRQSAEIENNM NSVERMLHYAYNIEQEPEHRVERVDKELETSQWPSAGHVQFQSFTLSHRPGLDPALKD INLDIRPGEKVGVVGRTGAGKTTLISALLRITEPTNGRILIDGVDIHTVGLHILRSNL SVISQDAVLLAGTIRYNLDPFQQYDDEWLRQCLDRVGLSQIPSNTDAEKQDSDSSGDV PSLNLDSEVKENGSNISQGQRSLISIARALVRKSKIVILDEATASIDGRSDAKLQAML NEVVGDATVLTVAHRLDTIVSTCDKVVVMDSGRVVEFATVSELYAQPGSIFRSLCDAA KISL FPSE_02453 MSAPNNTSGPEPQTPPQTSPEMFEVNEKKDYDATNAPVSDDEHK EIGVGRVEAFNKVLYQSGKKGKILLWLLGVSIGLTMFAYALDMGITTTIFGTLAASTF GVHSQLGTVNTAGQIIRAISKPFIGKLADITSRPTTYVVVLVFYAVGFAVAASASGFT SYVIGICFTSVGKSGLDLLSDIIVADLTPLEWRGFFSACLALPFIVTVPVNGFIAEGF YEDWRWGLGMFAILVPVLLLPAIFTLYTMQRRGEKAGMVTMADSKDVRTGRTEATPKN LTYWAKLAYRGLIDIDIVGLILLGFAFSLILLPITLAKSAKGGWNNPSMVAMIVVGFV VLILFGLYEYFLAPKPMMTKRILQNRAFIAGVIIHIFNQMASSVRNTYFSSYILIIKE WTTYQWTIFLGITTMGLCLVGPIVGLIHRVSHRYKGLMIFGAAARILGYGLLISPNGM MTEDTARLVAAQLIFCLSSLNVVGVRVGVQASVPHDDIASLISIITLWSTLGSSVGSA IATSIWTEQMVDQMHVELPDVDDTTIATIYGNIKTLKKYDFFDPIRQGSIRAYSIVNG HITIVSIVFACIPLIASFFMPNFYLGKQQNAVNNKGLDGEVVDVPKNGATDVNSTETK PATFMQKMAALYRK FPSE_02452 MVSFDALSPEVRIEILFYLPDRNDVTCLTKACPEMLATYTANKD LIRLRFYKNEFDDEMLQDALSIINFPIPEAGDEFMNPIMTKHAEMWLTKKLALPEQEN GITTTLDLLDNLYDDLKDRTKLRLANKKHGGLHSFPGFDPSFDARKKTNPTIINIAPA IQMIGELSSEERAKFFKVLLKSEAFDRFRDFTNNVKDCIKLSKTFKRIYAANHPEEDE SA FPSE_02451 MKPKVYQFLVGVFASFGSLLFGYDLGVIAQVIASQSYQKTFGTT DASPETGAVVALFTGGAFFGAGFASPTAEYLGRRWTITIGSIIFLLGGALQTGAPQIS YLMAGRFLAGLGVGFLTMIIPLYQAEIAHPSIRGRITALQQFMLGIGALIASWSGWGT YTHYTDNRQWRIPLGIQCVPALILGSLIFIFPESPRWLMDKGLQEKALHTLARLHSNG NVDDPWVRAEIEQIQESIADEHANEAKSYLELFRNTSCFRRLLIACGLQASIQMTGVS AIQYYSVAIYKQAGIEAADALKYQAINSIIALIAQALCIAFIDRFGRRWTLIWGNLFN CLTFIIATIMLVVYPPGSGSAAQGWGFIIVTWAYNFSFSATCGPLSWIIPAEIFDTRT RAKGVSLATMTSFAFNTMIGQVTGIAMEAVGWKYYLVFVVCNFTNALCVWAFVPETKQ IPLEEMNYLFTNAPWFIPFVNKSQYTADYQADLARRAERIDEKLAAEHETGEHVSKI FPSE_02450 MKHFLSLALCFSSINAVAVTVPHKVAGTGNPEGSLQFLSLRASA PIGSAISRNNWAVTCDSAQSGNECNKAIDGSKDTFWHTFYGANGDPKPPHTYTIDMKT TQNVNGLSMLPRQDGSQNGWIGRHEVYLSSDGTNWGSPVASGSWFADSTTKYSNFETR PARYVRLVAVTEANGQPWTSIAEINVFQASSYTAPQPGLGRWGPTIDLPIVPAAAAIE PTSGRVLMWSSYRNDAFGGSPGGVTLTSSWDPSSGVVSDRTVTVTKHDMFCPGISMDG NGQIVVTGGNDAKKTSLYDSSSDSWIPGPDMQVARGYQSSATMSDGRVFTIGGSWSGG VFEKNGEVYSPSSKTWTSLPNAKVNPMLTADRQGLYRSDNHAWLFGWKKGSVFQAGPS TAMNWYYTSGNGDVKSAGKRQSNRGVAPDAMCGNAVMYDAVKGKILTFGGSPDYQDSD ATTNAHIITLGEPGTSPNTVFASNGLYFARTFHTSVVLPDGSTFITGGQRRGIPFEDS TPVFTPEIYVPEQDTFYKQNPNSIVRVYHSISLLLPDGRVFNGGGGLCGDCTTNHFDA QIFTPNYLYNSNGNLATRPKITRTSAQSVKVGGRITMSTDSSITKASLIRYGTATHTV NTDQRRIPLTLTNNGGNSYSFQVPSDSGVALPGYWMLFVINSAGVPSVASTIRVTQ FPSE_02448 MQFTGLLSLAALATMASAASIKVNFYSDTGCRNFIGSRFIDYNA NQGGTYHTGGPAGSRGGLYVDSNNSGLSYRGFSNRADGSSPFTGNVRDGQCIGTLDGL YAVFTV FPSE_02447 MANFETKLFINNEYVDAKSDKRISVHNPIDGSLVSSDVHVAGPQ DVDDAVDAAQAAYAGPWKRFTAAQRSECLVKFADLVDSKAKELAELETTAMGQPTSIA VKITSMMSSLFRYYAGWTDKIHGEQLPPESGVYKIISHHPYGVVAGISAWNGSAIQLG LKVAPAVAAGNTIVYKMSEKSPLGMLQLGHLIKEAGFPPGVINILNGAGETGSLLASH MKIRMISFTGSGFTGKKISEAAAKSNLKKVALELGGKSPVLVFNDANTEKAIDFCTNM FLFNSGQVCAAPSRVYVQRDIATSFIETLKARYTQAATGLGGDPKDPSTFMGPLADGA QYERVMSFIDSGNKEAELAVGGYRVGDQGYFVAPTIFVNPKSDAKILKEEIFGPVLTV VTFDTEEEALELANDTEYGLAAYVWTESLSRGLRMSDQLEAGVVGINGGSLGAIPMMS VGGFKQSGTGSESGYEGIREYLQSKATLIAMN FPSE_02446 MKTILFSAALIPFLTISTLAADDDNVTCRTELGKTSVASIKTDT VTKTDPIKPTKTFSTKTFTRTAKGGTDTFSTTSTLFKIATVTVTATNTITTTKTGTVK STRTTVVPTTAGFRFISDTVNEESFAPVAGPRKFARNPHAPPLVKPGLKAFAFPNKVH CTELLPNTDTRTVKKTGSPTTTTVSTMRTKTKIAIISTTTTLIPDDVSVTKTFTTNMK VTTYSTTYKTSTKRATATKTSIKSGPTQYAACSEGNMFGPNFNSGGTGYYITNVLNNG PGIPSDYQIVSSGADSADECCASCMQYNGCETWIYRERNRNCFLLYHVLRKGEKCSSQ KNHPNFFLSNKGADTGAGFIAGNGVCGFTYSGNSDGSLFTVDT FPSE_02445 MTLPQSIKPFLLILLFVFNTYAAPGADIPRFERRDDAETTSLGE NTTALEPVVPPEVDPKDLSIFELDTQVTLAWAGSPGSEPGTKRMRKREDSIFSQANFT FQYPVVPLDHSKFVSTVTCSKGTLTGVISNTAAYNYAKSQWKGIKKIIFITSADGCGE DHANDLFLAKSITFSDDTKTFVAKGATTEYKEVFEHFTLTWGPLGTLNVRRALDKRAM FEPHALDKRVSGSNTWSINWNRALTDSDMIGLDEDAPWENAAKLVDWGTEDGEEDDSY AKGEVADPNGHHKRAANSSLVERDLSYGVALYCVECGFSGSASITGTIAVGFDGMEVA QVQFNAQFKAGLNLGLKAFVKYENEWSYEFPDFSFWKFGITGVASVDPYIGVEVAAGF SIEATGTLLIGASLEWENIDVLVDLLHHSNSHSNGLTPVFKPRSEATGELKLEARLGL PVSVGVSLSVLGGFWKRRGGIMDTPAVVLEGNFKASAELDDDGDVAGGIEGDCYGIDW NIHFENSLDAFIRIGDDPPDKTPLIEVMKSDPIAEGCIGYVNDGTGGGSSGSDDVGMN GNGIGGSGSGLFSSRPGSKPVPAIDPTSTKKTKPKSNTKSTKQKGTTQSNKSKSSGKK TSTSKKTGKTNSKPTTNKKPPTSKNKQIKATTTANNKATAVAKKVSPACTPSAITNSK PPPRTTCNKSVTPARVPKTSVISTTASVKSAGACAETCLKNTQCMSFGYNKDKSCLLY SKVLKSLVILPGKGEEELLYV FPSE_02444 MRSPIAFCLALLGAAQLGDAASAGCGKAPQSSGTKSMQVNGKNR QYILQLPNNYQNNKPHRLVFGYHWRDGNMNNVAQGGFYGLKGLSGDSTIFIAPNGLNA GWANNGGEDITFTDQMLKFAKDNLCIDEKQVFATGWSYGGSMSHSVACSRPNDFAAVA VISGAQLSGCNGGNSPVAYLGIHGSADNVLGINLGRQLRDKWIGTDGCQQKNVNDPGP GARNHVKTTYQCSRKPVTWIAHGGGHVPDPTGTNGVKFAPGETWSFFNAAVGGGRSTG RRS FPSE_02443 MKASFGFLAAFLAPVTLAQSLCDQYSYYANGGYEFNNNRWGQGS GSGSQCTYLDWTNSNGAGWHTDWTWSGGQDNVKAYPNSGLQIGSKRLVSSISNMQSAA AWSYSGTNVRANVAYDLFTAADPNHVTYSGDYELMIWLGRYGGVQPIGSKIGNANVEG RTWELWTGMNGSMRVYSFVAANPVTNFNSDVKQFWNYLANTQGYPASKQYLLTFQFGT EPFTGSRAQFKVTNFNAHVR FPSE_02442 MDSNYESVQGAIASLTIRQWVVVTVVSWFVYKIVEALYNISPLH PLSKVPGPKLAGATYLPEFYHDVILVGRYTHAIQKMHEKYGPIVRINPNETHCSDMAF SEEIYAVGGRKRDKPFHQVNGSAAGTGNAFGTPDHDVHRSRRGPVAKFFSRAMIARLE EEVHELAQTLCNKLLAESSGKEKSAPIDVAHAYSCFTSDAISSYCFGEAFGLLSRDTW RPTFREATLAVLKPVFVFRFFPFLVGSVKYGKHFVDYLPADAAMLVRTLQIDIPARVT KTKTDLNSGIVYDRPTIFADLLQSELDESEKGTDRLVEEAVTIVNAGTETTSWALAVI TYFLLSQPETMSKLQAELATVVDDPRHLPSWTTLEKLPYLGAVINEGLRLSYGVSSRS ARVPTAEDLIYHGEFNKQHTTIVLPRGYAIGMSSAISHHDESVFPDSYSFTPERWIDD DGTIRKNLERSMLAFSKGSRGCLGKNLALCELYLSLTALVMRVMPRMTLYNTSERDVR YDHDMFIPVTVKGSTGVRVTIEA FPSE_02441 MAGFLIPPWYKSEKPGDLEINIVSIIFGCSLGATMFTAAMAFQQ TYSAYRRGRHFSAYIIMCWLDWIGCNVMGTVTYCWLRGISPPSFWVFFFIIVAWSMQI QFTLQIIINRISLLLANKRNINRVKWGVAFIASLINISGFCIWIPARLQISPLYEEIN IVWDRTEKAIFLIVDLALNVYFIYLVRSRLIKYGLTKYNKLFYFNVAMEVISVSLDII LMGATFLPSPVVYVQFHQLVYLLKLYIEMNVASLLGHIVRDSQQQNARPSTNRFGRND LEHSSGARMTTSISSNHITHVRLADQSDDTTYMSRPRQEDGIVKKTETTVVFNRVRSM KIASGQPQPFN FPSE_02440 MTLKLYGYGPSTCTLRVCTVLEEKGLEYDLITVDVFGGEHKTEE YAAKFHPFNKIPVLVDEEAGIRVFESRAIAHYLAVKYRGQGIELSPPETDLKAYAAFQ QALSLEASYFDPNVSSIAVQEVFNPRKGLGPANKEIVKGNLKDLDAALIGYERILSKQ KYLAGDNVTLADLFHLPYGQLTESLGLSELLPKYPAVEKWWNGLKERESWKKINVEI FPSE_02439 MSSISDYKVASVALGFSLGFGFLTVWEAMKQTRRNRSPLRSTYI YMIWGEIIANLGIGILGYLFLNGIIQPGVPIFFFILFFWVFEIQLLFQIIINRISIIA ESRQTIWRLKWGTAFVTTLINIAVFCIFIPAHVDPPLETFVTINKYWDRTSKILICII DAVLNWYFLHIVKERLLKENHLTKYQPLVSFNSKLMVVSVAMDILLIGLMSLPNQTVF IQFHPVVYLVKLNIEMSMASMITHLAKKKMTDELYPSLSYSTTPKIHSSKNATHDKTP GYGVSIEMTHKSKAGSTAKASFDDDSDVPETANGIHRRIDVKIETEPVQHERKGSKFG PVEVELPSPRSEGRPKRSRYEQIERGQGGA FPSE_02438 MSAIAHLPFSFPTGLTSPQPFNISVDKDFIQQTHAKVKTWRSPV SLFSNWTIEGPDTNQIDDVAQYWANEYDWFSVQDRLNNEGHHYATSVSSDGNYTAPVP LHFVHRESSQADAVPLLLLHGWPSTHLEWSKVIEPLVTDADTPFHIVAPDLPGFGFSP APTQPGLSPRENGRVMDGLMKQLGYSKYGIVSTDLGWQVAMWMVGDAESNIIGHMTDF FATQPTEDDLERLARNETTEEETAYIVSSNAWYSSHSAYSTVHTQKPLAVSLAFGDSP VGFLGWVWDLMYAVSDGYKYTYEELITDAMMLFIPGPYNNIRAYLEAYSPGMMTFPKS NVPTGVSEWTFTDGPFPDIAASPLSPRSWIERTANVVYFNRHDFGGHFPAVSQPKEWL QDVRKFFSGLN FPSE_02437 MNQLINESDQSSDSLASISTSPSSTTSSPPPPTSMADIGTDSGN LFRLKDVLSYFSAVKGDLSNVTAPPFILAPRSAIEIPAAWACHHDLFLQPSEEPDAGV RALLLAKNYVCSLKQLVGADTEDAGKKPLNPFLGELFLGSYSDKAGSQSQLIVEQVSH HPPVTACSIYNKERGISSRGFVGQETSFSLVSGVAVRQTGYAIIKDDKHDEIHLMTMP TILVKGVTTGNPYPELEGPSYITSSSGYMTKIEFYGKRAFGFGEKNQVVAEVFAPDNK KEPLYRITGQWTGNLVVQDSSKTTLEEFHVDKIPISPLSVAPIDKQTPWESRRAWSKV THAIKERNIEKVYAHKSAIEDSQRRRRMEEEKKDVEWSRLFFTRSSKGDAVAEELLAM IPERLEGIDFTKTNGPWAFIGVDGAEELKANLSTDTLP FPSE_02436 MGDASVFKYPSPLTGYEDAPPLPNDMAEDGKSYVNPAAKRSEAY EKFIDPFDQTPRGAFDVHIYYFQGNKEQTKYAKELWERIRREFPELRTYKIWDGPIGP HPVAMFEVNLFTPAQFGAFIPWLNVWRGPLSALVHPNIIPKEGVDRWASMKRDHLERA IWMGERIPLDLSLFNNQP FPSE_02435 MIPATILALLSIASVSQAAAAPKILSSDDIIVLKTDGTSQVMKA ADLEALETAPAVKNIAGSAKKDIQRRDCEDSTEIQVLSDKEFVNWDVAMSPVISSLGG SKATVSVTNGYTITNSLSVGAGFSIPLIKDILTASLSIDYSETWSSSQTQSLSFTVPE GHHGIIVSQPYVRRIEGNVIDGCKGDAKEGFVSDSYESQAYGNLQWVKGIIRLCSSET YPIPYCNGEGEHK FPSE_02434 MLAKVFSVVLFLSVATAKLHNNCACHNGDSYNFRMTMNACTVYD DADYQWGGVKYDTPSGRCTQEDAEAQIAGDQWEDACKEVAAKGFPCADGKGTCFANPK EVRGRC FPSE_02433 MATLTRLLVFLSYLSVSLALQFRGVDWSSAAVEEQKGIKYTNSA GTAQPLEQILAANGVNSVRQRVWVNPSNGEYNLDYNIKLAKRAKAAGMSVYLTLHFSD TWADPGHQAIPRGWPTGIDDLAWRLYNYTLDVSNAFQAAGVPPALISIGNEITAGLLF PTGSTKSYYNIGRLLNSASYGIKDSRLSPKPKIMIHLDKGWDWGTQEYFYTQVLNQKG IALNAFDAMGVSFYPFYGSGATFSALETSLTNMANKWGKQIFVSELDWPTSCPSPAQP FPSDMKNIPFSAAGQTQFIQKVASIVSKVRGGAGLFYWEPAWMNNQALGSSCPSNTLF AWPGKALSSLAVFKSI FPSE_02432 MRMQHVVLINNCKETLHEFAILKDVLKDGVIIFKTIVTITIHEN NSNYAPSREVFAFDVNRKNRRSFVGDIESVDHHCDYRNIICTDR FPSE_02431 MKLSSILSVWVFTLISTVFGAELVPRSTLKEVTGYGNNPTGTRM FLYVPKNLKSNPAVVVGLHYCTGTAQAYYQSNKWASNAEKYGFIVIYPQTPYTPGNCW DVSSKMTLTHEGGGCSTSVANMVKHVLKNYSGDSKRVFVTGDSSGAMMTNVMAATYPD IFAAAIVYAGVPAGCFMSQANQAAAWNSTCSQGKSRYTQQQWANVVKNMYPGYNGARP KMQIYHGTADPTLNVQNYYETIKQWTGVFGYSATPKSTTNNFPRSPYKREVFGDKLET FLGTGVTHAVDHFPDEDIKFFGLAVRYNPILATI FPSE_02430 MVMSVISGVYGISSTLYSNLFITLPYPETKHDLSDKVMIVSGSN TGLGFEASQHLLRLGLGKLIMAVRNLDKGEEARRELLKLTKREPESIEVWFLDMANYE SVKSFAMRANALPGLDVVLANAGLATSDEFTTVEDNERTITVNVISTFLLFFLLLPKL RKSSFPGKFIIPNSATHYWAPTKELIPDEKAGPIFSRLNDPAKSNMAARYYVSKLMVL YLTREIASGLETSEKNSVIINTPNPSYCKSGLMREKEDTAPPDFLARSGEMGSRALVA GVLAGPESNGKYMNNCQIHEPACHVTNKMGAKIQAALYKELLGKLEGIAPGVTKTL FPSE_02429 MSGTGTTKVEKRNRPPKSCEPCRTRKLKCNRNLPCDSCIRRNKQ SICHYASNADRNERRVEKGTSITERLKHLEGLLTIVAQQRDPMASLSISDEGPRGADG GASLFTPGSIESRGGSEAKHNSNAVPQGPAGHLDSSHWSSILENIKAIREELPSESPQ EKEQTSPSNSSGVSPEQLGRMSPDLDFGSTKGTTDDSILAALPPRQVCDTLVSMFFRW HYTMMPILHPVKFQREYERFWNSPRHTSNTWTALLFALLSLSTGVYEASGMVLNSPVP LPSSKDLSIKTQQRLLLGNYVSSNEHAVEAMLLHLVGCWLRSKASDTNLWFLMGRVVQ LAISKGYHRDSSKVPNSTISAFDSEMRRRVWVCIFQLDALMSFQLGLPSMVPSDSCDT ELPRNLDQNQLHPDIKELPPSRPLSENTTILYSIVKASVMAKFKEVVKHTRSPTPPSP ETTVTLDVEVRQAYANIPEHFKYKPLTNFLTEEEVQKYLVIIGNTHKIWVGAKEMSSE ARTVAHALSSTMERVDDFLSAWSPPTDSEPWQTLDAESSGSLANDPLYQMNDLDMMDN IDWTFIDNQFQDPNINEIDLDMWLMDTVGPV FPSE_02428 MLFKYILPSLLATSTVAVPVADIDNSGDNLVLFKRDDLLDARDL ELADIHGVNVTEMYKHSMLKRDDGDHVVVWVARDFIEANDGQGVSKRQTARPGTNSNF QNDAISDYCRDHKRQNHAGPNGPFSGGVKAMYGWARGHSGGSWPVGSGWKNLVLAGSN SGANALYRARVTSGDVTHVGTQDVRNDADWTQQRAQKFNGQWRASSKGGETCSTNDRI NYEIIRTDYRL FPSE_02427 MQTANNPISHHTSHTMGEGAASSNSGDNSCGANSVGHHTSHTMG QGASSSSSGANGGGGVAEAQRVNDGITLGASLTDVETDNNDNDNESDDASSLGDSLAS LDGTAGSVATSMRSSGSLSDFKIIDTTLREGEQFATAYFTTETKMKIAKALDDIGVEY LELTSPASSPQSKADAQAICKMGLKAKVLVHIRCNMDDARIAVETGVDGINMCIGTSA QLMKHSHGKDLDWIAAKAKEVIEFTQAHGLEVRFSGEDSFRSDFSEILKLYSLMDRLG AHRVGIADTVGGASWREVYDKVSTLRQMIGCDIETHFHNDTGCATSNAYTALEAGATH IDTTVLGIGERNGITPLGKLFQCMLGFGHEYVATKYRLDKLPALEKLVAEAVDIEIPW DTPDLSVFL FPSE_02426 MTATQIPTHPHLQTSDNGSTQLIVKGKPFLMLPGELHNSSLSSA KFMSEVWPKMKADHVNTLLGSVTWEMIEPKEGEFDFSELDRVLEGARKHDMHLVLLWF GTYKNGLSTYTPTWVRTDPKRFPRVQIMEAGGVKRTLEMITPLCDAACEADSKAFAAL LRHLREVDSQHQTVLMVQVQNETGVLGDSRDRSQRANKAFAEPVPSDLVQHLSQVDTH SRFKKRIPSVSSSETYTWESLFGRGTAADEAFMAYLISSYVGRVAAAGKKEYGIPLYT NTWLNIESSAELDFSGGAPVVVGGGDSPGVYPSGGPCPHVLDIWRYNTPALDLLAPDL YFHDYETVCRNYTEQGNTLFIPEQRRDEYGARRVYLAYATYGALGASPFGIDTGSDVI GREFKLLDQTKQYLLDAAPEDRFGFFFDDEPSEKKPETWTRVFGDIKVIVERCFVFGK PGPGGGMIIHLGNAKFLLVGRGFHARFKAAREGATFGGILTGEEKEVDENGNLQTLRI LNGDETRHGEFMMMPNDDPDYGGFPIAVTPGARTCIATVQAYCITEDEEDR FPSE_02425 MLIYSRHVQKTQVLATSPANVSISPGQSSDISLHSPSVPTGWLS AFAPETTIMRLLGDWFHVIHPLAPTLLRRRFLRQLGQGGANTDAEFCGLVISVCAATK ATLPRNDYGQITVDYCVEFLDAHGLLKSQFARDSFSINRCIALYNMGTAMSATAKSGL GSMRAYHALSEAAAGARYLAYYHIHEHDEVEQQLLRRLIWLLFASACSADIFGRLPIS LLSQDRMESFPRPLPLTDDQMEPQCLNASDNLDQGPAWHGDETSYVPGLNSLSDLFLI WQQVQQNPQSTDPQACIMRYLAKVQHVLDNLPPELRWRGGLSRPKNVTEGHDVQIANL FVTSLNIRSNILQKLGPTEESAQEHQRIVDDLLEILYHLPHAVFDANGSSLVPKIRDI GAAFLEQTQLGNNVGQLEMEAARTKLERLLRKLDDLDCWQGIGEVDLPPLRV FPSE_02424 MASKETQIEISTADHVPQSNPLPFWKQTELRKLYFMMIFLFMGS TTLGYDSSLLNGLQTMGSWQEFFDHPEGSRLGIFGAMPGFGGLFVLLFAPYVADGLGR KKGTAIGCIIVIIGALMQCFPQKDHGPRRDALYLVGRFIMGCGSNISNATCPLLITEI AHPRHRGKITTVYNTLWYLGSIIAAWVCFGTLRNQTGTIQWVLPTGLQCLMPGIQLLA IFIVPESPRWLISKGREEEARQILVKYHGNGKDDDEFVRWEYTEIVNTIRLERESSNN GWSELWRTPGNRKRCGLIIATAIFSQCSGNGLVSYYLAAILKTIGITDAVTQAYINGG LTIWCWLVSLCAAFFVDRVGRRVLFLFAGVGMLVSFTIWTACSAVYAKTESSAAGIAV VAMIFLFYGVAGAAWPGLTVSYTVEILPYNIRAKGLTLCFCFTALSGVFNQYVNPLGL QQLAWKFYFVYIVILVIECLVIYFFFVETKGPTLEEIAVLFDGEGAAAGIAKNQAQMK SKVNQVEHA FPSE_02423 MPSAPGSPGGNVMTQAQLETYQKQKAQERSERHASESEDEDDNI NYDSDDDKKPVKASEQRKRQEAHLATYRQKMMKTTTGEPVVEHTRIPSLKGPWMDITD SDDDDDIPLAMLKAKTRGDQASRLSGVRSNPNLRASSQQYLVRPGSSQGQHNSGAHLR LPSFASNLPQDPFADPYADPFQDKKPMFTGGLISVIAKEERAKASRRGTPSVSLTGPS ETGYGMSNGSIYGMQQASRSQSSISYPYMASPYGMQQASASQTSLSGMPMHMQHQIPH GIQEQMQFMQAATYGAQHKPQNSWGSIPQRPGTSGSMTPHQKPSLPAYGGYAQSIPPA ERSNVGLPSRYRAVSKLKA FPSE_02422 MQFQLTTLLVLLAAGFHAVEANKCLYNPARLCSEGCPNGGCTVP NSPGDNFSLSIQITFTTVLIFMGVAFTSVWGQIVICLRSCSERVNPGIDQKGQERGGM ARDVTMCTVKGILPG FPSE_02421 MSTSMTEEPFTIFHKFPPEVQLEILRQCSEGDLVCLSLTCRDMH YLAKPLLPEKPDLSKVDQLGSTPDMPQDYMDSNCICSNGNKGCKGARGRIVAYDPDTH RNRRHYYKKRYAACYECRAYPPEHPACMGEKRSEKLQITIGLREKACHMDIDGGGDGG RTMLIMKHINQMPGRG FPSE_02420 MVENPGGELWSKQETQKAIRTRLWKSWDVFERTLRDIQTATDEM YDKLGHGSETSWSDMTLAAKELKRVAFALSRSAYSEHLTTIRDGITNLESLATMNIEL EPKRRVRSRVKLLNVLRTVVASIYRAVESNLKCSREHYVSMRLSAGVDKPGYIEEDEI MQDHQTDLLLSHNQLENTSRLLGVDRQEWEGEITDHSVGQTKTYSIYSSAVLASESHC STISLYEVLHNKYGCYFFSYRQRLQLAVFIATSVLHLYRTPWMLELPRSKNIIFVKTQ DDVIDYSRAFLKAEQVCPNDGGRNMMIPTPKLLPIGVLLVELIKGQRIESLRSAKEVL SSELSALSDFMTAQRLVDDICQASSTYGSAVRRCLDVGFKAQACDVQNEDFQHNFYSG IVALLEEDLNNL FPSE_02419 MREESIADSVRNCLNDFEVIRKANLLDAGTANHNAALEIREEEA RFKIWSGNIGAHSDGRRSLQYRLRDASHLQRQVMSLLDELSELLGDAFLIMTGEKIPW DHVQDEETISLDIESESDPEFGDMPETEVAQIAENIADVVNCLLRLSVAIRNPAPHDR FMTFSSSEASHYEPFDIQHVKNKFGEIAPFLADRLGKAISRRREYFKYRESHHLKLKQ GLGSVEEDGAESTVASSLPLHAKAAGFNFEAMDEDGASNSGLTQTSFASSRADSDKLS VPQLPKEAEDGPFECPFCYMMITATSRDSWKRHVLADLRPYVCLWEDCTASGMEFTRR YEWILHEMQNHRKTYNCPCSCGMPFRVRSQCRDHINKGHPKAFPPSQLDTMIDLNAKP MNEKESSICPLCQENLSSMKDYQRHVGRHQEQLALFALPSLPTDDDAQIEDNVSGPHT YASFEDLNVPYGQTKEEMPPEPPVPTKPTSGPIKEMEDLHVEYWTKWVPLCSELLRSP TSNTKKRKEDCRKLSEDIITHVLLKIDTIETAGIPEARARRLELVREVQNKLTDLDVV IDS FPSE_02418 MSNSVVYHYLAIGKLGRGEPIKLFMKDAGIDFEERLYARDNTWP ETKEKLIKQGLTRNGQVPCLEYKGHAMTGHVPILRYLSRDLGRYEGQSNEDKYLTDLV SDIYVDWRAQWVRNLKEGPRAEYKDTAAPQYYDLIGKYYTDREGPFLLGDEISYTDFL VYVSIDNDTRTKTIPESLPESLVKFKAAFEARPKLVEYIKQG FPSE_02417 MDQPTIPILTISLSRHLHGRDIDEGLKEQWSEPKVSSTTASRFT NVGFNLNADGSNLGGLKTKLEEREWSGIIIGWCSRGNIEFTELFESVVAVCVDYALLR KQGDTSLKEPKLIFCRGPQDLVNATLRNFPSDN FPSE_02416 MSKLYVGNLSWNTTDDTLRQTFSEFGEVTDSIIMRDRETGRARG FGFVTFSTEEQANAAVDALNEQELDGRRIRVNVANARPAGGNGGGFGGGRGGGYGGGR GGGYGGGDRSYGGGDRGYGGGDRGGY FPSE_02415 MKQQQHPLAPAGPIDHQGPALYEDLCQGLTHLHFNPEPQMSKNW YSCLYSIALALMQPWDERRHNIIHPYSVESPYEKIYQDMTSFLAGFLRERARTILSRD PQVMFHLYLYEWESYNKIAGNVESVLRHMNEAWAERNIRAGIRRFPLVYTFYCLQWHD HVWAVVRDHVAKSAWQVAKKADGPLKFDNIVKGFPSMKVDGKWAEANQGANVLEILEA PFTSPIKFHYAIVDHYIDEMNAARIAAR FPSE_02414 MKLSSIIAAAFAGSPALAVPAGFVTTDGTKFSLDGKDFFFAGSN AYYLPFNIWGTDHYKDVKLGLEAAKDAGLKVIRTWAFHDNNRTFSSGGLPKYNTGAED TVFQWFEADGSVKIDLSKLDVVVEAAEATNMKLILALTNNWADYGGMDVYTFYRLPAI KKAFKNYIKAVVNRYKDSPAVMAWEIANEPRCGADGVRNLPRGPDCTPKTITSWVDEM STYIKSLDADHLVTTGSEGGFNRKSDDWTYNGADGTDFDAELKLPNIDFNTFHSYPQY WSKTVDWVVQWIKDHAAAGEAVGKPVLHEEYGWTDKSTRIPTISKWQKASLDLEMSDL YWQFGYSGYSYGKNHDDGNTIYLEDDEAQPLVYEHAAAVNGEGTAPSPTTTGTTPPKP TVTDGPKVGRYGQCGGASWTGTTVCEAPYTCKAQNQWYSQCL FPSE_02413 MAEDDPALLEALFHHLVLPPKLPQKFDGENIEMKKSLGARLLNS SGIVRSAGDPKVWEILKASLEAIYDLHAGNFSQEDLWTALMNVRDSGGAVWLAIHLEP QNAALIVHMNDGGENVIFEEFETAAPVADVLKTENALTWDFPSRAIAIPTSEFTDHSF IDNLTLFLEEASSKSFDSFAARAKKGGKSVVEVRDCPSSALISEILMPLLEGIGSSVY PRQLRKRVRDDVVLDKSEMPWRRLPYWLVLRVTIRRILSTLLDDAHEAMSRVYYKFII CALLSDLLRDCAGSIQPEMTLMLQAKLSRRLAKLESERDAASGRLREAYDHFFTHKSD KFHTMLTDARAQVTSSWDEYKKSTVRNIPILPYRASDNDLILHLPNSRAAFRKLLSHK PMSTRSRVLVYPLTVREGTISQVNALASKYSQLVDCADKSMNDTFIKLSVSQRRCAAY AQNIEKFIDTVGDAYNGNSLLMSRYLLQLFELWMRMDQEAIKAYPLLDDYHPIFVPSS LDVLCLQTRQEFERLSQVQQYLDSRINALPLDHQTIFANPIRSDSFPRKFIDNTAIGK ELITLGQQIDAESQKSKSSKQSELDRLTKKYDNLTQEIQSMVCVCVRLRDGSKDVNGC KRCWKFRNRKRIKISAYEDLLPTTGTTETTAQRAAILFQLSMPGTLCTYRKAVWKLYI LGTAQLSHNKHGTPKLLLENLEKLQKFAKSPSYITLASSKKSFSQTHYSQMRLPKRPS EVLFPFGAEFTYYDTSTSTWADQLPKVPWFAHLLGSWLPKGISDPYASGQSFVQDGRP YHPSSYAIVANEFNCPSELSIPEYSAFQRVVSARGRRWLVLLVELGTTNFNFSSEVTM KLLNNLAVQAGPAIHETGVLREAHIPFDDEAFCNRLYELLRGRLDAITSSWREVHYMN VLVTLSVQLYHLCPEEFQSKAETLLVTIRTITCGWIAHLRNEIRSTSESEVASKSATF AFWAALLSRRTFWVYKDDAHALNEEDARSFFRASIAIQENLLTDLDQLDPVQRNLLIE DLSNSYAMRDQIEDWWKENYTQLESSINETWTDSGSSSERSYSQWVCLTGQVGWVTSD VARTQWTSAQVVHYHLLQGHLIVDGKPLGRLPLQMTQDPAVQELFGEQHLLTRPSGLL EYQLVNDMMEHQVHLGFRDGKVVIQTLHRGSLLEYVPRDIFKGSSGCDLPTDLVDNCV HWLNLRTGELEMRRKPWIWKTKQSNWILNTRSRVAIRNQNKGNINRKARLGTKLVEPR SSVGQRIMRIFRHFEDVDKLTIYQPIGKGTLSVEMKRLEIRFAVNNKRLLESSQLNAE VDPNQDAGTLYGLSSQIILRNIVNPEKRTVLVPLGEIYWKRRTMHVDIRITNVGQYVS FSVDKVLGRLDCAPEPLLLYLKAALHALTSFPIPDDLTLRTGTEEARHCLMAARSQPW SPLRSYPRQILSVLKSLSPKRSYYPPGINLYQSVQWDKNLTMCIQHEELALLVESILS QSQQLEDVSDKSAADPDIQPQQNRLDLLFRRGRTRRQLYERISFPSDIDALTSASEPF YYNPIKNMKAKDSCRVYQTICALRANSDDIPRLKRLTPLVEKMVNIGGFENTLTVTDV ETLLSTEISQLWASLVQFCREDGQSPSYDSHFLLALLAFDTDIDLSLIQWLVAIYKNP QLRALEAPDHSYFSGFRAFSKPSMDSMTSLIVAKQPTHKKFREFDSKGKLQKTILTVG EYQDKQMEEATLLASRVLEIWPNLLQSVDEFNDATSDLAIRYTNLDKAWKSLKSELVR LSHNLDLSKYLGQLDFTATCLLQHQFDDQKVAWKNICYSQPSPLADPPVHESGEAYRL PSLGEDLMKLKHGFGPRDVARASNVLETSSDKPALQHEPTQQIIASSATSILPDNLSS LDQIVNRFSLSSETTIRKKYSEDLHTSLTAMIQNYNTSCFKPKQTTRPMDVANECVQA YNELHQWEDIIRTTLTNQFTVSTWLNEGGLWPCLSRVALLEQLRNKNSGKLSVEMKAG LVHYGILITRYQRFLRLRDATLCWDDRRLRENVELQGHSNWNPLDHPERLLLEIDNDL LIRPSQIDVARAVISPTSASNSVLQMNMGQGKTSCIIPMAVAMLADGKNLCRLGVPRA LLLQTAQVVQSRIGGLLGRVVRHVPFSRRSPMDLKSIRLYKAIHKDIRDSGGVMICLP EHIMSFKLSGLQQLLDGEVKRASEMMETQQWLEAHSRDILDESDFTLSTKTQLIYPSG VPMAIDGHPQRWLVVEELLSLVEGHIPYLQSQFGNGIDIMRRHEGYPIMHILRPEVEE CLTYLLIEDVCKGRLPQLQFKSGVGCDVPRLVRSIISGVNIDLSTCQRAAEFLTDDVF GIKVLYLLKGLLSEGLLLTCLKKRWNVQYGLHPERAPIAVPFEAKGVPSLTAEYGHPD TTLILTCLAFYQTGLTQAQVVQCLDHVVRSDDPTMQYERLIHGCNLPSRLECWNFLTL DDEAQMEDLWKHMRVNTSIVNYFLNNFAFPQHAKQFGVKLQASGWDIPLLSNEAGASK NLTTGFSGTNDNKRLLPQTIKQDDLPSLVQTNAEVLSYLLEERNQRCYQAIDPSGRHL TEKGLLDLLNNQEIRILIDAGAYILEMENKDLAATWLEICPDAQGAVYFDSDSRIMVQ ARFQKSPIPLLASSFANNLKDCVVYIDEAHTRGTDLKLPVDAKGAVTLGLGQTKDQTV QAAMRLRQLGSSQSVSFIVPPEVHRSILDLRPYDEKKLWPITSSDVVYWLLEQSCKMN EKMMPLHTAQGFDFCQRTNALWKYGDSYKDATETKQLLDAIQQREDQTLEQLYGPRDL IPTTEAMAKLDFDCLKSFTTRLCEQKLDLSGDYTTAFEELELEREVEFEFEQLREKEK PVKYTAVAFPGLDPAITRFVTTGSLSEGDSFIQAFNFMSRTRIGRKFGVQRTSSRLFV SKEFTKSIAIKGYRIPSEVTRPVEWVLWSPLTDTALIVIPEEAELLLMTLRTYGNPVS MLTYAAPVTKTMWRFNTLNYFTIPTRDDAPSFPPWLAIEIGVLAGRLYFSYSEYAHLV SWLGINKRDLPAAIQDASSLETRLTARGLFIDRPLKFLLEWLTYRRQTQDIMHTPMGF LCQGKKLDPGHSFFASATTSREVLEAHPDVEGGVDARSDAGVSDDDSDWDDGDDELVI PDGEDGDDELIIPDGEEVEEWEEELEEEWWKKWR FPSE_02412 MSGLVEEPMTELREVPGKGQGLIATRKIPKGTRILSEKAIIRVP EIFANIAAVSASIGRQVDSLPPDQREAFLSMCNIYPSDDNVSPYLGIVRSNGLPMDFG SGVFLQASRINHACDNNAQKDYNEGIKRHTVHALRDIEEGEEITITYLGILKNRRTRQ QALRTKFMFTCTCNLCSLPEDLSDESDRRLDEILALEDRIARAGITGMLSNPKRMLGH VYQQVQLYSSDEIGLPRAFFDAAQIVVTHGDLARAQVFTERAAAAWLLIRGDDDPHVI KTQKLALNPSTHTTYGHTAQWKTSIDQVPQGLDPDDFEAWLWKREKLPEVGAFRNQAM FPSFLGLPNDNVMERDFFKIKDGRNFRPRRHWCFLAEIVEHSDFSRLQMTVKDVTGKK LPIIFYTGTRESEVVASQIREGYTVAILYAEQHAFMYEEAGIRFEKPTLLKIFPASLD DLLSLSDRVQKYSTVTNGMTTCHGCGKQAASLKKCAKCSMFWYCNGACQKLGWTEKDH KEDCTLLQDGDSKGLLSLNEGKFEARVKFPLTRGG FPSE_02411 MDPASVYASLPLDRAQKEIRVIEILEISPQLRCRLSKASLLHNP KFCALSYVWGASKESQDIIVNDVTFSVTKSLADALTNVRIHWEEFFSTWNREEFFSYQ DREKPFPRLWADALCINQADNAEKGHQVPLMKNIYSSAQVTFCSLDAISPRSNIPAAI DLIHEIASRLDQKHPDTGPETAASILENLPARRFYKKAGHERWQAKNYQREADQVNII NDFSELQYWERAWIVQELVVSRQVVLYYRKSSISLEPFTRVVQWVSKLLTELRAPTSL PQRALPHEQLMIANSLNKFGTINKIRTLRKLYHCTCPQVHDMRLLWVEGASFRATNPK DHVYALCGIANLDIPPRYDESVSVAEVYIEFCVEQTKIPIFAPLNFLTYAGLSNGDPA KLGLPTWVPNFPDCAEAFGVPIHICLPHVRKAKIWKDCIGSVEDISIRDRSLHTTSLH IGCISDISPTLSTDEDIPGFLPHLYKMLDDAFKATETPYRKDSHPFLKLASAFYHARI PNKVWNTIELIRVARMFLYLHLSVLISDGKYKYDESYWEDFPKNICCDLERYLEVGDL EDEPYFRFFVRIISDKSFDGVDWPRNAFGDVNHFSRGVRVGRTTGNEFALVPPQAEVG DEIVLLTGCYSLPLIRKVEEHYIYIGRVGFAEEIVEKKLDEYKAGQEKLEHVELQ FPSE_02410 MASLFCFLFISLQCMLLLHTTGVLSQAIKHEADVVIYGNTVAAI SAAIQTVRMNKTAAIVFRGSKLGGLTTSGLGWTDSKDGNTIGGIAREFYEKIYEYYDN DDAWKYETRDNYIDKHIRAQPGPAIGDKVQWTFEPHVAEKILEDWLKDEKVPVFRNEA LVRSEGGVQMDGTTIKSFQTESGSTFSGKMFIDAGYEGDLMETAGISNRVGREDEEEF HESAAGIQMNAPNLLTTIDPFNDEKDPHSGFIPGVGRNTTEVAAQQSRGKKDHRLQAF NYRLSLTKHKDNKKDFFKPKDYNESQYEILFRYIKAGHRGPFFTTQLMPNLKTDTNAA CLVSTDLIGGSYNATANYAEYSYKDRETAAHRHTVWAQGLLWTLANHKDVPEHIRDEV SLWGYAKDEWTSNDNWPYEIYIREARRMNGVYTMTQQDIQHPKEYNDTIVGVGYYTLD VHQVERVVVDDRIFDEGLVHVPNPGPFRMPYGSIVPRKQDAVNFLNPVTVSATHIALS AIRMEPMYMILGQSAGTAAVLAIEDNVNVQELDHKKLKKRLEGDTQVVKSFATSLRTG YVHGAISIAIVVWLQLA FPSE_02409 MSFSQRKPDARTKKRQGRRSRSFGGCVTCRGRRVKCDEGRPGCS MCNIAGVECGGYNIDIFFDFDDPSTTTAKFRRPLLTDHERKCMNIQISRGPFGAFRVT QESRQGPIPSLDVVHEDPVDSSCIHVVDDQEGIVVPDLDFDIEVVSPSVDNITTIVEP VNQQPQDFLNTFDSLPLANWLDPGNIEIPDWWDSMDIGPIFPWPDESAVAPNPQSPIT VSSIMQVQSPMLPLADPSPSPGPIAEHDVPGDAVLLIKHYSTTVLRGLTPYRHSKTPW HILFLPHVKNCLAALTLGDEMDHASLCAFYGTLSISAFSLGGIYNSAKWLYQGKTYYQ QAHYHIRMMLKTAYDIPKRAKYKSILMALLTMVQISVLSGNKDEAEYYFLETEKFIRL RGLKRRKSRKVRLLHHCYVFERLSHESSFTDCRLNLDHRNRVREAVEASDASIYSVDN LSFRLTSWRDLDQEMLKVKGQEEGENDLHLQHPGIWSATLYPEIFGVPELHLFMLSLV IRLAREKDESKDEAMPLREFMARAKAVERWIKQLHILRQSIWMTEAPVDTEQQRSFDL LNSLADTMQHALSVYFYRRIYDLDPSMLEKHVLGVRDRLLEFDDSDAGMGYGSLRLIW PAFIAACETDDVGIRDSFIQWFQGSAKRSGLRIFTETMERIQHNKSISQFNVYKNMEA IAVFALAGNVLQFVEATGKFTAKAYDIVKSGRNAPKDLKDLQAASLGLREVLKELQQA QTQIPTGNTFTAESEARISTLASSCIQVINELVEKLDKIKIHDNGGRMDTTMIAFRAM WKEDEISKLSARIDEYRRQLGIDVLVSMRTHLVRSLDTQQAILSQLKTKGHNSTQHDS GTFGGTVINYVSSYVPIENRKDEALRLKGEVQDAIRKSPYFKDYGGVVKSDYPAYSIS DFTRVEAEKKLISSLRYKEMNIRELTIAEPYEDTFRWILETDNAHRRDTKLKEWLSSE SQLYWITGKAGSGKSTLMKFLGRLDGGTYGSDLCKTYLKTWASSADKLVLASFYFWAA GSSIVASQAGLFRALLVQLFEQNPQIIPRVAALEWEEYCIFNQHARFDTSDHQLQVLL HDAVKALVQQEKAKVCFFIDGLDEYGGDPNNLISTIQNLLELGNIKICVSSRPWLVFE DAFLQAPNLLLQDYTQPDILHYVGSQLNQNEGFARLEKRDPQYASALIDSITTKSSGV FLWVRLVVNSLLAGLTHDDRISDLQRRLDLLPPDLEALYATITESLDPFYFAHAAQYF KFVATSSSPPSALLFSLADEESPNFALDLPVKCFTKDEEEIRIDTVRRRINSRCKGLL EIGPGSEIQYLHRTVKDYLSSPDVQSCLNHAVGQYDCHLQLCSANLALLKGSLGEGRW ISRSRLCRLVESCIVAASNVMADKVLMIRLLDCLGETIQKALPKDECIYIYNRLPDPS VLDLYLEHLYSTGFVALTARFGFVDYVRARASTGGMSIDTNQDHGDLVQYPLIDNVQP KSTLLSKLRSRRKTIQSAAVVTERPWPLLLNANFCRPPSLELYRCLVEMGANPSLVFD CSGATIWTEILATAVADCFIASNMKPAWGQWVPILQFLASKGAKFDRRVIILVMIRLN RSQNEYIDPERLYIALKLALMNQEELGLEYLQGNHDKVAELVDIARIIAFLLSDEASF ETDAMYNVDSD FPSE_02408 MGSLPKTANKFDVLILGAGLSGLCTLYNLQKRFPDWRIKVLDAA PDVGGTWYWNSYPGCRFDSESLSYCFSFDKELLDEWHWKEAFSPQPETHRYITYFAEK HNLYKDIQLNTIVTKATWSESDHTWTFIDEAGNEYTSTFFVSGMGFLSAPTLPAIEGI EDFNGRLFHTSRWPKDLDVSRDFAGKKVGIIGTGATGIQITTALSKDPNIESISVFQR TANWSAPLRNSEISLEDMADHKKNYDKVFKACSESSSGFLHNGDPRKSLEVTEEERLA LWEKLYNEPGFGKWLSVFSDTYTDRYANSLYSEFMANKIRQRVKDPAVAESLIPKNHG FGTRRVPLESGYFEAYNQPNVHLVDLQKTPIDRVTASGILTSDGKEHKLDILICATGF NAITGAFSAIEWHGKNNRPLIATSDSPNADEAIWLDHRPQTFLGLTVPSMPNMFMVLG PHQPFGNATRNIEYAVQAISDLLQHCKDNGYTSVEPTTESVEQWTEHVVKCSESQVLM NEIDSWMTGVNKNVKGKTVRSVARYSGNITEYRRRCQEVKKMGYKELVFS FPSE_02407 MDVPGFALITGGASGIGRACAKAFARDGSAGIALIDLNLEALQA VKSEIEQEKLSPKHDFRIELYPADVIDETRINEIVDDMVQKFGRIDYVVNAAGIAIKH QGGAAFAQTADWNRVLNINLNGTFFVLRAAAKVMLKQDPIKSSINGRDLQRGSIINFS SIQGVVGIPLSTSYTAAKHAIIGLTRSASEDYAKDGLRINAICPGYTETPMTTKNPDV LKAMQERISTAVPMHRMGQPEEIADGVLYLAGGRSSFVTGSALAVDGGYTQR FPSE_02406 MAVLDDEELTTITNNPDAHEIIYTPLDSTCHEIRLLTLHPASKE SDRICCTLSHAELKPTNSSTLPVYEALSYVWGKPDFSELILLNDTYFYITPSLKYALS CLRYKTQPCVLWVDALCINQSDIPERNQQVALMREIYSKCERDIAWLDPVVGVAFTSD DIYNDPKLAQMEASIKEGMELMNTIIEKDRNTLGELLAPYHDGGYRLEYNEEVRLRDL FDSPFLWKRLWVMQELSLAPKLVLMCKGAELDWDSLSALLKDQPYLDAFHMGDSHAFY LPVWSDLFVRIKLIEDQRRLFSSPGQVSSKLMDVLTRFREMESTDPRDRIYSLLGLAT DDHGIHADYTKSVPDLYRTTTISLINLSGNLDILCQNPFEASRGHKALQHAQDALPSW VTEFNSSHRDCAEIMFAQRDIFNAGVTTYETPCRLVGPGSDTLIVRGIILGAIGPILQ VRDQDYTARDMLKLYFSEEAILKPKSRIYAPKIGGKTLTSGETCLRAFWRTLVKDCTL PPKMRRLKSNEVRSLDKVNMKELSNETMINVSTICVENDLYSDRSMFSKCLGNTLDYS GIKSKFSTSPVGNPYSHYATKHYMFVVTDNGLYVLARPHVEEGDVIAVLDGGKVPMIL RKAGQVSEKDGTRDTYKVVCPASMSYQVPQNGVLPPMPPQGYAMPSPPSQPQSHSMYH PPQHCTSTPSQNQMDGPARYKVAKPTFHSRYECRPDHASDGPVTYSLKVSKDPDLSFS AEGIPMGACYLPNYKRVSRSDAKSFKIVIGRPPNVQYIQMIHRGNDDLGWTFMFNLPN TGQPIPMTWKKDNNVAVDGMHASRLSDNNFKLQDPHGQLMAVFTSHTMSLRLSAVGTM QINMDLGPIFEYAVIMSLLSIYEYQKREEDENSTHLNAAAGAAAASSC FPSE_02405 MKLDPSQLTAFLAANSTGVGVLVCPGGGYSMMARGHEGHDPARY LNTLGIDAWVLEYTTASNMTPPLYPKPMEEALGALDLIRQEAPDLKKLGIWGFSAGGH LAGNTLTNPTTNLDFGILGYPVITLENDYTHENSRYNLLGNNPTKQQIDELSVQKRVS DKTPPTFLFHTSNDGLVPVQNTYLYAEAMAKHGRLAHVVVLPDGPHGIGLAQNDPVRD WTPELKRFLTYSI FPSE_02404 MKFLAIFSLSVSVAACKSTPPPAKSAKVDVHAHLVPDFYADALR EAGHVPGPDGMPGIPTWDAESHLTFMKENNIERSILSISSPGVYLNVPSKTATQKAIS LARQVNKYGSELKAKYPKEFGYFASLPLPDIQASLKEIQYSFGELDPKPDGVVMMSNY YGLYLGDRDLEPIYEALNELNVTIFEHPTTPCTEFQGMRFDIDKDAPTISQQQWQALN RPVAVRQFAAPTLDFPFETARTFADLFYTSMPTKFPNLKWIMSHAGGGLIPTLDRIVT YSALYPGLNLTEESMKETLAKNFFFDLAGPWPVNFAIPALLRWVDYTRIVWGSDTVFT PMKQAAKYAAAFDKEVDVVFNDPVKANAVRATNAQNLFK FPSE_02403 MHVANIFVPEECCALVILGFLFTCLASVFVILRFITRVCLVRSV GADDGFIILANLGTLGFLIAVMEQVRFGLGLPPNPKLLSPIIQATTASVISYTICHLA IKISIAFQCFRIFITPKARRLFIGLIVYFAVYGILCLMLTVFTCYPVAKYWEDSIPGK CLDNRALRYAFAGINIVNDIMLLVAPMPFLRNLQIAKRIKVVLMGVFAAGAVACIVAI IRLHSLWAYSSVSISQRPAKGVDIAIWSGLECNIAIMCACVPSLKPLFSRAFPSFFAS LQSSKSQLSGNPHSPGSLHIYDNNPENSLKSKPSQGIDLEITVQQSFEMRTTTAADDD TSEKNLVTVNTAQYHTKRMSHRALE FPSE_02402 MRLFSIFLSLTSLMATTTALKIKSTKQWTIGTDIQGSERLNGVS YQEDALITYGNYQYVTFYETAPAGYLNHFVRLGRRKISPSVANWEYLTLDDYTQKTMD GHNMISMGISGDGKIHLSFDHHDVPINYRVSKAGIAKNVPSKWSSELFGPVVHTLDGS QGPYSPLTYPRFEPLPNGDMLLEFRIGQSGSGDSYIHRYSSSTGKWQAQGMYIRGDDN NAYINGLDYLDGKLYTSWTVRETPNADTNHGVYFAYSNDDGKTWFNTNGAKLTKPIST SDASTLIWDIPQNSRMVNQEGQLVDTKGRFHVLMRDLLSGKHLYQHYLRDTNGKWTKN AINPAGLNGPDLYDPRGKLAGDATGEYLFGLLPDPVKQATGIYVATASKGFKDWTPLA EIPNTATEPLFDKTRLHEFGVLSVFVRQAGGFPDRKLQVWDFELDL FPSE_02401 MITLPPKATALVALACALFPATTTAKADGPYEATWESTDKHNAA PEWYRDAKFGVYWHWGAFTTAQYASEWYPRNMYEPDSDQRKHHTETYGPPEDWGYENF IKGAKDKKGNFVQFKPVLKSKGGEFDPEAIIKIVKGSGARFAGPVAEHHDGFSMWDSK VNEWNPVNYGPKLDLVKLWADLVRENDMKLVVAMHQAYNYNGFFQWAPKTNDTSLQKL LGQLPRDEEDQLWFDKHREMLDHVQPDIIWNDFSLDSPGECGSFEGPCAVDEQKRLEF LAYYFNRGEEWGKEVVTTYKHHDHGFRNTSAVDDWERGGPSNLVRPYWQTDDAISASS WSYTVGIKYYSSKAMVHSLLDRVSKNGNMLLNISPMANGVLPEEQIKVLNDIGDFLSR YGEAVYDTRAWDIYGEGPNQVEGGSFTAPLQGNSSDVRFTRNKGDDVLYVTVLGWPED NLVSVKNLGSNALVDLKALKSVQLLGDKAGDYVEVSKWDQTKDALDITLPSQPAESLA YVLKLTFDGGIPVPQPERGAAVFSKTDSTGKGVALALGTFDTVFLTEAGLKPADIRSI RVSNGTKATLFSGFRFTGESKELSAGEHEVEEGSVGSIVISKI FPSE_02400 MSSNTSKDIAQLRTQFEDLIINNLVETPEILIDSPESTSQREAT SSPVSDPSHYDENGQSIPSPPMSADSPMNSKNPHPSLSILTSFLQEAITDCLKPGSCF KRSIMRRDTFFTYEMDRSFEGVIQHRFDSWRWIPDVSDDFLHEYADNWIYAGDVEIIS IKNDVIQSRIERKERVFEHPDNVGRVCPIGSNIKLDLSEPENAGWRVLVWRIHGAGET PYMDRHGRVVADPRVHLHQRVGALRDGAM FPSE_02399 MAPLLLRLPGELHNTIRALLSAVDIKNLRATCSTLAKTFPLRFD RVFISANSLNIEVFLAIANNEIFRHQVTEIIWDDARFRTGPNVEEEERQGREPEDFTE YECPRWFQKGCCDYVYRVPGRRVCLKELWAHYQALVNDQIQVVSSNADIEAFNYGLRR FTSLKRVTITPSAHGRPRSPLYRTPMIRAFPAEFDYPQS FPSE_02398 MADLNILDIAQLGAKLSSSLDLQTETYHEARQSGIPNLLSLIYS TSSTLRKLHELSQQTPDAFTEVCKNDINGLANACRVLYEGTLVLLVHRDEQHDENKEI GRLSIERVECLLSSLTNKTFSNYKTWQWLSLRLKVCQQELRKVKFELTLRFLLGSIAQ FQSSTTTRSPGDWERERSIRVSAESIAKRRVAYHKKCKKNRERWTNEITFSSTTTPIG EEIDLTAALSSITLETFSAQVNVPVPDENKTEDVGKDVNSKVSNVSDTIVPTPGDTED DTTTSSDTTSYLGTPSKNWFQRLFSRTSCDEWWYEDIEAYTLHIRHGKQHVAKLPLEE KEIITTLRKLTTKHFWNRRPSLMEQYDSFDQTVRQDIDEAISIAKRKNNRDMTLIAMS ARRTASSAGIDNKVPYTSELSFTLFFKLGETYEPIYVVDRDDKKWTIPYTACETVQML RDQLPAHGQPVLGRPPGQAYCNYTICTENKTPVTSETWDSVRRPGMILRLDYKGYLPP IPPLPMPAPMPVPPVMVTPPGFHPPGLPRPLSIYEMPHIPNMRDIYQEMDDLLKLSDS WTPDEETIEHAGLGNLFRLWTNAIDPEAQEEDSDWSGRSCYSVEESCGWTNDFY FPSE_02397 MALDYPVDDAIETAPPVDASYGHTHESDDEKPVLSNGGEGPTQR RLKGYHITWIGLCGGIGTGLFIGAGSAYATSGPAGLLLAYLVVGAVLWCVMQSISELA TLLPTAGSFPHWATRFIDPSVGFSLAISYGYCYTISIAAECSASAVLVSYWTDITPAV VITVSLVLILWINLMDVRFFGEAEVVSGAIKVLCFCGLVVVAIVITAGGGPKGDAIGF RYWSDPGAWVDYNGITGSSGHFLGFLSAFVNASFSFIGVETVVITAAEAINPHREIPK AANRVTYRIGFFYILGAFLIGLIVDPRNEGLVSGTGNAKSSPWVIAIQAAGIKVLPSI INACILISAWSAGNSYCWVGSRMILAMTTDKQLPQFFGRTTKKGVPYVAVITSWLFGP LAYLSLGSGGAAQAFSWLLNLSTVAGLIAWATLCFSYIRFHRALTVQGISRDSLPWKA PWQPYTAWFGFIGSVIITLVCGFPVFLKGNWDTASFIASYIGIPIFIIPIIGWKLAYG SKFARAKDIDVWSGRWEVEVPSGQLSEKDAA FPSE_02396 MRSFLLALLPLALATAVPSSKKVDYNGFKSLRVTLPKGADAEAI NKLAATILNPGAGEELDIVVAPENVAKVKKLASDATVLVEDVGAVLAKEETSTVYAVP SESWFTAYHPYADHLQFLTDLQTSYPTKSEVFTLGNSFQGRALTGIHIWGSGGKGSKP AVVFHGTVHAREWIATMTAEYLAYQLLTKYASDAAVKAIVDKYDFYITPIVNPDGFVY TQTTNRLWRKNRQTVSGSTCVGRDVNRNWPYKWELTGGASTNPCDETFKGRAAGDSPE LKAIVGQINSLAAGRGISFYVDFHSYGQYILWPYGYDCSVVAPEETALSSVAARINAG IRGQSGLSYTAGNSCRALYATTGDSIDYVQGVAKAKYAYTIELRDKGTYGFSLPASQI QPTVRETWAGVLAGLQAI FPSE_02395 MSGDSRSPQSPQAPSGSESDLGGLSPLSCQLCRFRKLKCDKAIP ICGRCAKTNEKCDYPAARKKPVINPTMRPRLRDMQSRIIKILTDVGDLEARLESQNSP PEFQPFSTELIDTGRFERLPPPHIVDELTSIYFNKLQSDSFMIHGERYIASLYRPVHM QPPMCLQYAILAAGASASQTHAYMAEAFYVRSRQYIQADEMKSDSEQVSLAHCQAWVL ISHFEAQHLWFSRASMSIARAIRLAHILGLHRLDGKNAAGLTLPPARDFTEEEERRKT FWTVFTTDRITSSTGGWPTMMDWRSIQTRLPTSIDAFLSSTPVATITLKQALGQGMFE LSTSACRVVAVHLFNECFNFSRASEEDEDNNDWTQLQKLDEAVTNAFATLPSDLRCPD NMDNPAAVLINLQLHTALICIHRSVTTRSQIDMSLLPHINTRCMESALQIMMTVALVS DLSIRFRNPLVSFATFVAASFFLTDFSTTGNRQSEDNFTALMSVMSEVGKTNMFVASL AVRLAHNMRASAIDQNTVGKVGMLMADLDIDVPIPGQEDAENGIVVLCPVAGKHDHGD VDHDPI FPSE_02394 MADSSHDAEAFDFKLYRYDPSLAAAIVSVAVFAILTAAHTWRLF RVRAYYFTAFTIGGLFETIGYAGRIWGHFDKLSVGGFVLQAIPILVAPALFAASIYMI LGRLIRTVGASHLSLIPVQWVTRIFVTGDVIAFSLQAGGGGIQSAGTLDLYNMGEKII VAGLFVQIVVFGFFVVTSFLFHWRLMRCPTPESVRGVVPWKRYLYVLYVSSFLILVRS IFRVVEYLQGNSGYLISHEVYLYVFDAILMALVMAILVIWYVDSLQKDVKTTSDAENV ELSSYDTSEMVEEPPRRKSQRRN FPSE_02393 MSDAENDASGPRKGSKLRRGHKKVKTGCTDCRRRRVKCTEEKPK CRACQRRGAQCEYTSTEIRSLSADPSPASYLGHAPSPQLRQFSPLPNLASILREQPND SALVEFGIRDMALLHHWTISTSHDIYKNSGLSVTFQLTFPQIAFKHPFVMRLLLGLAA LHIAYLQPEDRLRHLGEASRYHNQSLQGFNDTLKQPREEVSDALFAWSSLNVLYVFGI HGRLGQGLWDDSESVSRKDRILGLSWVPMLRGVQTMLEPCFFALRDGPLKELLMIGNF EELDLDQHLHPDDQYFCRAREVWKNHPDAQTYEDTLQVLRRCHMFMEQFSSMETESPS ESIFDRTWQGAFLLEPFAPEAYFTLLHQRQPPALILYSFYGALLHKFTNDSWFLEGWG HDIVDV FPSE_02392 MNMYQRDAATDAGDAGGFKLYHYDPSMAGGAIFTILFIGTTLFH TWQLFRGRSWFTIPLTIGGLFELVGYAARCKSANETPDWTLGPYIIQSIFLLVAPALF AATIYMELGRIVEMIDGEGRVMISKKWMTKIFVTGDILSFFLQGGGGGYQSSGTLEAL DAGAKVIIVGLFVQLIFFGVFIIIAISFHRAILQNPTGRSTSGLPWKKHMMVLYIGSL FIMVRSLFRAVEYIQGNSGFLLKHEIYLYIFDALLMFLVMVLFNWFHPSEITAILDER RSGKGYSMGAFTACA FPSE_02391 MTLKKLTLPYYAPNAEASDKYPSFCPRLKPSDCNKKVERPAPIV HINGFPGVGKSTIAKKLHEHINKWKIKVVQHHELDLLANTIKTPETFDHEQLRRATRA LALNTIAESEDRLDTCYVFEDFALNNQGGFRIMEEYRLLALRRGCSLIRIELTCDDVA YKTRLKDQGRIDFRTENDYPRRSCLTCTDQRLVTSPILCRGFSDGGLKSLDVSSKPEL WTAMSAAHHVMVTWNAGL FPSE_11254 MRTTLVSSLLFAVASGIQITIDTATTEATSQATCTPDVCNQAVG KLSSCKHKRMLAEHESDCSSFLRYTLVPALKTVTETYGEQSTLTLVDTETLGPQTDEA TTTLPDETATTILTLEPETFTASITPTKTITVTVTLDPERRTQFQTKSVIQTDVSTTT ETVYYSNLAKRGIADETGTIIKPTDIPSYASACADTEQYSSACACLGVEVTTVAATVS PEVVTVDLRPATMIQAETVTRPAITITTTLPTKTRTVTVTLPVPDVTESTTLEPTTVA ESTTADQDTVITTVEATHVDHTSVVATSTRSVGPVCSTYAATNTCKCKFEIICGQAAD VNGDAFFDSIDFNVYVGSFEECMSRCDSNPSCQFGDFGQLPNGGLCSSYARNPGLSNR GSLGGFRYFEKDGNVKCSGCS FPSE_11253 ISYIIITRLIRKKVYSLKRYKEKSALSQLYRNIISNK FPSE_07456 MVSRTSPLYQPLNEAIDEIRLLTLLPKSRSTGIPHCTLGTYSLQ SFTPEHKTFLFSNVTVNSSLRSTTSEWKQSRLEPELAALAPLQRLHSKHPPPSHYRFT WGDYASLSYVWGDEKDTTVIVINNKRRRVTTNLAKALSAFFQEGEFEDGFKLWVDAIC INQQDMDERARQLRKMRHIYGDAWSVIAWLGEPSFQSDSAIQLIRDFSDFNKTNSGSQ IEACLRREPEFLGKGCWLALHDLMERPYWFRLWIIQEMIMGASATWIRCGSASIDWTS FCSGLAFLEENLWLVKDELLVRERLAVTKKNGPAWSVMGIHLVYQDLSLLSEREEKGG QYPSFGRLLDIANDAECSNPRDKVYGLVGMMSIPVSSSLRPDYTLPVNQVYAATARTF IEVDGTLEPIRDGNPWGPSKGPSWAADWLWEGRIRSSRPENQLWGPTRFFPRLGPEIL FQTPYKASGETKHDAAFSSDGSILACSGFIVDSISGLSARGTGYFDLDKTSIVQPPRW NSAYGDRSAISEALYRTLVMDRVSGGAKATARHAAIFHLPRTFDQGEQEFSKRGWSWL AGQSDYYFRWEGFHEVNSGFKLGDDQLSDFFDDTIPDEASEFDFSEVYSCFDRSSQRR RFMTTANGYMGWAPDNIYGTKSQQTRSGDLIAVLCGCSTPIVIRPHGSQYQVIGEAFV QGLMDGEVMELVNSGKLERSRRWIFYLSRDTAKVWWSS FPSE_07457 MVQRIDIDEGWEFKNASSLSNKPASSYLPTAQFPTVAHVDLMHH SLIPDPYIDINELKCLWVNDTDWTYRTTKVRPVSLKPSERAVLVFEGLDTIVDVYLND EHILFSDNMHVSHRIDVTKILGESQDSAVLELRFRAAPAYGRSERERIGYKRLPTGRA VNFGGSERLFVRKAQYHWGWDWGPAVNTCGPWKPIYIEVYESRVNNLWVTQNVAEDLS SVEVTVKGQVENPNGIDHVSVQVSDEETGDTVAEKEAELSSNGSFEAHFTIDNPNLWY PFMYGEQNMYVVKATIPGDMTTKSTGIRRLRLLQHALKDQEGTSFVFEINNIRLFSGG SCWIPADFMLPRITRQKYEQWIRLAKQGNQSMIRVWGGGIVEDVIFYDICDREGILVW QDFLFACGNYPASPDFVASVKNEAEQQVQRVGHHPSLVLWCGNNEDYMCADQDRCWDI DWNDTTGPWDKTTFPAREIYERTLPAVVAASGTDVPYWISSPFGGSIANDTTVGDTHC WDVWHGKLSPYQDYKAFTSRFISEFGFESAPSLSTLHRAITDPKERHAQSRTFDAHDK GPGHQRRYPMYMGENYRFRMNPLKDFVYCTQFLQAEAMAYAYNCWRREFRGPGQEYCA GVLVWQLNDIWPGTSWALVDVDLNPKPAYYITKRALAKTVVGMERVVTAKPPYITTGF LDEKAKLDVWAVNGELQERAVTLELKAFDVETGSRVELPSLYAKKEYVLKSNQTTELV ANMDIPKFEDTVVVAYLIDPTTGQNLARWVSWPEPLKFMRISSELKVTTKVVDGGAAV VISANAPVKGVVLSAQDENSDEVIFDDNAIDLVPDEEIKVGHKGLLSGDGVGVRFLYD WELEPGFKL FPSE_07458 METSEKKELQQEQLDQHVGQVSETSNDAERKVLSKFDMFVMPQM SLLVLFAYLDRTNIGNARVFGFEEDTGMHGTQFNDVSMYFYISYVIFETPWVLAVKKF GPGRVLAIAIVSWSAITMGTGFVNSYAEVVALRVLLGFFEAGLFPSLMFVVSQIYPPA SQGKRMAVLYVSIALSGALGGLIAYGIQSMGTRHGFSAWRWLFIIEGAISLVIGAICW LSLPSSPETAWFLNAEEKETMNIIKERNNPFKETEEFSWKQVGMAVTDPLIWLASVAL FCSSIALFGFGTFLPTLLRGLDYSSLQANYLSIPVYVLASIFTGVTTYVSDRLNRRAI CLIHSPLLVVAGYAVVVGTGHKGLGFFAMFLVGSGVYSFNTLVVTWISNNVQPDYKRS VAIATIISIGNASGMAASQIYPIQDAPRYVKGNAISLGGELIALVCVGLIYVLLKYRM SQKAKLLAMGKDSNGKEDDRSLDFEYVF FPSE_07459 MAHTVHFTLDIRHAQDDILTKMVQECETEFLRIAQHDSEKGCQV QWKFWSGNQAVNFDKGCIASIEEAAADVCSSLPQNKAGNLWRPIVGGAAHDSSYTSHR CPTGMIYTPARAGISLDPREYCSPEDCALGAKYWHSPSMIRAADDLFNVLC FPSE_07460 MNPSQGLDDFLLSLERHYISGEFADAVITCKGHVFNIHRVVLSA HSKYFAEALGGNWKESIERKIEIKDFDPIIVQAMLCFVYTFDYNEPSDDQSMLFHAEV YKLADIYDIPALKECSKNKFASAINAGWNTVDFLYAISEVYKNTPLEDRGLRDSAVAV ARKHINTLIEHDDFSRLLRKNADFSADLIPFLCVGTSGHGIQHPHAHPHGEDVCPNCL QRKRILLSAHQFGAATLNAAPRCHCE FPSE_07461 MAQYSQVYNVDEQDSAVHQGYTAYQPPSHPHHPSDYGVYNAEKQ APAAAAAELNSYPVHQNPMQQYASTNPQPRTLQCQPWKRRVGYFGWWIIGLGTVIMLA SCAFLVYIWYGASLARQRLPRPEVWDSIVFEGYAARAVTLCSAAIRVTMGFQIGLLAA AMAATILETTGTRLSDLASLSMSRAFVGSASPWEIFMIAYHRTSHQKKAVLSHWLIPL LSFVVGLGMTLTSTILLFDFKLVQIAAPSTTRSIALGIDVHQIMADTSGTSYWQSKPQ AHWRFAETRPSATVSDTALEHVSDTGDIYLAPLPFDNVDDRTSLEFYDGPAIVTNSRT ACVAPDLKNATFEYIRPSSRVTEGLYLLAEIDTSTSWGSGPRINGSQVARISCRLNNY WDKRSENWALSLCGFTNLDISAINQSLQDPLSGRPYAFQPVLLLNASSTLNLNVAIPQ WTGSDEDWAKVGAMNGLQMPKSVKDGPWTAAIDTNGTEILKASVCFTALNMPLLYNVT MKGKAVLAEPKSQARYRDLEIKSKNETQFLEQLGIGVSSLDLEARGILDLKVNSDPQD LSNEDTDLINAAIYDMMRTSLFDYHIAGGWTFNNDALMGYLESSLFWPAHPEHSYLVQ TILKDTGDPAIAVQALFTRFAQMIFHDMLPYWTRDQSITTVNVKRVIIPSQWTGLIIV LALVVTHLALTLVTVWMFLASTKLSMLGHAWQTVSQIVSPETSVVVQAVSNGGMTDHE VHKWVPTTAFDDQIYSLSTSVDHQGLKVRRR FPSE_07462 MRVQTTLGSLILSAGLCAARACAPHPQSTTATSSWTSETETATS AGSATTEIVQITTGATTEETTIVTESNTAVESSVTVSSSGFETSTIEVTTSVAEVPTS TTEGSATTTTSPAQSVQSPPNGNFEDPTLDPWESTGTTAVLAKSNECYEKNQCAKLPG PYSGNTAKICQRVEIQQGYEYTFAAHVRQTCTYFHSGEQEDLDCSPFVNFVQLTIDGV SSSSQGVDWDNQWHQYSDTFQYTGPSIDSTDLCIEGLIMQGSMYNFFVDSVSLVRGKS VPIPEES FPSE_07463 MSQGTTASMSHQQARGRLRDIIPEAYLGAYSPGPKNGITDVPGV LAHTTTIRDESGQINTGLTTILPRKDWFHKACHAGVFRLNGSGEMTGTHWIEESGLLH TPILITNSFAVGPCYTGIYKYAIKHYGQGDQGVDWFLLPVVAETFDGHLNDLRHFAVT PEHVVDGITNASEAPIAEGNVGGGTGMLCQGWKGGTGTSSRIVVGENDTSYTVAALVQ ANYGRLQHLHISGVPVGRILQKRNANNAAAAAHDKEYDEAKDKKDGSIIVIIATDAPL LPGQLQRLAKRATMGLARVGSYAHNPSGDLFLAFSTATEIPVQTVTGQHRAVDPFKPG LINMEATDNQTINGLFEATADATEEAIYNALCMAETMTGNMGRTVEALPLEATREIIV KFKEAEDSFM FPSE_07464 MSTPPAAAATSPKSEKSPAKNMTKSPSKSPSKSPSQPPQTNVAE TGVLEPEHWQQLAAEDGNPDDDDAHSLSEESLNSSTASITSSIFEYRKLHGRTYHREI GSAQYWAANDERQSELLDINHHSLTLGIGGKLHLAPIDTEKITKALDIGTGTGIWALD FADEHPNIQVIGTDVSPIQPSWVSPNVQFEIEDCTQEWTFAPDSADYIHIRWLIGAIP DWYRFFGEAYKTCKPGGWVETFEPSGIITSDDDTVKENSALDQWGKIFIEGGKKLGSS FTIYEDDIQRKAMEAAGFVDIQSFEFKTPIGGWPKDPAMKELGQFAKMAFLADPEGFV LFVANTIGWSESEIHVFLAHARREIHSGKHHPYYKQRVVWGRKPE FPSE_07465 MIYQSENPEILFSSKQTVWSWLFDSKTFASGDNAPGFTDAITKK HISYGLLRDYATSLSSVLVAKYGLKQNDTVIVYGRNSIWWPVATLATVRVGGVACGVS PDYTVDELAYSLKTSKSKIMFATVDNIDNAQAAAAKVGIPKENVILLEGSAKGCASIQ TLLEKSHNVAEVPAFQIPADKTNAEVCTFLCFSSGTTGLPKAVMISHANIISQCLQVA DLTLASHSRILAALPFYHITGIVHQLHFPIFLNANVYVLAKFTLDTLLQTASENKIKE LLLVPPIIIRLVRDPKLVAKYDLSHVQRFSSGAAPLSREILTLLEKAFPGTGFKQGYG MTESCSCIVAHPPGKYAYKYADCVGTLVGSTELRIVDIETGKDCEVNKPGEIWARGPQ MAMGYLDNPKATQDTFDKDGFLHTGDIGYINEEGFLAITDRLKEMIKVKGIGVAPAEL ENLLQGQPQVDDVAVCGIPDERAGERPKAFVVLKASHASRPVEAAREIFENVKKGTAR HKWLEEIEIVSAIPKSPAGKILRRKLQDKSVSDSKNVIVKDTRVVAKL FPSE_07466 MRLSSCQLLTSTATIGVVVGQSILPSPNHDSTTKGFEAPATEYR PKFRYWLPDADVDHDILKNDIQDLKKLGAGGLEFVPFYNYGFGGVNDSKLETYAFGSP AFKNVLQIALEATKSNGLSIDVALGASQGQGVPSKPLTPGLAMQLVYGKTSVKGGAKF DGALPAADINWNIKNPYYIHPQEKFGGNRLIGVSAAAIASKNISGDNTYVSLHEKSLV DLTKYVKDGKITWTAPTDHKDATGAKLAARFWEKNLLSTQIRQLLKEVGKHTWEDSME IQASLYWSPGFLDRFKTKRGYNVIKYLPLLFHKSTSFQAARAPYNTTFILDDIPDNGQ SKYLQDYRLTLNEGYQEYLQTFENWAESLGLSHSCQVGYGVPLDVLADVPIVAVPELE SLGFLTSDRMSQFVGPAHLGRRNIISTEIGAVKSGAYSQTIPSLVNLFHEAFVGGVNA MMIHGMTYTGEQVGYTWPGFTPFQYSFTEPWSPKQPAWNYMSAMIDYTARNQFVLQKG VAKKDLVFYLYKDPYGMEDQYNGTDLRTRGFTYEYLSPANFGSKAFKVSNKVLDPSGA EYRALVLDQQHFITAEAAQKLVQLADAGLPMVVVGSLPNTTIGSNGQDVVSKSIAKLQ GSNHSNVVFIKSSDSLLDALDRLSVQPRVKTGSEATRNLYTVLRSDNGSDYLFLVNQG SSATFEITAEVEQGKVPYRLNAWTGQQVAMASFKRSSGKVTFEVSLKRDQTAIVAFTS GKSKTSIISQSKNVVDASYDSTGDDLIVVLKDTKAASLTLSNGQTKNIPAMSSTDKKQ LLNIDISNWNLTLESWVPGPDETKSASVKKTMDLGIQKTLKPWSEIPGAQNVSGVGTY TTTFQVPRVPVKDSIAVLQFGPVLNTIQAWVNDKQLPVIDIYDAQVDVSDYLVSGSNT IRVEVASTLFNAVKARVNYVKANGIGPAAPALYTSADWQKHGLVGPVSLKSLRKVTL FPSE_07467 MGKPPSPSPPYRDDPDALSLHTTLGEPSYLDPVDEDIPDTGDPL LPSYDDAITEDTHTNTNTNTNTPPHFSDAVLLNFEGEFFTGITKPEGHYAYTSKRIGK KRTTIGDEVVHLQDARSDADPEFLQEWVTMMAKHPPTPYIHITGTHQETRRDKDGKSK RDEVTDFRIMINMQNYLWPNFSPGNYTTTTLETAEPGQKTYRGTVLKKRQEGSKGDIE VGQDKPSLKEWCHRYCAKATGTKVFRLARTVSGMDTERLRDSISASIRSTNYRGNITV EFPVANRAVDIYSTSFLNNWRLTSWICWIFYLTFLWIFSWPLLFFATKRYEVVEVDWA FSKSQPGGQKRYTTVSEGQWMDMYRPAIKQLCLDRYQGLAGDAFLNEVLAREPDPVNT NEDARAAMSVASAAFQGGRFNATHGARSLMRLAGAATDQVGWGFDT FPSE_07468 MTFTLRSVLFATVMALSAAPASALTIKPSFEKIARGRDPSKNLG LHKRDYSINIQDGSASAACPTGNCCSPICKMDVKFDECPGGVKEYPQSCKKNFDDTKA FDRESFTYNCDGWSIFWEDGAVDGGRFITVEQGDTGNFYQFFVEGCKDTDPSWDPFQC SSLGGGCTASASGLGTGPKKEQS FPSE_07469 MNFLSVLGIMATLPYIAMAHPAQNTLLVPDLPPSSWNTHTHCFD PERHPFKSSRSYTPQAAPLDALIEQSPADNVMIVQATIEDGYSGLLETLANGHRDYPG KQIRGTIAWDPEDPSLKNKTDVDWDKFNNAGVRSVRIHGSYGGSGDDLEWVLKQFLEV AGRFPLERHGWSISSQLPLKTWSKMKDSILTHPKLNNITIIADHNGSVTPDDYDTPEF NDFLSLMKAGRLYVKIGALHRRSDDISLLEPVIKSFAKVSAVGIVWGSDWPHVNATAK GLTPAPPLEVDTDKELRLLREWLTDEEWMGMMVFNPARLFDTVKQ FPSE_07470 MSQPDTPTKPEPQVTLSSEKGPGNVDAAWNFLDQNRDAAGASDD VDIDKLRRKIDWHIVPLMFCCYTMQFLDKVILNYSAVMGLHQDLKLQGNDFSNIATFL FVGLLCFEIPNIYFLQMVPAGKWLGANVVAWGIATACGAAAHNYQTLLVSRVFLGIFE ATIGPSLMLISSQWYTKSEQAPRFSFWYLGLGLGQIIGGLISYAFQHIGPNASLAGWR IMFITLGLVTVTIGLATFVFLPDTPMKAKWLTSSEKVALLKHVSVNQTGIQSRKFRPK EIVEALCDPQLYLMLLSVVLLSVSSGVVTTYSATLIRNLGYTPKRAALMNMPSGVVSI FFTLAVGFGIRHKSHRWAWIIICIIPAILGGALMSFLPTTNRAGCLAGIYLVNAVVAP LTIFYNWTAANFGGATKRAFAAAIVSGSFSLGNIIGPQTFQAKDAPDFRPAKLAVMGT QAGCALTTFALFMYYVWANKRRDDRTKQVEDAYMSPEVWSTMTDKENKHFRYTY FPSE_07471 MGWVLNATPEVDRSSEYPKIIGITVTLTVLALSIVTARLYIRWK ARGMAGDDWMSALSMVFALIYSCICIAQTRYGLGLPIPDRPKENIVTYTRINFAGRPF YQIGISFFKIALLISYLRLLRGTDHKTYRNAIWVTIVLVFMSHLGCTLALVFSCSPVD KSWNPLKEGKCLPPGPSFTGYAVVTIVSDVVVAILPIPVLVKLEIKLAKKIGLIAIFT LGIFTTVCSIQRYRQIDRIQNPKDGNSTMLVLWGTIEFNVGNIVSSLPFLAPIFIKRA KQYRSKPSSHNTPSRSKRLGSNGYKLKDLSHTGKRDQSTFTSVENSSQENILNTTGGI VKSVTYTVEVDKVKSDSLESGSMRP FPSE_07472 MATQDRPVIIIGAGISGLLLAQSLTQHKIPVRVFERDANLETRG VGWGLTLNWSLPALQSLLPEPLFSRLPEAYVDREAIKEGLSSRFPFFDLATGELKAST PKAPEGSRIRVTRKKLRDLLATGIDVEWEKALKDVESTEESVVATFEDGSSVTGCLLV ACDGSHSRVRRALFPDLSLHDIPVRMLGIKLELTPDQARPIRELDPFFMHSTNSTNSM FVFMSMLDAPGNHQDGVHPYILQMCVSWPYRAGFFGRDAPIEVPETSDEQLKLIHELG ETCAEPWRSLALGANVNSDVKGLKIQDLPPPIDLQTAGRAVLMGDALHAMAMYRGEGA NHVILDVQDFVDRVVPVLKDSGDFGALRTSLDGYEKAVVARTRPAVLASRQACLHAHN WEKINSESPLLTRRMPFVEFDESQLQS FPSE_07473 MADTLSLHGKVAIVTGSGRENGTGAGIALALARNGASVTVNYVS DSTKERAENVCALLREAGGKAIAVQASVDTIEGAQHLVNKTLEGFGTDHIDILVNNAG TAYFSPITQQPNVEELSKVFQLNVLGAFYMVHYVIAHMPPGGRIINVSSTNAKRGNVN ISSYAASKAALDSLTWSWAGELGRSKGITVNSIAPGPVITDLYPKGKEEELTRDEIAI TRAADRAGTTDDIGDAVLLLVNEKSRWITGQYISTSGGVTY FPSE_07474 MEIKFKLQKTSYTQPSRTQKAQKPSSLAFVHVDNPKQAKDKETL KKVRRHVMKDIGKSRRSCITHNVTVAKSFPQSAQAPTYWGDVEVCVNFKRLLWAMEMV SGALLSIAVVEPSIGDQQNISKRIYGPPSLRDIELYTQSLGTVRESILAESRIRRKAV IGTVICLSVFDMRVGNLSSWEMHMAGLQRLVDHVGGIETLDSNSPLRQALFLADILGA LKKDIKPKFPVLDFQFEPQASMTPHTQRLIRSLEQLDLVDQTPIVVIRNSLSYVSRVA AVLNKQWSDNPTDSAFVLPVCYLTHQALSLPRWDLVTEESTETATQALALAELVRAAT VSIFCIVVSQTSEDMGYMISRREVPFQYLMSLIDDEFWNGKLDLKLWLLVNQLCIEAG YSRFWYLEQIADTMTKANIQSWKGLMSCLREVVWVEGLAPFEMSQLRLYIEKRLE FPSE_07475 MESSTHNEKFQNDTASNSDAAGGAVETVENAPFYIDPKQEAKLR RKIDFMIVPTVCLLYLFCFIDRANIGNARLAGLEEDLGMKGSDYNLVLSTFYISYIIF EIPANLLCKYMGPGWFIPLTSLGFGLVSIFTAFVHNVPQICGVRFVLGIFEAGMLPGI AYYMSRWYRRSELTFRLSLYIVMAPLAGAFGGLLASAILKLPNFGRFKSWEMIFAIEG IVTVVLSLIAFATLTDRPDTARWLTEEERAMAINRIKAERLGTTEVLDKMDKAKIFAG IRSPLTLSTSIIFLLDNITVQGLAFFLPTIVRTIYPDRSTISQQLFTVPPYVVGAFFT VLIPALSYKMDRRQIFIILCAPLVMVGYAIFLGTNNGQARYGATFLVASSAFALAPLT NSHISANVLSDTARSAAIGTNVMFGNIGGLIATWSFVKSDGPDYPIGNGLNLATSSTI LVLGTLTLLWIKRDNQKRANRSAEEELAGMSQQQIQDLDWKNPNFRWIT FPSE_07476 MRCSTLYSLLIFLGIVICLAQSTPCIKNTASPNEYKKKKKGGKK DKRCLRQEAWHHEVHYHHGGHYIINGTISEPDGESFKPEGLHGDGKKYKYYKLVKDPK KIDKYYKDKEKLKKESPDREITHIHNDYLLPSKKIDGRPKADTPSKKIDRPEAEIPFE KFNPPGKHNPYATFDRPEANIPYGKMDRLPEGENPYASWKARQNKRAVDTKKKRGVRE SKAFIGNDYGQEWPYPWNTDEANAIKQVNITIEQNGVDPIAINVVIRNNSKMNVTVVT RNSPVDKDAFKLGHFRVYPDDTRINFAPEREGYTWYERPRGKMSRPSDPRRMYLQSDF AHLRPNETVKQTIIVPSGTKEQNEQWINMIRLAKNVTMRVEGRWYGIGVRGNGPPRWS REGDFGFVSNTIDLQIPQPERE FPSE_07477 MRFYFYAFVALAAIAKPVISAPSHHDGELSDLEVGPSLLIPSGS VPSSGLIVKCLPVDEPPAGRHTSDPEEECFHGRTAPTWNEDEPWNGGSIGCNYYPNGP EPKTEKYELIPGDQGAAIQNVTISAEFESMQPLQIKMTVNNTTPLPITFWKEWSPVSK RGWELGYFSIESEIWGQFFGRVGQKYRMATLTDIPKRPENSEELVQLNPGESISEVVE IPHCVPKQGSDLCKPYMWERWTEMLRLAGIKRIFIQGDWYGIWAQPKEEVMETLVDEP MLGYWTRWWANNVLLPTPEQLKEFGTYLPFNKPWYETEAALVGNFTAV FPSE_07478 MATSNDPNPIISRENERKQENDVAHNDHIERAETTATNDDAVFS KFPKMDKVDKFGAHAKTDPREIALVKKLDKYIIPMLWLMYLFNYLDRNAIANARLNNL EEDLGLEGTQYNTCVSILFVGYIAGQVPSNMLINRVRPSWYMAGFCLAWSVVSLVTFL AKDYGSMVALRFILGVTEAPFYPGALFILSMFYTRKELAVRLAIFYTGNMVASSFAGL IAAAVFAGLDQKHGLAGWQWLFIIQGALSICTAILAFIFLPDHPLTTRWLSEDERQLA YSRIYTDTTDVREKTSVWVGLRESASDWRTWALCLMYNLHLSSVGFQNFLPSVMETLG YNRTITLILTCPPYLLAAIVGIVMAWTSGRWNERTLHITICKCIVMVGFIIAVSTLNL GARMFSIYLFVGFSFGINNIILAWISATVGQTSEKKAVSLAICNTFGNLAHVYTAYLW PSSHEPRYTVAWVASISFSLGVVVIAWGLKTHLKKQNEKTRREHPEVTNFYVY FPSE_07479 MPTLHLSDLNVVLAVLGAFMTLYGVISVKLKQQWYLGEALPAML VGIILGPVSAKFLDSERWGSAEPGQTADIALGLMRVVIGVQLVIAGYQLPAKYNINRW KEMLICLLPVMTMMWLCTTACIMATIPKVKVLGAMVIASCVTCTDPVLSQAVAKGPFA DKYVPRHLREIISSEAGANDGFGFPFLMFATYLMRHAHEGPAGDIDAAKMLHARAEDV GRLGGGVGEAMKNWFLETWLYYVVLGMAYGAVVGYISCRSIKFALRRSWIDEQSYLLF PVAIGLFIIGTCGAIGTNDLVACFVAGNLLNWDGNFLAETYRRHDEVNHCLDVLLNFG GFMFIGTAIPWAEFHDPDGTGLTIGRLFGLGFMVLIFRRIPAIIALYKLMPKVVQNMK EALFMGYFGPIGVGAVFYREHMRHVFPHPEDGDQEENDMLNVTGPVIYWLVLFSIVVH GLSIPALNFFYQWRGVEPIQDDAVSIRRKSFTMATPSNAITADEENFIAFNRFSRPDV DGSMVIGEVPPAVHARNEFAVFSGTHSSEEDTVEREKEEAERRRKGRTIQYLV FPSE_07480 MEFNPTQATVHNEGCDLHYWYQGQGPLMLFVPGGNGHGRQFNPI ITALSDRFTCATFDRRQMSASQVPVNKPLRMTQQARDVRAIIKAVGFEKAILFGSSSG GIIGYQFAHDFPEMVVHLISHEAGLSGLMPEASEIYDWMYGLVELHKTKGLDAVAAEF DKCFVGTRDEGVPKSVSPEPENARNFWENEFPQQVGYCPNFFRIKENKTSVGVMRGAR SKEAFYARAIEDQAKFLECPRMTVPGHHEGFQCEMKEFLPSLFEMLEILEKKKQSG FPSE_07481 MESTVTTYKAFASERAIGNPAGVIILPNPSDETAYDQNADFPYG IFPPASKLQEIATKLDYPMTAFAVPLSQSIDSPDAPHFAVRWFNPSNEAPLCGHATLA LSQHLFSTLPNPPQTLRYLTRLHGIVSASLYQSPFEDAKLVGIEFPELLDLPALSQSD KRWGKLRKLFEQSTGSQWEGHSEPVGLFEADQYLLLEYSPELDLRGLVIDPTKLASLN KFIYIFQISTSLTEHIHTRVINAIGDHVAEDVATGSAHRAIIPHALSNAETTARLNQY HPGFSDNTLKCLQQSREGGELTVEWLRDVKAVRIMGEAVLVGEDNIEI FPSE_07482 MVAIKTIKASNSTTLPQTLPDNLTAVFLGATSGIGRSTVKQLAI ATDGKSPTIYIVGRSASAATALVAELRQGNPSATIEFIERDVSLVKEADAAMQEISKR EEKVDILFMSVGFMSFEGRKETKEKLEPSFTTRYYSRVRSIQALLPLLNRSANPHVVN ILAGGMEGPLVEDDIDLAKPGNYGVASSANQVATMLTLVLERFAQENPKISFVHAFPG LTATPLLSRGSSGIIGFLLQWIVTPLGGLFFSSPNDVAARALFYATNARYTVEETESS AVPVPEGLEKAKRSAGGVFLINDKSEAADNEKVLAGIREKMAGPVQAHLDNVFKGLFQ FPSE_07483 MDEKDTNPSNSPNRQSTDAVNNPSDTTENVKTYPPKKVVLPTMV ALFLVFFLIALDRTIIGTAIPTISAEFNSFGDIAWYESAFLLPLCVFQLSFGLVFKYY STKWVLFILTAIFEIGSIVCAAAPNSNALIIGRAITGIGGAGIGSGVFIYITLLFPLE ERPKYLGSLGSAFGISSILGPILGGYLTSVSWRWCFWINVPIGGLSLFLLFILAPDRP SPVKPAATWRQKFLDLDPLGFLLVAGSIVSLLFALEFGKEDKAWSTERVVALFVVFAV LLVAFAGYQVWRGEKATIPPRILRQRTVLAACLFNFFMGTVLVIYAFYIPVWFQVVKG KSPQDSGIALLPLLLSNVVFVIVGGILVSTIGYCNPFAIGGSAILIVGAALITTWTAD VEKGKWIGYQIITGTGMGFALQQPAIAVQTVLSEADSTVGLSVLSFLGFLSGTVFITV AQTLLQGQLDSKISQYFPNIDIEQLSNSGAASIRNLVSEDKMGIVLDAYNDSMRSIWF LALAMGAASLVVSFGFEWKTVKMNMKKEEVKEESSGSVSV FPSE_07484 MRSQACEPCAKRKVKCDRAEPPCSNCKRRKNDTCIYPELSPFER IKKLEDIVRSLGGDPASENGESPLENRQRPKGSVSTDSSHVQTPVMVQQEGKAVYHES EGWQTWIDVNKLYQGTKAPFNPADPRGSALSPAKFLPHAFNGSPWRDNSLVSESLSLQ MPTLEAVKLWDVFMERVEPVVKINFKWTLAHLKAAISDGEKWDRLEAGERALILSTRL FAAKANIDALTSRSLWTLMGLVSRSAEQLGIHRDGTVLGLSPIETEERRRIWWQLQHL DLILSLKNGVTPLSFGTAWDVKLPLNIEDEDLDPNSKDPPKQRTGLTGFAYTCFTYYL LDAQRGFRITQARQATAGEGSLLGCLADSMIDGLEKGLNENFLQYCDPINPLHTLLQI SARAVVNILRLRKYHEAKMRSNSADDKCHIEHFNLCMQAMRYQAVSYANPLLQKFRWL AETSFVWYALIGILVDMTLLSDVTTIQSAWSLLEDLYTSAHHLTDMSEDRRVSHAAKS VIATWNECRQKPGFEYMEKPTFVSELEELLAQDERNIAGDAGRKDNQLDTVMDFGQGR TGEDDLQPFGFEFADIDWAFWDSIS FPSE_07485 MHAPSILAVLSALPAAMACLGYTGGVPKATGTKSLSAPRYLKKG EVFDAKWVRYDRGVKCSGQSEGGEKDAVFVLEDGATLRNVVIGANQKEGVHCLGACNL EFVWFEDVCEDAITIKGSGTANIIGGGAYKAADKVIQHNGCGHVNIVNFYANDYGKVY RSCGNCKGNSKCKRSVHMEGVTAVNGGELIGINTNLGDKATYKNNCFPKTQCQGYKGC DKANGECEPSKASKC FPSE_04485 MAGSITLRGLLLAAFASKAIASCAYGTLLHPRAEDGSVEVNTFG YTGQIGPTNWVALDPAANAVCSTGVNQSPIDMVPGANAMIPASQLNLEIPDMTEGTEF ENLGTTVEVIAGKGSMRFGNTSFTLQQFHFHLPSEHLDAGKSMAMEMHMVWESEDAKI AVVGVFIDVEDGAGGSAGNSTGAAAKVKRDGFVKMVRKSTTDGHDHDHANEKRQLPAV GGSFFHVNAPATAATSSSNLLETVFGSVGEIAKPGTLTKTKSLNMAELVSTLAAGSFQ TYEGSLTTPPCSEGVRWLVSDQKLAIKTSTFNSVRDVIGFNSRFPQGALGEPNALSGM MA FPSE_04484 MEFALTAGTVGDFISIAALIKDIIAALDDSRGSAKQYRQLVQQL NTLDQTLDTVQQTLKDPRVTHSLEFSSGIVLDTVANIKKCLVDFLGQIGKYEPALGTS APVNKASLTGAWLKIQRKANGNFRKVQWKLNEKDIDKFRAEVIGYTMALEIALGVITL FPSE_04483 MLVLRFAALAAASLPLTSASAIFRRDLTAPESPRDGWSYLGCYI DSTSKRALDGPVHYDETGLTAETCVAHCVGLGYAFAGMEYSKECFCGSKRPTTKTGEA DCNMPCTGDAEQPCGAGDRLTVFGKASAGGTSVPSGSPTETVSATASASGTAGPVASD LPGTWSYAGCYTDPPGRALQAAGTSKSMTPQKCIATCIADGFKIAGVEYAEECFCGNA LNNAASKVKESDCNMPCAGDSSQMCGAGSRLSLYSDGDFEVNPIPVAKKDGLPGDWKY KGCVFDNNNPYLLQWLYEDAGSYATSNMTIETCLNRCQKFGYSAGGVEYGRQCVCGDL KAVENRGDVWKDDSFCSMACPGDRNSTCGAGNHINYYEWTGTSLNTFHYASGPKAGKY DHFSTSPIIPLISSVGINDKIVFVEKHGTSNDNTEGSFEFDYTTNIYRELALKTDVFC SASFTLPDKAGRIINIGGWSAESVYGIRFFTPDSPQGVNNGTNVWEEDYTQLRLFDPR WYPTALVLSNGSILAMGGESGSDAPIVPTAEVLPHPAGVTKSTYVDYLERAENIGRTN SYPHMAILPSGNIFFTQFNESRLLSQVDFQSIKKLPDMPGQINNPLTGRNYPLQGTLM VLPHKAPYSDPVEILICGGTTHEPGNDALDNCVLMAPDVEGAEWAIERMPSKRVMPNM VALPDGRYLILGGAQVGRGGFGLADNANLNAVMYNPEEPLGQRMTVLANTTIARLYHS EAVLLSDGKVLVSGSDPQDQGKHPQEKRIEYFWPDYLLSGATQPNFTISDRDWTYGES YTFTLTSDLEEGASKLRVSLMASVGATHGVSMGQRTLFPEFSCSGKTCSVTAPPNAFV SPPSWYQMFVLDGPTPSHAIWVRIGGDPGKLGNWPKLPGFTPPGV FPSE_04482 MEGSRTATSAAAAEDSSSQRGANPFATPGVDNTDNPFATPAVAT PIAESLASRQLGHRTTSSFDAPTVRRRFRSSRLQGEFEKPWLESNKKQWNWDSTIFYT CITIGLGIGAFLCWKETQVPKHEYCMVMNDQFKNLDNWNHEVQMNGFGTGAFDWTTND PANSYVDAEGLHIVPTATLESTSITRDQLLNGFTVNMTTNSRVWGKCTAKSIKKNNDR WPCASHSNATLGQIINPVRSARLNTKGKKTIRYGRVEVVARMPKGDWLWPAIWMMPQD EVYGEWPKSGEIDIAESRGNDARKYPMGNNLVSSALHWGTATENDRWRKASGEWGGKR VLYSEKFHTYGLEWSEKYLFTWLDGRLRQVQFFDFTKNKNLWTYGEFAGQSINGSIPT DPWSSTGRKNTPFDESFYLILNVAVGGTNGWFPDAIGDKPWADSSETPMRDFWKANST WLPTWGPVKDRGMVVKSVKMWQEGRCAGAVRSE FPSE_04481 MTSEKPASRFIETGVLQPPSPTMDSATLKRLEAGDHGPPSPAAQ LPSFMMQQKSPEMKRGPLTRSSNSSYSGTPAVMQIPDATAADLPVVAKYIARRATLLG WFDEPAAAAMTSGWSSTCIALKKPDGSYLYNPDDPSPDLAAAIGRMNEAAVVSMSSEV TNAVLDTIKPEQACLVNEDTGARIPIVATLNDIHDSLVHLTSACIVVQERCVLIWSHE ARTVLNVAHNVEKQMLGFIVGPNMPQGLLAQTEEHSVENYHTPVRGAIDENNEVYQAA IKVEEGGDDDDGDIEGKALKRPTLLIHSFRIGIVMILVILTQSVGVSRLIRQWSWDGD YKRFALAAVIPPLMILSLFFFIVVVSSVFQLLLPAGICLKNSKFHSAIKPNPKRYKDY QLPHITVQMPVYKEGLRGVIVPTMVSVMAAIQHYENLGGTASVYINDDGMQCIQPELA AARKQYYRENGIGYCARLPNKKSPKGKGGFSWFKRSPPVDPEVDNQDESSRSPQGRAN ALGFERKGKFKKASNMNYCLAFSNRVEDEMLRLTDLECQNRGCNYEELSAEDDDRLYD QALQNMVDADEGKTWAEGNIRMGEIILLIDCDTRLPVDCLLYGALEMYESPEVAILQH GSGVMQVAHNIFENGITYFTNVIYTAIKYGVGTGDVAPFVGHNAFLRWKAVQSVAFVD PSDKVTKWWSDAHVSEDFDISLRVQMAGMVCRLATYHNGGFQEGVSLTIYDELNRWEK YAYGCNELVFHPFYQWIYKGPVTRLFIRFLWSNMPVSSKISIIAYIFTYYALAAGLLL TMINYVLVGLFFYDLDQFYMPSWGIWVSLLVVFNGIGTVATSMTRHRLKEKSFWTAIL EAAKWLPFLLLFFGGISINCAKALLCHAFSINIEWASTSKELGPTGIYIGLNKMMHRF KYTFLICIALSGGMIYMAIGAPWGWTIAPGKFSSGTFAIVPLAVQIGCSFCLPLFLGL T FPSE_04480 MGFKVIVVGAGPVGLVLAHALQASGIDYVLVEQRRQVPPEPAYG VFIWPQIMRILHQLGLLDVVSAVGQPMLQAIHRSMDGKVLHEEEGFQKLGVMFGYSMT LFSRLDFALALLNALHNKEERVKVNKRLSKITQDKKGVRVEFADGTFEEGSIVVGADG VWSSVRDQMVAQAPKGLFDETVNPFEATHAGVFAKADLDPRLEPGRNINVYQEDSHVQ VFTNKNEAMIIVYHRIPAERKRTYFGQNDAEEAAKPWLDVCVGEGLTFGDLWRKKTAG GTANYDEGVLPFWHWGRMVLVGDAAHKMNPIRGAGACCGIEDSIALVNSLKRALRSNP DPTFFELSQAFVAYQHEREGVAKLWMEISRLNLELCIGPHQPALRAASLADVKFLPLV ADGPILNGLPFEEMVGLIPWTRKVKGKKRDEEAKAKL FPSE_04479 MSLFKAVLTTLSVSTIVSASAIPLVERQDNGSAAPAPAPAPTDA PAPAPDVDCAPNSSTGLKAECWKALDMDKYIKDWVAANGTAANCEELGFAQCYLQANG FTGLTCNLITSDTCPPFNTKSVEKYESNQQFYALWNIYTIYQFFNQYSQALSNGASLA GQTIDAIVAKVAPPVEANTPQSELFSVLTSTLGVVSTFTGLIPGNAGLGVGVIRGGLS AALDLAGKLGKPLKITQKANDRFVQLGDIGSGLAKFVETYQQNLLDSVKTIQGDQELF LAACSEGGFSQRVTTSLTIQASTLYRQLQLFILSSALKANGIISSRSTGLLAQDVARD TGEVTCDSLSAASSCFQWFVDEKAKNTYSFHNPNDWSNTHVDLMVAIIDNGWADLADV FEIENCAGKTPEFDPATLGITCLATHGYCEWDYSGKTPSTTVKQWTNCDNDSKWGTLC SSFAEGKLVPESYLGPLLSNSAFFCKKQ FPSE_04478 MTQNKPVIVLIPGGFCSPDTYQAVAEFLREDGFTVKIITLTSTR TLPSEDPAHDEYKDLASKGMLDDVKEIHDSIAKELDEGSEVVLFGHSYGSLPGLLSIE GYAPEERKAKGLAGGIKAYAAVAGFAFAVRERNIYGTTEQGPVMPNHSHEDGGLVHLH DTFKPSFFSDLPADQRDEAWKKVLGSQSRKSFDHLADFINADVKIPTTYVKCEKDEVL PPMYQDNFIQAGGFSKVESLPSGHFPFLSMPKETAELFGRIAMA FPSE_04477 MTHYSTGSKQLYPPKARQGRPPEPSHTSGPKGRHRSGSMESRTS AHSKRRCDDESERDESSRQPRKPRGSRRFYERAKHSLKSTTKKQPSMDTVGKDPQDLN NDSINRSRSSSERVIFVESQGARLVSEPGQPTTIVTLNGVNVHQVPSDGQAQQATSLP PENVPDDISKLSISDSEMDGVCGGQNDQFNNSKKFLPPPPAHEIQAMMVPEGENSFYW KRQYEATIKKQRETINGLKTDNVALCDQVQSLNQTISSLQKRVSAAASKNVNIPVRTP LNRPERELLKDWENLAFEVRNFVGFHFEKVSTNELKAWAEQNGTWLGEVSQTYQQDVT GKQTSFAMIEAAIWYNLSMFVFADTKGNRPMRWAGNYERVLGKLGEHVPYFLVANPSI NISIVDELQKERTKNNNEQFIPMFHQWNTLTANMMATIQSDDSYAQQVIHLVKRFEDL FASCRPRKSRSDSYRVDLEALVSKAIQIDFWFSGQTSRYLVDWPHVGQHNMVFDKAKM RQDARSPESFSDVRFMIQPCLFGVSGQGEGYDSLVVLDKSVVWMF FPSE_04476 MDNEITMDDGSNGVILVMGVTGAGKSYFINRLKSQSADEGHSLY SETQTCQAVQIILDEEEKRTITVVDTPGFGDTFRSEADIVAEITDYLTAQHLSRLPLR GILYLHKITDNKMTQASLRHIQLLRKIVGDDSLKNVILVTTMWNILRPEDHNRALQRE QQLLNGFWNTMIDKGSYVAQFHGTPQSAYPLIHQLADQQSVVLDIQKEIVGQDRSIGE TTAGISLIQKLKEDRRGYEQKLGNLESELDRQQTAQPPDKEEIKRLKSEMKATEKVLD AIGTSEERMKIRPGSPMRQRMKLAMKEHGATALTALGMVLNITFFAVRLGLGLEE FPSE_04475 MQSYETDLDDYAQDFVAIGIDFGTTYSGISWAFSGQPKEVHQIT DWPSVDHRNHNEIQVPTLYDIDSGKWGYEITPDMKPIKWFKLLLLNNDDITKEDIRNA KQLQEARNILAQHGNITAVQIVGRYLKEIWDHTYAALRSRLDIDDLPIRVAITIPAIW PGYAENSMKEAARIAGILDDRVIGDTTLMLVQEPEAAALACLFQRNSSPEIKMNESFV VCDAGGGTVDVISYKVVSEKPFMLDECATGAGKLSGAFLIDEAFDAYLRFQAKLRVNS IKDSEFNQFILREWEHGAKRSFSISQYRQFYFLHPPMKAYGRFDRLMNKDTLKISNEE MTGFFNRSLTGIRTLVGKQHEEVKKKTGKPPKKILLVGGLGSSEYVYDTLTAIFSNTV LRPIDGWSAVARGAVLRLLQENISSQGPQSVQQREALNRLPVVLSRQSRYHYGIQVNT PVENLDYDSDDQVTTDPEGNKVTSRITWYLSKGDSVEKKSRIPFSYHKFCRVESAPST CTFNILFSDHDPAPKRVNSQVSTLCRIECDWDKPISEWKAVGDPAKGWRKHNDLELTM GLEGVPKWEIRVGSKKREHKFSIDYV FPSE_04474 MRFPSLTLPALCAAAAVPKSCENPTKRIEWRQLDQFVRQQYVDA VLCLTTKPSRIGLNSTLYDDFPYVHSQLDKQIHSVASFLPWHRYFVNVYEGALKECGY EGVMPYWDWSLDSDDVPKSAIWDAKTGFGGNGSPNRTESIYNGRDVRKCLDDGPFKVL HPEFLGNKRDPHCLSRNWNDGSENVGNMLSDAYSPEVIKKVQAVDKYEEYRHTLEGGP HGAIHSAIGGDMTPNTSPGDPIFFLHHTQIDRLWSLWQQENPKVRLADFAGDKTQDQF AGTKPPRASLDDVLLMKGLADDLKVKDLMTTESSLLCYTY FPSE_04473 MSLPTFSDFEYGDSIPDDDWEYNVPPPPPTPVPASRQKRGKGCP PPKSRRRLQREAARRLRILDEMDRLAQFGTECWYCHILGRARR FPSE_04472 MVDKLVLGQAPGQEQSGHESELYSYAPLNKRQIRVLDLSPASRE TDQLRGKLLVKHLEELPPCRKPFTSHVAELIDPTKHVCFEAVSYVWGEASFTECLVTP SGFIRITPSLTSILRRVRHHEESRLYWVDGLCINQNDISEKEIQVPLMGVIYASALRV LCDVCDENENINRLLDAMERHWKKNIRRGFELAQSRSMTLSKETTAAIMGVRLPTQEE ADEIQGFETEDWAEEFLEFISLPWFHRLWILQEFVLGRDVTMIFGHRHLPWGELWAGT SGYSGSSWPWDSMELAKPENVTRLTSLSSICFIRSCRIIDPNTTHGRDFMKATRVLIG GAELSQTQLPMYLMAGCFKGCTVPRDRYFAILGLVDEASDGKIHDLQVDYTSPIRDIT MRFWKHALQLSSGGELVLLAGMAGRSDGYPSWLRDITVPSPLGSVWQSGPLSNAWHKA GGDLDSWSARFDNNDPDQMIVQGFFVDTIAEVSSMKPNEVFEMEDMILWFAKAMDFFT SGHETDMQYSWTGEHVQDAAMKTVFDIGSQEARGDSFSSICQLGQSLLSILASHPDKG QDMMEAIIAEAEDKIETLTELLTQIFSTSGLRVCKTEKGMFAMLPKEVRAGDSVWALK GCRLPAILRSSPAVSGSYEVVGFGYVYGIMNEEVMQMPGFEWRDICLR FPSE_04471 MNSTLKIANVQAQDVAFQHNLLSYLFGLLITTYIVWQYVLRTGV MESAGSEPPMLPYWIPVLGHTFSFLTNNHNTIMSGRSHLNSGTQPFSLLIGGRRTYIV IDPRCLGEVFKKTKDLVYEPFIENLMTCIGVTPTTRDIMLNAKNGEPSPPLANFVLDW VREHMSPSPSSQPFFDKLMTELDHGLQQGSPLTNGGESEHNMLKFVETIIITVSANNF FGKVLLQQSPEILHSFPIFDRHAWEMIFRAPTFIFNTAHNAKSLVIDGLTKYLELPQS ERQDSASFILKPEDAMRKNGIGSRDIAGMVFKLFWGINGSPSIIAFWLLARTVYTPNL WEDIKTEVAPAFKNGIHSPPDIEYLKGCPKLNATFYETLRVHGGAAGFRSVASDTVIG GFTFKAGSDVLMPYRQMHLDEEVWGQDAKTFDISRFIDNPKLAAAKTFKPFGGGTTLC PGRFHARHTALSFIATIVRRYNIEVVGCCESQPFYKMNTRGSEVGVIYPVLKHVPKII VKNVDIE FPSE_04470 MSSPKTPPKPQTPEELEDPVVRPEDGTIEPDTDSDSSYTKSIGV TDTESLKSSIMEYKWVHGRRFHAYGDGTYCHELFRIVLGGKLYQSPIGENPQNILDIG CGTGIWAIDMADLHPSAEVVGVDLSPIQPNFVPLNCRFEVDDINKEWTYPENKFDFIH IRYMTGTVPNWKDLLQKAQRYLKPGGWIEHVELWGNAMCDDGTMAEDSPLKTWVRIFD QIGEKIGNPFFWDPAEAFQEAGLQNIGERKVKVPIGTWPKNKDLKQWGAWNRQFLMQG LEGFSIRGLTELLEWEYEKAQVFLVDMRKELLNPSLHSYVLVTAVWGQKPLE FPSE_04469 MSFIFTGQKLTILPLVYQSQEIDSTEWITLFTLCLAPLIAHIIA GTPSPVYLHQSQPQWHEQICLYNPTSILWRYAAIADRRIRAKSWDRTDLAPTNAIFWT NRGWDGSEAIIASSAAYCYHFPNNTRISVFSKEMITTIIVTSQGIQAMVLLLGALDKN KYSGFTKWMAIDAIFFPLAMIGLLRLCCAFWLNDDYGYSTAHSTTLVPPVFYGHSSGD VAEMGKISLLPRTDSNETSSSTLLERSTSYSQPRFKTPSSSWGSKFFRSFFLFLVICL LILDTLYLIRGGRHTTTSFVFTIYYMFFLTMTIIIFMPYFWQGKTTSTIIPCITSTAY KIYTGFIFGITVATIVIACVETRRTPCGKYTSGSGTEGDLRACWSEHTDYVSLHVEYD TNSSGLAVHDFSIANKFAFIPVNSTKLPEVDFKGTCFGKALSNE FPSE_04468 MSQIPSDSSGNSDALELEAAGYQQAMPRRFSLWSLGALSFTLTC TWLGTGSSIGISLTEASSAGTLWSLPIAGVMTTIVSLGMAELASAYPVAGAQYYWSFM VARDDYKPFASYLNGWMSVIGWWLASSSVSNFVSSMILDIVSAWHPDWNQERWHQYLI YVALIWIATSANIFMSRWIPLFNKMVFVLSVLTLSATTITLFVVTKNHASSEFIFKDT TNRTGWSSDGFAFMLAVGNAVYAFLGSDCGAHLCEEIPNPAKNVPKVMIYPLLMGLLT AFPFAASLMYAISDISAVLNTTTGLPLFEIYFQGTGSRSGATVLMTLFAFCFFANLVA NATTSSRTLWAVSRDGAIPYSHFWERVHPKFEVPVNALLLSATFITLYGLIFLGSSTA FAAMVSAAIIFLQTSCIIPQAVLLYRGRERVLPLRYFNLGKYGALINGISVVWVVFLD ILYCFPTTMPVTAENMSYVSVVFVGLVGFVVVLWFTTKKNTFTGPRIDLDMLNARRVA AVGPLEGTNPEYHPLRNSEAVKSD FPSE_04467 MKLAVLTFLITAITAAKVPGYRFVGRVNPATKQLTWPSTGVAFT FTGTEATIKINAVTGTSSADLIIDGKDPIVIANVNGTSISVPKLPKGTHTVELRKRSE TSFGTFSIAGVSTDGKLLDTAPPKRRIEIVGDSISVGYGLDGVLPCVDTAALQNNGKT YGAVAARSLNADYSVVAWSGKGLIRNYASSPPDTSPPMPTLYTRYGGNDKDNSFPFPK SWVPDAVVINLGTNDFSYLNVRDPVNPADLTKALTKLVKSIQSHYPKAQFFFVSSPLL NDNYPTAADAQKSTHVRVLKDAMKQLSGVKTHFVDWPTQGAEVGCDYHPNAATQAQGG KLLAASIKAALNW FPSE_04466 MHLYQIAFFSVLLDICAGVPVQDSPRERLLKGLGIPDNYRGVTG GKKIPFTPGDRDPYDSPVDSVGDKLDPLPWRNGLGASVLGPWNEARSRQNPDLVRPPS TDHGNLANMRWSFADSHIRIEEGGWTRQTTIRELPTSIELAGVNMRLGEGVYRELHWH KEAEWAYVLDGEVRVTALDYEGGNFIDDLKKGDLWYFPSGVPHSLQGLSPNGTEFLLI FDDGRFSEESTFVLTDWLAHTPKSVISKNFDLDPEVFKNLPAGEKYIFQGRNPGKISD EAPKGKKAKKSKYNFTHRMHDQIPLKTSGGQVRITDSKNFPISKTIAAAHAIIEPGAI REMHWHPSADEWSFFIKGRARVTIFAAEGTARTFDYVPGDVGIVPRNMGHFVENIGDE PIEMLEIFRSDEFRDISLFQWMGETPKKQVIDTLFANDPKNAERFWDKIKDADNEVIT KPDFYKHKDDSVGEL FPSE_04465 MSQPYGTISNGESRAENGISTHNDETQPLLAPKPGSRSWLRTRL GADVRRDWADVMLLACYIITGILDSASISTWGAFVSMQTGNTVYLGLGPTAGTNRWKK SGTSILSFCIGSFLFSRLHRAFTSSPRRKWVFCLSFGIQTAFIVAAASILTWGPKGAG PDDVPWYVIVPIALVAFQSCGQAVASRALKFNALTSVVLTSIYCDLFSDAGLFTASNV ERNRRVAAPVLLLIGAIIGGIIAKSELGTSGALWIAALLKLLVMFGWAVWPADEGSL FPSE_04464 MNALKNFQSDVKNDVTWNRAARLSVKGAKALPSGTVQYISDKVP IVGWLPRYNLRWLINDLIAGLTLGLMLIPQGLSYAKIANIPVEYGLMSSWLPAVIYAF MGSTKDVSTGPTSLIGLLTSENVHALQDRWTPSEIASATAFMMGIYGMILGFLKLGFL LEFISLPVLSGFITAIAITIILNQMDSLLGEDNVRDGAAKQIHDIFSELPNANGWACL IGFTGILFLTILEKSGKRWSKDNKVIWLLSTTRAFLALVLFTGISYGVNKNRKDYLFE VVKVQSEGQQAPTMPKTDLIPEVAGRSIAVFIGSAVEHLAIARAFAVKNSYTSDQSQE LCYLGITNFFNSFFHAMGVGGAMSRTAVNSSCNVKSPLSGVVTMAVVLVCVYELVGAL FWIPKATLAAIIITAVWGLISPPSTFYRYWKTSLADFISSMLALWVTLFHSSEVGIGC AVGFNIVYILLRQVFTRLTSTGADVESNRRPTWALENNHTSPLTIPEDARIFTFNESI IFPNAFANTTKVLDEIQTYHAAHYSGSHGPETERNWSVVGEKRVARLRKKANINDPSS LPGIGLVVLDFSRVNMLDTTAVTYLKNLVSNIKSYGGDGTEVRFANMNAVCRERVGRA GWRVIEVGGNGELQGDLEDDGEPIYLYWDTRAAVVAPRRRGSVLSDDGKVHAVHEEEK AEV FPSE_11264 MSINDTRNMLLLRRDMHFLFDHHRFVLAAKRDRSNKAHLVLHVL SHERADELVPLYHNRQTQTLRGISIEFLFARLAFTLFNSVHFPPFNGMARLAVLLYDP ESGRIHGDNLFQQQIRGEMKLFDTYSRSQSRSVSPKKRSRDEFEHEEASAWNVDHDSE NWDDLVYSDSPPRGRHKY FPSE_10823 MAEPQPVVPTVHQLIVDNPWFCKNPLFWTAQHLDLLKIKFERID VLLKHPQPRKYLGMKDDDPFYYWSRRFAKNLTSVSKHAAFTRILDLMGVKMWHHPDEK AVFLFDSKDVHVSDCYVCHFTPPFLSELPIIGYFHYDDVKWARLKSIRPQSNPGDGGN PPMARVCRIRLRNVTPIEWSEDPYLVRMLVTTESDPSTAHVFVADIGIRLLECIYDPA LCLDGVIWPTIQHCELPMEPYNTFPERVVAELLGYRH FPSE_10824 MDPFQRLPAELRIAILSMIPSHDTTLHLISASPIMLTQYMLSGR QCFLSFLQNMAGCSSGPVFDEMLQDALGLVYLQDKTLDAKARIEIAKQWKQNALPNPF STGDDQTIDKLRRLFASKSYALNAHLYEIALDPTIVTYCERLDDMYWPGLYNQFRKSL YYRFMRESGIDRLGRSVSRSEYYKLFYKPIVYTMRIPKVWNNNIY FPSE_06351 MEYAPCFLKSDPLEVLEDLKALEGSKLHQVISK FPSE_06350 MATIETEGKGAQEAVFWELISYTYRILNLKRYIGGALGFTPQFI NYYYTFSFNKVKLTLIAP FPSE_05645 MQLSAEEMARSQAYRKAAGAAMAFTNDYFSWQSEKASTGGRAQN AIPFAMDLCSLTEDHARAGNAKL FPSE_05646 MAPASSLHRHQSSPEGIIDFNAQPPLEPGLRLSASHRFYQIVNH FDASGSGNNGKYDRIKLVRLTYEYARSEESKGNFLSAFFQSAAFPMDGEEAIDFGDAD LEAELRTSLFNFAEYLFENFFLPLKASTKKTPQPSPATHSAVQRTQSQGQNFVGTPEL VSALRGACLVRDRHRCIISRRFDQNEAFKRMTKYGYEARDDDGIPLAGEAFDALEVAH ILPHSLTQVNASLQLDPSKEAALAILNMFDSGAAFLVEGTDIDRPRNAMTLTHSLHL FPSE_05647 MAANGDDVVYDKAFLNENRQNEVYATHITFFILMIIIVPCRFFS SRLTKKTLSWDDWLAYFAAFNTVGVFITSMLWLRFGLGRHLAWVMQDDPNNIQNFFKA IIANEIMYTTALACARLSLVAFYYHIFGVSSMRYFLHGFIVFIIAWAISTYVPSIRTC WPISSFWDGTNQNCIDLSKFYVGVAIGGIISDFGLMLIPLPYIWTLRVPLYHKILIAM MLVFGSFACFITIIRLTKVITVDLTDPTWGTVDLMIWTGLEVYRYFISIPKQIQM FPSE_05648 MLPTIVAVPGAWHTAESFDPIKKILTQKGYQFVSQDAPGLHDAN GTCQDDAISLRTNILLPLVEEGKDLVVLMHSYGGMYGSQAVQGLSKKEREQAGKKGGV TGLIYVSAVTPIEGKTTLEMMGTDSKNLPPFVDYDETTGWVRFTGAKEAMYHDIPDKE ADHYISLLKDQALNSMNTPITYSPLADENFKGTAGYIVCGADCVVPRTGQETYAAVGG IDRVVTVEKASHAFFATAAEEIVDAVLQLVEL FPSE_02894 MSFDKELHRDQDSKEGHDMNDTRTMSIVPEPTWTEAEEKAAVRK LDMILMPLLVLGFYALQLDRSNISNALTDTLTTDLNITKDDVNLGDQLMMIGIIVAEI PSNIILQKLGAPIWLTGQVLIWGTVALTQAWVTDVHSFFATRFLLGFFEAGFIPGAQY MLAMFYREKELALRTAIFYFGNYFATATGSLIAAGVLQMGGVLGLAGWQWLFIIEGIF TLVIFFVFILFLPRSPIHTKPIHGRFDLFSTRERDIMHHRIYKEDISKTEAHAHISFG GIIAALTDYRLWIHTILNVVALAPKGGLQLYGPTIIRSLGFSKINSNLLNSVSSFCVV ILSFAIAWASDRTKQRGLWCMVAFVWSIAFSGALFGSTDQDKWTKYALFTLLSSGNAL SQGLNDAWLSINVRSAGKRSIGLALVVIGSNAGGLAGKQLFRESDAPKYKRGFLAIIL LYIAALPITAGIIWVYWRQNKKVERNVVEDGEEVAADTQQSRYTL FPSE_02893 MSKDPSKPNIVLILADNLGWGELGCYGGGLLRGAATPRIDNLAT EGLLLHNFNVESDCVPTRSALMTGRHPIRTGCRQSVPAGFPQGLTRWEQTLPECLKPK GYATAHHGKWHLGDIPGRYPSDRGFDEWFGIPRTTDESQFTSALGYTPEVAELPYIMK GCAGQDSENVCVYDLEKRRLIDEMLVDQSKDWLSRQVKAEKPFFLYHPLVHLHFPTLP HRDFEGKTGQGEFADSMAEMDHRVGQLIDHLDELGVRENTVLIFASDNGPEFRPPYKG TAGPWSGTYHTAMEGSLRVPFIIRWPGHVPSGVTSNEIVHVTDIFTTILEIAGAETPS DRPIDGVSQVAFFENPASVKSQRQGFLFYIKDELRAVKWKDWKLHLVWEPKVNQSSGK LESPYLFNVVRDPKEETDVLAYNTWVMQPVMKLKAAFDKSLKNDPAPPDPLKGL FPSE_02892 MSLRAVFTTGLLYVGLAAAQQTYAKNQVAVVNDDEEAVKNFPSI DDVKLESPAFTDPKSIPAGFKNGTSGPTDDATLEYFLQSLASRNDWLTYKNPAFKSDE GRSIPYVYLTTSTQPQVKANATSTEKLRVYLQGGVHGNEPGGDQALLALLGKFDTNST WTASILEKMDIMILPRYNPDGVAYFQRVLATGYDPNRDHIRFASQQTRDVKALITDFH PHIAVDAHEFGATRPFGDNKQWHQAVDGQFDAMKNLNIHKDIREYSESVFAPQIAGAM EKRKLRWSPYIVGSAAEPLVFTQLDTQARAGDVSLALTQAMVFLTETRGIMLGGQNFQ RRVVSGLTMIEAIVQTAADNAEEVYEVIEGARRKVIEGDDDIVINDSYTPENRTWDMI EVETGKLVKVPIEFLSSTPVKANLTRSRPEAYVFPQAWKEAADRLRLVGVKVDQLRSE FKGEVEALNVTSITLGASVYHGTVLNTVTTETKRKEITMPAGSYWVSARQAAAAYIKS LEDRVAELELALQGHGLDTESLAGSRISQDVAEATPEPRQSTDLNLLSLFIPESSDVQ DKGTSGYHALLGAITVPERTKFPPKSTTFQLAATYFEHSNFFSPILCQESFHEIITVL YQDLPNVDQGSKPVQKFQLCMVLAIAIRLLNRIDSAVPTTASDSFFASAIGILTERPQ ATWKGNLQHLQNLLLIVQYTIFASNLSAAWHFIGLATRLAIDLDLLNETRLAGGNNEE AILDAETNKRRRVFWSTYILETNLCVVLNRPRSIPDEAVFTPLPSTSGPESCSPLANH CIQFRQLEYEIYHTLNYKPPANGAFFDYKVWKVGMKDRLVEWHATVPPVDTRSKLAPQ NFFDGALYMTLVSLFSPSRHFPDLSEPELRDLARYASTSIELYREGFKEGKLRFYWRT TPNLFQSGAALVHCIKSLTLQGSVFEIDALKRSVSVCSTVLWGMAERYSPGTVYRDRF DELSASMSDVATDLSFEIPDDFPFGQFSIPPLDLNGTAGIWTSTPPTLDPWIFDPT FPSE_02891 MKILRLDHALNHLVQALLTMASPFTAPVGEETAEMLHMKLNLLN EEIIQQENKLPALAADVAKKLAGNISIAERERQEQRASDACHRIRAMQREYDELWQRW YKMLQQEGNVDGNKLELEMKKNDEMRLEQEWVGVELVRWSC FPSE_02890 MHSLLLRLVLFTVFLLSTTRQTYAAHLQFQDCQGRNPSSTITFE DHFVPNSLNAYLEKQNDETHLSFQLLANYQTLAACEAALVDNASVELSLGAVGGTRRY NSDHQNVTCKTKKYKQINLVVHLQVLDVLFKIDDPAPLAAYTVELNIDGPEHFSVACL RSFLTPDIGSTFQGISFWGPTLIFILVILVAGWREWYNLVHPLGDDEENGTDRSPDRS HLTRIADCLLYFQFIFFSSTLTLYQPGFLQPVVTGISWSTLMFRKGILWRESHYYGIH NGIHEINGTFGGTSGLEHMTQVMAAPVTVHTWANIVGLAFVILVGLYSILQIGLRVKW TRDWFNRSGTLTLESSTLERQKATIWVALRVFLSYLLFPLTAWTTYQLDSATARPVYY TCLVISIVALLIIASWWGLASRSPQNMGYLLIDDIHMQDDDGEPSRTQDHYTLVTFIL LFARGVIVGGLQRFGIAQVCSLIACELIQLCFLAWATAAPGLLSKPIFMASARLTVLL LCLGMIPQIWSHIAASVLGYIILIFHTIFIIGMFLIPTALEFGRLAVTSYNEWKSTPP PEASRPQVYGLRQLRRRPTNRTNLSERGIVDYRSSLSYSEHSSTTQSSSNTSSNRDSD PVSPELLRTYFRSPRPERSISSLSDRNGQFPSFEIARPKRSISNHSERNPRSTSSLSS RSRQFPSLDRPETVYENPHERTSEGSSRSHDSGDLAEPPSPWEMVLPLATDVDYSVRE IDRYYVRPRQVSFGNSGNDSDGSTGQVSGWVNKLRFWS FPSE_02889 MSCFSSLPPQPTDEIFDLLGAYRKDSNPDRVNLGVGVYCTDEGK SWPLDVVTRVEKDLFEEASLTRHDYLPIEGDQQFLKLARDLVFAHQDELSVASVQTVS GTGANHIGARFVADYLRPQNIWVSDPTWANHHMIWESVGLKPKLYPYYKKSDCSLDFE GMIKTLEEEAQPRDAVLLHACAHNPTGLDPTKEQWEIIAEVCQRKQLFPFFDAAYQGF ASGSPAEDAWAIRYFYQQQPRPDMIVAQSFSKNFGLYGHRTGAIHLVLAEPSKEIRDN AYSTLSYLLRSEISMAPKYGSTIVKTVLDSEELTAAWMADLQVMSSRIKSMRQALYDE LVRLETPGTWNHIIDQIGMFSYTGLTPFEVKVLRERFHIYLLKSGRISISGLNKRNVN YVAKAIHDVRANGYELNGTNGTNGTNGVNGH FPSE_02888 MANLGVYAGVTTLVALLLTYGIPLFLSEYFPWQSLYKQRHGKPI VTTKSYEGRTVLITGANGAFGSRAAKLFAHRDVDTLVLVDVRDCGELKQEIEKELSAA GKAKPTILVWQADLMNFSGCQEVARKAKELKTLDHVLMTMGILSFNRRVSPEGWETSI QINYLSGALLGLLLLPLLKPCSSNPNPPVMTFVTSFGIYPSSFTMGMPKNGSYLKMLS NNKDGMQQAHQYGRSKALLLYFTRELAERTSKLPHKVTINSADPGSAWTPLTAPNQDQ LIPRLIQNFGSRAPEICAAALVNGVSASKDAHGKIMQDFDTTSYPPFMERKSGHTAQK RVWDETREEFEAKAPEVKAVYEMLG FPSE_02887 MVSFKKMSSVLTSRLANRRFAFALVTLAVCAAKGVHLDNHRSSV APKRMILFFFSFFTQDILLLLFIRLLLSHWTPSFPGKLRFCITALASFLIAYNAALGL VSVSFYLVAGSEIRWRNIGFIADPSARAIMMSGLTAFLGVLALCLCLSGVLQSACYGL FGWGGDIVNFPFTFIWRKLGFFASGRKSYDQLPQRDSLPQWNEGSESGSSHEDSEDEY KGKTRSPTSPRRSSSFPTGSTSSKRSKFMRFLRFLPYPIVTILLTALFTLTLLRPKDP SLIFLSWTTGLLPFIDFSSTSSFLDNLPSVFGKGVQHSWDDLTALTNPAPLDWLPKGT PIAPADAPSGFGDWYSNQPRYNAKNDPLKISNLEDPLRESLRGKLQDINVRHVVMFFL ESTRNDVFPIKKDGLMWNRFADTFPDKKLPKEVQDKLASLTPTANFLTGDYEDGFEHA DKPKRGGIHFTNAHTAGTYTLKSITASICGISPLVADFNLEHSHHLYQPCLPQIFNAM NIAETKDAEKTKKDKWNSYFFQAATLDYDNQMNLMAAMGFPTNHTIGREYLRSDLAKH GPVTLPNINEFAFEEDPLEDYITDIFEDAVKDNSRVFLTHLTSTSHHRFHMPKTEPYT PLAKGLDMMSRYVNTIGYDDKWIRKVLNVLEKQGIANETLVIFQGDHGTSLPENDYAS PYYNPNIGVDHVPMILSHPQLPPFNVDEAVHSTQILPTILDMLLESGSLSETSRKAAT DLIGNYEGQSLLRPLNTKNNSTGQGQWQFTVTNPGRAMLTVRDALYPDRHLVVPVIEN VDWRLTDLSKDPLEHDSVQSLDFTAFIHGVDEKYGREMAEWAEEGAFVTRWFVKENSR RWRFEL FPSE_02886 MRPAALLHGIAMAAPIRLAESKSIELVFEQDLETGGVATTAWDD SGSRIMALSCSNTVQLGNQTVTFHAKKDASGYFTIGDDTFPIEEDDSTGVLCSRMYVL ECFADTKDPDRFRWQPIGADSHEPMNGDDRDENDTLDQRRSLGRRQGCQTGIAQIQWP GVSRNPRKWRRHQQLTPSLDCRSAATCTTSVISSRTITHSASVGAAKFISAGYSVGIS QTSGFSLSCTANRNQRVCVKHWPRYQQYDVRRQAVLCGRTLTDERYNIRAPLKDQQRH STHYCATGTNNCRSDGWLHWEDMGAGVHGGA FPSE_02885 MSSPEVKINGWTAVPLNAKNILDSVGKLAEVPTYKAEDIKFPSN DKLVAEAQAFVKARLSPEAYNHSMRVFYWGNILAKRLLPEHFEALSTSTWALTCLLHD IGTADAFFTSTHMSFDLYGGIKAMEVLKVLGGTTDQAEAVAEAIIRHQDVGVDGTITF LGQLIQLATLYDNVGVYEGIEDYGSWVDEVTRDNINREFPRHKWASCFASVIRQEESN KPWCHSTHIVGFPEKLEANTLMKPWEE FPSE_02884 MVSLKAIVVAYAATNLLGVDAGLCRPSTRTSLTSSAETQTSSPL VPTSTSDNSDIPTDTTSKDPILSTDGTTVTDPMTSGSATLSTSETLSYLASSETLATS DTAIPTLSTIVTSNTQVSEDSTTSGSPSTSSDLTTSGDSGSSTESTDPTTSGNPTTTT DAATSAETTTSIESTTTADTTATVDTTTTAVDTSTAAGTTTTVDTTTTSEEPTTTTSV CVKPTEMLRQPGFEPSDDGDVWGFYWGGGYITTDADEARTADGLAILPVPDAQERRME QRVHIVPDTEYTVSFYYAVANPPSFQTQCFLFATFDYYTTLSQVPLPSDTDYHLYSAS FISADNLDPAIEIGVSCPQANNGYTATVYIDDASVMNAKACDTTPVDPNDPPKSTLLV PEQPEEPRCPVNVARVPGFEPEDGEQAWAFYNGAGQFTQDTSNARTGEWEALTSEAQQ YYIYLEQQFSAQDLEAGETYDYHFFWKPKTLPDNGRCYIYGGYNDAIAFPHTEVEFGA TSSTGYTIYSARFPMPANDLLLQIVFYCNYNAGNQQLGSVYIDDTALIKVGGCEAYPM TGALIENPSFEIRATGDSSYAWFGTNGMAIKAGSASDGFSPNSGNNYLILTQPRYVQL ESIGEPATLTKPLAKSLNAGQSYTLQFNWAAGSSYTPGDCSFTIAFGSVTQVLGLDDS IDAYQYQQIETSFTATGEVKSMSLTVSCSASVVDFALDDFLLHSTKIGDEYNSRIVDS IDFIVAQLAMSSFAQFRTRDRQILL FPSE_02883 MSQNVLVALIGLAASVQASPCKPSSMTTVTGTASTDTSLATTST DIPSTTGTVTSGELATTTTEAALATTTTTGPKLTYNCPGGFPSHASCGIRYNHNRSNG YIVGSGGAGSMAANSLDICMQACAEHANCDFFAFWPGQLCELWSGNYEYDSSGNMGAS SWYELSCFCVPGRETTPTVATTTEATTTGTSADTVTTIEPAPTD FPSE_02882 MKFSILSTIILAQGIISEGSSSIVHPKPKGTINIENYEVCLKVC WPEEPKCPEGWNSKKFGEDDYPCWTCCKKTDDDDL FPSE_02881 MVASKLFLAAIAFGPVAAGPCRPSPTKVLSSSTDFSSSSMVPLS ESSLSSGPTHDASTSKELGTETTTNTAAETSLISVTVTFESTTTAPTDSTDSQGTVPN ATSDIKTETTAEGQTTMETTPANDMTSTTLESKTEGSGSASTATVTTGTAMTEPITTI AESTVESESSSVQATATTEATTETIEAITTTTEATTTTTAAEPSTTTEATTTTAAETT TTEATTTTTSFEPVSTTFNLLVQGGAMANGVALYSNNQDALVLGSQAAAAWFTSYSTA PLSYDPITRHLLLGDKRLCLMYDSITVASFAICQGPPVGQKFELTCDDPSGGSLRCNV PAKQYISKDNIFVDTGDTWNQFYLHEVNRQFSPGVFRIIISKDTLSNNDIKYAAFERI TVGTRVA FPSE_02880 MLKRCSSSRHQGAGLSLIGSSFGTPNSAWRPSLTVSKLTNLKSS RLDELTSELGTKWQTNNIRIKPYAAMAGTHPSIDCIRRLQEQHPERMKKFDEITKIEI LLGEAAFHHGGWKAEIPLTATGAQMSNSFTTALQIVHRKVLMAQFTPNMINDERVWRL VDLTECKLHITDGDSIGCQEVRIEFQDGTALHHAVPNGYGVDPPLSNEDIVGKWRELT KGIVESEVLYKIEEIVLSLEELDDLSTLCDLLGQISKSPLAE FPSE_02879 MAPIKVGLVGYGNSAKSFHLPFITAIPDFELVAILQRAEAPSDP SSAAKGSHCTVDFPHVKHYRDADKFFADSEIQFVVVVTHHDTHGQFAEQALLAGKHVI VDKPFTATSEEADKVIKISEEKGLILTCFQNRRWDADFKTLSHLIKNGALGDIKEAEL HYDFESPSWMSQMGTKFTPGSGMSYGLGTHSLDQALVLFGRPRSVTGFFRVQRDGESE VEDSFTIILQYDGAQKDLLVTVKTAVVTPMAQQLKHLVRGTKGSFIKFQQRSTCITED NIATGLKPLDEGFGVEYESMQGTLTTYEEFDNKVQKWDPEIKKYTGKYPTLPSRWMGL YENVADAINGKAELVVKPTQCRDVLRVIELARESHEKGATIPWP FPSE_02878 MSTSEDSLPHVRWGVLGTGWISTMFLTDLLTTRPDARAKHTITA LGSSSLQKGYAFVEKLWTKAPTKHQPQVYDDYQDVYDDPNVDVVYVGTPHSLHKKNCL DAIAAGKHVLCEKPFTINAKETREIMDAAKQKGVFVMEAAWVRFTPFFKALHEEIMVK KSIGEIQRLSVDFGNYFDLSTLPKEHRLKDPALGAGALLDIGFYTLTFASIILGDWKV GKEHPKPKVLSSLDIANGIDEANVVVLEYPSATGVKTGVCTSSFRYRGPDEFGRIEGS NGSITLFGPAVSVPGGFRVTVGPRPGPPEPDNRETRTFNVERHKGTLGFYWEADAVAE DIAKGRTENEIIPLDETLRMMELMDEIRSANGLKYPQDDN FPSE_02877 MFGSSVTKLALVAGLVGHALADLVTSENTTHISLANDRFAVVLA KSSGHIIDATLDGQDLLGPLSGNTGKGPYLDCSCTPSGFWTPGSTAQFRLVKGTDSSG TKYGGIVMSDTFKPTNQTLSQYFFLRGEETGLHAFTRLTYYNASAPFLRDLGELRTLF RPNTKLWTHFSTSDGNYGPLPDAAGALTVQDATWYVGDKTNDPYVKQYSDYWTKYSLS ESWRNHDVHGEFSDGSTSNDGSTFGAWLVHNTRETYYGGPLHSDLVVDGIVYNYMVSG HHGAPMPNITNGFDRTWGPQFYYFNKGSKDTTLADLRADAAQYANPEWNAKFYDSIAH HVPNFAPSAKRTKYSGKVNFPKNAKRPIIVLSENKQDFQLNVFNTKSLQYWAEIDKSG QYSIPQVVEGTYRVTIYADGIFGWFIKDDVQISKSHNKGTFTWREESAGKELWRVGTP DKSSGEYLHGYAPDTSKPLEPEQYRIYWGKYDFEKDFPKGVNFHIGKDDEAKDLNYVH WSFFAAKGNHLRPENYYDNVNNWTMTFDLSKNQIKNAKTATFTVQIAGSRTGNGNAKW TPVKDRFNSNLPWTVNVNGGYEDTWVIPYWRSGSCAVRSAVACQNIEHKFEFSASKLK QGKNEFVLSLPFNASSIETALLPDTL FPSE_02876 MKITTLAATLLSIGLAADTAAAACCNVKVCDGLNRKGKCKSSCY AYGKTVNINRSGLKSSIASGQTAKDCFCTFGKNRCVLNSILYAS FPSE_02875 MSDFFDWDRDDEEDAKQDFKAAMVKQFNDIYGTDPDNLENWQKL CHVLNIEPAPTRLEACRERVRRTHVNLVDLVETANTGLPVKVFESLEQLQDYTVENER FFPKYSAYHGGLLRFLLRQIFHWLGTQEETNFECETCDRYFNDKHAVEQHMSALNHWA DSPSEESDYSSYICEFDFCDEELDTADDLRNHEINDHLYCDPCDRSFKDLNSIKMHLR SRVHQGETQHCPFCGQSYVTAAGVFQHLENKGCSKAPLNRAMVYEAVRSRDPNGTLTK KLLDWSKSVRLEATERSLNAVTGAFDCSLCGASFNRLQSLTQHLQSPKHEQKLYHCPK KGCDRRFTTLSAVTSHLESEQCGFMRFEDVQEVLNNYIPVELILWIFFEPNKIDYCPG EGLISSDSIMACTANGSATMRYSHCYSTWDSDLKVLSVHGKKRRVILKVTC FPSE_02874 MSGKLTEDFAVFRIAEEGQVSRLQALSCQIQELVGKYDDAVRDL ESERVARRITQQDADDSRAKYEELQQSMERSSFVLVLIDADADSYIFKDEYYAASEGG TKASLDLRDRVRSFLQANRPDLSDYPIIIKAYANEAGLSHFLVSSGIIKAPRDLVEFA KDFTQASECTDFLLVGSGKDRADKKIQGCFKQFVRNPTCRHIIFGACHDNSYVRLLED YCHDDSVVDRVTMLHGFSVGREFRDLRFKSFKMEDVFKAAPVRDVASSPESLASVPSS STWASTVGSKEDASSRKSRAKSSVRLNSAGCRIDDHLRKPNQQALDSWRHKVGKVGMR YCRLHHLYGSCSKSSCKYSHGPLTEEEKLVFRREIRMGVCNAGLKCRDVACLYGHNCS CSKATCKFSAEMHQIDVSEVKH FPSE_02873 MSQSQQSLLNGNTNGYANGHANGRTSGPEWDAKIQKLNGELREA KYLAGFHGDALIGDITRPGVKWWTDLRSILTFQHLPAIKNVLVHYIKTKTLDKTNLAE LVGSISNDSLLRAKFIETEVKNKYERMLHPPITYLGDAFKYRTANGKFNSAMHPQLGE AGAPYAKTVPSKTHPLGALPDPADLFDRLMAREDPGPDGKGRPSTSGLSSMLIYHATI IIHDIFRTNDADKNISDSSSYLDLSPLYGFTEEMQRKIRDDKYKLGLLKPDTFAEDRL LRQPPGVCIYLVMYNRYHNYVATQLRRINENGRFSVPSKYTLSPLASAAKEFVKDADQ AFTDDIWKYHNEWKRRRSAGIDDDDDEDEDFDDLADTLRQRILAGIEEGLRKESERQK ALCVQVTGKEHSDDADENSKSISPEGVLQQFLKAHEAAWDKLDEDLFQTARLITCGMY IQISIHDYLRGLMGFMNFDTNFTLDPRVDMKNHKNVSRGLGNQVTVEFNLLYRFHCAI SMKDESYAEDFMAELFEKDEQWDPKSMGLPQFMQEMGKMKAKEGLKKPLEPWQQEFGL RKDGNPRFKPFKRNEYTGLFDDEAMVNELTSAMDDPIANFGPLNVPRCLRAVEILGIM QARKWEIGTLNDFRDFFQMKRHKTFESITPNEQVQNALRDLYEHPDKVELYPGIFCET NQANGQLNADPGPSDLDSALWAAIFSDAITLVRSDRFYTVDWNTNSLTNWGMKEVTPD NDVCKSSMFHRLIQRAFPEWYPYDSIRFFHPFYTGEKLAELAKAQGYDKEFCVKTTPL KKAKKNSRGEMTKFDFELTKSNPQRPSKTVYLTHSDDIKLILEDTSDILVHPARTRIS DLPPEIHDVLKPGQNNDPEKNGVSLAAAAEHSTVDHEHIQSYLVEIARNIIKREVVTT DKTKGIYQLDIVRDFAVPIVTRFVADFLGFGHLLRSDTNSHAPYSENEIYQHINNCQV FLSYNTDETKLLKRRKAFRDSMSFLLKLAQEGNICEAGKWRTTRWVQGFFGAIASFGH DKPNFMTALGYRVAGEVLQREKNTTKAATILLLTGLDSAYNVVLAFTSVMESFFQNLY AEKPNARGEEIKAAWLEIQKLAFEDDAKSNERIQALVLKVQRWSVRLPIVRKASQSTT IQAYNWETKKPEQMTLAKGTVVVCDINKAEKQNTSESADERHELNYCSSFAEAFSEYH PKHVAATGLVTMVKVLAQLKNLRRGHDTQGVSKKVSIDASSVGYANYMAPMRLKEIEL KVKEAREKKELTAEQLEAIFPSEIRKPATATYLTTEWDEMVPFPTTWKLRFDGYGVSD YSKNNYEVLKVFKIPDDIQPFYQPNGPSKIGGSFATPVCVCHNSWKNDNKGKGHEGHE HEAGAHEVLSACGHAHAPMPATSGCKIG FPSE_02872 MAPSQDPAHVEDGGKLPVHHTEFSGHNSQVELIEAAVAASDAEA LIPKKELFSRYAPAVAFSMALSVALVMEGMDVGLINNFFAHDAYLNRFGWPDADGKQH ISTKWQGAIGAGNNCGSILGLLLNGFLQQRFGSRRVYMFAMALMSCTIFVLFFAVNVE MLLVGNLLCGIPWGIFQTLTTAYAAEICPAALRGYLTAWVSMCWGAGSFLATGVLRGS LDLKGDAGWRVPYGLQWMWIPPLFTVAFFAPESPWYLIRRGKFEEAENSLRRLARKGH YTDETMAQTLALMKHTNEMEKVEAEDASFMDCFRGTNARRTGIVCMAWIIQILNGQSI TSFAAILLKSVGMSSKNAFNYNMGIQSVNIFATGIAITLMGRIGRRTFYFWGSSGIGA CMLIIGILGFAADGDKVAIVTAAFLVVVQCIFKVSLGPTTYVVVAETASSRVRAQTIV IGRAVYVCGQIIVQQLNPRMLNSSSDAWNWGAKTGMFYFGLCFIWAVWIFFFLPETMN RSFADLDYLFQKRTNARKFTTTPIDLFEIVGPGRDDKLQGVEVITPVNTNTAGQDIEG GKKL FPSE_02871 MLKVNRDLFKLAFTYPCLMHASLAVALTYDRFLNTDSYSRRSLE ECYHWSQSTALFNEKLRQPLKKRDNDPIWGTAGALAVLTFSSPDAYRPEYSWPLKQST KNDLEWVQMINGKMSLWEMVEPHRKDSVFHVMAATFDQMQSPLPEVGVHGIPEPLADI CLLHDLSTADNNPYFIAAHAVSRVLNLPDSEVTTGHTQIFTHSLDGVFKALLLEKDSV ALLLLYLWYRKAGRSIWWIELRARVECPAIRMYVETYHGDDVVVNALLQNEKLVMLDV EDNTPDGQYGDISVVTASSVLSSGARCAVQLHDRKEHKALVIGDGSIRERLRELMPAQ EDSDTKGDCPMLAQDRMCSAVDA FPSE_02870 MSTHLAAVLKGKGQPFEIQSRPTPKPGPNELLVAVKSVAFNPAD TFMRSQGFFITEYPTVTGFDMAGVVLEAGENVPTGDDKTSICFQPGTRIVAYSASAWR SCVPDYGAFQEKCLVPWQHAVPLPDENMSWNHAATLPVSVQVPLSAWDQMSIPRTEEK TTNSSKPVEKNQVLLIWGASSSVGTMGVQSARLMCQDPDSPFAAVYATAGSDNLEYIR SLGADHVFDYKGPNVVDAMVSKAQDSGLVIRHCFLAVGNLSLCQDVLKAFAKPGEKKA KVVSAPPLPEDVKDVEGVQVTFVMPSLVEEERLEQFRYWMGTWLGKKLAEGSIRPSPE PSVVGKGLEFVNEAVDRMAQGSDNEEGRVGFEVKPIGRSAAPHNFHCSLWTSFGFSSS RGNGQCASGKKEKIRG FPSE_02869 MSSSPKADLAQVEHIDPDSKMGFDEVEKPAAREANDREHDLTLR DSFRLYPKAIAFSLLFSTAIIMEGYDLSLLGSFYGYDAFKQKFGNEIDSDGNPIVSAD WQTYIQVGGMCGQIIGLYINGWVSDHFGYKKTMMASQMLMIGLIFFPFFAPNLNVLLA GNILLGLPWGIFQTLTLAYASDVAPVVLRPYLTAYVNLCWVIGQLIGAGVLRGFSTMG GDWSYRIPFALQWAWPPFIMLGTIFAPESPWWLVRKERYEDAKRSILALVTQCDVPFD ADAQVELLKATNQMEKENSAGTNYWHCFMRSDLRRTEVASITYTAQALCGSALMGYSV QFYLEAGLSPEKALDFNVIQYCVGAVGVVLSWFLMSRFGRRDIYICGMATLFCILIVV GALGCINKTESVGWAIGSLLIVYTFVYDMTIGPIAYTIVAEISSTRLKAKTIVLARNF SNIAGLVTNTLMPRMLGRNSWNWGAKTGLFWAGWCLLIFVWAFFRLPEPKDRTYGELD LLFEHKVPARKFAKTKVDQFPTSSSTEKVQEQ FPSE_02868 MPLKSLELLHYFHKAGGNDELALRCKRQNLPRLASSMHYPDALR NTLLIAGFHYIVNAGRSIDFKPTLLLHKIETIRSVNKWLQLSDSKAYAMCVRQISTLC FSECYLGHSRAAETHLNGLMKFLDIYCPLGMVSPVEPSNTAELSIRYIMLTYSFISIC KSRVRVELVDIGEEAPPEVMAAMHDRYKVEAGGMDLKLRSMEMIPYFFAPLSSMRGFC DIDASPMIKCLLSMTEICQLRAPATDEKPVQQLVWLEGAAVKWILAAIETHVESMPWQ DDRARKETSSGGMKSSWSGLFIATQLYVHQVLGLWILDAPLEVKFHSHILGYLSWDLA IGESCLEAGSTVASNFWFWKAFVGAFSLARHIETDHAVALYPLRRQFVRLLYKWSEAT GIKTWKEAREILIGIVWPKSAFTHGSLAEMIWYESQVNIQESRGSSVQSA FPSE_02867 MVAIHPVHQPGAPIDLLARCPDLLIVSILETLPKHDLCSVSRLN KRYHALADAVLYNTVQWLKPELHLIFSQSLSRRPRRGSAIEEVKLAYPASEITRLISD TLEPMSSVSQTISTMSNLKTLDIAVPVGLLHSIGNLFNGPFDLACLQSCTLFYQDEDD QYWDLQENIHIFTHPTLETLVIKRAKLDDRGFEPIERPHNTALKTLHLIECDINDDTL SDVLMFPEALKEFVLTQREEPEPELEESSSSIRDYMMALKEQCHSLETITIDFPMLAS GRALALREFVKLKTLRLNWDYQLFGKSTKKPRLHSVGLPPELETLEFFNPLGTDEEVT DLLANAIQSLNITCRKMKELIVLVEEAEIPKDVLEAIKSQSQLHLSVIGGEEDRDDPE FPSE_02866 MCWMNHIHATCPSCENSQKTGIEVEKCLKATTSTETCDLTKRDI FKTGDECAKCQEIQRLKDEADLKMAREAEKNGMPNTG FPSE_02865 MDSQSEEAEQAEQPQQSETNIFPELEFETNIFPELEFETNIFPQ SESETNIIRDSLTFLVNQKLDLIKRIVNKTPPNDHHHLLTMSDFMLDENPNGNGNEGI VDEPAPSNPPVEPLPIPTIQIDPDYNLSGSGNQAQTSIFSPEAQANPPDIELSINPFL LAAQGHPLAPAAQTDPAALEPQTNPPGQEWQFDLFALEAQTNPPAPEVQATPPAPEPQ MTSPTLEAQANPPAPLLNALHFATLLHMPIHILELIAKQPVDDPIRDPGTLYTAIEQI HEWSFRYPPISSPAQSPETQKCLEYDDQRCIVTGHEIKTSCHVIPFCRRSMVGGAGHR INSIRSVMNYLVGYSHLSRDVESQELLGILSAEVDIPKMHWNLITLSPNIATLWERGV FAFRWLYHSRIVADNWSHVGKVRITLEFVWMPFNRSRRRLDPFDLNEAQDF FPSE_02864 MEKYMEPVVEWVVASVKAQPYVWCATTLTFLIGVQLALAASIAH GDEATVRRQLTGLERIDIDKAQKHGTKGQMTTVCPVASCAPATIDADAHPGGHTKYND CYMLALQTPETDAQGYTIWKSITQQDKPDLSKIKTEIWIPKSNADENDPLVQSGGCVI LSFPDPSIPSWLNVVAGYLGNSVATPQVACILPLEPTKAELEEHKIKMRPFKIDGEDG KGLDMEGLPAVSDILSRLRLFLGVKDKQGIPQGITIFKRA FPSE_02863 MVRGPLGPIALENRKHLTQQTTKPQIRAYLKSAIAENKNGLDAI LFGESNMLLIIVTNWTKAELTQAADFSAAVLRDGDGSLARKSARGHMTQIYVSSVKES FMSKNVMVVKGKYHGGNYWLDGCFLPKALVKFEEEIARLTTGS FPSE_02862 MFGLGTKDQQPKFDDDDVYPAMLLDDTKAQRDMILHWTFHFNDV LDAEKLRTSLVTLINIGDWRKLGGRYRINTQGRAEFHVPKIFTEARPPFQYSQRDFDM NIEDHPINRKLPRVREQPSVHPRGHGLKELVTAPDNPVNGCDLLVGDKPQMALNIVSL KNETLVTLVFSHILMDAGALQALPQNWSVVIAGREADVSPVFGAQKDVTHDIAEGRME DHSEGFVQERLKIAPKRIKGFKMFIFVLRFLWELLWYEKSRIPHYIST FPSE_02861 MDGSTMLNREHMTAKDVVHDVWTGLDLPETALESVSLPGFEGPA LPSSFKIGILAQASIALSALAASQIHARRNGIPVPQITVPLEHAVIEYKSERLYTIDG QPTPIRGTIGGLHKTSDGHVRIHDSFPNHVQGTLRLLGLPLDVNREQVSDRTAKWAAI DLENCGTVEGKTAIYALRSYRQWEQLPESRAISNFPISIKQISQSSPSDIPRRMVGGN TKCLQGLRVVEMSRVIAAPLCGKTLAAHGAEVIWVTSPNLPDLPVLDREFGRGKKTVQ LDIHNAQDHKKLLDLLRECDVFVQGFRPGSLASYGLSQEELIKINPNIIVANMSAFGP DGPWSGRRGFDSMVQTCSGMNVSEAEHAGQGETARPTPCQALDHSGGYMLAVGVMAAV YRRAIKGGSWKVDVSLAGMMKYLRSLGQYPGSSGFDAKDVKKPEDVPEKYFETGDTSF GKMRSIKHSALIEGVEIGWDRPPKPLGSDSPVWD FPSE_02860 MSSPRLSVAICGGGACGLAVLLCLMEELKKSSRVSHIYMCEKQP VIGPGLAYSSACSEAIINMSADTMGLYPGDPSHFSRWVASNHPQLDGARYPPRRIYGQ YLVSLFQTAKDDAVAQGIHLEVKEGELIDLCLSGDKFVLRNDNGGKLVVNRVVLALGN FTACTQSHLIGHAGYVDTPWPLHDLSSIPAASRVCVVGSRLSGLDVVLHLAQNDHKGP IYLASRSGCLPSVQSLRGQGYKDTYKLHLLARDLEYDAGISDWDQFFQKRDPLVKLSQ DISDAENGTNQWRALADAIAPNLERYWSHLTSKDQLLFLDKWCSMWYTYVHAMPYENA LKLQNLLRSKAVKVLQCSRLYQRASGFTITSHDEHIDVDFVVEATGFETNVSQIRSPF LHSILQSRILEAHPVTGLSLDQHTLESTTTPGIYAIGSLSTGVHFYTNGIDRNVAHAS RISRHLVGKPVYSPAHVAIILPDGGMGWAGTLCRAITTMVQSNMIPFLYRSVRQCCSR EQGSTSSDEFHCGACGNGANLTGQCGDGLKNHSSHDSDRALSHLCQRLGMFTGECFDL NISFLNTLGEHHIDIGLLVQDEPRLVNRKTQVAMEKICMGN FPSE_02859 MAAVNVNPLTATASELQTRLADNSITSRQLVKIYLDQIYHYNGY LKAVIATAPEDLLNKTAAALDQERIQGYVRGPLHGIPILVKDNIATGPALGLPTTCGS LALQGSKPRHNAGIIDQLQAAGAIILGKANLSEWAWYRSDFADSGWSAVGGQTQSAYV RGGFDRNNDSNGGHSIRKNNTELVNYEKDQDAGRSTAAPPVFPAHIDHTIEGGPKRML RHLTEREALQYMNDQYRARIINVWRPLNNPVKDCPLAICDPRSIDTKDLLAADRVTPD FAVELYYLKHNANQKWYWLSNQTLDEITLFVNYDSNCRLEGSDWKTCPHAAFINPDVP ADSPPRESIEVRLIVFTRSE FPSE_02858 MYLQESEAFLFNTLKNQCFQGSDTTLTPKPELLSTIPYVYLLPK RPARFYGRADDLAKIRQKLSVLKSVTVSGKAGVGKTSIALQYAYQSLSEYKIILWMRS APTTALDQGCVDALIRFGVVKDGTKPGVDNRQKWTDYLSQAAVPWLIIFDNVDQADDL HQLWPMDGNGKIIITTRSPMVGYGLTEGEIPICTFTEEEGQQCIIHLTSWPGGAPADP DSARELNNELGGLPIGIVQMAALMRFQRTPFKKFLCRYKEDRLKFHSKDITGITGIYP DIKPKIATNWNLSFNAFEYFLDVLLDSAPLIRQLSFSAMPSLENILANVSLDAGLTVQ SPPEFAKLMAWCSWYLFEVADYTNFRTVVEGGIKACNEVPKDRFDEVTWSMLNYNAGT VETSVGRFDEAKLYLDRALEVRRRLGNSDDIAAVLNNLGLLHNSTHDYEVAKRYYSEA LEIHLGRPDSQDRNLSLNMVKHNMQRNAIQEGRSLPSIEDLQGTVTFFKSTPSWWMTG HACLVLGNLLYKREEYKAAEKTFVEARDILTAPGRAGKQPAVAMVIYKLGCAAFRQGD YNKAAQHFRQSLAISAIYPDIPAEQARTQFMLAKVLRLGAQSLGLNEEAQALNKVDSL MVLYHKSNGRSSSKKYLTDTDFHTFITAKYQ FPSE_02857 MCHSRETFKELLLADASPSIRTLIDLNPGLARIDRCIAHFVQTS LSQPQVPGSFSAIQLSTSTIWSILLLTSQALTAKSTGPEHTVHAIASDLNLQLSLQLP LPAAQQRIPTLQRMAIASIGWVSFLFNWKSPVVSNICTIEGGPSLKQSNPHMEDCIRS INMFIRPWGLIPQICDKRALIDNLLYLSNLNFHSLTHIGRLNIKTLHMFQYPSICLLA LSNGNYQKIIHQILKGLCEPTNSLHREVLLSLRFIFGATRKSRNLANKVAFLGQPWQD HDAAFDDLFQGKFDHILLQSPPGSSRTLNGQDFLTPWNGFPLLGERLLQIQNYNKEQH PTSTRAMIRDKRNPAQWYILWAVLLIGSLSLILSILQLGVGIAQLVTSS FPSE_02856 MASILLQNATLLVPRGPGDDHIVPLKNHSLLIEGNKITRIAAQI DPPSDATEVIDCTAKLITPGFIDTHHHLWQTQMKGRHADHSLLEYMAPGNMVSRSYKP EDVFWGQLGGCLEALEAGTTTIVDHAHISYTPEHNTAALSGTISSGIRSIYCYTPTGR VKTWKPFEFEDTLIPDWVLEQLTELCQRGPFSDGRVTMGFGFDFYFLPKEVLVGIFSK VRQLGIKTITSHYVANLLEGSLVDILEGYGLLEKDILLSHATPLNDSDAEKLKKVGAA VSSTPEIELQMSHGWPICFQENCTSISSLGIDCHSNNSASIVTQMRIAIQVERSRRNS KIIDTGKFPGRNQVHVQDAFQLATIRGARAIHMEDQIGSLEEGKIADLLIWDTLSPSM ICAVEEDPIGAIINHSSPSDIEAVIVDGQFRKRDGRLGSIKLDLELAPGLKQDKTDVE WSDVAMELLNSRERIIADEIEIGADDRQSAFENGLALFGVKRDKLIF FPSE_02855 MAPLISLRARLISANSHSFPSICLRRLNSNRRHYSKMPPRTHDC GIDAEPIYRTNSYVAVKVKISKDKDTRELDVLQALSALPKHHPGSSHINQLLDHFTID GPNGFHECLVLEITGPNIDDAACSRLPATLVKVIAKQILQGLDFLAANDIAHGDLHTR NITLAVSDLSALSEADVVARLGKVETGPVTRLDGGPLEDNSPTQIIRPASFPTSDILP ENPSVKIIDLGQAFFGNNAPRTLHNPIAVRAPEIVFGDQLNVRVDLWSAGCLAQIFEL VTGQPPFASMQYPNSLVEQMLQEIPDELPTRWQAKWQVMQEELAKSRAKWPEDEGDEI FTLQEWLDECYDFHDREAGFTRKELASLAEAIGSMLRLEPSLRATKMSSGKADVTWGQ FPSE_02854 MSITLLSVRTTFASMTSDAISTNAANVELLSLSEQHLYRKAEWR RVIKQIDMVLDILPKAQTWIRQTFARFNDCRIWPVRGDWLGTSQGAINVALDVWMLIL SMTKLWKIGIKPKKKIGIMSMFGEGALYVTTFMSAAKATIVNIPVADAVDTVIWSMVE TSIGMMVACMPGARQFVRDILSRVTRGKSTETNDKGSVFIDQPLATIVMTRQDTEIET DHSFSTTIVKANARMETDR FPSE_02853 MTVTFIETEGGKLAVDISGEGPLVICSPAMGDFRDAYDPLATEL RKAGYRVAMVDLRGHGDSSTTFNRYGDEATANDLITLIDAYGGGPAVLVGASLSGAAA TIAAGTQPHKVAGLILIGAFLRPGTGKLVASLFRLSMNQPIGPIIWKSYAPKLWPGLG DKTQERVDRSIKMLTGPGRWKAFHATLSTDHAVVQPFLSKVKAPVLAVYGDADPDWSD PAEEARWVASNFKDSEVVMIKGAGHAPQLEKPAEVTPAVLRFLNRIQSEGAFNSSSS FPSE_02852 MRLLNIVFFSGIVTAAPGTTLQGRAAANDPCNIGYCTQNGGTTG GGSASQVTVKTLGELTTAANAAGPAVIFVQGSISGAAKVQVGSDKTIIGKTGSSLTGI GLTINGKKNVIIRNMKISKVEATYGDAITIQKSTNVWVDHCDLSAVRGDDKDFYDGLV DLSHAADWVTISHTYLHDHSKGSLVGHSDKNAAEDVGKLHVTYANNHFNNVRSRGPLL RFGTAHIFNGYYDTMDTGLNSRMNAQALIQSSVFTNVGKKAIFSESSSEVGYVVAEDV VLNGESQNTAPKGTLSASKIPYKFTLIGSGKVASTVPGQAGQKLSF FPSE_02851 MSERKEITYAFDTVDDWQASLKASEELVKEDTGAERWRSTRTMP PTYYPPPMTQQAIDKLKEFKGAVVKDISEE FPSE_02850 MVKITSLFALAAPLVAAAPSPQEKPQIVGGTSASAGEFPFIVSI TNNGGPWCGGTLLNANTVMTASHCVQGRSASSFAIRVGSNSRTSGGVTSRVSSIRMHP SFSGSTLNNDVALLKLSTSIPAGGSIAYGRLATSGSDPAAGSSLTVAGWGDTSDGGGV SPINLLKVTVPVVSRATCRSQYGTSAITDNMFCAGFTEGGKDACQGDSGGPIVDSSKT VVGIVSWGDGCAQPNASGVYARVGTLRSWIDSNA FPSE_02849 MQLINVMTFGLEEFHKNIPEYAILSHTWGHAKDEVSFQEINNPS PEVRLKTGFRKIELCVKQAQLDGLMYCWVDTCCIDKSSSAELSEAINSMFTWYRNSAV CYIYLDDVEGMAPESLSAFRRSRWFTRGWTLQELVAPQTRRFFDMHWSCIGEMSRTLN NKYRVNGFFRVGTEADEMSNPSSTTTKFSSWVAEITGIPDRVFYAGDLKSISAATKMS WAAKRETTRIEDQAYCLLGLFDVNMPLLYGEGRDAFIRLQEEILKKAPDHTLFTWGSM ASSTPTLSGLLSYSPKNFIETMDDPDEFYAILDVESETSVGQDYRYSIRLRRLDVWGQ YARVHTDAGLRCERESDMSTSIIPSHIYVQNCINHVSPMNLTRNPSKFILGCKMTTSW DPDTFSISPPVSENYYARVALQQTMTPDPSLTMTIGWRIQKDASDNVVSHLAALNDPN DLDNSHVAWWEE FPSE_02848 MARHFVHSLVLTAVLSPLVNAGPCRPSSSSTLTATVATTTKEST SVESLSTLLTSTIVESETTGSATSLEATTTTTSCSTQPFPWEISSSVTTTTSEESIAT TTTTETAATTTAAEEPFECQNNLKVPAPANAACGISGYRPSVPQGAKAIGYGSVESLS ACYQSCMEKANCVTFAFMENSFCDLWKGAVGETDGSETDFKWYETRCFCDTGIEPAPT CEDTNIIKNAGWDTGKFSPWKYYSVAEDRDIVDFSIKAGGADGTGYRFQTGNFHYDKS MWLYQDLTACPGITLQCSFKWMWDKYYGIAQDNGDTLVPYVRIYQDNASRAHVSEYPR SSADTQRWIESDSFYFTIPASGKTRIWYVASSPQGEWINTSTEPYKSNWVHRTNKLAL DSLICNPV FPSE_02847 MYMVPSETRDPFGHNALLKLRDEQGSVNFTSFKPTSQYPVGLSI KCKELHGEDPVSAEVHLAAWQAAQWQSFYQMAGDDVKRLEFLPGIIIEGHDWKFVATT WENGKTTLLSSLHLGSTATGVGVYRIMATIKVLSKWVMYYFWPWYREFCLGLQPLEKS SQIAPQQDDEGSSSP FPSE_02846 MATKTPHIPHIHLLCMEEQFSDAFNVARKSRKLPDSISINIHNC ALSQLSSTVKFDTVVSPANSYGRLDGAFDDAISRQFSPRDDYHALTGVAQAQLYKTWR GFAPPGTCTLVEIPKEFDGRSHNGFGTRRVAICPTMRMPADVRWNKEVVYECIWSLLC AIDNHNREASEQDQIESVLMTPLATGVGRLSPEKWALQTVLAMKHFVEASENPEKWSS LQWADLGKTCAETQLTWTK FPSE_02845 MAALSSLTPVIIGIGEIRQKDFTIETCREPAELILSAIRDASED SSISAIEHVDSISVVPPWSWNYDDLPKLLAQRLGIQPSHLELASHGGNTPALLCDKAA ARVASGEAKMAVVTGGEALASLFACQKAGKIPPPGWTAMSPDAKRYSPGDTSLKKGAG ATHSIGNPIQVYPLYENAYRKQNQQTYIENHHESAELYAQFDRIACQHPKSWRAGETP RKLEDIKTVSKQNRMICTPYPLLMNAFNGVNLAAACIITLTEHATKLGVPREKWVYVT GGAGSNDSSDFWERLNYHSSPAIEYSIDNALKAAGLAKDEIDCFDFYSCFPIVPKIAC KHVGLNLLDPKKPITLLGGLTSFGGAGNNYSLHAIAEMVRVIRKGKYQNGLVLANGGV LSWQHAICLSAQPKRNGSAYEVQAVLDNGQTSQGPTFTGQAKGAAIIETYTVDYDRSG PKLGHIVGRLVESGDRFIANHGDEMTLSTLVSTSSEPIGMKGFVEVDPDGRNVFTVDP LVKL FPSE_02844 MSSQAPARRDTHGIGNFKVPVIIAMSALAFAALLASIGGASGKT VRSPTPPMGWNSYNHYNCNPSEEIIKINAKGLVDLGFLDLGYSIVTVDCGWPSRDRDS QGRLQWNETLFPSGPKALGDYIHDLGLEFGLYSGAGYLQCGSQDIPASLGYEEIDAKS FAEWGGDTLKYDNCYATSKTDMVDSDSAEAKSPDRFIKMAAAINETDRDIKYFLCQWG IGEDVPQWATKVGNSWRMSNDIFNAWRAIWRITNQAVAHSKYNHPGAFADLDMLIIGL GALSYEEERFHFGFWSMMKSPLIIGGVMDAKQIPSHSLEVMSNKEAIAINQDALGQAA ELVIRYTEEQWDVWSGNLTSNRKVLGVANWKNETQTVEVDLSLIGVGKAKARDVWAHE DLTISGTQKFVLKPHELRLLVLSDITQTPKPKQFGYYAAPKAALGGSASLVDCGANEC LPVNKKIKSIGKDAKVTFKFVSAPKDGPLYVGVDYINHEYHHTIGDWETNTRNMSISV NGKDAKRWAFPNAGGDWFESDRMTILLDGFKKGKDNTVIFTASPSGGWAPDLVAFEVL A FPSE_02843 MMGLSLLSNLNVLGVVLLGSIGLCGAHTEKPFLPKGLALGSKPT YKTKPFISFTLDSKNNVAVLDYGHEVGGRPFFDVQSLSGPAQIEVKYTEAYHGLEEPF SDGPLLYGNQLANNFRIETLNITKTGRYDVFLIQGGQRWESLRLLTEGSIKFSNVGFH ASFANVDPDKEPGFFECSDKRLNEIWKAGVRGAQSSCLEQGSQPSTWRVDPKNGVLVQ SLRPIQSEKSPVVGNHTLEFEAKIVRGGFWYGVAWEIGKGGGIGLQITGDLPKATTFK NHNYTLSPRNTLQVTSGWGQVNQTTLPTYLLKTFDLPFPVHENKWYKIRTTLKSGYLS ASIDGAKIFNMSLTSYRVLFRGQMKPITLTGRFGLGAYQDQTAYYRNVKVHNNDLGAL VYESSLTSDGILDEYGVRENIFAACLDGPKRDRLIWLGDYYHTSRIIGVSTSRFDLQR GTFESLIPTQNEDGLFSMSAPLGYQANITVFADNYGLEDYQLLGLLSLYYYVQSSNDL DLLKQEWKYFERVVDWSVSTINKTDGLVHLNGAFTGPAASGSSVSCMTAQALHSMAEL ASAIGKTSSAKKWKDAGDKLTEAIRKSLWNDKLGVWKLSTANETDFSVTGISFCTTSK VATRTQATRSFKALEKLALGPGYMDNTRTDPDAPTASISPNTNGFLLPALFEYGADAK GSKLIDTLWGPMVDDIKTSTGASWEYVLHDGSPGLGLFTSLGHPWGGAATYVLTEWAA GLRPAQGIDGYGYKNWVVGPESGLSMGLDYAHGRLQTAFGGKIEVTWKLTSNKKNMEV SIKAPKKTSGVFVFKGTERKLSGQEQYRFTVKV FPSE_02842 MDYFEVCWSIFSTICLVNVVFGVLVCEITTFTVLAAVPIFSSAA GAIANGLCYYVYYEKHPVVNEVVAAVFSDFFWLLQEASLLLYSYIILQRVLRPKQWRI FSIIFWSLMVCTAITRVFIAIYRAKFLIQGVHEYEVIINYLHIGYFTFMAISECLSAY FLVVIFASAKTSSMSAALKVGLLRYLTRSTEMRVAFLALIGVVRTIIHPFQTPGQKAV NIASQLDRFLYALFCLYPIVLYFDTLASKLRFNEDRSIYTHSDQAGNPTRYGMNTTQT QTRCYTTKSNYASKMYGGDVGNEGNNSQEQIVPPNGSNRSEISEVELQDIDVEGLVIR KTTEVTVT FPSE_02841 MPISADLVPEGWTTESQEMNKDFYWGNEGMGTLAAASVGITNPE ALMIKGKEEGGDAYLFQDANGVYMWSMTTDEVYKYTKPTNRDDILAEMHDCMSRVPVH FPSE_02840 MAIARIRTADEPQDAAWNIDGANYIGWSNRFWEGEAKDEECRDC TTIDRTPADPQILLWESLPCWPEDDDPNDPDWLPGEDSQTDSDPLEYDSGYEQSDDNE SDCDRVDRDSVNEDHDGVNELCPMSQLCDQPRPERLPNGTWYNNKIFYTGNRQAPIFG DFPGENAHKVPSEHIASPSCQSLQGINGHRLSVAEMKNCRNVRFLIPKLHNWKLGDDE RLMEEDSLFCLSGESNGSNVIEDRYFVPWKSFYPPRNGLRELSCSWQMINEGADGSDA LYPLPVHSYCLDIYAKASYQRMGKVDLDGLWHWREIETQLHSDQKSFPNRAEISKARE HWDFPWRHYTGDEWLAANPVEVPGMNKILKSCLDVTICQRSTQREASIIHLLPKELLD QIFDLLSPTDINSVANTCHKMYQLAQSRFREIVRKDMTWLWEILEGSQYPDSPDRPVA WDPLCPLGIPPPNLPVGLEKEEAEDELWAEIIAEYPEMEEVANAVKVTSTKRRDEILA PYEEKLESLSQMWQDFRAGVETWIRSSRNHTDEVDWGRTWRIFNPKTTLLPGVRNRAR IWEDCQQIMCHVASARESGQIDKMYPDLLAKLADPSHPGWSMDPDVNGW FPSE_02839 MSSDSTKELKFLSLDGGGVRGLSSLFILKNVMEKVGSKMKRRDL QPYQYFDLIGGTSTGGIIALMLGRMRMSIDDCITEYQRLGSIVFGKRRHGEYMFDEKV LVRETKAVVLKYLGNEDAPLLDPLEDDACKTVVYTLPFKNVVQQSATALRTYINEDKD PNPKKWTIWEAVRATSAATTIFEPFVHGPPGKQIRYIDAGFGYNNPSDLILQEARSLW EGDHYLSLHTDVGVFLSLGTGMGKIVRMDNDTVMEGLSAKIRAPVKAIEVMKNIVTGT ATIHRNVAEQFGINSVRYYRFDVDQGLEAVKLFDYKKTEDMEADTLAYLDDIKVGKEL KRCVEVMKVLPLREPSLLDNQNEEANVYSLGANGSLEEQRLMDRLNALRIKPECYTRH CKEWESEVNKEGARYHKLLYTELGIGYMWNAVVQADLLDERGREILSSCTPNPDRPQL LVSHDCKADVYYSPEDETPQRTAARIELANKDLSNAFQIFRQLLCTVAGIWDESSFPY CWIAKRMAGILEIWGCRREARNLYLVARDGKVKVFGEDHWSVRKLQDKLERLTYQLRE FPSE_02838 MSTTTKSPEQACEKVFDRLRKLAEELKKHDHPEIHFNILHSAQY VDHRKIDVQFKAQARLAQADVAQEVTSTLDSCNQHLKDCHILCMRMGTPQWTADSNAR LTMSWNFFFTYLSKVALLLQLELLDAQVFLGLHRQPPAEATTSPIAPPQSSAPNLSFA RRESIRSNGGSENFTIEERMERSQRAERQRQAKTQQNPLLVTRTGNKLRKSTSRAPSI ILGFTDSGSTISSDSGRSSLTVSSSLPQVPEEKTPAVSIPSIPRTPPPEYSRDDWDAK TLISMKDDKPSIPITPKATPSVRSVASEPQLSDASFGSNHTHYSGNVFNIYPVMTGPP PNQFGYMPSTQYGHLPFSPPVPPGWQMPMPVPPPTPVHCPMILPSRVSSVASVTIPSV LG FPSE_02837 MGHGSVSDIYSNIESSSYTPKGNQLQSSIYRDFSSVVVKYELPV STGPLQPPMSSKTHRWRNYLHLRAWSEFLQFDINNAVQISLVANDGKLKSNTTATNTK EVYNFVKTLKNGDSDRVPLASFERGDSDTTKMELDVMDITGDAPTITQNEATISASPQ SSKIVLVGDLRKTGKDQIVALFDSQDNLTISVNEYAANGITKTTQKRIPQTLSGFPLI HYQEIGMLQYMGQTSIGTGFGCEGDWGTGILTWGAEKNDFVDFEAFKPDPSGSVGKGT WGMSATDTERPLIKGWDVEARPDYKP FPSE_02836 MSQIAEPTSQDRGMTRIEAGQPATILEPSHWVQKGEATDANSDD LDTAIDSDGDSTSASITSSILQYRTIHGRTYHSEQGNAQYWGSNDEQQKDLMDLTHHI LTLGLGDKLHLAPLIQDDVKQVIDIGTGTGIWAIDFADQYPNAEIIGTDLSPIQPSWI PPNLQFIIEDCTREWTFKSSFADYIHSRWLMGSIGNWDFFFSEAFRVCKPGGWVESHE AASTISSDDNTVDPNSAMGHWGKFFIEGSKKIGTSFTVVEDGTQRKAMEKAGFINIQE FDFRNPIGSWPDDPVEKQMGSYTKHGLDTDSEGFVLFMAHTLGWSREDILAYVTQFRR EIRSGKHHGYFAQKVVWGQKPK FPSE_02835 MRHFVTPLLALGCLIGNAAAAWPNGPFKTSGRWIVNANNEKVKL AGANWPGHGEVMVPEGLQYQSIKGVLSDIKGIGMNAIRLTYATEMVDQIYANGGKDID IKTAFEEGLGKENGTIVLAKVLKNNPTFTAKTTRLEVYDAIAAECLRQQIYIDLDNHI SEGKWCCGGEDGNTWWGDTQFDTAKWVRGGAYMAAHAKKWPAKVTQSLRNEPREPTNN NKLRDASYNWSDLYKYMRQGADAVHQADPNAIIVISGMNYDTYVTPLYSGEKMKPRGE VFNRDDFKGYGKDKLVLEIHTYENKGTSCPSLRYNLYNKGFQAMNESDPNVAEVFPVM LTEFGQAMNGADYETAKTYVSCLSQYLPEMQASWFIWVIVGRYYTRQGIQEFDDSWGM KKADWSGWKNDQYIETYLKPQIKGTLR FPSE_02834 MALVIRESGNLKPDIRLAQAVSEFEALLTSEQKSTFRTSRDRAV STAPTMSDVMRLTAEIDLKATSKHGRGRCFGPRMTNTLQAIQQFAALGDIVVGGGQNL IACGVWAVARMALHVITGYFTYLESLSLLFMAIGRNAPRYQAMAALYPKSKRLQGFLC EYFTIITKLCHQSVLWTKKSAIGRFTSTIKDPQMKTFKDDLEVWSAAIKEETNLLLNQ QVVEEAKRNSIFRSLATFRADASAHQRKIERSTRFLDKCSKHDYRTTWKQTRKCGTTS MLEDCQEYQQWKEGSKTTSILFLGKLGAGKSVLLANIVDDLNLRDNAVTLYFFARHDN EESMKPRTMFGCLMRQLLEHIMDDDSFSHLFTENIPRLDLDDIIDLFRLARPSEVSIV LDGLDECELEAQRTVLGHLGEIRRFGYRICLSVRTPEDSPLWKAKLFGLRVYIPEENP DISNFIEAEIDRRVEDGRLVTRDPDLVEEVKKELIAGACGMFLWATLQLDSICAEVSD HDIREAIQDLPHDLTETFRRNLSKASPQDSKRLHVRIFKFLVSARELLTAEQLRQAAS VTIGQTIWNSDREITSIHPVLKFCGSLVMVDEEDDTVRFIHHSARSFCLEGTNSVTDW SFTQLEADQHMAETLVTYLSCNAFETRLSKAVAPKINAKEMPKIVAMNAMGSHPMGTG VASRLLRSKSKLKRDIGPALVKASANRSQGDHFPFLAYAKRHWVQHTSHLEDIPSLPH WHTLLDHPSFGIDQNYLPVRIFIPDTFLLSVDRLLGQAEASKMRNIWRRPTITKPISS LVPPQWANMIWALSSGHIMLLKHELTKSRGAQRIKAHVKLWSLLRRVSIHAPSVLQTD MNYNMARWLSRMFVNLAMDHPAKLYILVRIPESDSLHTELILRAIENSDILSISALIR YDELYEMNIPIMDWYDKDTGTRHEADVPEIIQAALAMPPDRRLVELIIQGNDRWFIQL LQWFCFMNLSNFQLSVGQDPPLSFRRAFDALKQVGVSEKRIGILEELLDIARGSLVEI QGLEIPKVAELE FPSE_02833 MLNSQSQPCKGAAYPTKYRRNQPRSKNGCLTCRSKRKKCDETRP RCTSCIRSNQTCVWPSKDEQESPSNSVGNTSDSTSSTSERKRSPNEDPQAAISDLNLA MKSLSTTSDTQIFQRFFFDWATSGNTSGGYTMSWFANLFQIYTNASTDSLVHKSIHAL ANASYGQRFNSPEALTKGNKWYGRAIQMLKVKLLSINDSSSYCDVVSAITLLGIYEIY GSFLNGQAPPVPWTSLNKLALPKSPAFYAHIELIYEAACQFAEWRTALLDYESDEGLD RLSDVARKASMLDKRFEEWAESAPESLRYTTDQLPLEPHPEWVQPLINSPWRPLNYHT YSTLMVQIIWRFYWMARGIINQALLFTYDILQERKGTPDTSISHRADIEDQILSFTDL LCESCVSTFVTISKGDPQMEAEKVPTLLGYLILQVLPALGLFYEQIVFTSVDTFGRRE WVAKMRHFLRVNFGIAKGITAIPPSHVGKIPIQTWGLPDEFPRGSK FPSE_02832 MGFFQSRFFEPTWKNKVLILQVAFTLLIFILGIAKIATKPSQIP FNRMDIVAISMSAKSGVFLAYEIVTMKVNKFKRFASLKAYAVLNTLDVVFWAAVMGFS FKSVATICSGANCGIGVVIGMMAILNSVIHFWAAVIAWMNHKHYKTYGVSRGDDEVKH GRSTIGV FPSE_02831 MSPAQNLGSGFPPQYHELEGSTPQIVAPPQNEVVAELEATTAGR DDGRIDIDLSSRLVRRLSRLAPIEKPKVSLEQPSGLPPPPEYSETGQSSICLNIVIQV VGSRGDVQPFVALGTELQRHGHRVRLATHGQFDKFVRDAGLEFYSIGGDPAELMAYMV KNPGLLPSMKTLRGGEIQKKRKMVNEMLHKCWDSCIRPDELTGQPFVADAIIANPPSF AHIHCAQALSIPVHLMFTMPWTSTREFCHPLANLKTNGSEMSASAANYVSYTLVEWMT WQGLGDVINAWRHTLDLETIPFSEGPCLAETLGVPVTYCWSPALVPKPTDWADNIDVC GFFFRDMPSYQPDADLKKFLSSGPPPVYIGFGSIVIDNPEELTATIREAVRVTGTRAI VSRGWSKLGGGSPSDDNIFFLGDCPHEWLFQQVTAVVHHGGAGTTACGLLNAKPTAIV PFFGDQPFWGHMVNAGGAGPAPIPFKSLNKDNLADAIRFCLTPEASDSARKIAQKMSR EAGVRRAVASFHANLPLNKMRCDMLPNQAASWTLKKSGKTLKLSKIAAEILVTNNRVS WKRLKSHQSHPIHIENRRWDPLTATLASLTTTTVGMMTSASDIVVKPIQAFRPVSSED SRRTSSSHDLSENNPGNQHSRTSSNTNDDNVFGRPAGLTVTHQLNKSRSTSDLHRQHG TAAALKGSASGVGGFLKHYSKGMFLDLPYAVTEGMRNAPKLYGGQAYDPGAVTDWKSG GIAAGKNFAHGIVEGIGGIVMEPIRGGKKNGAAGAAMGVGIGLLNMTTKVTSGAVGLV AMPGQGIYQSARALVKTDTGKAIAEARRTEGVGIVQKADKNKRGWYQETVMEAYEDAQ YR FPSE_02830 MADNIKDILADDSVTVQDAAKKVTSSCITAIEKNEDASKVEDEL HALWSGILTAAEQTPHDRQDKLVQVMQAIKELAPSGDKAKKFVVWGEETRWDALPLFG STARDELDRAQEDSEDACVNINAFFARVTAAGVDDFTLYAIWTLREAIEDPAADEIAQ KTSPKLLKAASVWFIYAGDSLATATKEGKQFDGKMAKPGASLRDEAEWRGFCDDRWKA WQQRMSALKDADLPEDTKTLIEKACQGLN FPSE_02829 MLFLKSIVSLGALVGVAVASPIESRQSATRCGSTSYSAAQVKAA ANAACQYYQNDDTAGSSTYPHQYNNREGFDFPVNGPYQEFPIRTSGVYTGGSPGADRV VINTNCQFAGAITHTGASGNNFVGCTGTS FPSE_02828 MKLATVFRNIAFLAVSADALNPRAAPTTPKKQKDLWVPEVGAKW EIILSQVFKIPKGGATKLDPSVTVYDLDLVENSKNTFSALQKAGKHVICYFSAGSLED WRDDKDEFRAKDLGKTMDGWPDEKWVNIRSTAVRAIMAKRIKVAADKGCDAIDPDNMD GYQNDNGLGLTEADTISYVKFLSQEAAKYNMVMGMKNGGDVTEQVLPYVAFSINEQCI QYKECGLYAPYIEAGKPVFNIEYPKGAPKVKASDKKRICSTTGAAADSEDFSKIIKKM NLDSWSMYC FPSE_02827 MATRAEHLPALPLEPLPEIPSGLRIAYAQHLLNKHLRKLRAKLN EDVEFSEPLPQKKFSHSAPSDGDPLSEMPEYKGRVAIVGAGATGLYLAMMLKYLKINN VDIYEASDRIGGRCYTYNFPDEKDSPHNYYDIGAMRIPEIPAMQSTLNLIKELKLPKE PYVLNAGCEPQMHYYSNNPADAPSGKAYEKRIADIIAKLGQNWNEEFKALIDGDDDNY STRAWLMHTEPKLTYEQTEEAESAETSTGLFDQAFIESLCDYSDFQAANGKPWWRLEG GMSIVTDKMNKCIEDKNWVPHNPISLKVKTSTPVVAMSESESGDKIEVTLAGAKKPES YDMVFNTTAMGPLQRMDISGLVPSFGLPDYQKKILTGIRALSYDRACKVAIKFKTRWW KGMYKSSTTNETIGGVSGTDLAVSNVVYPSWDDGDKPAVLMVSYSWAQDATRMGALIP DYSKQDPCIDDTVVTQSLQDLVKLWSKSDPKITVDFLRSQYVTHHAYAWSHDPYTGGA FALFGPGQFKYMYPEFQHILCGGKFAICGEALSPHHAWISGALDSGYLTMVRWLAHLG DHKRVDALKKAWFGAGKDEHTAEYDEVLMYWSVKLSEKVTEQLR FPSE_02826 MPSNHLFQYSVVSALMDGVAETGITISDLLKHGDHGLGTFRHMV GEMIILDGVLYDMKPDGSVVALDKDACAEQIAPFAMITEYQPTATISKELNTKDSLAK VLSELLPGTKNHYVAFRVEGTFKSVTVRTVGGQQSPGESLAELGKRQASHTFSDIKGT IIGFRSPTFMQGISVAGDHLHFLSADKKAGGHVLAVEGDGELKVTAAPITAVNLQLPS EDDAFNQAKLARDDEGIAAVEG FPSE_02825 MRKFLISSLLALATGSNAAAKESCKCFPGDSCWPSDAEWSRLNS TVGGRLVATVPLGSPCHDPTYNAEECKNLQSEWHYSSVHTQSSSSMMAPLFANQSCDP FQPRDKPCTLGNYVRYAVNVTSAEDVAAAVKFAQKKNIRFVIRNTGHDYLGRSTGAGA LSVWTHYLKGIEVIDWKDKHYKGKALKVGAGVQGFEALAAAHAKGLVVVTGECPSVGL VGGYTQGGGHSALSTNFGLAADNALEFEVVTADGKLVKANRSQNSDLYWALSGAGSGN YGVVVSATVQAHPEAKVSGASFLITAPEGHPDLIYDAIDAFHAALPKIVDSGVMIIYF FGSSFLQIPALTAYGKTEAEVKKILKPLADSLAALGLKFEPTFTNFSSYYEHYGHYWG PLPAGNIQIGTQIFGGRLLPRNKVDEFAPTARKLAEMGVTYIGVGLNVSKFGANSGNS VLPQWRDSLVMVSLTLPWDNEAPWEEMLAAQKKMTEVVQPVIEAATPGAGAYINEANF QQPDWQDTFYGANYDKLLQMKKKYDPKHLFYATVAVGSEQWDVAKDGPAPADPASLSI SSSCVKDQISLDRYNMSGAEVLAGIGILCNVMQIVTFGKDALHVYRYVSDNGTPDAKL ETYLADASTNYQEMKEQLSTTPSPTNDQKEIIKVGEEAYKGLQKLRTYFQELSVDKES RKGLIGKLRVAKTGIKTLFRGKELEDLEKNFQRYQQLLQTRLIGRICNQNDAVALLAQ DCFKSLDATKQSVIEGIAQGHTDLSFLISQKTIETKNHIEDQHKATRTVVGNHLSTAE HNLQTHVSESTSVVREDLASRSRTEDELKRHEQLLASLRYPEMNARKNQVVTSFPKTF QWIFSSSGPWSDESSSSDDDDEGISIDDDTSSSGSEGSARLHRRRRVSLEDESSFSEK SAASHRDCSDKDHSDNEETNEDSEADKDEDGSSSSDVSIEDVTTSNISSWSEPTTFTC WLGSESQIFWISGKPASGKSTLMKFIATSPATKEKIAVWRPNVNILPHYFWKAGSVME RSFKGFLLCLIHQVLLDRAELSQRLLQNMPDLRFKWSHDDWDIQQLETVLLWVLEAAA EPFFLIIDGLDESEQFEKHLSTAAQHSNILDRLGKLKDVKICVSSREEYTFTTCFDGV ERLQLHKLTRYDIRQFAATRLDGLTFVKPNDRDQILDLIVSRASGVFLWVALVLDSVT RAVRFDNNIESLIERIDHMPRDLIDLVQEMWERSGEDGEIPSYRASASRYFNLAQGLD YDYHGLWKMSILMIAIASDKKGMESMLDLNRVWDVDELARQCSKTLDELHVVCHGLLE VKEKSPTNSNPLPDGIEVYRNMQVRFAHRCVIDFLRDTDSGAALLGACGWAKDEIKAR LDGSAMVFARCRMNSRRFLLKRDIMPLNIGIINGKDYILRKTFDTCLESIMRYQTCLK AKTHYQNILFYRCTQWHFAGIPLDFDMWAQSWGSIFAQDPLINEFLASAAKAATASFM ERLIMGLSTEDFLSALPAIFRGLSTGYCQDIWEMSGGQEEDDRCILKMITLTKKILSR LSSLGGEGHEAGTLKALSGETREELQRLTCSWFLGICVGLDYDHLDEESKTSIREILH LITLSLTTAEEWNQPIMLFLHQESDNSFKLRALSVFYRGDADPICFLTANLMTTFQVA LCLVRHRDTECRLEEFPAWEGQIPCLVERVDPLLHVVVSKKVKPTKTWDQFIWSDYSK EDADEVSKALLHILLTGGPINPEMLHMEEQQREEVPQYGVELMRYLINKFGGTQGLLI HPWSSWIVRDADENEGIGRLDDHVDERSPQPMIELLHKIWDS FPSE_02824 MESEKVQVIICGGGSAGLTAAVLLARFGISFKILEKRPGPLEIG QADGVQCRTVEIFENLGISARLLEEAYHVREVAFWSPSDADGTLKRKDLAYDTEEGLS HQPHVILNQARINDLMLQEIIRLRGDGTSGVLYDSQVESVDIVDCGGEYPVQVVASHS GGTLRYRAKYAIGCDGAHSTVRKALGFKMVGDSSDSVWGVMDVYPITNFPDIRKKAML ISKNDGNLMIIPREGDELVRFYIELPGTTARDVTEQSLINKVKRIFAPYDIDVAHTAW WSAYVIGQRLADHFTKDYRVFLTGDACHTHSPKAGQGMNVSLQDGFNIGWKMAHVLTG RAPPSVLETYVLERQQTAEKLIEFDRSFSKLFSSDYRKQNGITAQDFRDKFVEAGRYT AGMATKYEPSILTCINKNDESIASSLIVGMRFPSAPVVRLSDAKPLQLNSVLAADGRW HILTFCSGDAIKDTLSQVASELEHLIKKFSPSTGTADKVFNNTLVIKADRKTIEIDQL PEVFFPKSGPFSLRNVHRVFVDDSSPYMLGCGEAFSKYGIKPEQGAIVVIRPDLYVSR VLNLTKANELSSFFKGCLNSAE FPSE_02823 MAAAHRHRKSVAADHPVRSSQNVEFLTDKEISDFLDDLDHDNDG HINYEEVERKLDQEHANLVPKPSAHHVISTDHSDDDRTRHAFLRRMMGDSGVDRIPRD EFAKMVKEWKIPSLKQAKKEEDEDKSYIKRLPGWRRIRSYWAVHGPEIVFLGVVISMQ LAFGIWQLVKYQTTPGYRAGFGWGVVMAKTCAGALYPTFFFLILSMSRYFSTWLRRSY HISRFFNWDLSQEFHIRISCVAILLATLHAIGHLTGSFVHGSDPANEDAVAEALGPDK VPRPYIDYVRSLPGFTGITALGLFWTLCLLSIPQVRRWNYEIFQLGHLLMFPIIGLMM AHGTAALLQWPMFGYFLAFPTLLVLVERSVRVGLGFHRIKATMKVLDKETVEITAIIP SERLWKYKAGQYIFLQVPKISFFQWHPFTVSFCRGNKMMLHIKTDGNWTAKLRELGGD PGESEIEVGINGPFGAPAQRFYDFNHSIIIGAGIGVTPFSGILADLQYNDDLDHGGPN HEVDHHRHDSETTAIPPAARRSDSSSSEEATNSDNVPETPTRQGSVGPDLINKEKQPQ ADKASSFAEDYRRVDFHWMVRERNYLLWLSDLLNDVSMSQDWHREHEDKPHLDIRINT HVTAKQKKISTHVYRWLLEMHRTDEHPASPLTGLLNPTHFGRPDFDLILDEHYEEMLK FRAGKRTSTRDKEDENYEEDEELKVGVFYCGAPVVGEILADKCRELTLRGWQDGSKLE YHFMIEVFG FPSE_02822 MVSNLQTKALTTTDGLKYTYDTVPAKDNKATVLLIHGYPATRHD WKYQIQDLSAAGYGVVAPDCLGYGDSDKPLEVEAYNLKKISKHITEILDKEGLNKVIG LGHDWGAGVLSRLAVWHPDRFEKLAFLSTGYNPPGIPMDVDAINANGLKHFGKMPFGY WYFFNTLDAPSLAASHLESFFSLVFPTENLKWIDNLGALGSARTWLNSNIITPLPKYM TEEDREDWLAEFSKPNATVGSMNYYKALLRGVNVEDEAGLTDEDRTLRVPVLTIGGTQ DTIARPEYQRMNTEPFAAAGYTDKAVDAGHWMMYEDREGVKKALLEFIQE FPSE_02821 MLEQSNFASPSPWPLKDEFMGSKSGGGDGDRKSWHRLEDLPTPF AAQYARLSQPWLPGFWTRFPYRGLGMWLLALIGTVAAVLILRYSDGVPVSDWDEHIQP TVWLALTSALTGAFLACAFTEGAAISYWRTAGKPVTLQQLQAVYGSSTGIIQAAINLF TWKSKTLGIASILMTLSVMRGPLMQRASATANYYEGLQGTTNFHIARELPGDYACIMT GRTHSTSLLTKNFSDIAHNYNRRSDMVAFDTSCTNCTTTVEGFGFQVNCTETTMDYNI TVGSDLKQAINGASFFQVNVSEFTGNSVYSMKDGAFLRLATLYKDDHNCYGNTKIQTC DLHAGITKFPVRMDGDKVELLGSWKDDKFIERKYMTPAVMVVSGSGNILGGFSTIGSA LFSSTAYMSFTGATGYDVRSKGLPATQYLTMAGPVPGCNDTWSNPMDDIIELNRNLGF RASLQYAKYNKTDEQKVQYNSGTTTLVYTTDYHKMWIAVLVSLIGIFSVLPTFWGWWE LGRDVSLNPLEIANAFGTVGQESHLMRNVDPNQNVGGIVDAVNDVGPVRYGAWEMSDG VTRLGFAGAGIVRNPTKGERFNY FPSE_02820 MRPKNNKSSKSDMELCFLPITTANPNNRREIELRQASARSHLAK ITHRRKKSSANKKDGVINHYNQTDGRSRHITPMLFYVVPDKILDQHTRMLLHYCTQSF WPGFEIGSAAFHIPSFARDYNTLVAQGPCLVHALLWSAAVSLSHKRNTRVTDKDSLVH YNQALKYISQDITRPVAEISEQTMYAILSISGPEVASDDEDCIVKRAFDPPLAKLSWI HVYGRRLHIDAHARALIRIVDLKGGIHSLKSLDFQASFNYMDLTRASQRLIRPHLPIS KLYGRVRETHDRRKLYGYAADFAPASCVEATTQHLSKLRELGLSADLDDVMRDMRIWV KVIEGYHFGLLANPDSSLLTAHRDLIQQRLLATLPEEDGILDITVLGESNDAQGEAAE WINELVQTALLIFSLGVTFPIPYAPPYHRLSKRLKAQLERRMEEALELQLFDLLTWLG VLGVLCSEQVGNDLRVWFVSFLGTVEPRRAGGCVARNWSTVVKESLEPFLWSSVSCDE AAEAAWKEVQEGSRGWERTAWSGMLGTICG FPSE_02819 MSLKGKVVLVTGSTKGIGKAVIERVAADGASVVINYSGDGAPAD EMVNKIGSDRALAIKADVSSILEIEKLIQATVDKFGRIDCVMANAACAPMNDLESTTE EAFDKSFMLNVKGPYFLVQKAVPHMPRDGRVILVSTGILHNSNVMPRYLLYAASKGPI EQMTRVMAKELGAKHGITVNCIAPGPTATEMFFQGKSQEMVDTIAGFSPLNRLGTPQE IAEVAAFLAGPGSSWVSGQVIGANGGSFV FPSE_02818 MARKKSNRSVKASSQHTQIKPIDETTNHDGPARPNTDLMNPEPR GRQFPQFCELPYDIRAMIWEEILATQRILRVSLEKRETSTRNKYQRKKVTKAKNKKSS NAEAETDLDTVPEKPYRVVVEERYVISKLFHVCSESRHAAETFYRVKVPCTYRWGKKT QDGTFYFRPEFDNIQVGVSEYVSDFAFNLWQLDPRYVGLINITLPWQPDHQKVMKMED KEKDILRGVLLRLQNVSFAHMQEKPRAPPPPVRTLAYVHSTQMAANATNNNNGEPRRP SPRTGWEPDCTGPISTCIPSFECLPADPRKLGNDHTRPYFSFKNLIHSTLGWFRLLES LEAVHEHEVNYRFMLSYETTGRNIATREQAVSWVQSQRKNFKARAVSEGLEHGEWVQP AMGFWLYPIGCVKSFVNDDGTLKTAKDVLNGAELEEIMSSCVPELCLSRLA FPSE_02817 MSGSDESRELPKGSGHRPETNTPLLKLPLDIMIQVWKKCHSHID VKNLYSTCRTLYDMFKALGNIRRTKEMIRRCI FPSE_02816 MYALYKELDSLQLTKNQIYHRVGMILQHRFGRYPSGYCYAAAQY WMMRPDINNNELSYVQIERTIRQQFLRFPIPHRIPGVYARMQYFPEVFRVLDDAEAVM EAYSTEAWRNTRAFQELGYLRPRDESQAIVLTMEEKTRFLDSVLQYEYYCHMFFYRDE ILFNLNSLLRLESLRNDNGHYFNADDYIRFYSIVEFISAFYRDIFFTLKHYISSNPST NEDHLVRHFRTAGNAEDTRFLQILLCQGTRLFVKVHAMDSDERTQLLLE FPSE_02815 MSFLNKFKKEFEGLNLSDRPGQQQGGPPPAPGAYGSNSYQNQYQ GQQPYQGNTPSPYSPPQQPYNPGQLQQNPGFQAYTGPPHNGHSSFSGQPYQPPPQPQH YSGHQPPSPQPPPYNPSPQIQQQPQHQPWSPPPASMASHPVHSPAAPVAPYGVPPPSA LPCPTPPRWIPHWSEKDQQWYYVETSGRSSWQAPSELPPLAGMPAFPGNLNATNRAPG RQSLTHPPQPQYANPQDSRPTLPEGGKKSSSFLAAAGGFTAGGVAGFFVKDRIDKRNA KKRHGQNTEDFADFVEYPAWEVGLDCNICDQAISGPYAHCKKCDHGDYDICRDCLAQG QICDGKGKHSLVKVYPKYYCDICNVLIKGEFYYCGICNDGDWDTCKRCFDKGYTCKAA ECGGRHEMSMLFIPEVKFKKNGKVDTSSSDSD FPSE_02814 MATSSGYTKLVPSLSQIRLLHLLPLQTNNHHQSSAPWHQCVNLE CTFETVHLASAPPYEALSYTWGDEPASVRILLNGKELLVRPNLAYALAALRTSEPRVL WVDALCINQKDTMERNHQVGMMGGIFRRADRVLVWLGRPSSDWDSSVAGALQMARRIG ENPPTCKLPPPPPQPSPHHESRFIDWINLFPEEIEPRTDKDDHEKRLFESRILHWRTC QNHECPCWHEDRKERVKTEREKTTSKWQDRLDKQRRRWRDVREEHAQYKRRVERLPAM IQDRQTDLPFLAHMEQDLKHLGAEELKQQELLNKLRRSELQQLALLQEPQQRLVQDFQ DAQLRAYQELDNATLQKSPEAGVAPEGGKQTRPIARVSGQADQDEGEIDRLFREILAD LGDFQRSIYDLRKKVREDLASPLLRERISRLNKMQQLDMDQRRGLAKLRDRLQEWEQP TDGRYSTGQRLLRSQVGEFERLVKTWDSLQNAQIENFGDMPKFISKQYQLLQSFADLE ETKLSQGRDSTDALLLSNGTDEFLTEEELHISETVKRIKKDILKQQFVLRSTELRRWK IELVFQQCGRWRSSVYEMKRKHRVKHELPEESINAQREWIRLWKDMSAWQAKEWHDLV FTGTDKSLGLPDLDLLSLEAICRLPYWRRLWIVQEVLLAKELVLCFGDNAKTTTSWDL FTKARESLERVPSFWKFRPAVSASLKEIKNAFPLRLDKLRQDTGQCWSLHNLVDITLD SLCHDPRDKIYGLLGITSGFKFGDFDIRYQDAVEHVYRNAISWYHSKHGEDANSPNLV RFSQLLQLSLKSHKDKPRSNAATPSSNAVSSPLSLDRNLLSGYTEDFCIKRMLGSPIL PIDKLIGDKALMQTRRRDWISTLVEYFDDSGFRGPKTTIEEELLYLDSISTTLSMPTS SAYAVVDDCMSDEPGPPIHSSRDRPGQGQEPLFFVTLDGEFGISSTCIREGDLLCKFP GSTLGVILRRGKGRYELASKAIMSSIKKTSTSNIDGETSFDRTADPSSDSTQSHSMTK GESSRTVQLMLDITSILSLTTPLEIVSKHETRQPLYIQETSFDWDEFIASGCDVAPSP PSQKQVAQTPDDPPEVDQEPAPTITTTFTVRTRVFSSELTHNMSKLFSGAPMVSLDIN ETYTELSYPDPTPLWSKKPVKVLSDKPVNAPTTMASPQEQSHSSKPRTFKLSVSLKRL GSKPKIGALEAPTDRSTPDSQGGKILQAISGWWNR FPSE_02813 MRLVSLITVLGAALIPAASARPANCRPQRPTTTSGVSTSITTSV VGAETSSTDAASATLTTSSEAPNVIETTETESLTATSDMTRAVSESSSVTTAVETTIT ESETTTLITTATTTTETSPTEVSTTESTSPDQTSSAVITTIAVTTFETTTSDTTTSSG MTTGEQTTVQTTTAETTATTGTTSAETITTKQTTGLTTSSETTTAAESTTTTEIPTTT TAAPEPTKALQNGGFEDAMDNAWTFQSGEITTDPSLAHSGSNFAKIDIRNEQAGGQQH IEQITSSSNTKQYTLSFYATFLSTPNMELGNGCYVYALQDSSSINAPTFYLNVDTLNS YQHFTYTFTPYNNNFLLSLRVRCSRGMATTFSVAIDDVSIVEVV FPSE_02812 MHQVPYHASQPIIISGPSGVGKRTLLTKLCESHPETFSIVVSHT TRPPRPGEIQGVHYHFTTETEFQYLYAQGAFIECTVYNGYHYGTSFHSIEDFIDRHMV PLLDIDMEGVKAMKKFERMSARYVFIAPLNLEVLEARLRGRGTKDEDAIQKRFAKVKK ELDYAAKPGTYDLIIVNDDLPTTLKELEAFALSTEPLPPKQRTFREKVETWFDVKLRW LH FPSE_02811 MGIEEFFTKAIRDKKFQSAAFEVASTCASASPVIKIVQAANKIA KVGDAVQHANEFLAGASQTMKGAQTILPQMHIMGQSLVDSARLFSQFSMVATTLSAGA NIIQTYQGVQALNLIAAKLGDISDSLQAQAALTAQRDFPQYVFDMIRERLSQTSVDTE RDHWFFLYHPDTDWYPKFFHLVEEKPLSPRFCGYTNQLDTVFMFMLAARERITKREMV KSRKRQHCRPVQLHLIIPAYQTILIPERIKIPEEIGDFVIEGRINSNRPFVWLNLPDE QQHYTFDVGMWSPPESGLWEKALEWMGMSGKLKISDTPRVLGVAERVLMIEDEKREMT IQEITNGGSTEVIKRAATESALDLVEVNKHQSMPLHEGRTRRWRRRERSR FPSE_02810 MRISATLRLLGITLLATLASAQAPAPAGWPQFWFKGQVTNKETF KYNPTNEFIFPSIFHAGQYLDDPLGEWYLYYAPHENPGGISLVYSDSLEGPWKEYPNN PIISNKWDSHYSVPHVSSPDAAWNEEAGRMFLYFHGDNTKTRWAESSNGIDFEYGGIA VSNEMASSETTESSYARVFNHPNSASKYKYAMFYMANEKDNKRKIRLAESVDGRKWVV APDYVVAGKGVEGDDYSGANYWVWRGQAYVIYHSSSGKIWARTIDATLRKVGSEPILF YQSRGQGQDVGRVAAPDFATSGENSYLFYESGPRLKGTIAWAKMQKQTTRSVEWEA FPSE_02809 MQLTNLFCLASVLTSVSAITVSYDPGYGQSSRAMTAVSCSDGTN GLITRYGWKTQGQIPKFPYIGGAQAIAGWNSKSCGTCWKLTYKGKSINVLAIDHTDAG FNISPAAMNALTNNQAVALGRVDATATQVAVTNCGLKK FPSE_02808 MRISPLAAICTLFGVSSAAPYTDHDAQSSLSFAYMYGFPLYAFG DIARPLLAAGVPLIPNINLASPEPNEPGAVGVVRPNADTMYSILFMDLSRSDLKVTVP EIPEGRYWVFPFCSPYGDDLVNLGNLGGSKPGDYLVRYNSKSYGLQTDNVPEGYVGVV NFPMPYGLVNSRIVTDRTDEDVAIVASLQKGFGVRPVPRSGKPVAPRLDLSMFVQPEY TAQNQSLYQAVMNTAAALTPHLPPYIVSDRKSVAKDLKKAGFNDGKFIQPPGTNLTAA VNTANLTSKSFASRPDVSKDVGNGWSLIADRYIGKFDSYYNMRYQIGLTAYLALSQSE CAYPSYGSFEETISVKEGESIVWTFTAPPKIREGGFWSLTAYGPNQDLIPNDQEKYMV GDRSNLTFPDGSSIADGGEGPFQVLLQDSSIAPPSNWTNNWLPITPGGGDISVTMRWY GAEEEMVDGTYVHPKMSLVDVIKE FPSE_02807 MKLLSLLFASASVVQAALKWQNVRMGGGGGFAPGIEFHPTAKGV AYARTDIGGLYRLNPDDSWTPVLTAVGMYTSNWDPNNGAIGISNDKGATWSFTELPFK VGGNMPGRGMGERLAVDPKNSKVIYFGARSGKGLWRSTDAGKSFSKVDSFTNVGTYVP DPSDSSGYNSDNQGLAFVTFDSTSSLINGASSRIFVGVADKKTASVYVTTDAGKTWKP VAGQPKEFLPHKCQFEAKEKALYLSYSDGAGPYDGTLGAVYRYDIAADSWKNITPPGN IYHGFGGLALDKKKPGTLMVAALNSWYPDVQFFRSTDSGKTWSTIWNYNAQGNLDYHY SISTPKAPWIYKNFISVDTKRLGWMVEALTIDPFDSNHWLYATGLTVYGGHDLTKWDT EHNVTIESLADGIEEFAVLDVKSAPGGSELFAAVGDDSGFTFASKGVLNKSPQSAWDN PMFTTSVSVDYAGNKVGNVVRAGNTDGEAPQAALSTDGGKSWKAHSGTAASQAGGSIA LSADGDVVVWSTEKKGVLRSEKEASFSSVSSLPSGSLVASDKRDNDFFYGASGSTFYV SNNTASTFSKAGSLASASSIRDIAAHPTKAGELWVSTDVGIFRSTNFAETFTKIGSSL TKTEQIALGRGAGSNWNVYAFGTGSAGRKLYGSSDMGKTWTDLQGSMGFGSIDGAKLA GSGNEAGMVYVGTNGRGVFWGQGAI FPSE_02806 MDNPNAVKWTCGQKTGKPAPEDRCRSQYKARTIKCSKCGTRRGK DAHGINDKDVITGVCLSVNEKGKEKWYWFQQKE FPSE_02805 MRFQASFAALLAAAATASAQSVAGKAYGFAAGVTGGEGEAVTPA TAEELAKLLADDTPRVIYIDKEFDFTGQTKTGPGCDRKSCSASNGGQLYLGDLSCGGE DNVAVSSITYDAAGPEPLPVGSNKSIIGNGKAVLKGKGLSIKKGSSNVIVQGIEITQL NPGIVWGGDALELKGENDGVWIDHCKFSKVGRMFIVTHYDGSRLTISNSEFDGVTDTS ASCNGNHYWTMMFYGEGDKVTLDRNHFHDVAGRAPKLGEPGTNGYFHATNNYFQNMKG HAFDAYQGANAIIEGNVFDGVDQPVTKEAAGITTLFIPDEAAAAACQSVLGRACEPNS VTSSGDLPVMKGESGLNAVGKNKDNMITPVSASEVVALVSGKAGPAGVGSVSAPASGS GSAETSPIASETTPESTPESAPSQEAAPQDDYEQSAPVAAEQDCDDEAVTQAPEPTAA ADCDEEPEYSESASAPAVTQAAASDSEADCDEGSNYESAPTPKEQETSTPVADCDEEP EAESKPEAPKQEQTTIPEADCDESDYDSQYETRSVAPRAVENAQADCDEGSDYDSKPV APKKQESPVAQADCDEEPNYDSEYASKPATPKATEAATKPEAPKETAAQVDCDEEVGS ESKPVVPKQTEAATPVVDCDEEAEPVVKAEPKPTAAQKDCDEEDETVGAAAKDTPSKE SASSGSSTVQMYGQCGGANFSGSTKCAAGSSCKKVNEYYSQCLSHSRVRRHMHVYVEN EATQP FPSE_02804 MPLHSRVKVPRVATTAKAPEPVVRDVSDWEVFTDEESESEVSQN TVDFIAPPPPYKVDDPRPVFTRPTLGRPLTDRPIVERPVPEKRQSSWRSWLSRDKGVV VPEQPEEPLRSEKKVKRHRSDPEPAADERDARRSERRRRHKEHEERGRVERERLPRES AREASPSRQRRSKRREGEEKEERPDDKEARRLSRKLGGRCDDDYEKARERRRAERERP PMEKRHSTSYSIRHREYDTGEKERRSSRVDNEKRHHKSSREPSRVSRHETPRVDEEME KEARRAERRRLHSERKTSTPMKGVDVEHVVKERSYTRSADPNERREERRKERRLSQSF SQMESESRRHRHREPDPTRISSKSRHSDPEKERLRMEEKERIRQEERARDREREKENE RERQRLEDKRKAEEKKRIEEMEKERERERMEEVKKAEDRKRAEEKAIERERRKVEEKK RLEEKKAEEKRRLEEKKRLIDEKRRQEEKKKRAESKRKAEERERERLEEEKRLEEERL IEEERKMEERRRAEEKRKADEKKKAEDMAKERRIAEAKKRAEEKALEREKRVAERKEK EARRVHRKQQKEDQKRKEALIEEQAAKPAILGPNKWPAPGARLGPGLGPMRFSTAPAA APAPAPVRKPAPQAADSDDYSDSSDSDSGVD FPSE_02803 MPKTIVVSGGARGIGRTIARYFLEKGHHVFILDIDEEELTYTTR THLKTYYDAKAVSSALCDLRNTDEIHDKIQQAAEFLGGRIDVLVNNGGIAAPHWKDGK TMLDRETLNEWRAYIDTNLTAPFAVSQACLPFMKNEKDTGPVTEDNHSTAGPCIINVG SFRAKQSDPNQEGYASAKAGLLGLTHSMAVSLQPYGIRVNLVAPGRIKATHESKEGDE NGVEWAHLNEDKDVEDHLSNRAGRPLDIAQAIEYMVNAGFVTGQDLTVDGGAVMIK FPSE_02802 MSQQEDRVKESGNQMLLDIESRIAKGVNEAREDLKAVTKHEKRV MELHQNENEDKTALLNEISRQKTMIEALQRTFEEELKATVSERTKQNIRDIKTADNSM GLTGFINTDKEEAKVDQNISQIYTDGDSVSVTGMAKNIDIVAMLSLMKSSKK FPSE_06392 MSALDLEHSAMDTNPEGACRRPTPEDWAKMKIYIHEVYIKKNRS RKVLMQMMKRRGFDATPKMYADQFKRWGAEFNKNQNSNGTRLVFSSDTPRTRPRRKVD QVVPRAHFPHLRPRLCMDIQLSDGHSRYRAFLNSTEVYIYGLFDSFNLSANMFNIIVP AGTTDYSSVWQQIGAEVFGFITLLESNQNDEAWRTYNIIGERLKSIVGVENDYGMIIK LWPICIRLLNAAVIYRDDSILFRFLHFIRRLAIQRYKQGGRDHPIPKLLTCLCQIPIS EFSKAIQMGYLKTIHCLENRLSFGNTLVLSTWSNYMNKCDRQALPVDVLTSRYSTVLQ AARQTFTPTGTRTIEILHDYIYAAYYNAEDYQLTWNLAWETVKLAGSPELMGEHPIWC KAMQSYALAVKSMYMISHDTGPRHLAVTELELAIKRLEGGDRECGTRAQMLRGLLHRG EKNVLEKQ FPSE_06391 MDQQQLLPLRNDLQDQADPEKWGHQIQNAPECIILMARCKLLMS QPSINALDIHVKGLKYTSLRANIRECAQLGQDALRKTAEQMGTVNCLAYDMAKDSGII DSIQRYTVNDRQSLLWQRRREEYIKRGRNNVAKSVRIIAELKQDYVQWNEVTKILLSA LEQKTTDNMKEKKDTEKRLAERQRERDRIQQRITAQAEATQHLKSTWESLTRGFMVVR ENSPGIELGLTGAAVMAVGVPVLIQAALSAGLPGRMDYLQENIKVLDDRVNDLHDEIG STIGMKKLLEETLRDLNNLQKQVEHFMDFLIGIQRVMDNIQDEQGLYLMEGMTPDDQD QIRHDHETRRDYANDGELMKSKFMTAYKATTLYNEVSNTYIRPGVSWLTGLCFDDTEA DFKKGILEIEEKRNELCGGAKELVDRRINEIGIDLKVVDPAPSAKETNEEVTENDQTQ QDCY FPSE_06390 LKESANGIHSLQCESKCIFGISKRPPGLPASPQQINAFFKNSNY MIISAPENRYSWFLFTEVDKVYGKDIPRYTKEDELQLAEEHFGDQLTETTTFKDLYEH RLQTSLVSIKDHVFPRWHYRRIITIGDAAHKLHPISAQGGSGAMETAAFLVSKLVDAL QEQDAKGWLTEGEIDAIFTDVQAKRF FPSE_07597 MAPLDNPAVPKGSVVLVTGVNGFLGSHVAKQFLEFGYKVRGTVR DPAKNSWLSTAFDNQYGQGNFELVKLADMTSEEDLKEVVKGVSVVAHTASIMSLNPDP NKVIPDAIAGALTALKVAYGESSVKRFVLTSSSSAATISMPGKPHTLVNEESWSEGAV KIAWSDPPYTMARAGAVYAASKVQSEQAIWKYHKEHQSERPDLVVNTVLPNYNWGKTI DAANQGYPSSSGLPVMLYKNKVATMHRRTAPQYFIDVDDTGRLHVAAAIFDHVKDQRI FGFAGRFNWNQVLDILRKHYPEKTFPENFADGEDANEIEPRDKAEQLLKDLGRPGWTS LEDAIIANVEDLDKAGDDVWYKDFAELESLAKAA FPSE_07598 MAVSFFLTYVTDVGRNMESTRGFLELVRPVLATESQDSALSGAV KATSIKLWALLRPSDVADSLPIKLYNQALEKLQCAVNSPEEQGKGATVIAALMMQQHD TLAAIFGHNKSNTTHRKGALALLTQESMRRFRYHGHLLGNHFHCKISFCVRHKVPLTQ GELKWLYSEVIPALPNNCSYMLDVIGISVSRLQSVMADSESSSESIALKALQELPSII YDIEAQLQAWLDTVPDIWHPQRLSTADSVSPTVTTYDGTINIYPSIQIANIWNVWRMY RLTVELAKAKLFSSLNGENTDTGRKESQKIQDLLEDICRSMPFYLGNCSRPISFADIN DQQLLFPCYHDLPPTDEEFIAYKKSHHYVSRTDHNRHNLIHGPIAALSVLSHLVGLFE NPCSQSLSSEGGLQRQKQWIYEQFRRSLYLMRFIPRNGLDAGAAFENDGNQRPMQGGL VNTIRQALSTVNLL FPSE_07599 MAAGVESDRYSPTKEEEGIATNSDGPVNDTSVPYVSEETENRIL KKLDRRIIPMVCWIYLMNFMDRVGIGNARLYGMEDELNLGNNQYQLAVSILFVTYCLF ETPSNLIIKKMQPARYIAGLCFFWGIVACFTGFVTNLGSLIACRLLLGTFEAGLFPGI MLYLTTFYHKKHISLRNAYFYSISAISGAVGGLVALGIGELDNWDCDKCGAGGWRAWR WIITINGIPTVLTAFAVPFVLPNTPSTAKCLTPEDRQALLDLRSAEIGQTTSGQEMHK EDVIAAIKDWKIWALSIAQYCSHSVLYSFSVFLPTIIREMGDWSPKQIQGLTVPVYVV GFATYLICARISDRTQQRGLFCIGGGLVMMLGYILLIANYNQAMSFAGCFIVAIGLWT GSGSGMAWITVNQPRYGKRAFASGIFITIGNSAGVAAPFLFSKQDEPHYRPGYAATIG MLFLAVCIHTTVYLHFRRLNKRKLSGQEDWRMEGKTEEEIAEMGEYNPRYLYTL FPSE_07600 MQSPGGEELISMSVKCHDTRIPQCSGLGYFAIDAGDQQNCIRYV RVSAGLTLGTTACAP FPSE_08791 MAISSTSSSPSVKPTGIATLACTVCRKQHLKCDAGKPSCSRCTE TNQVCFYEPSRRGGRRKKHRSSILETQPKRLMMRSAQSEQPSTTGFVESVIVNPISLP ITPTSSSQETLPSSGLTQDAISNNGLPQLTRRQTHQSSVSESSHSDRRASDHQTQGPA QVQQPSNDPAMAFYHHLDPGLISQAIESTNLGMNTQAPIQPSSDNDRLLRLYYENFHN AHPFLVPSSVFTSRNYPPYLRRMVDFAGSHYAPSGPNAQLRAAVTTDMLSMTDNSTCM VQSLLIYSILLFFGGDLDAAGKTFEQCTRMAMDLGMHLNDFAAARQLDNPIEAESLRR TWWEIYVTDILMAIPPKTMNLGCSSVPPKVCLPCEEAFYTGRLDIPQPHHVLEFKRRV LSTGDVTFSSFSYRIEAATILGRVLLLNQLKNSSYDHSQSIENALLSWSNHLPPGKLE IVDSYGNIDEMMFQAHMIIALASMLLHLPRSNLHFLLADSKDPFLPIAQNHPLSSSTT LIQGIKATDASRRISDCISLCPNVPKHTPFVIPALALCGFIQLATSQGHPDECFEHHY NRVTLVLGCLKSARRIWPMAESEYDRLRCCASDLIADAMDRMNALPLCQTLPNNSPAM PGAADQGGDLTLVSPTRGNVDSGMPRFDPLFLDPVCYDYSLFSALPDFHSS FPSE_08792 MAAVSSRFAPLPLTQPQSSCRSQASINIEGPKAYTLNNFIDDVK VHLGESGGIGCEDVDEDYIISLAKKYMSNPNDWARYYHNCPGKNYTRSAIININKKAN ILLLVWNPGKGSPIHDHANAHCIMKVLAGELTETVYDMPETGCENQPLSIKSDTTHQA DQVTYISDSIGLHRVHNPHPTNVAVSLHIYTPPNAADIGYNIFDESTGRASFVPRAQA H FPSE_08793 MQPTNRPRKACDLCYTRKIKCDGQQPQCSNCVNYKTVCTHAARS RKWKPKAQQNVQRSDAEEIRSLQAQMQQLQVELAEYREQDKALVTPTPSQDMLISDEF IDAENSTNSMKLPPLQQTMHMTGIFLNTFNSMMPLFNPDSLLRLIGETYAVQPRQRNP VSWAAINVVLALACHQMPDDSEMNSECGIASDYIKKAQSVIWTVTLGETGILNIQTLI GMAMLLQTANDTTPALVIISAAMRLIHKMGLHNRLSTEHLSLIEQRQHAYVFWLAYIV DKDLSLRAQQPSVQLDDDIDLDLPMELPGVCNGDSEAGIVTTVDGNTGLNYLLARIQL ANIQGNVYDHLYSTRASKRTPEERKISRERIVRALDDWKASIPSDFNGANVVTTTSNN PSVAAFFCILHTRSLLCLSLITRSHAWDEQWVGSIREYGRGTQALQLPSEWTAMVGEA RNYMILYEQVHQRHSWLRWFAACTYMSAMVLLTANNLNDSQHADFAQDTDRIERALVW FQEHFKEKPCKMSAMLGDVCTEAVEAMKQRRANNVAGAVEDIWLTGFIGDKGAIW FPSE_08794 MAEITEDVLPDAQVGWLDLTSENGQDYHVKVSDYDIFDGSSGED APSASGPPFSFIKVYWQVGTEGATSSDVQQQTAITWYKLENAP FPSE_08795 MEYSSTWLVEPSMFLSLLCQRPTRNSQKVCIANTGFDQGKMLGY EGQAWDIDKSVIDHQDFVVLVAGNNAGKSSLKAIIFGATGTTRPNDNYGPDSEVGAKT MTRINDTGNFSSRGPTKPGRDINGNGYAGRTKPDVVAPGVAILSAASRAMAKDSRNRV MYGRTEDDDWTFMSGTSMSTPLVAGCVALLREALEEHGKEKPSAALIKALLFNGAVNF SEQLGLGLGYDYDQGFGRVDIDSSISMVKLPFFVDGGKLFEDTQFDVAPLRQVPEEER RWTSSIIPVPAG FPSE_08796 MGKGLGYDHTNNVEKIIWENVPGETFKFVVSIWNNVDVEAPTSF AVAWGIRPLARL FPSE_08797 MSLLNLFLLLVLQVFPLLQVQALSRSKDTVDQSAVIDTLRFSQD PHTIGATSSFQVGFDVPYERQHITLNLQPSRHVLTQTTTIEHLKPNKKYGQVMDVKGS SPLLFKGAAFVNNGDEQTQRRVGWARIMVRYREGRHVIEGTFTNDGTYHHISLESNYR RTRRLETSNQSEAKRRMIVWKELAGSEHDAILQRSVLEKPSCEAQRFDSQQLASEELA RAEGDGKPSTPVGLSRRDIPDDWFNPASHIGDTDGCFSSRRVALIGIAADCSYTAEFD SVEDARDKIVSIVNAASQVYEDTFNIALAIKNLTLADPSCPSEASTAIPWNLPCTERM TINNRLNIFTRWRSGNRDDNAVWSLFTACKKDTAVGIAWIGSLCNKSQRSTYSRGGTA SANVIVHTALEWQVFAHELGHNFGASHDCTSTECRNGRSSSDNCCPLSRSTCDARNQY LMNPHASRGIEEFSPCTIGTICNAIGEEDIDTSCLVDEDDIPDINDSQCGNGIVEPGE ACDCGSDWQCRSNSCCDPDTCQLRNGAECDPASDGCCTDECRIASSGRVCRASTGSCD PEERCDGSSGQCPIDEQSCDDQDGPDDDSDGSWFDNNRTAVIATAASVGGLALLLMLY CIFLCVKKKKRDKKQREAKLRSGSISDGPNAPSFDQTRGVSQMPTVPGMPHRYG FPSE_08798 MFPTMNLFTLVLAIPAVLAAPATEAKAAAKQVVACACANDAGQT KLDGYCQYIAGGHVNLDGQSYCFPAATWSEYMETRFTADFCPGYYPGFPKPVCKTVTV CPTIGNYQDIC FPSE_08799 MKIALSTWLTVACLLPSTFAFPQLSPEQLDAYRQHAERTPEACP FAQKEKRATTFDAKKQRISVSGQHAFRAPQAGDERGPCPGLNALANHGYLPHNGVADM QTIIQATNEVYGMSLDLGGFLAVYGTVINGNPLSTSPGYSIGGPSRFSQNILNGGGIL GTPSGLSGSHNKYESDASSTRGDLYVTGNNFHLILSRFEEYWRAIKPNTPAPKQYTAL APFHYKQFQDSEKTNSHFFYSPFAGVLVSPAGYSFPPRMMANHSNEYPEGYLSREVFT TFFGVKGDKPGNFKVNQGWERIPENWYRRPVDDEFSTNDFLIDVLEHATKYPRLLNVG GNTGKVNSFAGVDIGDLTGGVFNTAGLLKPDNLECFAMQIIMAAAPDFIGSQFTDVKK ALTPLSDKLRQLLAGKTCPKLQKYNHKLFAKYPGYTEPYGSYAGVSKGSLKGIIETTK GILGGLWPTGK FPSE_08800 MRFPVHIISLCVFSGLGLAAPSHSDLESRQLIGGLLKGVDGTLE TVVGGLLGTLRKAIDSGDRDKTLDILHVLEPTKKHKNVEETFAALEKISKSKPKTIID YSAQLIVNGLISGDTLDLFAYAKGLVSAQNGSNNKNRNPPKEVYPKVADCDASYTTSE AKLRAAIHIPPTFTYGEKPPVILFPGTGSTGFTTYRGNFIPLLTDVEWADPVWVNVPV LLLEDAQVNAEYAAYALNYVASLTKRNVSVIAWSQGNIDVQWALKYWPSTRKVTTDHV AISADYKGTILANIGGVTGLINTPAVVQQEAGSTFINTLRSNDGDSGYIPTTSLYSSL FDEVVQPQEGTGASAYLLDARNVGVTNAEVQKVCAGKLGGSFYTHESMLANPLTFALA KDALTHEGPGKVSRLDLADVCNRSLAPGLGLEDLLITENAIVIAALSLVLYLPKQVDE PAIKQYALEATGTC FPSE_08801 MRCTSALSLAISLSTCVTAAPESPFIGTLLDPLQHVIQSAYAGD GLKVGKLGELGGIPGVDATYDYVVVGGGTAGNTIGYRLAKAGFSVAIVEAGGFLEIAK PILATAPGGVTAGSGSSLLDSNPLRDWRFTTTPQTSADDREIHYARGKVLGGSSQLNF LVYHRPTNGTMAKWAEEVGDDSYQWDQMLPHFQKTATFTPPDNTKRGASIETDYDASA FSSEGGPVQISYANYVPSWAALVAKGLNSLGLKSIDGFSSGSLLGYSYTTTAVRPKTV TRSASDDFVKAARDEKLKTLKVYTQSLAKKVLFDDKKKATGVEVSSIGMDYTLKARKE VIVSGGTFQSPQILMVSGVGPKEHLEAFDIPVVADLPGVGQNLWDHILFGPSFEVQGL EDTLSVAINDPAVFQGALDAYIQQQAGPLTSNQVELLGWEKVPEKYRSKFSNSTLKDL KAFPEDWPEVEFIPLNVYSEDWSFPILQQPTDGKKYTSINGALVAPLSRGNITLQSNS TSDAPLINPNFLADKGDQEVAIALFRRLREVAKSGPLKDTVLKEVYPGEEHESDEQIL AVLRDTLMTVWHAACTCKMGKKEDKMAVLDSKARVYGVQGLRVVDASSFPVLIPGHPM GTVYGLAEKIAHEIIKGQESEGAGYEVDIEV FPSE_08802 MLSTLRRFFAMVVMLMAFSATALPNIRVLPLGDSITKGTGSTGL VGYRLPMRQKILAQGVKVNMVGTLKNGNMVDGHHEGHSGKLLSDINGYWQVPMKARPN VVLIHAGTNNMDRGIDLDIAADIMADIVDGIFKVASDVTILLAPVIWANVPTMQANTD KFNVKVRSLIAARQKSGKKILEVPIDIKKADLGDSKHPNDAGYEKMANAWLKAILEAD KKGWLKTPVKMSESDAPGTGLGA FPSE_08803 MASKSLVVGGTGGIGYAIACRIAANTPSSTVIISGRNEPKNIPY SNMQFRALDASSMRAIKKYTDEVKATQDRFGTLVLTQGILTTAGRTETSEGIDRKMAL HYYGRQLLIRELMPALTEDAKVLIVLDSVRGGPDKLNWDDLDLKTNFTISSAAAHCLS MTDAMIQHYGNEQKAAGTKRHFIHATPGVVNTNIASSLPWYLRGPASAAASLFGVSPD TCAEHMVKGIENAAASGEKAGTHYEFITEKGVVFEKAAWNEEQVQKVNDHTWGIVDGA LKA FPSE_08804 MDQVILWSLILGLVLASSVPLLLRGKTGQETGLEVTQLYVYPVK GIRGCALDKARLGPFGFEGDRTFCLQRVRRDADSNITYATLLIGYELKLALFQASIDY DKSEVTIKWHTNKSEDNIHFPLKPSVEGRPIIEASLHASNTKAYDMGDNLSGWFSDRI GHEVRLVYIGDGSRAVHGSVAPHSSDALQKSSLTQRIKNSIPSLAHEPERLAFNDIAH YLVVTEESNNELSSRLSEGYSMDITKFRPNIVVRGASKPFAEDYWGELSFSGGVRMAL TANCFRCQSITVDYETGKTATDERGLVWKKLNKDRRVDKGAKYSPVFGRYGFCYGDDT SKSVSIGQQVDVTKVNKQRTTFDWPCLSTFGVSQTTYT FPSE_08805 MRISTSTLILASCLSTASAVPHNSKDQSINVDVVVIGGGSSGIH AAINLKDAGAKVAVIERKNQIGGHAETYVDPKTKAFANIGVVVFENTKTVQTYFERLG VESVVGSPFDTKTVTKQYDFSLGIPIPSPDKAQAAANQNAIAGAMQAYSQNVFSKYPW IDQGYAFVPNPVPKELLQPFGLFAQQNNFTALLPLISQFNWYPGNITTIPALYGIKKF GPGLMQSISSGFRVPKSGNTRTIYEAAACELSEQTYLNSHVTSVKRNKHGVVVTVKQH GKTLTFKARKLLVAIPQTIRNVGSYDLSKSEKGLFSKFSALGYVAGVANIAGVSVNLQ NVGAFTPAHTPVIPGSNSYNIPPGFTDQFLLGVAFDNADYSLDDAKAVIRRELTTLAR VGAVPAGSAEKVKFPLLSNHAPFELHVSAEEIGEGFFEDLLRLEGSRNTYWTGAAFAG HNSGLIWNWNDNVILPAMKKSLGL FPSE_08806 MGYAVDIHIYGFGLFLFYQGLIALVDPQGQFSLRGIKDTKPSDD MVIYAPIYMLGARDISIGVFFIAHHYVDNLNAVLTLLAIMGFFKISDAIVVFAVGGEN TSTKAVENLAFGVGLLGWLVYLAKN FPSE_08807 MDLDLIMNRGPKRRRLGQSEEQPQRNDTRYLTPSNWSDPGPAAP TTSTQHYEWASYGNAQPMWNENSRNATPVASVTNYHIGNWDGYSYPYQPQNTAGGAPI CSYPNGVSSPWPSFPNPTPQFHEPSQNLMPMFATSYNQYPIYNAPMVQPTASFRQWTE LSVDNTPPQSIPYHSDLSQSQTVDVKPSSVLPNPHEGVYEVADEVDDEGYEEIVCFGM VPYISAKFDQNRTTQGLPTSFPVKLQGSTRFSGKDLPNVSGQISPDYSQMIQELLDET YLELHASCIVDSFQTLNAQKQSRGPLTISCSLEISVYGPLNIFEELGTWFEHYQVYLQ DPRECHREARYCNPHRLSTDDISACPLLSDVISQSSHSLQLELITQQSDLLDELCSHQ NLEEAPQPSVIKRELKRFFNRVSQASQLEEPPQCYGGIVADPMGLGKTLTMIALVATD LDNEIPNDDSGEEFYPKVPTTLIVVPPSSHLIRNSNSRMSQAMCALESRSRWAVTGTP IQNRLGDLASLFRFVRVHPYTDLKCFDADISRLWKTGEYQEAIKRLKRLSKCLLLRRD KGTVSLPPRKDMQCPVDFNPEERALYDNLRENTIISIDEASKCDSDSIKPGSYANVLQ QIESLRLVCNIGLHYHTRHDKVIKNTPEADEWAKTAQATFNMEREMVPIFCLRCSSAS DITETISEGPATTSQNPLFFSCLRFVCSECVQSSRQNIECGHNPRCAVAQVSTSGQSL ETSLSDMQPQTDIGLPSKVKALITDINSLPSYEKCVIFSTWRMTLKIVEAGLKQSSIS SVRFDGNVPQKSRQNVVDKFRNDPSVRVMLLTLSCGAAGLTLTVATRAYLMEPHWNPT LEEQALARIHRIGQTQEVTTVRFFMRNSFEHQVMKRQESKKYLAGLLLSPHDNGCGSE NLGRLQELSSLI FPSE_08808 MSLQHNVSALAKSTIKIAYDELDRTINPDDKRNFANISLQDVRD AALKIENQLAARQSLRNMRRLMPLFRGLEHYSKVVDILCNGTPFLPWIWAPITLILRI SSEFVEAFEEIIKGYASIAESLKRFEILSDALINEPDFQQTVAVFYADILKFHKYAYK FVRRSGWKLIFSASWGRFQSRFGNILDDLKHHGALIDLEANAHNISQAKKMRDDIRKW REESENRVRQEDNEHSAKQYGSIVSWLKVNDVDQLAIVDSILSEVEQYQGTCGWILQN KRIRSWADAKTSTPALWLKGSAGTGKSVLCTQLINFLKETKFVIWHFCTYLYATSTMY EQILKSLLLQILRKDADLVAHVYKTCVMERKSPTTTVLEQLLQKLLESMSSEPNQVAY VWVIIDGLDECESDKQLRLVRLINQLTSKPAIPGSTACKVFLSSRAPSTSLERLMRKQ IVSLSEEKSVLNAAVRQYVDQRLESMDTKLRQLNLQHANIVEIQEAIVTKADGK FPSE_08809 MLLSRGVGLAVAAFLAAIHPAQPASLADIDHVILFMQENRAFDH YFGTMAGVRGFADPNVQVNDGVPIWKQKVTAKQSEDADYITPWYLNYLGGTWPEATQC MGAGSNGWDANQAALNHGANDRWAMNNTPYSIGYYKRQDLPVHFALAEEWTVGDMYQE SVIASTNPNRVMWISGSINVPGSPQTKDEGGYPYIDNNETPGCDKNGINCYPLKWTTA AEKYEAAGVSWSVFQDADNFDDNPYAWFEQFQDSKKGSKLNEKGMKGQSLDAFFAQAA SGTLPEVSYIVGPMQLSEHPPYSPHDGSWLQRKVAEAVINSPKYSKSALIISYDETGG WADHVTPYHAPDGTAGEWIDDPYGEVGRTPTGPGFRVPFYIISPFTRKGGVYTEHCDH TSQMAFIERWQAAKGRDVKSDEVVPWRRENMADLVNAFDFKNPDYSIPILPDAPQPHT NSKGEYDGSSHCSSQYGNGKPAVPYTGEGANNDTATLAEKGFKPVRGLLTEGRTLVFE ASNQALSVSSNSQSVALSKATKMHDNAKQGWVLHAVDIGGDDFTISSAQNSLYMCSNL KLCKDTSSATVFTVDFQSSKGHSFMDKESGQYLAGSEKKQLIWQDTQSFWKTFSVTY FPSE_08810 MVAHDEEAHALLDEHELCSEDPSAQEDRALSSAYTAPFSHWFKR SCVVRWVVALIVVSLLSIFGAFIYHARGQSAVTGQDTPLGYRLHAQEHTSRLPKTFIY HWNITAGIRLPDGVEKRVYLINDEFPGPIIEARSSDRVVVHVHNGLSQEVLSIHWHGL RMKDQNGMDGAVGLTQSPILPGDSFNYNFTIGDDEYGTFWWHSHSDVQRADGLWGGLV VHSPDEINQPPEDYLIMIGDWFHRNQTEVLSWFADASSRGNEPVPDSLLVNGQGRFDC SMAVPARPVVCSQATMNQLKPLFRQTNERKARVRVANTGSIAGLTMRIDAAVMRPVRV DGGFDVHSEPTEAVGILYPGERVDIDVEWKDDHAPNRWLTIYMDDENFGYPNEALNPV QDFPIFDHGNKMPSRKDLESDETQTLDPRNLKAATKIVDLPSVADHTILLYAKIEKLA HMGYEPVGFINHTSWKPQDPPLISQNRSSWDENQLIPFIATTREKPTRVDIIINNLDD GAHPFHLHGHSFYVLSTYRDEGRGGWGSYNPYSGEAPPNGLDLDFPLRKDTISVPRRG HAVISFVADNPGIWALHCHMLVHMARGMAMGLQIDGRSSTRGL FPSE_08811 MIRNALVLVALAASAVAGPCKPGHTSQTTDVATTTPTVLPTTTT SLGDIIVTNSIANGRFANGIDGFDSEGEANHQQGGCFKDDGSPDNGCASLKAVGDSKK RFLGSFAGISQLLESLQPSTTVLYTVQFYYAVVTGGGFQMCTVSASLGNRQFYSMGLF SVGNSISWNRVLTSVTADSRNANFGISMTCAGNGQALIYIDSVFVSNQVTPKNIDQFQ LDFDASSPGPQSSSSTSTVSAGSSSTWLPEPDTSSEGSEHPTPTPIGTKSWLPGPDTS TGTPLRTPSSWLPEVDTTRAVSTPAQTPSPWLPTVDTSKLLTPSGTPTSVLPQPDTTS EPSTPTFTPTKKEDLTTTSVTTSKSGTPTEVCKYTHGEECEFDRFNYPQDALCAYGAY FTGPTWTESRTNYPHQDNAYQCIAICKGMESCQSVGYSQHDNRCIFTSVRIKESDFIN YTSQGGSWKHSYWVDKRCYKCPDCIAASVPNTPPERCSYTEGDACSRKDAPEGTVCGL DAYMAGGYWTGDQWIDQYPRQDSAGACAAICEAIRDCKGSAYKNGRCRFSAFKLSTTD GPIPLDTTQGASMNWPWDDPSCFNCPGCM FPSE_08812 MLRASRKIRTSSAVRSLATVAGVRGQAPLSRFEPDHSVDYVAFL DKLSRMRKILDRPLTYAEKVLLTHLDDGQDDKVIRGSTQLKLKPRRVACQDATAQMAI IQFMTAGLDKTAVPTTVHCDHLIVGRDGSEQDLAGALTSHGEVYEFMSSACQRYNMGF WKPNAGIIHQIVLENYAFPGGMLIGTDSHTPNAGGMGMIAVGVGGADAVDVMSGLPFE ITAPEIIGVKLTGQLSGWASPKDVINAVAGILGVKGGTGKIIEYFGPGAETISATGMS SITNMGAETGATTSIFPYSETMSAYLRATSRPELAQALGVAKHELKADEGVKYDHVIE IDLSTLEPRINGPFTPDLSTPISKLSDAVRKNKWPDRLSAGLIGSCTNSSFEDLSRAA SLAKQALDAGLKPKMPLLLSPGSEQTNQTLKREGVTDVFERLGSKILSNACGPCCGSW DRTDMTKGTPNSILASYNRNFTGRLDGNPATHTFLSSPDMVMAKVFSHDLGFNPLKDS LVTESGEEFRFEPPTGNALPAETYENTDHVYDAPSTDPQVRQAVTVQISPDSQRLQRL APFKPWSGKDFVDCPILIKTTGKCTTDHITTAGPWMRFRGHLENISNNTLIGAVNADN QEVNKVLNQITGSYGGVPDTARDYQQRGLPWVVVADHNYGEGSSREHAALQPRYLGGV AIIAKSFARIHEANLKKQGMLALTFADDTAYDKIKASDRISIVGLTELAPGRNVQLRI TSKDGTSWETEAKHTFTHEQIEYFKAGSALNVMASRLSDNSSPAATL FPSE_08813 MCFDGKAQHQLVWLHKRDFRQLNLQDEHLEHRQEVQDYLVLHSK ATTGLMNEIDDQSDDLYDDSRNGDANDDGQRSETLDFVGGDRTGITRNIPEIEPQRHV KVESSTQRSGRHQLWPMARRASPAMKSLDNSDSSHFSDFVDSSELGVLVLNCDNNKKE ENNTAVKQHLKRMEDQRRRRCRASQVYRVLKRDPQHGEIYMAWWDLGKTAKASGEENL KLFAFLVLPFFPEESDRFGVSVTSSNLNNDIPTCYKYNTSTETVNGRKYEIIHLDDDG TAREVMADPANYPLRWRNPEPEVKRELGSQDGLQQEYQVVPEQGSTQ FPSE_08814 MEGHTQQPSERIPALQEYFARWSPQIHPVKSAEEEFQENLKKDQ DLLTGLTQNSLDKLDEIVRCATRMQQLQALHEDTKKQKERDYQQKIWEQQKALVLELH GLFGPELRRELCKNWCDCRGLPSSTPSTQSNTTSGAVDIEQPRDKTTSRFTPIPEERT SASEPPENNTINSMPSPPSTENAPEPSTHPSGPDRTEHDTSAAPRKRPANPPAARPTK RSRPNVTEGPLTGDRTIEYEQVYQNGKAEPKYVIAEYNGFWYILECKEHRLHFNNDPI RGAAKHLRGKKHNRASVNYEEAIRELGTRVLGCGKKEAADNNIVARRPCYSQMGKPVN SLSPAAGRSIPTRSNQSPTGIDPKPGEVYTTFWSETKEFYAILVLPWRNTGQLGKDLT LTVKDTGLIKEVPFCYQYNQADGLVEWAPGYLPDGQHYSKRKYPIMYFDASVFPGECR VNWVAAREFAHYDPKVATIPFKDIVDGFIASRNKSVQEAVKHHNGQSIHNLPLVSIRY FHPTNRMCLCITGHHTMVKHENGQRNLSVDAPTAEIFPREQPAGAREIIVIDDDSDDD TESRYQVPDTSIGSDHPVPKTEPSDEFMTDVHDQQSTSTTCDTASSQQPFTVQDHTQL CDDLPESQLPTGDAPLQGPTSCDMEAQTLNYSLMPQCPAAFLHDPYPTDNTYIHPHQL SQPASTDARAPEAIMAPLPPRQSPSVPGLGDRSRTQPKAIPCQDAEYTYLNQARSASS QFQLDSDGQLRWIAPKTLSGVAPKQKPMSARQHEELRTRILPTGKEPQSSQHQVSIVT SQQPRNVTPHGFKRSF FPSE_08815 MAGTDLAATTLRVAILYILTQPQAHMRLLDEFRAHGLLSGRSID TIVSIATASKMPYLQACIKETLRICPPFCGLLEKVVPHGGDVIADGRILPEGTQIGLS FWGIMRDTDVFGDDADMFHPKRWINTEKETLRVMERTAECGFSSGRYTCLGKGMAILQ VNKVIVELLCRFELSLVDPLNPWLASPTVSSFKDISGCESLSVEGS FPSE_05675 MTTLPEPILYTIKPTKLVPNSPKPLLLYKNAFVKDGKVDRTAAF DTFKANNWDVQWVVRYGRHQRAHYHSQTHEVMVVVSGPGRIRWGVADLSDNWEEHTYG QAYEDGGLEVEVEVGDLFVIPAGISHKSYDPNATEASFGCLTGDARAIASDDARITVA EVPIEGFCMMGAYPKGFSWTWDEGGDSADDFEAVWRVENAAADPYTGQNGGINKYWKN QQGGFKSLI FPSE_05676 MPHTGGINVGNMPNFLSNSMTTVNSSYASKTPSVSTTEIHSPTQ TTPQEKTKPLPSQRCMDQARPLKVIYIGGGISGICGAIEFRKQVPDVDLVIYEKNPDL GGTWFENRYPGCACDVPAHAYQLTYESSPRWSSFFASAPEILQYWKDVATKYDIRKHM RFQQKCIGARWSETTNKWYVQLKNLTTGEEYQDSADVLVTGEGDKSVAVIGSGSSGLQ IVPALLPDVKHMDHYVRGRSWIVGLFGDPETRQRIQQAGGNFNYTANEVKKWETDRES YLAYRRAIEYNINKNFGVLFRGSKEQTNLRQLAQDSMMQRLKDKPEIYDHLLPEFSPY CKRMSPGPGYLEALASPKVNTITCGISKIDATGVYTTDGEHHPVDAIVCATGFQTSPA SRSFPIYGVDGVNLRERFQKRPETYLSVCTDGFPNFFQSMGPNSMPGAGSLLLVIEKT NFYIGKILARMAFDNIVRIEPKRNMVQAFTNYCDEFFKQTVFAEECNSWYKTYEQGAS REEQKRGRITALWPGSSLHCVRTMSNPRWEDFEYKYCDDNEFGWFGNGWTLAEKYVTE ELESLTWYLNDTKIMDKVGKENKL FPSE_05677 MDSDGENNSATSTRRIPEQKLSCDQCRQRKVKCDREDPCGPCQR KQIHCSYPIGFKTRAKRHRALVSDGYEAKLNDISLKLDQISLAVNNISSPLLGSSAAH SALASHITPQSYSNSPSEPLRNSDDVSSELDDDVTLTTQATFATNLLQQVVDSNKGPG QVHELEKNLDELRKILSKGNAGNVDPQLIDTQQTLHPAGQGGYQLPPIHLAMMAIQRL RESPRLKCFWCIEFESIGQFVEYFMTVYFGKPTLADLIITHAGLQRLLLECEKMEHDQ VLKNELKTQGLLCRQNLETILAGLPFNLPCTADYVLALYMAATYYLDRCRISLSWNCL AASAQMCQRLGLIRETLSKPETREEKQRRGKIVCWIHMLDKMLSMRLSRPSLIRVGEI TLNFEALETSGSDGLPPILSKWTDFCDLQGRVYDDLYSPRALMLPVDERESRARDLAA DLKKLYHGRNAAEDRFIEMSRLGVGDTITDLFQRADKIAFLSMLCLVYRAIKPKPTTS SAFCDECFDVAKQALDEHRICLSILRHAESGMLEHYVHWAFMAVPLIPFIVLFCHAIE TCDPVHLESLAAVVETAHITTELPDVYRKQLRLFKLMYDVACKYVGSRESNTTIHASG RIPDTPFEMLFVEAGVPLPGHMGMPTGMQSFHDGSQHGSGTGFGNIPMGDNGNLTEYG GFTHSMELGNWFEQNQEIFMMLDNNTEQNL FPSE_05678 MTQHREHQPSSSSTTLQDTHNTDNTSDHDYDAEIHQLARRITSQ SATSGHAPLFPLGENTSLDPESPHFNPKKWAKAFLKTRTAAADGNTPRTAGIAFKNLD AFGFGQATDFQNTLVNIFLNATSVVRKIGGYKGQRIDILRNLDGLVEAGEMLCVLGPP GSGCSTLLRSISGETHGFHLGDDTVLNYQGIRPEQMKKAYRGEAIYTAEVDHHFPHLT VGDTLYFAARCRCPPTDKLPHGVTAQEYAEHLRDVIMAMFGISHTKNTRVGNDFVRGV SGGERKRVTIAEAALGYSPLQCWDNSTRGLDSANAIEFCRVLRTQADVLGISSCVAIY QAPQSAYDLFDKVVVLYEGRQIFFGRTGDAQAYFENLGFVCPEQQTTADFLTSMTNPG ERIVRPGANPPRTSDEFALAWKNSQDRSRLIDEIDYYIEQHPFDGPDLQRFSESRRLD QARVQREKSPFNLSFWQQYTINLWRSVKMLLGDPSITLTMLITNIFQALIVSSIFYNL PHNTTSFFRRTTLLFFIVVMNAFSSVLEIMTLYGKRKVVEKQSRYAFYHPSAESLAAM VTDLPYKVTNAILMNTTLYFMCNLRREPGPYFAFLLFSFALAMCMSMMFRFIGSATKS ISQALAPACVILLGLVLYSGYSIPTEYMHGWLAWIRWINPVFYGLESVFLVEFHGQEY SCSSFVPSGAGYENLSSGEQVCNIAGSVPGQSFVRGEDYLRTSFGFVNSHRWRNFGIL IVWALFYMALHLLTTEYVASERSKGEVLVFLRESMHKVSGKRATDEESGSSLPVGKQE AAGNSSEKVEVERQTSVFHWNDVCYDIKIKGEERRILDHVDGWVKPGTLTALMGVSGA GKTTLLDVLASRVTMGVVSGEMLVNGHQRDSSFQRKTGYVTQQDLHQASSTVREALRF SAILRQPAKYSKQERIDYVDTVISLLGMDAYADAIIGVPGEGLNVEQRKRLTIGVELV ARPQLLLFLDEPTSGLDSQTSWSICDLMETLTKSGQAILCTIHQPSAMLFQRFDRLLL LAKGGKTVYFGEVGQNSQILMDYFTRNGGPSLPPKANPAEHMLHVIGAAPGAQTDVDW PAIWRKSPEYESVQRELQSLKSESSSGQTQVSAGDDSEYKEFASSYTTQLWEVTKRLF QGYWRNPQYMYSKVLLSVGAALFIGLSVMDSSNTIRGLQNQMFGVFLFLTIFSQVAEQ MMPVFVEQRMLFEARERPSKTYSWQSFMFANITVEAAWNSFIGVFSFIVWYYPIGLYR NAEWTNQVDSRGITIFLHVWMFFLLTSTFTHMIIAGLPDSDTAAGILNLIFIMMFAFC GVLAGPNVLPRFWIFMYRVNPFTYVVEGFLGTTLANAPVTCAANEILDFKPTNGSTCS EFLSAYITDHGGYLHGGSGSSTTECHYCPMANTNSFLKLININFDNRWRDFGLLWAYV LFNCAAAVAIYWLVRVPKKSKSKKA FPSE_05679 MEVGETDSASRSTESCSRFELGTAQVKPRIAKLAQLARTVAIKK PDDENAQRRAQAATAMVAQVAESLYSISGVLPSEYDEDFREVHSCESGKRHVSSLVSV KRGVRDHTFLLEPSHETRIWLPAEIYQLIVGHVNEFDNRYRQHTLAALSSSCKLLMIM AERFLYAHPRGIRNVQQQWMFLYSLKIEPTRAKYVKSLELVCPSDATNMELLRDMASS CLNVNDVLMEKGDSVHDIYYLSKSYIISMGSLLAACPQLKSLRYRTRACEVYPDPKAF DDDDLDGQGKRSAPLAYPDFKETGHNLTRLAIGEFSDWLIQLMLPVLSSNLTSLTIGR ENNPAGESPLSSLAVQCPHLQELVLDYTLVESSDLERACKMWCSTLQMLKLSNMEENS DWLAEIMPSMTALRFLDTGMASACLILDIDAIAQSKAPLEEIALGYIDCDRDDIAGDE MNTALANMITAHSSRLQLLDLGTAKLGSAILKSCKMARHLRSLHFRLAYRPLADEIDD LLVACPDLDALAERVSQYSPNHEIWEARMQTKVLPKLEIVARDSLIVGFGPL FPSE_05680 MDQNTQPTTESRDDLGNTPPKWNKAGYEDDSSHDAVFGEISSEG PDYRSVGLVGTAGLMMKTQIGLGVLSIPATFDALGLIPGVLCLIAIGLITTWSDCVIG VFKLRHRHVYAIDDAGAMMFGRAGGEFFGFIMWLNWVFVSGAGMLSLSIALNAVSSHG ACTAIFIGIAAILGFGLSSIRTLGKMSWLAWVGVVSIVIAVLMVTIATGVQDRPDAAP QEGTWVSDYELFKTPTFVQAVSAVCAYVSAYGGTPGFFAIVAEMRKPEQYNTAVAICQ SIVTALYVTVGIVMYYFCGSYVSSPALGSAGVVIKKASYGVAIPGLIVSITLVSHLPA KYMLVRFLRNTKHLTSNSAIHWATWLGCTFCVTIIAYIIASAIPIFYALVSLIGALLG SLLSFHAMGFMWFYDNWEKRSSSPRWLLACGWSIFVIAAGTVLMVAGTYGSILDIIKA YKATGGAGAWSCADNSNST FPSE_05681 MGIFNRLRPRVGSSKTSDVDATEMTTTTDQEKNGKSPEDGANPV ANDSLPSEDVTEGVRNMEAITLVWTKKSLISLFICIWFVYLLNAFQSSTVGNLVPYVT SSWGAHSLLNVIDVVASSMTAAVFIPLAKLLDLWGRAEGYLLMVAFAELGLILMATST NLPIYCAANVFYKVGFTGLIYSIDVITADATNLKNRALAYAFTSSPYMISAFAGSYAS QEMLNDIGWPWGFGTFAFLTPVICAPLYILLKVNLHKAKKNILPREASGRTFKESVWH YLVEFDVIGVFLFAVGLVVFLLPFNIAATAPNGWATGYIIAMIVVGFVLLVGFAINEV YIAPVPFLKFHFLTDRTLVGACLLDLTYQISYYCWNNYFTSFLQVVNYLSVSEAGYVN NTFNVVSGFLLFLVGWSIRKTGYFKWLLWIGVPLYIFAQGLMIYFRSPTGYVGYLVMT QIFISIGGSVFTICMQLAVLAAVDHQHVAAALAMLNVTGTAGGSIGFTISGAIWTNTF EKALRKYLPASALDDLVSIYGNLDTQLSYAKGTPERIGIQKAYGYAQTRMLAAGTAIM ALSFVWVALIRNLKVSEMKQTKGNVF FPSE_05682 MPTENGRPRIQYSKLNSSRDEVRFLEIEPANSISEQVVCRLVTL PLSRDVEFIAISSLLGDPTDQERINLNRMAIPVPVNISLALKHVRAVFYPAVAKSSQE NLGGQRERERRSPSWLRSLLRHFGGREAQDRPKLRVWIDCLCVNPMDSRENAKSRVIM YHVYQRAQMVVGWLGLKSPQTDEGVQLIQAFDNAMPATWQEPGDKELHPENYSPEHEW AIPIIDLFDPQAAGGLGCADFLGRDFFQRRWILEEMAMARVPTFLIGDAIVSWNQLLR MNLAMEEFKDYPSNVCPASTRAVVHEFPLGTVHALLDEFEKRKHMAKMESLNSNSVTG SVTSADSTNYQDSNPK FPSE_05683 MVPSIITPPPSCAGGPISPSSICSDHVDIPELPDGRWIRLPEAL FSSIMAVEPDVNPMYKTSKALSDAWLKDALRMNDKTASIWSRLDIAYMSAICAPNADL ETLKLMNDWNGWVFAFDDPFDEGSFANNPIKAAEEVIYTLATLDNIHPVVSPDQNPLR HTLQSCWNRFRQRASPALQYRWKKHLTMYCIGVLQQVGVQNTASRLSVEEYMDMRAGC VGAYPCIGLMEFAEGIDLPQDVMDHPSLEAISRITCDLVTLQNDLCSYRKDLIQGEDS NIMFILRDQGMTDQEAADEIGEMLYDCYRRWHTAMANLPFWGEGVDRDVIKFVNGCRN IALGNLHWSLYTFRYLGDEGPQVKKTRMMRLP FPSE_05684 MLIQILYGLAASALWQGQVVASPSKDNSLERFIDKQADVSIKGV LANIGADGKRAQGAAPGAVVASPSKEDPDYWYTWTRDSALTYKVIVERFIHGDKSLQR KIDEYVSAQAKLQGTTNPSGSPESGGLGEPKFHVNLTAFTGSWGRPQRDGPPLRATAL TLYAEWLISHGERSKAVNKVWPVIEKDLVYTTKFWNRTGYDLWEEVNGSSFFTLSASH RALVEGAALAKKLEKSCPDCVTNAPRVLCFLQSFWTGGYIDSNINVKDGRKGLDVNSI LSSIHTFDPTSKCTDSTFQPCSPRALANHKAVVDSFRSIYGVNKNRGQGKAAAVGRYS EDVYYDGNPWYLATLAAAEQLYAAVYQWNKVGAITVDDMSLSFFKDIVPKISKGTYSK NSKTYKETIKAVKAYADGFVAVVQTYTPKDGSLAEQFDKSTGAPKSAVHLTWSYASFV SATERRDGIVSPSWGESSANKVPAVCQAAPACDTTVTFTVKNVQVTSGQKVYVVGSVT ELSNWSPEDGIPLTEGTEGVWSTKVKIPSDTSFEYKYIKKTSSGEVTWLSDPNNRALT GSKCGSTSTLNDEWR FPSE_05685 MALDITSIPNAKNSQIWVMSAFGIAIGLAMFGFYTYRACRKDCF KERLPVTLFVPTLPVSFPFAIHYSCSKSAVRCSRGDKVRHSAPDHPVFSAPGPLSPLF FTFCTIIISMAQSEDSDTIEHTNNDNQKTTVWAAILCVVIIVIIAAICIVHRNIRGQA IRRSIEAQLPRYNVSSGLGKDTVESMPIIRFDSRLHSQQIAAPARVYLPPFDQTKPRK HGAQLLPLRKYFTIQNICRESPRSDGAGVQPGDSTSTACSICTEDFIEGVKLRMLPCR HLYHPQCIDPWLTNRSRTCPLWDLRTKDPASGTTTVSGLSGLEQHRRRFQFRALMAMS NEINASYSNETNAVNVGS FPSE_10568 MSSVAVSDNPDFVPVADWPTLEAMAIGFREHLMPASGKLQSRTI THTFDNGMKISHEFAADSLTWTILEGQDKGKSGEADYEAFEVRPDVFFIDFLKPAYNE VVTMVVDLETGQAITGVSGFKDEDNQRRTYTVFMNATLYQDQPVQAFSTTEDLIGKHI LYRYSSKDAYEHLYLNKGTFVWHCLAGTERGIADAEKCKMLKLRDGLYLFFWTETVMP VESLVVIDLEHMRSTGRFYCWDPKPREAVHVRFGSHATVMAETSPMQTLRQVSQNGSI FPSE_10567 MTSDDSNNMFLNPTEELRAIFSNAQTKLRGMVPGYMVPRFFRTV FIPYQGRMHQVVLRKSNISVQIVKSDNCLVRFTESLCQKDSRKA FPSE_10566 MQFNASILTLLMATLAAAQPVSQNPSALSFEKRGQEDQCGDSTF ENKSSGGSPKVSDCQQIARNIAGGGKWTVGAGGEHHQLVQYGTCAFGAQGAGSNMNAA FIGNTDIIDLINDSIRRFEWNGLVGASGVMGCRSMTGLVGGVNMRWGIYHN FPSE_10565 MASAPVVRQASSTDVPQILRFICEGGEEQAPGTHISATEEMLLK TLYLSDVSGNKPRFGRPLLIFSPDGKPAGLLIYFFNYTTWAASPGVCMEELYVVPEYR RHGYARLLVKALATAAKEAGCVKMEWLCLEDNTKALKFYEKLGAVQKNDWTVLKVDED GIDRLLRDG FPSE_10564 MTSTQKTSFMRAVQWEGELRHMSVNIIPRPKLIDPEDAVVRITT SAICGSDLHIYHGLFGTDEKFGVGHEAVGIVEEVGPAVDFLKPGDRVLITGFSEDGNL LPKAALVPTDLDMVGVGFGSSFHSEGGLQAEYVRMPWADSSLAKIPHGLDDKEWLPLT DIFPTGWTALSRSGFQPGDTVAVFGAGGVGLMCAYSAIIRGASLVYVIDHIPARLALA ASIGAQPINFTRGGKASEQILALRPAGVNRAIDCVGQFCLNENLKPQPDFILREAVKI ATNGGGIGIAGVYQAGGPEGYKPEINFAIPELWFKSLQVGSGLVDIKGNIRALVDLVK NGIARPGFVFSNEYSLEEAPLAYRRFEQHQETKVMLKGSRKQEDDGSLDILTDTDGLN DTNGA FPSE_10563 MKFSAACVALSIGVATASPVFRVPSLAKVAPRSIKTIRKRQVPQ EHSHDFVLTITKEFLDLDNPKEIADPVFGLLGDAAAAEGAGQVTNLACLKQETADQAF TNAKAIGDLRGMAGSLLFQAIERNSAKVGAASELCTETAVNAEIAALTQHQDAAAAGA EAVNKAVTLELAKQLAGIGADPNLALLSGTFAPGDVNDATGAGNTCDDLEPDLGCIFS KGLLVLDASEAEISAAVADVTPTFTGTGGIFATDLVDLASFSVAANTEVADLATIVGG AAATDAVATDDAAATDAATATEDAAATETAAKDTAAATETAAKDTATATETAAKDTAT ATQGNGKGCAVKTTGTAARATQTAATTIITAIKPGATDAAKDGARKDRENDRENDREN DRADAREGRGGNNREDRQQGGARARVGDGVSLPLLKDA FPSE_10562 MRLSSGLLLACASSAFGQFTRFSNTSTSAVETSTEEPSSITGTT TSTETTTTSLETTTSPSVPDSIELDLRSFTLGQGASFYPPPDGNQILMHPVLSDQPLD RRASPFSPPPPPPPVTPYSFAAKIILPKIEPLPKIWRVNLNVTSSCDDCAGKGKRETR SPCVFEVLVNGEIISADPIVSSSQGALSITTNPIGEKESYELVFRQTCNGEIIDALLS GVTIKGSAGATSKPIPPVNIGPETSSATTNSEGDTIVPTATDSVGFTTNSEGQTISPT DTNSEGATTNSEGETVFPTETQAGDSTNSAGFTTNSQGETIFPTETQTDESTNSAGFT TNSEGETVDPTGTATGTSPSSSSTSPAGFPSDVGAFTLFGCVGSTAGFPAFKLAESNG SMDLDACSILCEGRAYFGVYNTSCYCGDEINAADTSRVNLDRCDIECPGDDSQFCGGE SRSDKLRARQTVPNSRLLTVYVAAEAAVTVTDSITQTVTGQETVITTFTTTVTGATGI TTQAVTATLVCFSGKCYSSSASDVTVYIFVEINGSECDGQWVYISEPCFCAGGQRYVP QFCTGGSCSSLKVYKAEECHDWYNYNSFFVPSDCAACAEGKAMYQPWENSWGTPDNCN GDVPVCNGYDCPSKSNGGGSHTSGKWNSTAPHGGSQGGASGGSHGGSSGTSQGGSDGG SSSGSHETPNGNSNGGPEGGSSSGANGSPSGASGAGSQPGSHGSNGGGSQDSETGQPS TVPVVVSGGGKQTTSALGLLAILATLL FPSE_10561 MKPPSIDQEADVKVIADWAAASNSDLFTCSDSQLEDALLAKREA ALSIKNQTGRTEKLIRTWMDDEDGDGDDVGFESISHILAAQMNQHHTSWKDLQLTPEM AAVKDSLFPEHPSTPSTGPQELLPLSSSQSTTLSTEQHVRIEDVSNKQTDSPIESVSL DPTSANKEAVDNQIAKHAEVFPNGFFTLTNHTSTRFHWASKRVETGVKDVTRDIETLL SSIKSNAEMILENEKTKLHTSTSNLMATNKVLDICSDTQKSHRAEEATANAFTTRIET LINASNEACRKTKDSGQVFEKAYKILGAQLPVLRAAFGRKRLLPDSAPLIKTGRANSD NEVKKMVTEVKTIAKRIKEVEKLRSEAEAELNRILLVNTFAQMNGQGVKALEEKHPGL LKDLRDLTKMFREHTSTS FPSE_10560 MSHLLSRTEIIHSLEGILSASCEEQWDRLKSLVQSTVAVNNNSL QRERFIANLRFDVGNNKISSKLDSSVVDVNAQAIAARVIKTESISSEESSATQSVQYQ EIVLAWFTDGRLSAIKSLQDNDARRARQHPATATPTYLLENPSPMSVDLGSIYRQYIG SINEKTMEATFETFCKPSVTHNTHKKTITEYICLIQESQEAIQGLYFDIQDLIVDKDS GRVAARLEFTGVPVKTWADAEPNGQSVKFHEHVMYWFEQGKIHWVWSIVDLDTYREQC RVKN FPSE_08429 MKFSAYALVSLLAISAQAQRSELSSIKDEVSSAVGEASSAIDSA KDSITSAIASKTKEAASDASSITSHINDIKSSISTAKGAASTSLRSALESASSALDSA KASATATGTSTSKAGGSMPTAAIAMGAMMGGAAIFVNM FPSE_08428 MNHRLVKSDYTVRLTIEMGNGRRIILPEREVQAVYPKIVYDYWK ALGGRCSATGYDMWHPFHILGRRVKRGGNQLEYRVQWVGYSKRETSWESGEDLAIWSP ELKEDYDKSVWMQE FPSE_08427 MSDHVPSSWQDLPLMGEVGSLCPRLPEPVKSKNPALEVKSTTVE EDGIPDPLMVPEVAPALLKYENHQWACWSCRIKFFVAIKNLMPPPKRSKPKNRLAGIK DPAVRDFIIAAEERLAKAKSGKAPEEKPKCTYPTKFEDIDFSTATVMTTEEDFEAFLA EHPFGLKEPKEGSVRRMFYVDECTEFRCSGSCSSDLLRDTFEQAATSKPALLKTLQFL EDILTAKAEQPGGLSGL FPSE_08426 MASTQEPKAGTAPATKDKTITPDAPAEDAQSDNAASEDHVRDEK VAPGPTKWQKVKAHLFKFKWWYLLGIAVLLAILLPLLFTVIIPAIVQNILNGQKLPIE GGALQVISPDYVQMSINTSLKTPLAATLKPVDLDLYNKETHPMASFLKLRLPNLHLNH KTEVSIVNQTMEVTDHKELLAWFNEFFDKPKVELSLEGKPEIHLSSLKYHRSLKKTIE IPSLTYLAGFALNDLDFDLKANRTTKYNMKGHLNIPNSGVLRLGLGNLTFNVMTGETR VGLINIYDLQLWPGNNTIPFEGNFFFDELIPNLSDILDSQKVALSKGYMAFNATGNST MANGQHIKYIEGVLNKKHIPFTFPIISLLGDVVGGLLDADQGSLLNIFGGAVGNTTLL DHVLGHWDQNGNGGGGGDTTDKMVRGLLGRSSGGKSRSWMWSLMKLGLTRKGL FPSE_08425 MRFFKLFLATLASFSLAAPEAPRTVSSPELSGELVQLLEKHNLA LVPRSELTDALKELNTLLKSNAFPRAPVLIRRQNSTTGGGSKSGSGSNSDSDSDDSDS DDGGSRIAAIPGLDGLGDLGSGLKGLTDALGDVKDLFKALGDLLSPEFLQAFHDAMVY LAATLKPPAPNQVRNLLAKAGPLLDLVGELNLKDLVDEIKGVNIAGIVSKVLKLLTDD NLKNIEGLLTNGATLLTPQFVKETKTLIGDVSPLLDELSPLLKKLTDLDIAGILQALD PLLKKEAIQGIVTLLKNASNLLTAKTVDEIQDLLGEVSPIIKDIAPLLEQLTKLNLEQ VLKAVQPLLKDDSIKGILSLLKNAESLLTPKFVDQTQDLIGAASPLIDKLGDVDLKGV LDALSPILKKEAIQGLVGLLNNAEDLLSKETVKSIKTLLSQASPLIDKLADIDLKGVL DALSPILKKDAIQGLVGLLNNAEDLLSKETVKNIKTLLTSAGPLINSLGKIDLAGVLD SLRPLLTKESMKGIIGLLGNAEDLLTKDFVSDTKKLISNASPLLTSLGKLDLKSLIDA IAPLLTKDSVKGLVSLLGNAENLLTKDFVSQTKTLISKASPLLDSLDDADIKGLLDQV GPLLKEVSKLKLEDILSAVRPLLTKNSINGIIGLLNNAENLLTKDFVSQTKLLIAKAA PLLSSLDKADLASLLDQVGPLLKQVGKLKLDELLSAVQPLLTKNSINGIIGLLNNAEN LLTKDFVSQTKLLIAKAAPLLNSLDKADLASLLDQVGPLLKQVGKLKLDELLSAVQPL LTKDSIKGIIGLLDNAENLLTKDFVSQTKGLISKASPLLTSLSKLNLEDLLDQVGPLL KMLGKLDLEGLLSAVAPLLTKDSVKGIVGLLDNAEGLLTKKFVNETQTLITGAVPLIS SLGELDLPDLIDQVSPLLKEIGKIDLAKLVKQLSPLLDEISSLDIKGLFDAIAPLLSP KGIKGIMELLGNAEDLLTPKFVNQTQTLIGDAVPLVSALGDIDLKKLIKQLSPLLEAL GEIDFGDLIKKVKPLLNALMKIDLAKLVGKIMPLLDSLDDIDLAGLIDALKPLLTPKS IKGIVGLVDNAEDLLTSKFVNQTHTLISGAVPLIEVLNKVDLESLFEIIEPILEELDG LDLSGLVDAVKPLLNALKKIDIKGIIDQVMPFLTPKTVKGLLSLVGNAESLLSKAFVN QVSELIGDATPLVATVADLVHAILKELMGRT FPSE_08424 MSISSEPGTRGNLTAEQNHSLQEAWVHLLRLCGNQTLCQDVPEK TNDFLQHLNNKSPENFSRSLWEAFLADHPDTTLLRFLRARDFDVVKAVDMFVSSINWR EERQVQKTIIGGGEAVGLKTSLTPDEEAFMAQYRSGKSYVRGTDKDNQPVYVIRVRLH DPHKQTTEAMETYVLHNIETLRMMARAPNDKVCLIFDLSGFGLRNMDFHVVKFLIDVL ETRYPETLGVVLVHNAPFVFWGVWTVIKHWLDPVVASKVHFTSGAKGLLKFIPKNNLQ KSYGGDDPWEYKYVSPVPSENERMQSEEKKTKIQIEREELIDQFNRLTTEWITLNSGT EAGEETSVRRDELAQLLQLNYWKLDPYIRSSTYYHRVGAVNRAGGVDFKAAR FPSE_08423 MHFLGLIVAFAPAALAQSAIWGQCGGSGWSGSTTCQSGLKCEKI NDFYYQCIPGSDNGGGTTPDPGTPAPGNGNADATGLDAKIRAKGKIYFGAEIDHYHLN NNPLINIVKKDFGQVTNENSMKWDAIEPSRGQFTFGNADKVVNFAQANGKKIRGHTLV WYSQLPQWVKNIRDRATMTSVIENHIKTTVTRYKGKILQWDVVNEIFAEDGSMRNSEI YQVLGEDFVGIAFRAARAADPAAKLYINDYNLDIANYAKVTRGMVDHVNKWVAQGIPI DGIGSQAHLAKPGGWNPASGFPAALKVLAGANVKEVAITELDIDGAAANDYVTVVKSC LTTPKCVGITVWGVSDKDSWRSESNPLLFDRNYQPKAAYTAVSNALN FPSE_08422 MAVMSKLLNFPSLTLAACIEGFFAIKLFPNYYSTQSHLAAVVTI LLINYAFGVVFWAVLYPRLFSPLRRIPGPKAYLSAAHHSLVVKGRPSGDLFLDLAKEY PGKDVIMLNSFRNQLCIMNPQLLADLLVHNCYDFAKPKRISGFLRHVLGDGLIIVEGE QHKFLRKNSTPAFHFRHIKELYPMMWTKSETLTKAIAQDITASRSPVVELNGWASKVT LDIIGIAGLGRKFDAVEKKIDPLADIYEQLLEPDREKLVFAMLSLAIGLPIIRMIPWK MNDLFNYLTGSLNELCYPMIKEKKAAIIEKGDDHFDVLSLLIKSNNFSDEALKDQLLT FLAAGHETTASALTWACYLLTQYPDIQSKLRDEVRDSLPADVDCNTPDLASILEQMPY LNGVMHETLRLYPTVPLTMRSALRDTRIGDQYIPEGTDVIVSIWYINRAPEIWGPDAA EFRPERWMTEDGKPNQNGGASSNYNFLTFLHGPRSCIGQGFAKAEMRCLLANMVKSFE WTLAMDNKLVLPRGVITIKPENGMYLNMKAI FPSE_08421 MAPFVIVSLILGCALLGGYASMFTSHSHGFFDTLRVCNTGAEAC ILNMTDSVSRPITGIESIDAAMNLLLEFFSQGLQKNPDIKGIDLEALIAFVYLAAQFG GAWYIIALEGLRFGNKGTILSWTGTFGIVFQAVTITIIAPIYLTIQLLLSPSTPQQAN LLADPTDLSFLPVATLISYVVPSIGLCLPLVFDISREAKFIAVALWQPFPLYQSALQY ISRLFSGIQGTKSKKNAYIDVRRCRKALDRAYLFITGLTMGVHLFVMGTIFASYIGQV QPVSGHHILALTSITSPPTLALLEPSVSVLQSREVVVSFLRWDVYCTCLSMIVWSGYQ LSVAQKAPSVMVTVFRVILLVLIGGPIFPALMFLWKRDDLVIKVLPASRKQK FPSE_08420 MPNTSTNTTTAKTTNATSLVVTANPRTPEKTKDKAPAKATKRIP EPSTRKRALAIIRQPVQSYDDMSLCYFVRRFVSPDEGDGFPGHFSFLPSLFNHHKDGG LVETATLSVAQLAAFNHLGNEELRTRSLRNYGRVIKGLQQSIQSDAQALDDSVIATIL LLCTYKDFSGEGLGDPNEHASGLFYLLERRGPSQIGTRRGAELFLLALLRLQIYSFLH GDDTYTDPGAIATVIGIFDPLLRALAMMSRTLSLRHRLFQFVESESFQQGGSPSASSE DSKSAEEERLLLQECFDMLDSFHAWDCEAATYWQNTFEGRGVPTMLGEMGAGNMHYDA ETACIMILVRSARLILLQSMLLYQSTLQPEEEDQEDESSSYQAMWAQCVPILECDVRK CVDDMLASVPYALGDIDSNGMPSSMMYDGAAAIMIVHSIRLVTHCVYITPAQGERAGI ILTRLNSAIGIRSAVGWLEEDACEPEGTNKLWAASPKLLESRLLECRLDGLGVSPDPT LLSS FPSE_08419 MSSLLYSPVLGYVQSLNNFVLSPVLSCPLLLTSIYAPELANNAL SSIAARLPPQISATLDLQTVNKVLLIWTSLSILRTINKVLNVMAHNSWRIRPASNWSW TNEIAVVTGGSSGIGLSMVQKLTGMGIRVAVFDVQDLPKELQGDSRVNFYRCDITTVE SVAEAADAVRRELGHPTILINNAGITSPMPILKMTESFLRKIMGVNLMSLWYTTQQFL PRMIQLDKGHIITVASIASFVALPTGADYSATKAGALSFHESLASELKHFYKAPNVLT TVVHPNFVRTPLVEGFVDKLERGGVKFLTPDDIANEVIAQIKRKRGGQIIVPKSASGI SGIRGWPTWIQEILRDMIGKTA FPSE_08418 MAFKDSVQLCFGYVYAALITPWLLSHFLFDITLCLIPYLRPSRK WSLNQAVRVRLIRLVLLYWSLTKYGDRLRLDPKREKNRFEVVSPRSPKLYRAILSDYV VRPAQLGMTWTPARPPPAGLVNSNMVVALHFHGGGYVIGNGRDEDTGFLAKTLVRRMG CSHVCTPQYRLSSSNNGQFPAPLQDALTAYLDLIKSKGIPASQIILSGDSAGANLALA LVRYIHEHGKDDDIPFPRAMALWSPWVDIAGALEQDVKQSPNYKTDYLNMYFARWGAA TVSGYGITDPAGPYLSPLRNPFTLEESLPVYVNAGGGEVLCEDIKNFCQRYEKHGWNI HLDVSEGCPHDILLLGDTMGFGAEAKKAAENARGFLNVNAGLKLQSYSPREL FPSE_08417 MEQHTNNTKSFDVIIIGAGISGINAAYRLQTEGPSNLTYAIIEG RDSIGGTWDLFKYPGIRSDSDIFTFGFPWSPWKRNDSLAPGDEIKNYMIESARSAGID HHICYNHAVKTANWSTSDKKWNLEVFRSGDEKPTSFQARFLLLGTGYYDYQTPLQAVI PGIERFQGKVIHPQFWPEDYDYTNKNVVVIGSGATAVTIVPSVADKVKRVTMLQRSPS YIFPLPSSSFFTRLLFTILPASIALFINRLLWLVRSYLTTIMCKSCPGLAKKIIKHVT IKQLPPNVKWDPHFKPRYNPWEQRFCASLDGDFFAAIRSGKADVVTDTIKTVTEKSIE LESGDVLHPDLIVTATGLKVRFGGGIKFSMDGSEFNVSDKFAWKAAMLEDVPNLLFMT GYENASWTLGADVSARLCVRILRKMEQTKAVAVIPRLTSSEGMPVKPMMSLSSTYLKN AGSVFPKGGTGLWSPKSNYFSDMAGAKWGDITSGLEYVS FPSE_08416 MRLINVETLELESFVGDLGREVPTYAILSHVWTNEEVSYQQMTG SLPISEDSKGYRKIVEFCAKARNEGFEYAWVDTCCIDKTSSAELSEAINSMFLWYRKS DACYVYLQDVPSTENPVSKGSQFRQSRWFTRGWTLQELLAPHEVIFLADDWCEIGTKA TLSATISEITKIDIKTLVGHTWTHVSIAMIMSWASMRETTRLEDQAYSLLGLFDVNMP LIYGEGQKAFYRLQVEIMKLSNDDSLFAWSTEPLQDKGYSTSEGTSARGFRFLGLLAP SVCCFRDSHDIVTPKDFSDSHSPYDMAKQNISLSAVLVRLCPLPADHKYLSCGLDEVD VVGTVRFSKNVHPVKAVDHGLVITPARQNSKGLKVMCLLAILRCWNKDGYIAIPIKKL SSGSFQRVENGYRCRWFRVRLMPLRLCLKEEDERLHNESVYRLKQFDAENRERGPPAD PPETILIKAYVPLESAFGASQSIPKGGGPSPTFRFRSLPIEHAKYTLSSDYPVNCVLP LPESRAHATVLEGFNNMINAVPITLLVFRDNDPKAKLPPFIIYVRSRKDKIQNPSSMV GCLVGPQVDHWIKAPETIDTALTEIDIKRSCTRILLSGDLYLVFRARQGIDQSLVRYL NVSIERGLAWDTGPQSDSSKILLSPEESLSRRFTDISL FPSE_08415 MKSISLLVLAASWASAMPKPEHSKGALYFLDSDPNGASVVSFGI TRDGSLGEPVRTSTGGNGLIGNNANGSVTADPLFSQGSIIVDGNLLFTVNAGSNTLAA FNIPENDPTHPILMGQPMDTAGITPNTVAYSRKHKIACVANTGTNPGVQCFSVSGSEG VKPVGGLRALLVLNQTNPVMGPANTVSNIVFNPSQTALFVVIKGDGMQNGYVYAYKVE NGIVSEEAIISRPENLPIPFTMAFSDDNSALMATPAYGAAFVSVSDDYRVTTESVLNI TTQMATCWTARSSETGSVYLLDAGRANINAVNTKSKAISRVLPGYEPGMGNFDGIISG SKLYVLEAAPAIAIFDLKDPSLGPKVVGLKGLGNRASWIGMAAYA FPSE_08414 MDNLTDLKLSVNEETGVATIQFNRPAKRNAFAQKTIDEMVATLA YLDSVDTVRAVVLTGGPEGHFCAGMDLNELVELSTSKAHQIAFLKDLTDALDRFTKPI IAAVVGYALGGGFEISLACDIIYAAEDAMFGLPEVKIGTIPGAGGTQRLARALGKHKA MEFVLTGEPASGAEFERLGVVTKVFPKADVLPSATALAEKIARLSGPVIKTAKQAVLT VENSTLSAGMTHEKALYYSTFGLNDFQEGIQSFLQKRAPTFKHS FPSE_08413 MSSFSFSSLESLSLADRVLFNKFSKGAECPIPYDVAHHAFEAVA QAHPNLTAVRHYDGSTITYAELDRRANMLANELIHTYGLRIGDRVVLVYSRCIEMVVF IMAVLKAGGQYVPLDGGIVTDDTLGFDIADSDAPVVLCLPKFFEKVVRSVPDDRRNMV NVVDLDSTSPLWKMGNPSNPMVEVNTDDGAYVIYTSGTTGRPKGVDVRHRGVTNTLLA EPSKLGIRPGRNVAQQLNVAFDMCAWEILGTMMNGGTLHIRGSGLQPWTECLQRCDTI IATPSVVLKYMPNVEDFPNVDTIAVGGEPCPLALAEKWAPHINFWNVCGPTEISMLNT CHLHQPGIPLSIGKPNPNTNVYILDDNENPVPVGQPGVMWAGGPGVSAGYINLPELTA TRYKLDKFTNDGTMMFNTGDLAQWLEDGSLLPLGRKDDQVKIQGFRVELDGVSRSIET TPGVIKGCALKIENALWGFYSSDVQIDENQLKAAVGKSQPFYAVPSVWKHLPVLELTP NGKVDKRALMKIATEGALMDSLGLTVAKPRPQTLWPSGERMMSMTSSTDGTIVGTDKN VMRDLEKDDKPLVDEYNEEEFPLPEKNGIHGWRWLRHTAFSAYRKLFGFIFVTNLTIL IILLVNSRDNDYYPKPAHVATAVASNLLAAVLVRQEYVVNAIFFTCSRVPTSFPLSIR RHFARVYHNGGVHSGCAVSATAWWFMYTISVTRDFLSEKHPAQIKSVVLALTYIILGF LCFILGMAWPSIRMKMHDQFEWTHRFVGWTSVALVWAHIIVSTAASTTGPLGPALAQS PTIWLLSLITLCIALPWTRLRRVKVVPEPLSKHAVRLHFDFSTPPPCSSRGVRITDRP LVEWHAFAAIPEPSGKGFSIVVSKAGDWTKRIIEKPPTSIWTRGTPASGVLAIAPLFK KVVLVATGSGIGPCLPVIMERRVPCKVVWSTKNPLSTYGQGILDTILACDPDATIWDT DAKGRPDMVKLAYQAYKESGAECVCIISNRSVTAKVVYGLESRGIPAYGPILDS FPSE_08412 MKQTIEDPFFEAEPTVIEELKQCIPTADGSLRYLKSLFPCFGWL PRYNWRWLVGDSIAGLTVGLVVIPQAMAYALLATLPPDFGLYTSFAGAATYWLFGTSK DIVIGTTAIGSLLVGEIVTHVHEARPDTYTSVEIAKTLSFMTGIILFALGLLRLGWLV EVIPYIPVSAFITAASIIIMCTQLPVLLGIPGVNTRDDPYKVLISTMRKLPDAQLDAA IGITCLVLLELAKYVFTKLEARQPARKKLWSIMSSLRLTFAMLLYTLVSYLVNRNLSE KESKFRIVGHINQGFIHAGPPALKTDLIGAILPQSPIILVILIVEHIAIAKSFGKKLG YDVVPSQEIMAQGTANILGPFLGGYSCTGSFGASAVLSKAGVRTPLAGLFSAFMLLLA LYALTGVFYFIPRAALAGLIIHAVFNLIASPSTVRKYWRLSPFECLIWVVGVVMAVFT GLEPAIYTTTGLSFLLLLVRIARTRGEFLGKVEVEQAVETPENTDDSRNSSTRDVYLS LDRNDASNRDILVESPHGGVLIYHFPEGLNYLNQAQHLKNLTDYVYTHTRRPDEEQKE DKGEALWCDTSGLCKQDNDLPVLRAIVIDCSTINNIDITSVQGLVDVRNALDRWASPS TIQWHFGGLHNRWARRALAAVGFGKSSTQDGYSFGSWAAVPTMTTSFGEATEGDHKRT STDDENTIGTRHNNLSGEKRLSPVFALDRPLFNADLGEAVRAALEYARKSECRSHLQT DTETE FPSE_08411 MTSLLTGAVFGSGLSLSGVANPQVIRDQFSLSDFHMLATFLTAS ATSAVVFAGYNNNKSDNKIPIKLPSNHGWIGSYDGNLIGGAILGLGISLTGACPGTVL VQATAGVGHSRLLACTSLLAGIVWVKIKPLVSQPQPPTSRAENNTVMLITGWSANKVL IGYEITLLGILAAILVTAPRSETLLHPVVGGLLIGVGQLSSVLFTKRPVGVSGAYGEF GSLFWDLVSGKTLKSIPESILFAGGVVAGSWLTITQVPAIREAMVTSREQSLPSLIIG GVLLTFGARIAGGCTSGHGISGMASMGVSSFITIVSMFGAGVLFRSFFP FPSE_08410 MSEPTIHSLFETATSTWQYIVADPITKHAVIIDPVLDYDPVKIA VSTGSADTIIKIVKDEGYQVDIILETHAHADHLTAASYIQSVLARSQSVKPAIGIGSR IKQVQHLFGEKYGIASQEYETVFDKLWEDNDEFAIGNLTARTVHLPGHTPDHMGYQIG NNVFVGDSIFNVDVGSARADFPGGSAEEIFKSGRKLLSLPDDTKIWVGHDYPPEGRDA PLPFATVAEHKKNNKHLKDGTREDEFVEMRRKRDATLAAPRLIHPSLQVNIRGGQLPA PSTSGSRMFHLPLVTPTW FPSE_08409 MASSRPDLAQYPTGFTILRIFQAVLSIIAIVVTSFTINAVVLPA NCLLIVASSATLLVSLWGAFAHLSFSRLFSFRAAFALDGALALLWGASTAVLGAQTAV IWIHGSDYCETNKCPDNLMVVSRFYAYIFAICFGLGIIGLIFSIVTLVFHGVASCRQH KYNQIGMNKEAKPIDIAPKHPQDSTAYQGAAGYQSLA FPSE_08408 MDSFSVSAPSSPVEEKQSYFPSANASDPTIHIHEFGKYGTCQCA GCTGCNGDIYCISSQQCYKCYCKCAV FPSE_08407 MLSRRTSSSTSKARNDFWSKNPSVKIIILQWVDICGVLRARLVP VSTFKKIVEANGYLNCAPLDTCVTTTAEFIPKLMPFFVDSGKIWPDVSSLRVANHDAR GYTNAAVCFGHIEFQDTDARHILKTLVEKTKIQHGLEFLVGMELEFCLLLPRTLDPAE PGSAGVSHTSKLLRSKIWPLLNEIITALDGAGIEVEQAVKEYGTSAYEVALSPLPPVE AIDAYVYAMEVIKNIAYKADLVATFYPTSFAEETGQKSGQHIHISATSTNKDKNWDPD TAMAGLLSHIPALTAIGLAQIDSYERVGIGKMCTGGLVGWGDNNRDMPVRRVTKNHWE VRINDATSNSYAMVAGIIGALLDPKPLKIEGATKFTMFYSEEEREKMGLTKPVPASLE EAVKELENDLDWAFHVLGREYVEWFIALKKAEIVALSKMETKERRIFLLDTF FPSE_08406 MSTPSLTNAQQVRDFVPTKHDKPYPAIDPEKSPLPKGYVVCIIG AGGAAGAGLARSFATAGASGIILAARTQATLEKTAKEVASTNSSTKVVSVMCDISSEV DVAKIATTVKEQFDGKLDAVIVNCGFSGPLSKATVIEEEVGDVQKAFAVHCTGTWLAA HHLLPFLLESKGSFIVISSISALGISGFGTTSHYCASKLAQARMVEIIHAQYADKGLF VASVHPGGMKSEFSLAASKDIQHLLNDSPDLVGSFCVWLLNSDGIQRRKEALNGRWLS CKWDVGELEGRYDAIQQRDLLRFRMAIE FPSE_08405 MQSSPTSSPGSRPPGPPLVDNEYFNLPALASREGSLSPPYAPNS PSPDIPDDFSKYWRDHVQEIPFLPDPRPRVLTPLGFRDDEPANGPLALCQSSSWLKVP PNIRRDILRLAFGDRRLHIGLTYWSRDRESKDTQTTAEWDWSGRISNRPELLGQLPAC VASDPNDCKATKG FPSE_08404 MTLPLCSVCSKLSIEELVSEQGFVLHSDLVRPHESSASCRLCSH AIERITSGNSALRYCESNVEYLTSLQARVFYGHHVHSKHGLTIVVSHIGSQTPYQGEE FPWLLLRTLDGDPAGRLGAKTARLVPESTSSPESTVQALKWLKECLLSKDCFEDCSHV SDHDLEQGLTETDGNRRSSSVLPESNQLLEQKDHQQFQLCKDIHTLYQKRSRSAVSDE RAARLVEIIDIGSTVNLRLIDGSIECTPYVALSYRWGGLEAVWQTTTSNLESRLSGFS IDELPITLSDTVKPTRDLGFKWIWIDSVCIIQDDKDDWAREAVKMAAIYQNAIVTIAA DSSQDAKAGLHNNKSTSMFTMDGSIKISNKLSTGEESSIYLFPDQKTRLDHSVTSLRD MGDLLSHCSLRDRGWTLQERILSPRIIHFASDQLYWECYHGIQESEDNLLWMGRNLTI PKIAHRVKSAKDVETKKQELNKVLYYWYVHLVGGDYSHRSLTYGEDKLVAIGGVAKAL DDIESMGYIVGHWGDDDDELVKSLCWKRGGPRQKAAKYRAPSWSWASQDSIVDYGSYS LVSIDDNETVTEPVTWQASAPDGTFFGRCTNAYLQIKAKFVRGTVFPNCGHDFSEYHQ AGIGGGYTVDPPKERCAFLMLEGGETSDLVWLDESQATQDPVQEGIDVQVVMLSEVRP KGEEPYPGACLICTLDERYYLTRIGFTEYVRPYKEGEGAPPVTGPKLCDKEVIELIII FPSE_08403 MTKPEHRHMYRPKISTLENNPISPLLPYGSLILACCVICIALIT NCLERWLLPRIYKRFYPDLEKDERRRRSFTYFHVGAFILISLVISTGYPIMDFLVGDA VFSAPVVNGGKVTVGDHLLVATEVYCAYYIFEMCFRTKFASYISIAHHIGLLIIAQTA LSLFADPRKNHEATVEFYMCMVWGTFDVVVEIPIFLTMIIWRIKRDNALLLSRLAFGC CVWAVVAAITETIVTIHLLQSSWNQWGLEWKIITPVVFSLWITTQLYGSTRLYAMARA ESRKAKCKSESQEDLPI FPSE_08402 MALSEEKKRRSNYTDPQGPLLHPKTLIVPEGRFHFYDAVFRDLI TTLRGFSAVVAVYWHCCGLRIRVGVSEHVNSTGV FPSE_08401 MSAAKQNGVAALWTLHRLGANLYVHGVFGTSILQCAVMSNAHDT QRVLLELGAEYLSQDSCGHVLHASATVGDVQTFRTLMEFELAVLELAAKEGAVYW FPSE_08400 MSSPTPSPCLDNIDESSPIVVEWECDGATHYLAKPDPKIDNITL KTRLNELSALFELRFPVNLKGVEGVTSISMSILPSSITSFEFAPTTTLPNVVKEKLSG RINRLVFRINKTMEVLVPIPAKEPLAPARAQSGKVIDDIRTLCAARAFIVYIDDKKLS NEQLQCIHDAVNRGGLTPFRDRNDLASMYRGTGAKLVDLVPQIYDAPPSYNETEPPPP PPPINDKKRRRVDSQGKESDAISEIWAELKARDERERTVQHELSDLKQENKSLREALD QIRQQVATFHQNLDTLKQDVDHLQGQDKHTADTLEGYDTRLVELRDDLEDLDAKVDSI QEHRDENGVARDFLDKVRSDVYDDIITRLTG FPSE_11161 MIQAATDLVSRPAIAVPLIIFLSYITYQLFIKPSNLPDLPIIGA RKSDWFPLFQARIRNSLNVKAVLNSSYAQYKNQATILPLLDGGNVILLPRSDTKFASE QPNDQLSLHESAQHDLQTDWTTMTPSLTRDPIHLDLVLTHLTKEVGNLIPDLAEEIEH CVRQQWGTSSEWTEVCIFDNAQLITSGVTNRAFVGFPLCRNDEMLKLGIAFAQDIPLS SMLLKPFPNFLKPLVAPIIALPNRIHNNKFERILKPEIQERLAKYDAQATESEKPPKS ERNDYLQWLIEQAKDIGHPKNWKVNALSERVLMMNFASIHTTTFAVTHALLDIAASSP DLIAELRDEIKSVLERHDGKWNKRAVAKLEKLDSAIRESQRKNSIVSVAVSRTVVAEK GVTFPSGTHVPKGLRIAVPGYSVFQDPEVYPEPKKYNALRFYNARQNEKDEYVKSARN AWPTTSTDFLAWGLGRNACPGRFFASSEIKMMIAYMLLNYDIEHLDERPRNTWIVQNR IPPMKATLRIRRVSQP FPSE_11162 MPEETRYQWKESSTGFWHRSIDECELFYRLYTQPEHGCYPITAC ASFQVKDGNVNPDANVESALRNAWTFLRYRHPTLGSSIEGSDRPDVWERVYRPFQTGD DIESWLSSTFKIIVTDESALSWFNNCAPSFHIPTVYVVQSGLEAQQTVFLRCPHDITD GVGILQLLDQLFVHATKFYGKAREFKYPLPDTDLGTRLSPSLRVAASIPDLLSKAETK RFQELQTTNGNVCNHPYLMGLPTSASHDSAPDMKRIAVSVSQEVSSQVLVGCKNIAPG VSLTHVFIAALTMALGDLQPRQDKTYTARYIDRPMINIRPYCHEPFNSPDHAAAAYHA VSSQALGIDVKVPGSADDDTEVDALSELAIKVRDLYQQFKSDLSNDTHEQALFAPQVF QTLSPPPGVDPWVVQKKPFCPVSLSSIGNLTTIVGGSDGVIELTKGWVASQPISAGVA LFLASWDGQIELSSVFNTQYHDGGFVERFITNILTHVFIGFGIDGDGRAIVSAK FPSE_11163 MKLLSTVVLALSCGLVHSSPTSRAAKPPRFFLIGDSTVAVNGGW GDGLLSYLKAPAKGDNRGVSGSTTVSWKSSGRWDALIRDISSAKGDFEPVVTIQFGHN DQKVMQLDEFHSNLVNIGNNIRKAGGTPIFITSLTRRTFSGGQVVENLKDWAAETIAA AGDVGAEYLELNKASTDYVNAIGAQNADSYNWGPGDRTHLNPSGEIVFGRMVLDLLLE KRRDFAVYFNSNEALSEKIKKGEYATGGE FPSE_11164 MAACIRREEAKVNSLKCSQIDRSWLCFHLPSRSIQEEFIYQYFL YLHPYYPLINEKDFWDMYLDRDNAGATKKTMPLLVFQAMLFSASSFVSSAVLKNAGYT NVKVARSIFYRRAKLLFDLGVETDAFTKAQAALLLTFQFSSVEPHAGSTWLAIGIQNA IVAQAHNFQAPGASAEHKKKRKMLWWSLFWRDRVVTLGLRKPLQITSSSFNTDIEPIT AHDLADEMDSSSVYDCRTKRHLADVLTFQCRLAIILTETLSICYGPNAFDLTYSLDNF DRTLARIQTAQRDLDKWRNEAEQIVKPFLSRPDVHRSTTLISSVIYIYAYAAQIALGN HEAMMIEQRQKGVSILDDSVLRGIGKGINSATTETTKLVRFIVQEGLTQHLPISAIAY IAFPLMLSSLDEIMSPRDRGTDHEELLTRYHAQAMHLCSQRFEGAVDISRMIAQIVQS TPLQLPLRSRPTESESPATEESITPRGLTGYWDDLFVTRNYMKLRLSLDYALITGRPP NSTDIPSWLLRDSGIKLFSASPINRLSAITLHRPRKRRASEGVTHTPAMMELGEKAMA SRTDTSVGGTAQYQMAVQNEGSCTISRPFFDYDTIWAASLFEEIADEIWS FPSE_11165 SKEGTSKEGTSKEGTSKEGTSKEGTSKEGTSKPKEPYNWKTVWL SRNRRKAVIKRLAHKDANKSCVEQWDQYKRERAGRHPGHHRPQAINEPWGPKALKYYD GMTRAQSTMFFQLRTEYIGLNHHLNKIKIPDPQDPKKVISAACSCGYGRQTVSHLFLD CPDLCVAREHLRDELLFLNMEDMLTTDGKFAADWAISYFKLSKISLQFMADWFRIADQ TESFRFGFKLPTDLQFEPRYRPWSKGPPIDIHRTQHGLNCLAVLTSITRLIAQRVCLL FKTLQPNWASGIGLVAVVVCGAEIRTIRFLCHKMLGEA FPSE_11202 MSSHHDHTGHHAKNMTSEEGVIVEGNFVLKQFNCKMAPKRYEQV LEDQEDRVDGDRARVIAFSDLHVDMAFKNDHGYHVEVPTVRPLTEADNPKQQKQREKK HEAKVKKKQQKAARRAASGAEPLPDTDDDKLPEKPSLLRSRVMFCVDKDIRFNSWSVI YHDDENQYLAATLFIETTMGQIAIHNVHNPNTEDQKLEVEPLMRRLFEPGLHIVVGDF NLHHESWAGPLFKKNQWTPKAQELKTSMSAADLKLVTKPGTITYTRSGQKTSEHDRNG DPASSGNVAASSDPATHAEDLIASTSPVEGDSGSTWVDRIASCIDLTFISKQLRPRVR SWGVDQIRDLDESDHRCIRTVIDLEVFVDETKYYNFQRMAKGAYQAFMNRNMPILNDY KLDNEDDVNKGITALCMLMLEARDEFVPSHTAHKPKVNPKPVSKAADLETLEQLQTPD VEHRVRKQRCRSKAWLLRLQQKIKERNAYAAQKSQTPNGIYTLAKAAARRAKPRVVDD VPTLDIDTNGVTYETNEEKADCLRHKLWPETSDDAPPETPMDDLDPDRHSFEIADSLE EHQVKNAIKKLVARKAHGPDQVTTDGIRLASDAITPFLTRLFRACMTLRFVPNAFKRA TTIIIRKPGKATYNSPKSWRPIALLSVIGKIYERILNDIIVLAAIEHGLLPDTQYGAP GGNTTRALQDLLAVFYDAYASRKLDVNKLFKRSFKASLLGLDMASAFDTVPRKKVIQE LARKGYPQWLLETIHSFLSNRTTSLKLPRSHSEEFYVNIGIPQGSPLSPILFLFFAAP LLERIHAKRLEGITIRAFAYVDDTYLVVTSESYARNCEGLAEVHKDIMEWSAETGITF SPHKYNLMHIRDPGHTGPPPTDLPDIPGIKDNPELLKDSFRVLGVIIDNQLSWTHHVE HIEESVERSLRYMWGIGTSTTGSVLKGAKQYYVGAIRPIISYACPAWYLHRDGRRLEW PFKAKLVERLESLQYKCLKRVSGAINRTSHNVIEKEAYIEPIRIFLHRTVMTWRASSF KVSHLSPYSVESIPEEARNLGTANYRLNVEARVLICNARLHVQYPEDYKPKRKRNKRR RKPKAKKPKAK FPSE_11201 MQSPSPSQSRAAPWIDANDAEEALLSLLKDLLYKEVIPLADVFA ERANTQLTDTLSEISAKMEDFNILYRKMEAALANLESQMPIGETSKDVPDFLKIVLSD SDIENFSSNGLSSVTMCQTLAQLPGPHWKRIESITYLDVNEHTRERPCLLIKVKTLES GKAIRSEFARLSAALEISHECFLLDFRYFVHVLGFTEHPKIKEHGDPRYVIKKCPLPF KDLKAKVSHKMLLLSTTSVDIALLLCRSDITLNGIQFHCIPFPPEGIPLFCFRCWLTG HFFYYCKAPTPRCGKCSDNHFTKDCNSDILRCPNCAEDHAAWDPRCTAPASRKEHTDS AVNRKNGPEWAVRLRDVSAPNAVVADAPHVTATAPTSAPGPSSSTESSTESSSQVPKK RGAPTTIKKLEKREGGQRMIVMPTDTSSTSKSSAVFGLTKEGFDTSQAGHIASNTKNK GKGKSNIPIATSRATSDASSDSDAFSVSSAPAAPAAPTPTPAATPGNQVTDSSGTRQT GAAHGRGGARGGAHPVRQARTRSQYKN FPSE_11200 MSSTQGSNESNRTPEPSSFFGGSSSAGSPSRPVKRARRDSTADV VGEDEMDTAQVFTSEQDAVFYIYQMLKSHKAIKIMAVRE FPSE_11199 MVVTFNFLDLPAEVREQIYRHYFQVDGGYVHHVESDKLMTADNH PIELSLLYTCRTIAHEARHVPLSLNAIEFKTRYRPESNNLAGCFNLVAFTYQLLQSEL TTLLAIAGAMTPEIRDRLALEFPDFSQRLATALPSYEDEHEYYSENPVGDRRISRSRS PLADDPDPSVNHIRQLRSTLCYSTQDALAEKASILYLSSGYYRGFSRLSRPYYHNWYW YWSNSFWEAEGAFSSALKLLAEHNPAEFSRQINTNFPQWAGTYPPDEFLNLRFEHWEI PTPEKVKHAMDLLAIGDLWHLPGIWCDARSCCKNDDDDDMTSINQPHRHHPVAGGIRC REKIRFSAVANAIQFLNTRFSVEQRLDIRSLILNEDLPSVNSPSAHAQGLAPFYRENP QLRVERRVDLMGCVFPALASPTHVADRIRDPGASPDEPEITGGMLRSRITQWLLDALA VTDVGIPAEAFTLVLQAGSYKDYFADRFQRQIQEEIALCRAFKTINPEYPYARLTEPL YGSIQSTMIQEQEVDALGILGNDNLSILRSDFNPGVEREFDTLINETSNHDASEWFHA WSVLLGNIDQPPHQVDYNTRLLENFEVRTD FPSE_11198 MKTTADGHGLFATETITAGTRIIREKALLAISQVETKANAEHRC VIEQASRLSTDQMTRLLSLYHNPKKLREFSFLKDQLCPGTDMECSLVLAKFYTNAASI TAGGLECGLFTEFCRMNHSCTPNICWVYDEPSAMMEVYAVRDISQDEEIYNSYIEVAC SRQARIKELSNWGFHCSCSACEGPDAAQHDERRRRIGQIRGILDIYQDTKNDNDKPRF AEIPKTDLEALKLSQENASLLQQEGLIEQLGVSYGLCAKFARGGALNSLADEYEEKEF DILVITTGEYIE FPSE_11197 MNENSNIVQDSVHSQQSSTQPDVMTPTSSQAESPILGYENPPVS MQHADEIPILGQADAPLPTHVIDEVPVIGHANAPPPTQGASQPPNLSHNQSTVSLHDW QCSSFSFVKPTTRQQYNMNCRTTEAWKERLERELYEVRQTLRSLRVSEEEFQAALAEY AAIKHDILELDLRYVCIRRAWRFVQEEERKRLMKLEEEAS FPSE_11196 MTTINAHVHMEPDDNPVEIEPSDVQDNSSSTDSLRDSIFDFRRE NGRTYHKYKEGKYNLPNDTEELQRLDLQHNLMLLTLDNKLGLSPPCRPDSQVKRVLDV GTGTGIWATDFGDEHPEAKARQNVIGVDLSPVQSSFVPANVQFELDDIDEDWTYSEPF DFIHSRFMNFSVQNWKSYLTKIYENLTPGGYVELQDVDVIMGSDDGTLKPDTTMYKWC QLLDEAAGTFNRSFERTTNFKTLLQEVGFVEVVETRYKWPTNGWPRDKKYKELGHWNN ANASLALEALTMAPFTRGLGWSREEVESFLIALKSDWNNPKIHAYWPICVTYAKKPEA FPSE_06344 MTWINSTVAAYLVKKHLELETVPSEWGPGGAGAAGQPRSWMYYV GGVIIFGSSRGIHLATNRARHLYRSDQGKIIRKSHLGYGNSGKLMSVGYYTS FPSE_06345 MGNDQKMGAYYAPKGGLPPQTQILTDRAMFTESYAVIPKGCFSD IVTSFLPFWEQTRLWVIARPLSGFAETFSQYIMEVSPGGGSDRAEMDDTAEGVLFVVE GEITVSVAGASHTLDAGGYAFLPPKCGWTLRNNSSETASFHWVRKAYEYVPGLDAPEA FFANEKDIQAREMPDTNGAWSTTRFVDPTDVRHDMHVNIVTFQPGGLIPFAETHVMEH GLYVLEGKAVYRLNQDWVEVEAGDFMWLRAFCPQACYAGGPGKFRYLLYKDVNRHAKF LR FPSE_06346 MVESDVVSVWNEVIRNYTCNCYLVHLLAVASLLGNAAAGPCKSS RTLTLSTSTTEDFSSTTSRVTSSIVVDTTLSLTLDTALSSVTEDFSLSTVFDYGSTST DITLSTSTDDASSSTTNTSTSWTTYAKAVSTTETTSSVETSLSMTEISTTTQEFSTAT AEAATTTEATITTSDAPAIEPTFALQVANSAQQSVNGKRPRYKKGSSGNVIYLTVSAA LENSYVTGDFHLEASTNRLMVGDMYTAIGAASAAILFAETADDVASRNHLYISCNPLV QLGQKLECVTETAFADT FPSE_06347 MEQITPFDTSKYSAERAAIAARRVFLFSGKWPHELVKDHSPHIW NVKLSEEFATLVRLSVESHKVELRNVLQRLKEITLKHPNEETKCLNRDDISEVRDWLR TEGVDSHPRFPRISAGEEDRNDANGVPSVAASAIRAGTANFSDITSDSSLDFNYYSSD DEEANESSTIQIKSEDDAPVAPRPNPVTRPFSSNPSSSRASEPGPSASQPPSRPNART GTTPSSNTAPSTPLRVSDSVNAHFQESLRRAAMTNSASRPRLQASPSRSVARPNNTSQ AGPSTPSRTTPNHQASPSLNRPAASEPRRNASSDRSQVNQSTQSTSQQQPSATINPPR LNAQASNNPVPRALNTPVASASSSNVASSPTRAREPRSTTNLRTAPITDVPTGSALHR LDGSFDTYRHARGNASSSASLEIGTSGISTPNRPDIQSNVGSTSSNSTPATARPRHDI QPPTRQTHDLPLSAVLNPDVSRKRPLEPTTPVTYQTPERPGASSAAPGINSGSSNEHP PQAGTGNATRVNAASSSQPAPTSRTQAPNAMPAQTNCSWTIGNARKRQRLNDPTGRSI RLDDISDFDATLPNGECFKRHLREWRAWAEPHAKNIDQKLVEIVGHIHSVSNLYQTNS AKRENAINQIEAAKQSLEENQAVITKNRKIIAVLEEESQGDVLAQEYLDKRKGLLKEH ELVHRSFQHELTSAQTILEEIDLQHPTLERRLGELVEDEATLRKKKKSLSSAIKKWEF QTDLMEADGGWAKAMGRWSAGA FPSE_06385 MLRATVSLVGDPVTSVIQLYRPSTPSTPPPEQLFTFKPTRQILN MSIYLTEASDADLPAISRIATSAFHPETDALSRRLFPRHLQPKDIPDGEAAYDWRLAR KASSLESSESHLIIAVDKDKNVEDRIVGFALWDAPPAEGGDSGPSKPVECDALDKSAF EEMKKTVNQDAIDTLGEKGIAGVWHLDYIGVGPGNQRRGIGKMLLQWGLDRARQEGKD CYLMATEAGRPLYVAAGFEDIRTVLILGIPHYSMIMRAGKS FPSE_06384 MIEGRYRESHPNPQGLLELGASEWNVEAFVILLNIMHGHHRQVK KTPALEIVAHIGILVDYYDCLEVVEIFYERWTQWHLDLKKHNYPELSLAIPTIASQTF GPDETVFLFIALVFKNYVQARGLFGLAVFNTDGPLQTDLAIPNRVLELIEQEREKLVE ELLEVLFDLQDCLMARDLCCTLECACRLLGYLTRQMRSFNLPLTKPERPYLGYSAKRV HEMLRSLKAPGWCTDHNNEPCTLEVVLQDFYVPWEPSIFERELCKKDAIGQKEGSGRG LNPGPLAS FPSE_06383 MHFIKTVVLGMSIAAVNAAALPAGEPDAVNCKVSGEGCEVHGAS YGDTLRRILKKRATTTVKKPATTSKKPTTTAKAASTTKAAAKPTTTAKAASTTNKAAS TAKPASTTKPTTTAKPASTTKPTSSTKPVSTTKPVTTSKAASTTNKAASTTKPSSTTK PSSTTKPSSTTKPSSTTKPTSSTTTAKPTSTTKSTSTTSTTSSAKPTSTTKASSTVAP PKASTTTIPPSSAKPGTTTTTSTKPTTTIITAVKPTTTAPSSTVKASTTSTTSTSSTS SKASTTTTSTSASVPSTSTKASTSTTSTSASAPSSSTKASTTSGSSSSSAAAASNPAS STVISSSLSIPSTTLATSTKPAVVSSTSAQVDGVSASTSAPAEGANTSTSAQVQGVSA SSSAQADGVNASTSTQAESVGTSTSAPVDGAKTTTSAQVDDGQTATSGSSAVGPTSSD DDEVTTSASTVSSEESDAPESVDPISTTTAGDEKTTSASKVDEPTTSDSLTTTSVTDD EGSSSAPTGQTTASVTFVEPNTKVVSDESTATSVASDDGETNTSNSSVDQEPTKASSV DDQPTGTSSVDDQPTGASLVDDQPTGASSVDDQPTVVSSGEVGPTDVSSAGDKFTSIV SDDGEGPTTTAKESASDPTTTSAADDGDLTSSAAPEPTVTDDGDVTSSAAPEPSVTDD QPASDPTTSAVNQSTATDDAEPTIATDKDSSATVVEPAGGATTTADNQSTVTDVDAPT SSAVKESSATADEPASDPTTTEISEPASDPTTTEVSEPAGVTTTSNAAVDSSGESSAA DNGPTTSSDAEPTSSAVNEPSATADESTSVPATTSVVFEEPNTKVASDAATSDTATSD AAAPTSDASSGETSAAGEESFVSTVNGASATLSEPAVDPTTTMVAVDPSEESSTAAGD PSTTVESSSGPTTSAEPVVTSDEPASGPTTSAVKEPAVTSDQPASDPTTSAVEPAVTS DEPAVTSDEPASDPTTSAVKEPAVTSDEPSSVPTTSAVFEDPNTKVASDAGTPSSDAS SGETTAAGELSTAPASSANDEPSTTVVDPNTAPTTSAGQEPVVTSDAPVVDPTTSAVN DASVSAEEPASQPTTSVVFEDPNTKVVSDASTPTSDASSGQTTAADQEPSGTVVEPST VPTTSAGQEPVVTSDTPATDPTTSNAAVDPSVETSAAAGEPSTVPTTSAGQEPAVTSD TPVTDPTTSAAAGEPSGTVVEPASGLSTSQADEPSSEPAASSDAEPTATPPPTTLITM VKPSVTSSDAAEESSAPAASDPVIEPPVSTAGQEPASDPTTTANAADPVETTSAAVVD PTTSAAAVDPTSEPASNPTTSAAVVVEPASDPTTTADPVVVTTSSAAGEPASDPTTSA AVVVEPASDPTTTADPVVDPTTSAAAVVVEPASDPTTTADPVVDPTTSAAAVDPTTSA AAVEEPASDPTTTAKAADPVVDTTSAAAAAADPTTSAAVVEEPASGPTTTANAADPIE PTTSAAAVVEPTKSVADEPASQPTTTVVDEPSSQPSTPADSGSSGSSDSSGSSGSGSS DEPSSEPSTPADSGSSGSSGSSGSSEPASQPSTPADSGSSDSGSSGSSGSSGSSDEPA SQPSTPADSGSSGSSGSSGSSGSSDEPSSGSGSP FPSE_06382 MTTVASRQANSLAKPMQDSLLSFIDDSPSTLDPVLASPLSNTVR SDRIDSAQNATTSTSQPTATAPTQHNQNNEGNQIPTPARWNETVLSEQNSSERQTAML RFRYQIAPWLDSNAPRSTFGPKIMTLAAEKSVIMDVIVWVAMRRSRGTTAPEGDFHLV QHLQHRLSLESAFTADVGRSLLALGNFFYTSPSEWANLHPDRTFGEDRYQFFANQEEP LKTLDRFHYKAELAASIIASRSPSSRSSVSLIDEALLTPNMSPFEIYDTCLVHLTACC QLLHNKVIPLLNGITTIQSPTQEPSSLVWATWSNLWARCVQWFRDRPPDMVPLLESPE VDAHTATASSPFTADVYSSAIAVQANLTMHYSSLLLLSYKPRLVKLSSTPHRLTSKSW HAQKLAKLALWNNFPDQWDPVVVATVVRIARDMTYPSQQEALLSCFQRIGDATKIPLQ REIADLQQFWSSSRHSNAPTNLHS FPSE_06381 MPKPVISVLGSLNIDLVSYVPHHPLPGETITSSQFNVFPGGKGA NQAVACAKLSRTSDFKDSSVDVVMIGSIGADAYGTTLLDSLKSYDVGTDSIIVNRDGG AGSQSGIAMIIVDEPTGQNRIILSPGANNSLQPSQFQTIPGPAPALLIMQLEIPLDTV IQAFQAAKVMATPVLLNPAPAQALPEEIYQGLAHLILNETEAALLSDKDESEFENISV VEQSASDFLARGVHNVVITLGGKGAYFANDTGAKGLIPAQKVKVADTTAAGDTFIGSY AVEVALAGQKSEKFDIEKAVRSGIRASSHTVARRGAQVSIPWKDELE FPSE_06380 MAFFPPEDFSLDPRFIELQEELRSVLFAGLSALSPSASCTPEVE ATPTQSPQTLDFSNVTVNIPHDRLVCYLQNWVTECAPYLDKFDEDKHFQIHVPLMAQK SPALLYAMLAFSSRQMERRGLTREGGCDSLELYQESIRLLSPGLQAKDPNMLVTACIL AVFELLSGGSKNWKRHVEGCASLFEFFGVNGFSGGLPQAVFWCYARQELCGSIISDGT ETTVLPLSKWAPAAPESMVSSEQIERYVRDMFHERSRDSPDMQANWAIYLCTKVCDLR FRRTQSLELGRPDVQDDRPFSEQWQQLWNELQYWLDQRPTAMKPISMVEAGDKQIFPA MLFPHWAAISSNQIYHTACILMLEMRNLGHELDYQCLALWHARQVCGISCANLHPGSL VNSIQPLYIAGKLFSHVNERKQIARLLKSIDRTTGWGALWRLTDLEAEWGYDAGAILK TL FPSE_06379 MSNSSSRDEPYTMEPSTKKTIWQSIRENPKVIFIAFFASLGGFE YGYQQGVLGQSLVMTRFTQNFPSVVESSSATGWLTSILQLGGILGSLAAGVSSEIISR KYTMFIACCWVVLGSYLYVGATAGNPALLYAGRFFTGIGVGLFSGVGPLYNAELSAPE MRGFLVSFYQLATILGIMLSFWVGYGCNYIGGTGDGQSDLAWRLPSIIQGIPAAILAV GIWFMPFSPRWLVKVGRDEEAKSTLAWMRKLPIDSDRVQVEYLEIKAEAVFEQKVFAR DFPHLAEKGKSKFRQEIAQYVTCFRSMDNFKRVCTAWLIMFFQQWSGIDAIIYYASNV FISLGLTGGTIALLATGVTGVVFLISTIPAMFIIDRVGRKPMLQVGSIVMGASMITVG IIVAKFRHDWPSHVAAGWTAVALIWVYIAGFGATWGPVSWTLISEIFPLSIRAKGASI GASSNWLNNFAIAFFVPPMLEAWEWGTYIFFAVFLFAGILWVWFFLPETKNASLEEMD RVFKSRAGEQDAELLREAQAEVGLLGSVSGRKASMEKQGEKHVEEL FPSE_06378 MLLKGSDNEKEIGKDFSNDVQVEYAVAHDAAARGHLATDEHGNP IANFDAEAEKRLRRKIDWHIMPTVTILYLMCFVDRANIGNARLAGLEKDLGLAGYDYN LLLTVFYISYIVFELPANMACKWIGPGWFIPATSVCFGLASLGTAFVNTMGEACAVRF ILGIFEAGMLPGIAYYLSRWYRRSELAFRLAIYVAMGSFGGAFGGLLASGILTLDHFG SLTRWRMIFAIEGICTIGLALIGFITMTDRPSTARWLSEEEKDLAIARIKSERVGVTE VLEKFSWKLARRGICSPVTLGTSTIFLFTNITVQGLAFFAPTIVRTIYPDASVVQQQL RTVPPYIVGTFCTLAISFLSTILDRRNIFINVSQLPVIAGYIMFLSTSDPYVRYAGTF LICAGTFANGALSNAQVSANLVTDTARASGIGLNVMFGNVGGLISTWCFLPFDGPNYP IGNGLNLAACSSIFILTIVLHFYMNWNNNRRSRIDVTQALAGRSVEEIRDLDWKHPGF MWRP FPSE_06377 MTIKEDQQLSNSEYLDSRYVASNGDDPIHEWFRSFEHLQPFLGK NLLEQPGRAAQDNPKVLHLGSGDSVVPAELAGRGYKDQLCDIAGIEWKRVDVRDMPTV STGSIDVAFDKGTLDAMIYGSPWSPPDEVKENTSRYLKEVHRALKDDGVFLYITFRQP HFMKLLLNPDNIWNMEMEVLGDGGTFDYYGYVIRKSKPQ FPSE_06376 MAVESRATQIAAVAYTFVVISSIATILRVYCRAVVIKAFALDDW FAVIAQFMFIVFCSYELTGTRYGTGRHFKDIEGDDLVKAMQMWWTCEPTYVLTNMAIK ASIAIFLLRICVTRTHRMIIYVATGVTELYSLFFFLLFVLQCRPTSLFWLRYSANPPA GQCLDASVVSNAFYGYSAISCASDWTYSILPMFLVWKLQMSKRTKISVIGILAAGAIA SSATIIRFPYLWSLTDIGDFLYSTSDVAIWSTVETGLGITAAAVATLRPLLRSFLGHG SSAEGGGNSARPWQRTGSNHPSVGYLRSHGVSGGEEAFDLHDNAGKRIGVTTIIDHGD DIKAGEGSKRTSRSAESDSVKELRNDWNSSHSDIAADKDGQPRPEKGWNVLVKKTVTQ TRGSDLA FPSE_06375 MHIPQVVVTALALFSPWDKHIWTASDDTVRGGISQSYFDVLEPK THENPFSHPIAKFYGHLDYETLGGSGFATQRTIDGLPPTDLSKYDRLLLEIPYSDGKI YTINIRDSGVETKGGKDQPSVNWAYDFQLPATKVVEGEIELHQVVIYFKDLTPTVRGT VQKDAKPLNLAKILGASIMIRSFETTQQGDFELRIKSVTALGQDCEAPKIEVSCESLC GKKIEVY FPSE_06374 MTTSKCLLFFTNSDYGQANVVLATAHAIGLENPNVEIHIASFQE LEAGVNDASKFMQTSASQQKLPTPKSFIFHKIEGISWGPATKRPGTAIFDTLELTPGF VNSAKGVATLPAVMVPWTPEEYMEIYWDTQRVYDEINPDLTIVEPLYTHGLTFCHYRG VRWMVLSPNTIKEFAVPLQPKLAALWKYPMACSALPYPIPWSLIPTNIAFSLVAGYTL LTNTRLKNATEILRKKVNPSIQLMTMMELGVLKPAPANLSILVANSPDIDYPFTVIPP QLTSCGPIVRAAPHIREVDPDLATWLSQGPTIYSNLGTHHKSSPDEAHEMAKALKKVL DKGDAQRPKERPLQLLWKLGRIPDKEGNPPLQDSYNGVWAPVLDELQVYIKQGRVRIT DWLVAEPKSVIESKNIVCFVNHGGANSFHEGLCAGIPQVLLPAWTDCYDFANRVELLG IGRWGNKKAKPRWEKDELFDAIADTIFGPESAQIQKTAREVAACHPEWEGRQKAAKEI IDYLTCTQ FPSE_06373 MAIYEQSHSQVWPDSNGYFGQFGGNYYPPEAHQALKELADKYEQ LGQRPDFLRQLEKVRTGLQGRPTPIHYLENISQQVGGAQIYVKREDLNHTGAHKINHC VGFALLAKAMDKTKLIAETGAGQHGVALATAAAYFGLECEIHMGAVDIEKQKSNVGRM QILGARVVSATAGQSALKDASDSAFNAYIEQREHALYAIGSAIGPHPFPLIVRDFQSV IGQEAREQFLTMTDGKLPEHVVACVAGGSNAMGMYSAFIEDKDVKLHAVEPLGRSSQL GEHAATLSYGKPGTLHGAKTLVIQKEEGLPASVSSVASGLVYPGIGPEMAMLHEAGRI SVGVIHDEEVISTFFKMAKSEGIIIALESAHAVAYAIRLAGERPKSERILVNLSGRGD KDVDYVLQHHGTG FPSE_06372 MESTNRFMIGVFGPTGVGKTKLGVSIAKSVHGQVISVDSLQCYS PGGIVTAKPTPEEMDGIEHHMIGYLEAEEEPTNFVAEAVERLEKLCDHGAIPVVVGGS TSLTLPLLRGALNRGWRMAAITLLPHQSTYLGNIESRVDDMLEAGLLEELSGLKSLED RNLNGKPNFHKGIWKTIGYQELYPYLEAQRSDGHCDELLKSGLASMKENTFQYGNTQL EWIRQALSPFLHAEKIANMSLTVVDKTSWTRGVEKPAIRMASDFCYASTSISFHPINE PKPRVICIFGGSSSGNDPAHMEAAKSLGRVCHENSIKLVYGGGTTGVMGAIASTLVEL SGPNAVHGIIPEALLKYEAKESGRHAQDSAFARYGRRTVVKDMHTRKRLMIQEVIDGG DGSGFVGLSGGYGTLEELFEVITWHQLGIHDRGVCLLNMDGFFDGLVNWLGNVVKKGF IGLQDAAILSIASTAEGVVKCLDQKPGFSRKGELEWV FPSE_06371 MHFAIPLEYQSELEATEPVDVGTDEEIISSIEQYRPVTSEKNIW AFWDSGILSMPSWCKRNVIGWARICGADWTIRVLDMKPNSPNHVLKFIDRDMLPEAFL SGTMDGHHTGQHSADFIRGPLLHHYGGVSMDVGCLLIRHIDRICWDLLADPDSPYEIA VPVLYDQTIANHFIAARKNNIFIEKWHQLFLHLWNGRTHQQGISDSPLLGFIKDIRYD DATDFHWDWSVPVPQFLEYIAQVLCWQRLCLIRDTGDGFKSSEYWQRNVLCIDSLNEV WGGEKTLGFDGIGPRMYNLLTTRLDADPDSTAYKDAYKLVWRLLTRSSFQKVTRAKNL TYTPHLGTLWDQNEGKDCIPGSFGELLRYGPVHFRQKRENIEQLEASEPRTLIEKGLL EV FPSE_06370 MPSHPDSIICATLPSGRKITHSVHEKSPNDPWIIISNSFGTDIS LSEPIAERLAKSGFSVLSYDHPGHGKSSALEDVDNVIFEEMIDGIDELLYVMRITSVR AWIGLSLGAASGVYMARRNPGLIQNLIYCGCPPASLSALGIMSLEMIDKMRDEAEKDG TTTNVIRHMHYGWASKEWLDANPDQDERLKTASSTLSMDAWRAMMTLQKNNDFDMRPM VPDLIRNDMRIMLVKGENDTRINPFVDIMNDIIMRAAKEKAVEENVKMVTVPNSGHVM YLQNEDYFCNAIDDFIY FPSE_06369 MPVFQETSSSARDLRILPSRALPLPRLSEKPDCTNTSEEQREVV VIGAGPSGLFLTLLLARYGLGDSSLLCLDSKPGTLKAGQADGLQPRTLEVFQSLGIAS ELIGEGCHMEEVAFWNPSSNGKGIERTSFTPDVNVPARFPFEVTIHQGRIERILEENL HLYTGKETIRRSHHFLEYIVDETNPEFPIVVKYEHDLPDGSTKQKTVRTKYLIGADGA RSKVRKCMGLELEGETTDHIWGVCDFVADTNFPDIRNRSAVHSDAGSVMIIPREQIAT GEYLTRLYVQVPGEVEATQDAGMDKKSADKKRRGAVTLDYIFEQARAVFAPYEIKIKE GTEPDWWAAYQIGQRMAPNFSAKTFDGLDRVFIVGDACHTHSPKAGQGMNVSMMDSFN LAWKLVHSLHGLTPTSPDSADPILETFSPERMDVARQLIEFDTKFSHMFSGRIGSADA ETSGLTHEEFLRVFSEGSGFTSGCGLQYKPGRIVQAMDEEAQKGLFRGDPLSGALTPG RRLLDVELKRYADATHRHLQDEMPPTGRYYLLVFASNDLLDKSGTSQSALQSAIDIIS KFPQGTINLVVIHPLTTRFEWTDIPAGVKKLAEMRIYGLARKEDAYETLGVSKDDGVI AVVRPDGYVGMMAPLAGAESVGKHLRGCLTAV FPSE_06368 MAAPVNLKDLTIDNITENVHAINSQCSSLRLKYILERVVTHLHD LARETRLTTDEWMTAIQFLTQVGQICTDVRQEFILLSDILGLSLLVDSIDHPKPKGST EGTVLGPFHTHEAEHVGEGSLISHDPDGEPLLVLCTVKDINGAPIGGASIDVWETDSK GFYDVQHADRDGPDGRAVLKSDSEGNFWFKAIVPVPYPIPHDGPVGKLLKVLGRHPYR PSHMHFMFKKDGYDPLITALYLKDDPYESTDAVFGVKDSLVVSIQKVTDEEMAKKYDV KIGSALMTYDFVLVTEKAAAELRRKKAEEAMAGLGRSFKFIDDLPVPDVD FPSE_06367 MHSKTLGVVLGSAALTNAANLFVADYGGNLSTLKLTEAQNGYNL AVSSVSRDCQPGPSWLTLDKKNNVLYCLDRGRQTGSLNSFTIGNNGALKTVARVKAPS GGVAGEIITGNKGKRGYFSAAYDSGTAAVFAVGEQGALPGTEQLQQVTSKIDKIGPIP SRQEAAHLHHVIIDPTGKYVITPDLGGDVCRVWSFDKDKLAPIAEVGALKAPAGSGPR HGFFKVMKSGETFFIFNGELDQKVYSYRVTYTRMGLTFTKVFEITSLNAKFPPNTAPI SEIAMSPDERFVVVSNREKSFATSIEARSGPSDTLTTFLIKDNGTLEPVQAAPSGGWL PRQFSFNKAGDKIAVGHQVNQTVVIWKRDVQTGKIVTEAEGGKLAQIKLTGDVVATVW DE FPSE_06366 MEKVPSEVFDLIISELVDGWTVDDLCRARLVCRSWNQHAIKHLF GTVTLYNRDKTIDNDFQSWNNLMSLQVVKDNAHRVIIETCPPNLRKGHLRWDLWEEEG RWPEFTSAIDRIIDLDHLQAIEVRFSSECRGFDESAAAYYRGNDDREMRSAREAALKA VYKAVQQREERSLQDGAEISPIRELNLCNLQNTHPPEGLVNGLFKDVERLHIRMLDEQ NEGEDLGDFELAERFDFDHILEHEILVPIMDQLVELNLSSHYEWGLLPARFEGKELKF LNLKTLRLGNFVIGHFDQLEWIFDQKSLTCLRLHECQIVTHFHFLESTVREWEINTSD WEQFDGGPWFIDHPYTDSEFWTFDLKWKNVFDQISKSLPKLAEFGVTWGYSYDWYSDM HCAPPSDVMTSRYTTFDMSAMPWAFTAEFGEGFQNDEDGQESSLEFHDRTYGEDARAL NDLLQATFERRRQPRYV FPSE_06365 MARVERPVFTVTAPNGEQVPVLVFALPVEEDECVSAAEFLPFEK EEKKIPAVVASGPTDAEIDAMSIDDMLAIIERDTEEIVQKMKKEREDAGRG FPSE_06364 MVRFLASLTAFLGSKGSPTPDVQDCTGTNAISMRCASAEVPYMR DFFYVGGRSVKAASGNITVDQVYVEKLTPAGGVIQKNPLVFFHGGGLSGSTWLNTPDG REGWASYFIKKGYAVYLVDNNSVGRSSENDIAGYPMASGSATETVMKFFTSPENYETY PQAKLHTQWPGTGADGDPVYDQFKKSLIPLTTNFVGQENALRAAGCEMLSLLGQKAFL ISHSLGSRNPLLLSNDCPEYIAGSINLEAATSPFWSYAEGLGGFAASPWGLTNTPVTY DPPVKDPSELKHESVGNETLAHRNCYLQVKPARKLPQINKVPYLLLTGEASVHVTYDH CAVDFLKQAGGKPEWIKLADWGIKGNGHFLHVEKNNLQIAAIADAWIRGRLVKSKLGV FPSE_06363 MTASAFVGSPPATEDDLHIVKGVLRMYGLENFNASLGLAFSIQR PENPPIETKVPEILTGMIIVILAIVLPTAARVIIRLRGARTRFGANDWAIICAASVAV VYPIICILMLFHTGAGRHTYESTYEEYNLYMYYLTVCKIIFYVAVGLIKVSITLFVRR LADRASRKWKIFSEIFLGTVICYILLAIFWTVFSCRPLGTMWNKRYAGSLKEAPVCVD SVFQNRFLSSMHVAQSIVLLLAPIIILWYVKINKAKKARLFFIWLVGGTTVLGGLLQQ TMITITNDSCWQYTSAMRWTVMDLTFGTLAASLPVLDAAIMGTWSSIKSKIGTTRSHS RTRTLGWTDLENGTRNTTTIKSVRRPSLTASESMENIRGGDKGMEMGILRTDEVELTY DEETRRRSLHAI FPSE_06362 MSLPTLKKYHKKPYTAIDPSGPSLRKTGKTVVITGGNSGIGYAI ARGFAAAGAARVIILGRRPDVVNEAASKLQRENDDLKWQSHIEGRVCDIADFDSTEAL WSSLQSDGIFVDVLVLNAAAYGAIEPILTGGLTKVWGDFESNVRSTLDMTERFYKQKS DTKAKVNITTQSHLDNADHNSTQFLINISTVANYMWTTMGGERPTYGLTKNASTLLLQ QIAKDTDPTDMQVVSFHPGGVLTESASKVLDDDAIKDLVFDDENLPGHFAVWAASPEA RFLHGRFVWANWDVEELRDGPVREQIDQDEHFLKVGVEGLSEKMGGMIMW FPSE_06361 MDELLYQASIFYQSDNGDIANGYYECDPSSGKLIRKGEYAISEI AALDSVHKFTGLRVELLGNTLGYRLFYHDEKKVVKISSYTPDTNWVDAGVVSPDPVVG MGLGSHHRGKGNVTVTFPQGSDNLMVAQNWNDGGLYHLESLPQPLNGTFTNDTQASEI NTDTSTPEFTLPSWDAETVSIATSVDSARARHIFYIGTDKKLHELREEGVEWNLAANE SEKAWPTADTSNAPLAVSYQQSQGEAWIYYLVNGSIIEAHRDGSGYWDDAKTLPTQSA NATDGGLSTGDDDSKNTDGKESDSADSSVSHGLTSGAKAGIGIGAGISALLVAGLIWF LFRRRGKSAPVNGNPLKKGADVEQERGLEDNKFRVSEMAAESQPLSPGYAPPPQYDTP PPQTMNNHIQPVELASPTMIYEMPGNGGSAGAKM FPSE_06360 MRPIIPLHVQGSDLKPTSTSTRSWILPVPPKNKKAARRWERQGK PKSRSGCGTCKIRRVKCDEARPKCSRCTKGKFDCDGYVDYTTEDSLGSDGAVSSISSP ETSGASTSTSPETSTDNSTISLSRPHDGYSTSRLHALDHNSLYYQQFQWHANQSHGIF YYSNTFTSIVLHESLREKCTRDAILAIGAFLYTGFLLIQSSVDDSTTDLHRQASLQFY NAALTTFRSRMQSSQTDSRTWILHMTLLLTIFELLHGEFDAADGLWGCALQVLDPWLK TSYSVYSDILNRSCGETTGELRPLFAMAGVQTCVVLIRHDDPFRHLCPDTYSELSQLL EFLQTNMHQDCNVDVGEFNPLQTWRTTADPLLCCVVG FPSE_06359 MAHDFAYTPCTLFWNRVIPREAMSDECVRSSVLSIGALMQSLYR LGPKPYTSLIPSSGRSRASQDETYRIALRYHSKAISALQARMRNNIATVSRRNMLINM FLLILFELMHGNTAAADRMLTSSNELLKQKGDQLLSEVSAGFQQQPQPMYTAPSNDEG MDQAERILPRLQVFLSLNSRFYPLQNDCWSRFSAKATPSQVPSLMADFIQFGAAWNSF ITRAVIFVVKTMQDAPSLEPAQITTLANYRNTFLRELKQWERAIVQRSDREANLVVKR TLKIFHVGQKVVHILLSCCFDSTETEYDNHDSTFSDIMVMMHSMADESQPATIIETVL DIYVLPVLNFVSQKCRNSSTRLDALDLFEKMVSQMGGWETRASLLARRRLMDLEELGR TESGDIPAERRYVWTDACWDEARTCLDVSFQNAGFRKLDQTDPSGLIKIKISLKEFEG FPSE_06358 MAASLDPSSPADASSDLAGLPLHIGPSSAPVQLVRRVSNLPYTR QYCRTSKPKVRSGCRTCKKRRVKCDEGKPTCARCANGDFVCDGYETALPTVLWAPVQT PHRNHPSPSLVPPVIPGLTSQSVPYLDAFRNQIAPELSGSLYMDFCQGSILVGAHQDG SIRQLALSLGALTLAIADDARETKQHIVDARPSSLRPWGFTTIKNGNHAASLKHYMKG VSSLRDRLRVDPARVPVRTILITTILMALYEILQGNYKSVDTMLRTITNLLHHHHDNP MQDGSVEELVSIQHTFTCFSIMSQYSRKFTSPWPTFMSMKTASDIEPPTFGVDLPTTI LARWRFFNSGALAYIGQAHGVAMSKNPVLTAFFEHQGQILRSQAGMWAQTIEAYLEDD PVSILDNCVEWLKKQVQRGLPRHIILGEGIIMPLLAVARLCRIHDVRMDALHIAQRIK WQEGAWDAQFLAQGELGVVVMEETEKEEGVKRDMPVGTYNQSTS FPSE_06357 MIINLLLSAFAFSALQAVSVDAAPSSKLKCLDITAPHVPGAIVK SISSRVYRDRSVTAAPPNLLQDVHSLDICEVNVTLSHERENDVVRVQTWLPLEGWNSR FLAVGGGAWAAGLGTPDLALPASQGYAVSSTDAGLTGTPLDPSPWALKPDGTVNSGLL ANFASRSVHDMAVVGKAVTQSFYHKPAKHAYFNGCSTGGRQGIAAAQRYPNDFDGILS GAPAIYWTQYVIAELWPQVVMKESGHYLSACERQAFRNASIKACDKQDSIKDGVITDP FNCHFNPSTLVGQNVQCGESSITISQEAANVVSQIWSGPVSSEGKKLWYGILQGASLD ALANTNDANGTSTAAPFFVADGWARYFVKADPSFDTSVLDSNKFESLFIESRDEFSHI MDSSDPDLRPFEQAGGKLLMWHGLEDQLIYPQGSIRYVNEVKSLFAGSGQSCKVDDFL RLFLVPGVDHCGYANTHGAALSDPFGALVNWVERKKAPEQLHAKTPPTAQLQFTRKVC QYPFLARFNGKGNPSDAQSYSCTRE FPSE_06356 MRGLSVLILATIGFSPPSTAKHVFYNAGNLEGWDYILKENKGTV QVDKDVANNGNTSIKITQTYTPGYQGRYHSEVHHNNRGYKRNDEFFYGFSFRLMEDWD FQNQSYNLAQFIANREGAGCGNDDWKPSSLFWLEQDQLASRLVSGPFWQPNCTRQIDE FRGLAKITAGEWHRVVIHASWRNDTSGFYRMWVDGTQVLHQKNRKTTLDDNSTYQFHV GLYANGWHDDGGILGNQAYREVWYDDIVIGTEFRDIHVDKIGIIHELEGKQ FPSE_06355 MLMPTNPLSAASVVTLLSLVSACLGFSASFDSSKLPKLSPVNHV NTSVLSIEYFDSAPNNKTAPVAILIHGFPYSIDSYVKVVPGLVKEGYRVVVPSLRGFG KTTFLSSSTPRSAEQAALGKDIIDLMDGLDIDKATFVGYDWGTVAVNTAAALWPDRCS GMVAANSYLIQNRQNAWAVTPPDSLATRWYFYVFLTPQGYSSLASDVKGWARALWSKN SPQWNFTEPELDVATLALQNADYVDIATNFYRNRLLYAPGDPQYSDLAYTLDQQPPIT VPSVTLDPDQAVVFPATNGSSTAKFFTGPRVHHVVPGCGEAIPLEKPQVLVDAVLEVE KLRR FPSE_06354 KNFILNINKLIKQIYIKITYKRNIIIRNNKTIKNL FPSE_02895 MDCDSSRLSRLVSLEEVEFDADIAGLGVLIAFVATSATVIIVLA FAFFTLSVPSRLLNTGDAVMAAGIRRVYHRLRASFPKPKIVKVVDTRNERVTAYKAFL LSTSDQLLVSQVAILIAAFIISGEITIYSVNVVVALGTLASTVHLGCFPFYLDRLVDN SVAKFVRVLAMVSGSGMLVFMLIVQLSYSWDMETHVYFACAVRDFNMDGGTILISFTS LFVPLTVLYGTYETVQLLYTKGPRDIESAGRHSQGQQIASPPAGLGNGSNVTAGPDIE MQPMRVVPTRLQQFLDGNSTLNLSTIEKEALILHIEFNLTNDTAHSDQLKKTHHQLQL ELSNQRPKTTTVKEIWRKVREGERNTLLNHWLQLKALDLLKSESRSRSQLWLPIVWIV ERWTFHQCRGSFVWRLFWLWSGNVYGVASIFTFRVTTIGMSGDPDHWGFGQIVPLALL ALPLFAAMGSHAGKYSDDHDKNSCFSNLIRDYKRQVKSIKENLAKRTAANLTVATDQQ PAQDAVSPDDLEAIRFVSQIFQQGANDLGHPYLYGWVRGVSLDRSSSLQIGAGFQAIV MFAITTILGFFMAWGTANMNISMLTLLAIIMIRRIVDLVLFAKATTDMPEILEKLYGD TLDELLQAGDQAERMAGAQAVGETNEEVEEE FPSE_02896 MRLIDVTTLKMKEFFGKRIPPYAILSHRWGDNKDEVSFSDMKNG STKKVGMAKVLGCCEQAKKDEIKYIWIDTCCIDKGSSTELEEAINSMFQWYRKASVCY TYMSDVPKGDNIWDPASKFCTSSWFQRGWTLQELLAPVESRFYDQEWNLIGTKADLSS EIGNITDIPRKFLLGWADFRRASVAQRMSWAAKRKTKRIEDMAYCLLGIFNISMPMIY GEGAKAFERLQSKILVQTTDDSILAWGTFDTDLSGQSTKISQAVSAGAFASSPKDFAR CGRIVSNTQIFKPSSTITISGGYVNTSLTIHRNENQMHYGGLNCYFEGNDAQVIAIPL FTKSSATGHIRPQSYGPILVAKPPAGHPTSDIRIRVDRQLRPAQTTGKSVWLHVQGYR KLRLKLAETYPPLEWQKSRALLYRGDSKSGAKTHLLRFTSGDAKSQDLIVVIELNPSG GASTTHSFVIQAFKDLELKDIANSLKFIDPKSRKLSVADNGVMEVKVTITREPVPQGE VCLVSLGRTNKASIVGNKHSALLTAKLKYDFMDALKKEYLAGTAESSALQSITALKDR RESAKATLREIEEEEKRLAERKKSVQRDIDNLTDRIVTEESQIQGRLNSYEKFQTKRL KVQSILDGKTTYTHGQSKNQLLCLFSKGEVVHGPGNWFEHTLQRLLERVEQKSYSWPI EVGQHTQDLSPLLWSVTNSKPEFADLLLNKGSDTEAKYNCGTTALSAAACHGKTWIAR ILLNHGAQLEAVNERKLTPLIYASYSGHKETVALLLEKGANIKARSATGGTALSLAAL KGHEHVVGLLLEKGANVESKDEDGDTPLAKAASEGHAKTTARLLKEGARIETQNVSQQ TPLTIATLGGHEETMKLLLASGAYIEARDKRKCTPLMLAAAMGYASIVRLLLERGADV EARDWQMTTPMQHAASSGHKYIVKMISDAGARN FPSE_02897 MEPIRRRRRPAMRELVPLVADDLPAASLPTPEDQPANVSHSGQP AIFATFSPSASTGPQSDLPELEALKNKVKLLEDQLSKSSAATFQPQVSTPNFETTSTQ LAGTFHLHSQSQPGGLLAIPRAISHKTRLFGQSHFVTGLPLLRDILEIIDKNTNEASD LIKYYQKCKALGKRIKKLRAPEWPTILTTHLVSRVVSDSLVECYLGTIEKLYRILHIP TFRKNYDALWGSETEPDREFLVQLRLVHALGATTYDENFSLRSSAIQWIYEAQTWISE PEFKSRLGIQFLQTNILWLLARETLSIGGETLWIACGSLLRTAMSMGLHRDPTHLPQT PTFVCEMRRRMWNTILEMCLQSSMTCGGSPMISTGDFDTEPPSNFDDEQILAENPTPR PDNVFTQTSTARAMRKTYPARLSVAKLLNDLRQGDSYQETLRLDSELKASYKEAIQTL QSSKKNEISPTQFELSAIDFVMRRYLGALHFPFFGISLTEPSYAFSRKMAVETAFRSW SIARGTANDNSEFARFILCSSGFFRTSTWLASSILLLDLRNQVQEEDAFSSAPVQTDV FNMMQDTTPWTFQCIQAGETNIKGHLITSLVLAQIQGIVNRVPKEDVAQAMIRAGEEA AKEALSVFESLVAQLEPDGGEFQTLSDPIDFGEDWNLMLTDGFLNGGAADPMTWIF FPSE_02898 MSYKDLPKQDGFKSHDSSFPNKGTTPKIDENTDPEFNPAPDGGL QAWLVAAGAACIFFSCLGFANSFGVLQEYYMTHQLRGHSADAIAWIGSVSTFIQFAAG ALSGPLFDRYGAWVVRPAAVTYIFATMMTSLCTEYWHFMLAQGVLMGSAMAFLQIPAF AAVSQYFDKKRAAAFGIAVSGSSIGGIVFPIALSKMLNDSSLGFGWSIRIMGFVMIPF MSFSCVTVRSRLPGRKTSFFLPEAFKNPLFLFVISSLFFLFIGMFAPLFFIPTYAVSR GVNPTLASYFLAITNAASTFGRVIPGVLADKYGRLNVYSLGGLSTGIVILCMNEAKST AALVVYAAVFGFTSGTIISGVSAAFTLVVKDPRDNGTYMGMGLAVSSLAALIGPPVNG ALIDRYGGFFEVSVFSGVMCLFGGCLAVCAKTRTVEGVFGRV FPSE_02899 MDYTTDEIVNAYLSERLEFIKADKDDPNHQKIALEILKDPVVQA LTAPSMLQPKGQQDCKSYLQSVCDSLLGVAICLREENTKTPTVIGIMCIGWGGISPNV AHHRNSEIGITLVKEHQGKGYGREAINWILDWGFRHAGLHTVGISTASYNPRAVHLYE SMGFVHEGSRRATIWQNRGWHDLINFGMTEAEWETLRGLPQLQN FPSE_02900 MLHYLSVLVAALAASTVQASADIVSGATWTATNTGEHVQAHGHG LIEVDGTYYMIGEDKTDGTFFQNVNCYSSTNLVEWTYRGALLSRTSEAGDLGPNRIVE RPKVIYNDDTKKYVLYLHIDSSDYKDARVGVATGDSVCGKYTYHRSFRPLGKQSRDMG LFKDDDGSAYLMTEDREYGTRIMALSDDYLNVTEITYEWKYFAESPAMLKQNDYYFIF GSHLTGWDANDNVYSYAKSLSGPWSAWTEFAPVGSKTYQSQVSYIQPLGNGNAIYIGD RWVSTNLVASTYVWLPLKVEGTKVTLNWYDSWSPNVSKGTWSETKMTKLEGENAVMAN DARVISCNECSGSKAVGYIGGDKKGTLTFKSVKSSGGLSTINIKYRNGDTGSRYATVS VNGKSQKLAFLSTRHLSQTGLSRGFFDMEEGDNTITISNNEGWGPDVDALLPPVA FPSE_02901 MSEKKEGGSLDYEKTDVLHDDLPLELAPAILANEIAQEVENHHF SPWTKSMFHLYGVLFVAYCCGCLNGYDGSLMGGLNGMESYQRTFNMKSSGSDTGIVFM IYNVGSVAAAPTVPFTTDIFGRRAGMIVGAIIIIIGTCIQATAKAMPQFMGGRFLLGF GVSYCCIAAPTYVSELAHPKWRGTLTGFYNCMWPVGAIIAGWVVYGSAYIEGDDGWRV PVWIQLVTSGVVAIFVYFLPESPRWLIANDRHEEAAAILGKFHGEGSVDHPIVQLQMK EMMAQISSEASEKRWWDYRDLWSSHNDRRRLICVLGMAIMGQASGNSLSSYYLVAMMN TAGITNEKKVLALNATNSVLGLVGSLIGARLTDKVGRRPLLLYSIVTCSAIFAVITGT SKMAVDDPSNTNAANTTIAFVFLFGVVFSLGWTAQQSMYIAETLRTSTRAKGTALGNF ASSCISLVLAYSSGPAFEKIGYYFYLVFVFWDLVEAVFIYFFFPETKDRTLEELSEVF DAPNPVKKSLEPRSEETVRATMGQAGDKIVDV FPSE_02902 MGRLSNGDWLLVGNSIFSDDNGTEFRMQDDGKVAVYHGEDCAWQ NTAEQNWQVHGIKMQEDGNLVIYDNSGTGHAIWHTDTAGGKGNQSTTLVVQDDGNVVL YNEGGDPIWHTASNK FPSE_02903 MESHHRAAVVTRSDTGFKFHIQNVPIPKPQPWEILIKLSATGVC GTDMALAGGYLGPCRDILGHEGVGRVVQIGSGVDPSTIKVGDRIGIAWVRDACGQCSC CREPGGEVRCLDQQNSGRKWDGTFAEHCLVPSRYVLTLPDDPDLPDELVAPILCGGVT AYKALKACEATPGDWVAIVGAGGGVGGLAIQYAKAMGYRVTAVDVGPAEDFCMKMGAD AYFDGSSPDTPAKLKNLTPNDAGAKAVIVTAGSGKAYQNAIDLVAVFGTLVCVGIPSP DQAMSLHPLTLIDRGIKLFGTMVGTRTETLEALEFVRRGVVKPIVQTVGFSEIEDLAE RFSTLTLADIRKTGYSVLMHLSPYEHLPYWIGKRWKILPSFT FPSE_02904 MTQIAKAFTSSFQSEMRQYVDAVKTKADDVQRDIELVKAKTDRH EQKMQEKEREEASENRSLVVASLSKNWNQLSKVRIDFRKSSTERKQRQALDALSTYNR YTTSLNSARNKRHLHTASWVFEKQEFKDWYALKEAPVLHIAGKIGSGKTILSSSIIEF INNKQSPSQVVSFFFSRFDDSESLQCDTILRSLVFQLASRPSLTKIVGSKDLYSDLEL AKDQDYSRDSLKKLFYRSLGLIEKWFIVLDGIDECDTEERRGLFGFLSDLLANESTSG KVKLLLSCRETLNHDIRTWFTSTLHIVTGSKTTSQDILTYAEDILREKISKGELILGD INLAEEILRSISLKEQGMFLWAFLTIEDLCSRKCDADIIQALETLPSELNATLDRALD RILRHKNAEIAQTIFRWTAAVREPLEVDQLQEALSIKVGDRVIDPRSRINGIEKLTQW CENLVRIDEEDNAVCFSHHSILEYLSKTDSGPWKDFHIDHQEADHHVGQICLTYLNIQ SPSTALQHTRNERPSDLFQRMNLSVITQQVTREFAPGGVRARAIKLMESATQLKETTN PSRFTGTQAVVDVKYPFTSHFPFLQYAEKYWQTHIQFLTPESESYGLLVGMVEGSLLA HKMPWMDKKWQSSVNEAMITLFSFDLHRYGVPFYTIIYGNSSGNHDLICQVTKIIGQN LNLSNQLDTRWIRFLVEAGHLECPGDCVGMAQQHVDHCDIIRCATRYIADGVPNWPAL VVDPERPCNCPEDFKKRGVSEDVHFVLVDGYKRNSRPLLQIFTRACSGVLRKEKLNRL SQELNLTELDLLRARTISEKSILDCHIEGQQLNMDYFPLLDILDRENVTAHAREIGFG FDELRDLLSNAIMCAYRESQDIIGNSLYTIVQNLTLSESYRVTYDLSIFDVAEQRIRE NTHRYIQESKAKSLAKFYLQSASRLVHFPKESVATTFEQALKAKNWILGKALAVVQST FPVGYLSPETDAFYAFLSCPQCTLTGPSKNWELNLCQPHQLQARTLSQSLVSPGKDSG HDWCMGLLKQLGIKGSLTSNLDYVPRHS FPSE_02905 MLLDELDAPLDDVVVVDRLHIADYNEANILPQDKTTLNQLLKWL NPTKYKGDGSELKKHTTSHLQGTSQWLIDSPIFEQWHHGNNHGILWIRGVPGTGKSVL AAKLIAHLASEEVPVLYFFFRYTIQSNHRPEAALRDWIAQILPFSPPLQLALKNLTSG DINVGSVEDLTMPELWHLLRLALRTIGKAYCVVDALDEMDHEFMEHFLQVLDQLGNIH PDRVKLIITSRPIATIEKIVRNLRLLDIRLGKDKVDPDILKYLHHRLDQMSLTLEIRD TIVHEVLKKSDGLFLYAKFAMDTISRLENATTETVAIALDSTPVDLSVMYRNLLQEHM GRTDLPEGLCILVLQLVTHATRPLRLLEIADCIKVTRPQFGQDIGILKSLIRTSCGPL LEILPDESVRVVHHSLTEYLFGMTRSSPDKDIPLFEPGPMHNLLALICLSYLRAGYLD TLKYRNAILDYMGLNGREHEVPPFFIYATNNWHVHTKRSSHQGLPQDEVNSSILSLLM APENPRKLDALGHQFNGSGIKYYEQLPRDQNIALEAEAILYAIRLDLTSFVQYFFSHN NGDAATYPGDRGIEPPLHQAIRNGNLDIVRLLIKNGSNTSHHSWHGNTPLHLALEGTC RNRRTHHAIVKHLLEIGADPWKDRHANRHAFNCSMGYSRPSSCPPIKQALTKGDETTV KLFLPYIESKEVAQKALDWVINGSQKAEIIHLILNLGLVDINGRTDGATPLFRACTNF DPKAISILLEAGADPNVRFDNGYIRNYVDNDANEGRNVMYALAAPSEHLYQANGGLPD KRIEECFQLVLAAGADVNQVDRSEETPLHLAKKPLIAKLLLDAGADPVAENEDGLMPL HVAHDLDLLEVLLTKTDINIRNRHGKTVLLHTLSGLDGKSTSEKATRLLDLGADPNII DNNGDSILHYLASREGISTPGKRRLLERLIQNGVDANLRNNKGQTAIHKLRFRGLDST VADLQAILELTNIDINAVDDDGNTFFSLVIENERVSGDDRKGMERLMAVLVKAGARFD VTGRRGRPLLHTKIGQRGDGKILKLLVDHGVDPEQTDNEGNTIWHAAVPRLVSPMSTT ELLSNIVSLGIDIRKPNNQGRLPLHVLCEQNEDRMATGLKDGTTLFDYMMEQGQQDMD KADNDGVLPIHLASASSTLFTRMMLDAGADATLATHEGLNVFHIAARCRQSNRIGLVC DWFRATMSMDKLSEAVNAKDRRGRNPLYYACASGHYQSVELLINAGAVIGFNTYEGSA LEGCVEFERNLKDWQARGNCTSGAVYLDDTTRPKKDRGWRSSPKRGTYHEYRIDEILD LLVTNTTSASWREIDRAIVLAANQQHDYTVESLLRVRESLGMEEPLMCAAEVQSCLER RASVLASVVTVSAERRSRSVGEVFSDRIRFIIDQRLHRAIPSYVKDYSPKPGIEELYG VSLDLVLSGFADPLDVLLTPDLVSTLENCSNSTEDGARIGKARDMVSLLAAACQSEQP NLPVIQVLVKKGARLDKCFTPEGCSFHFQTTPLHAIIKTVNHWWKIAQALPYILDQAI DLEVRDGEGHTPLNATLEEKDHRLWSCQATEMLLRAGADPSSINKAGKSCLAHAMGNE SLFKLLLRHGAEIGPAALAAAILAKDVNMLEMMLVSGADPNVRKVGKEIPSSVSPTGC WEPGVEDPSGESELYPLDVLITSMGYEDHDAVCEDMMKLLFDYGADPNGRYSKTTMVH RILQTKDARYFSVRSRRNCYVDTIVQHPLLDVNLKDAGGMTILQTAYGAGDLKSAQIL IDRGCNVDGQDKDGRNILHLRRRFGFHEPQAQMDFIERLVDLGPELLNQGDGHGQTPL HYAIRHMASEEEIELLVSKGADVCAKDDNGDTPLHFLFKQNWTLTVNDGNMILEERKK KLVGLFMSRGADINARNEAGETPVFSCYREGGLEALVPHDQDMRKTLEDPNAYLVWHN KLQVKTAVEQAPILWALLDEFGVDWTAVNNEGQSLLHVVAAEPKGLSNKRQRRFQFLM GKGLNALEENNMHQTALDVAAAHGAEDILALFNVE FPSE_02906 MRFSIATSLAVLATISNVSAWHLTAYSNVENCDPNDETEYQILE GNQGNCYTFGWSMPGVSCGHFVNGGTSNKGCKGLFTAIAMYAHENTNCLFYAQDDCRG NPTVRESNTCINNRELLEVSGTERFRSFKCANTE FPSE_02907 MESTKNNAQKVWSAIVKHAKEHHRSVNAAVNVYYPQPTGLAPRG APAKTVYSTSSSARSSYEKN FPSE_02908 MPDRVVRRRNVKTRNRNGCSTCRARRLKCDEQKPECNNCTRLSI PCGGYAPRIIFKDQTKLFNQDSPRRTRSGQKLGDSESIDDSEQQDEIDDSFQVPEEQS PAQEYLASYVPAPDLESDVIQTQSPIYHVAPPHDALQASHPLSSPVVSSTWNTGLIHG AALVSTTNTPASDHHEPVPYMASPVITPGTSSHTPFAYTTPNFSSPRLPRQDGVHETV TSDRFFGIKVAPMIPQGLFESMKFPEDMLYYHHLRDTGPYGVLSVLYLNDILDAEYLN GSFYHAALALSALKISKSDAHTHLRNQASIHALEHFVVALGDIGKIEVEDINTPSNAH NPKKRENAVSWLSTVLLLAHFELKRGQMRLWCVHGRAAVDFLSSHLSLVRATDIGECL VRAFSRIAALLVIYERTHSIQKQAISPEVSSSMIELLASSTLPYDRLLYIGPRVNALE DEWRANFRPDATWNERVDQLRIELEEWRQSLPIEEVPAFIDEDSVEGQAVDIKPLTIL TSYEPVRAATNYAHYLVFMLRIDMMYSSKTAHNITPAESASIIRQICRLTLGLPYTLC VSVNNYGHGMLPAMLDAYHMADDILRDWIKKWISSRPDTREGIWDIGRVQKLIAYLDR EYTGQGSRAGWTVIKTRMIDEEDDGQERDDVRDEGDNFCVEIYSKGKRGWSIDFVEIE FPSE_02909 MDNNRPVAQPPGVLVDEKNINIDSTETSRTILKFETDDPDNPYN WSSKKKLFIVFVATMLLFNGTLASSLPANVITPMSADYGIDNTQGNPELALPISLFLV GYLFGPLVFGPLSELQGRKYVVQAAFAGYTIFTLACALAPNWASMLVFRLMSGIFASA PSVVGGGMMADIYSDLTIRGRAFTCFFAVSICGPLIAPTIAGYVSEVSWRWAFWVGLI VAGVTVPPLVFLPETFGPTILERRAKRIRKDARATGEGNPETYAAIELEAKGWKNMMS VVLVRPVQMLFTELIVAATSLYIALAYSIYYMFFQTYPIVFKGIYGMSTKTSGLMYFP IIGGVFLGMIIFFLWDAYYTRCKKEGRTWAQKTEFSRLPLACIGGPLLVISLFWLGWT ARPEISWISPMTAGVPFGATQILINMSLTNYLGDCYGVYSASAMAIGSCLRNLAAAGL CLAAAPMYTALNVGWATTILGIVSVFMCAIPFVFIRYGEYIREKSVFYQKLKKDMEKA RGDIESE FPSE_02910 MTIFIQPLTFQSQNITISDWISVLTLCLAPLIAHILIGAPSPTY LSLSRPPWHERVCHYNPTSILWRYAMITDRRIRAKNWDKIDLAATNALFWTDAGWDGS EEIIEYSRPRCVQLPDDDRVTLLSRDAFKTLIITVQGLQAIILLLSNQIDPTAPGFTV FMGVDIIFFPVALFGLLRLCSCIWLTDEFSFASMEDLPLGTPQMIECSTTSFELLTTN PALSGPQRYHNPSFWGSRVFRIIYVIAQFALIALALSFIVTIGGSSLYTFTTFVVIIF YIFVISVSALITIWYFGIKSSKSTVIPCMSSIWYKFYTGLLFGFGIALIAIACIETRK TPCGKFTSGTGWQADALACMGGAFDKTESRSVVDGPYNGTTWYGFMHAKNASFVQAEY MDEHQNSFNFTRMCFSSMYGI FPSE_02911 MSDPLSVAGTAVGIASLGIQVCQGLIKYLQAVNDKNEEIREAVR DVKQVLSLLDSLNNTLPNVGPGNGTTSIQIYIDNCNQKLTQLQKFLDGLLNEISLDLK DHVKNHDDNSADLKDQIQCNFLQLQSMQSTASDMLINIKEQLHGTQLSIHDLDQRIDG KLTIVETVTRSTETNSQVAVAMLEKLTQLVEFQSALLSTTNVQVTGPQSVQDVGATTA YQSKSSTVLGNDITSARSTNIMSWQGCYCAAALPKSTTSFQFWNLQFLYEEQEHHLRS CKLWGTRKGTKQRAKAEFRMKLAWLSTRMIDACFEYASGTSRPGISIRCRNIIRRMDS PVIKAIWDVKNEQLTPPITQTRMILALETMKRDIINLYSKGLASPLDIDERGLTHAEA LIPTLSSSIWYRATGADLRINVVRRILQDEATTFAFISLIRALLQASNCVPEDLSIAE SLSRFMDLKERKQEAYFADYLVSSLYGNSHFPFSSMIIECIARFKPSALLMSIIQGSK AILCRSIEDLVSCVSRAPELVLECIFEVDVVTLAVTWPEGLKYLLTTKASTLVESVDM LLEAGCCFPYEILWGLHMSEEMAAVVATHISIRRLRLLKLAQTQLGVFLGNESVAFAD SAAATICDALDKEKIQVPPCLRVGWNYQSIYLNTAIPFDVFKQFWQMGFCHFESIDCI GRTPMMTEDSRWSALLNFQSFHHVKETISWLCKHGFMREKVNDPLNIGLNVFATGYHY MAAKFASLPWISHCSHKHTDHKIQLLANLPSDTTIEDNCMCWCNAEGHGCSPVKLFLK TLFLYPYYSSSSLVTALHKILHRQSLYAPDSDELLILVKEVLRLLTFEALDMTHTCCF YDRIREHDPCNLLPEKIQYEWAIFCCDSDNIMEIRSCSEGKRSAALLKDMMAEFTPQL QLFSPSCFAFKGFIHTYWRRRISQIYIAAPTAVDDLRQHQAFQGFGVSSSSSRTRVLP ESVHRLLGRDFRLLGPHETISDEECISEVSRYMVVLTVVNPTRRTAIEAPAT FPSE_02912 MNMFLLDLALASTVSAAWIPIGHGPSESSKDAVASAAIPTIADA DLDPEFNQPMRTTRTSPVLPTDIVSDFDPEFGQPMRTTRTYQPPVVHTSLASDFDPEF RQPMRTTKTYESAVVPTINVPEDTVILRPIQSTKTFEVPVETLTSIVYVPRPCNTGSC HPSFTTKTVLVPTGAPAPTSSSGCSPACPSLCPPAHLPRPIPSHPHRPVPVPTGNNHP EYHNTTYPEPRPIEGRFWRIKDLSRHCAKDGKSCDYEFAIEADGKTEHCNINRKPGSD AALESWTNVPCNKKSHKTVSWGYVTEPAPAFAVVTVVEGKVLAWFGVADINGQKVTSS NPFGSGHYGNLGPDQVYTY FPSE_02913 MVQFTPEYYEFTPEYDVPAWALDYPKAMLSPEILKEYIRLDARM IQRAYDYFQANAKVGNHGLPNSKTIKPRHLKWARILEPKYELEDNPDDDGDFPELWVT KPGSLKKILDEFYWYAEKVVKHPDETFISHTEALQGRDAAKREIALWWSEARRWLLYT EPEPEPTEPKPKPPPAPKRKPFVEYQKFGPMVPYEPTTEELADIERRRKESEACGTPS EQTARSYLFDLIDTDPSARAILEKQAKEDPKAAHHLELLKMKKAAADEEKDSESADKA KDSEPLTDSKEADTATIINNEISTEIDAQKEIVRQMYASSEDSDDVFQIDRETFNMTE SRRCHNVSTGCPTGILIEF FPSE_02914 MKVEKLATLVVCFVVFVTVSAATSVANEINAHVQATIDASPICA RQCLVAGLQLDCALQDEKSCICTSQDFHSDLILCMRQYCRTPAIFALMSAFGQDIWQV NADDLSHALKLFWIDCPFYSLLMGMTKVTIILFYIRLCHVYTRFCQACWAVIVIVCLN TVIFMFLNIFQCTPIHWNWNRFSGSDVDFQCMDLNKLQWSINITNIIFDVVVLALPIP LVWRMRSTFRRKLAIIFMFSLGVVVLVASCLKMRYNILYGHSTNITWDYMDLMVWAGI ESSVSIAAPCLPTVRLFLHKVFPQSFGRMFAFGSHADRTLDDEIKEEEEEVARWAADL EKPSDAAMVVVRPRRAAISLGHDLGPAQGGRGGRRKPMTEASRILKSVDEFDEAPLVT FPSE_02915 MGDNTTVAEVQVDDDAHSDSEDSSYDTGSITDNASIASSVLKYN WKHGRRYHSDRAGEYSFPNDDEEQDRLDMVHHVFTRLINDRLFTAPIDPEGARVLDIG TGTGLWAIQFGDEHPSAVVIGNDLSPIQPDWVPPNVRFIIDDVEADWVDPVPYDYIHC KYMAGSIKDWPRLINQAYANLKPGGWIELHETANTLYSEDDSLQPDNALVEMMEHLTV ACEKIGRTMDPAPSFKQWVKEAGFESVKEDRFKLPIGPWPKDERLKEIGTLMGINMIE GVAAFTAVLFTEVLGWSRERVELFNARVRQASRQRSVHPIFDCLVVTGRKPR FPSE_02916 MLFSNLSAISLLFQGLPGVYSKPTCTVETFRSIKLDNAEIISIN ANVSSIELPEWPTNEWPIPAGSPPINVCKVVVTYTHPGWNDTINAYVWLPVSDWNERF VGVGGGGWSTGDVLDLGLPASNGYAAVTTDGGRPMEHRQSMDWALTKSGHLNWPPLQN FAAVALDDAATLGKAVTAAYFGKAPKYSYWNGCSTGGRQGHMMAQRYPTQYNGVLAAA SAFNWDRFIVSEYWPQFVMNSLGYYPPACELAAITKAAIKACDSVDGVEDGIVSDSDG CDFDPMSVVGTEVTCDNPSGTIKVSAKAAEVARLSWRGPQTEDGKFMWYGLDKTAPLN GLANTNCTSLTNCTTSPFTIVKDWLSTFILQDPSVDLKDMSHAEYSRLFRQSVHRFAS VIGTADADLTDFKKAGGKLLAWHGTADQLIPYKGSVDYYKRVLEGDPEANDYYRFFEA PGVYHCKGGPGWFPGGVFDALVKWVEEGKAPDTLYAETTGTEKKRVVELCAYPKKLTY QGGDASIASSYGCK FPSE_02917 MGQENSEGAPAPTLFALSKKSFAALDQAVAYTTDHTDPDLRVTL EDERGRLRVWASNLGALQQDTSKKSLDYRLRDAPLMRTTVALGLENLCSSADRALAII NGSVPNRSATLPSDDGNTKTINELDELLAGIHSAINHLFGISILIRKQQPRGRLLNLD DFTLESSQDITYVADRFPKAKSNLWLARRLGNNISKQRKIIQYRQEHRASLAKESEQS GVGDTATVVATTYHEIDNLESSDQVPKGVSSSGMSAFTSATSFQSLRDEEGMGRPIPD LSDMTLDGVQLQYGEPFECPYCRTIQVAANRYEWKRHVFADLQPYMCTIKDCRSGNKS FSTRGEWFEHESNFHRWQWECSWCNTPNSTFPSSESFKQHLNKSHPGMVTGAQMPMIV DACERSIRTFNSGSCPLCEDWKPTSANNNAKGFSRHLARHLQQLSLASIPISTPGLEI KISDDASDVADRSIIESVDKEDVQNIVLAGANSALLFLAAKQGDEKIIMSLLKAGVDV NMKDDEGRTPLSLASSNGHTAVVRILLDHGASVRTGDVKTLFSLAASKDNRGPITSSQ TISRQDSSEVPYDRSVPKDEPQRQQSVPQGPEQIEIDRAKNEATLLKLVEDRKKTADA VAAEDAAKEMAAQELRAKVGEGTSAKLEEFETRAEHGKIRFTDAVGRKFSFPFHLCAT WQGMEDLIKQAFVQVDVLGPHVMERHYDLIAPGGEIILPSAWERVIKPDWAITMTMWP MDKLPPLGRRVSMTQVYL FPSE_02918 MSGFEIAGVVLGLFPIVCDAAKDLRGVAKDAQSWWQFQTSFDDF IARLYTERVYFDQAIVALLDPLQDLSNQELEALRYNTNPNLWHDPHIQAMLTSRIDPS HLSWFMRQLKDIIDALKELRNMLPFERAYQLDSTDLESTLFRLRISFSHKKDELLGTI KKRNEELRNYLSMSSQLHMSRSSLQTPTKDTSKLVRSLKKHQEQAESVFGGFKSQWIC NCNLDSCHSCGISTEGSDMRLLLNGARNQYLKLEVITRIEMQRVNELTSEPTAVPTQA DLECLTQNSLRKRISNNMRKQGKSISKLVPSTLFSLSNPNNARVDNKYDKGLERATEK LKKRQQQEDSKKISRSPKVQFNLPPEPVATPTTPTAVNRMDQAISDMCITIETPSQES CLGFLELSDAKLFLHRDPGNYPEPPQMQTFEEFLNERHPRDFRLNLGLTVIMLILKLG PSWIPEKPLKSSIVILQAPGCPPQPFISHASIHTTLEATPTMDIQKEKEKTTFLALGI ILLELLFRKSLEQQSFWATFTDNGKRHEFTDFAAASRWQKDAVYEYGEKVANAISKCV NYTVDNSVNLRSAEFLREVWEKVLRPIEETLACYNGS FPSE_02919 MAEGLGQVVSWYISTVVACIFLVLRLWIRARKLGSLQIDDAFII LSACCLIGDLIIQQHMWNLGMANIPGATPEQFKGMMQMIVPGSILYVTSLWAIKIALV LFYKRLAAPGTRLQTIYNITLGLLVCFWAAIFFHIIFQCFPHDKRWSQDPAYQCDPKE AERNYWLTVILNIGTDIVTISLPISMVLQLQMKTKQKIGVAAIFALGFLVVVASIIRA YYSKKNETMLTCTVSMIETAVAIIATCLPPLRTLFLGQMSSARTGSNYAGRYELSSTG RNQTRRTNHSRITTNVIGGTQNNDSQDELFKESGMSITGRSAASSDKTPGITVNTTIL MHHSAEDEARRSQARVDQFA FPSE_02920 MVLHNTILSLATGLTLAASSVVAAPPAPPCPPLPPAAVPQGKIE GFRDTHCNAVYLGVPFAASTGGQNRWKAPQSLPNSTRRFTAKAYGPTCPQAISSDAFT RQDEDCLNLNIWAPKDGKNLPVFVYMYGGAMVTGSSSNPQIQGTNFARNGVIYVNFNT RESIFASPHSSELKDAKPNDTQNFSILDVEKALDWVHKNIKAFGGNPDHIVFGGHSSG GVQVDHYLWNHPKTFLKGAVEMSANAVSGPAYAPVDEALNAVAEEVGCPKAGNAQLEC LRNVNLFDFQTKNFNSTFNTWFTPVIDDITRFSDYPARLASGGYASQVPLLTGTSNGE GTIFSLVYGAENSDFSSWINTFDADSAHIPDADLISAYNPADFASESLRSGIQYGDAR FNCPVDYLLDLRSEQQKTWVYRFFGAYDNVVGPPNTAPTHGTEVPFFHGGNECFKSLQ GVTTAQQKLADSIHKWFVAWIKNPAAGPGWNTVDKSGELVKLGVPGDELTLEKAARSD FNGVCQAVYKPNMPKYPVVQSVEAIVEKLLA FPSE_02921 MSRTTTALVAPNLDGQFKLEQVHLYSLQPDEALVKIDASGVCHT DLACASGKLPCAKNAVLGHEGGGVVLETGSDVTNVSVGDKVLLSFSSCGTCPSCVSNH PAYCYNFNIYNFGGRRADGSATMFTMKEGRKRPMHSRFFGQSSFARHTIVHRTSLVKV PQSTPLELFAPLGCGIQTGVGAIFNTLNVKPGSSVAVFGVGSVGLAAIMGAKARKAKT IIAVDLQTQRLELAKELGATHGVLGPDQEHIIDSIQDICPPIGVDFAVDCTGVPAIIE TMVAALGMRGRAATVGAPGGMATAKIDIMSHLTYGKEYVGCSEGDSNPEVLIPELINM HSRGELPLEKLVKQYQIEDYEKAFADMASGRVIKPVLTWKSTKPALPTSHI FPSE_02922 MFTLQVFFLALGVVLVRLLFTGRRPKGYPPGPPTIPVLGNIHLM PKRDAHLQFRKWADEYGPVYSLILGTKSFIVLSSAQAVKDLLDKKSALYSDRQEMYVG QVLGSGGLRLLMMGYGQTWRSFRKLVHSLLNVAAAKSYVPYQDLENKQMLYELVTQPD QFLQSIRRYSNALTTTMVFGWRSPVYQDPKLMQLFDGFADFAEINQTGIAALLDSFPI LRRLPDFLLPVQKKAKELHKKEKDLYLSHWLKAKQDIANGTIKPCFCVGLAEAQEKNK FDDDQAAYISGTLLEAGSDTTSSTLYAFVQAMLLNPDVQRKAQDEIDKVVGNARMPHM DDESSLQYIRACMKETLRWMPTTILGAVPHAVTQDDIYNGYLIPKGAGVLNNVWGIHM DPERYPNPRQFNPERYLDDFQSLADAAANPDASKRDQFTFGAGRRICPGIHVAERSLF LGMSRILWAFNIEPVLDDMRRPILPDPDKLTQGFVCMPEEFPAKITPRSAEKRDLVIE SWRNAEKELLEPDTRQWR FPSE_02923 MEDTPTTTSAIYAVSRSRGKQPGEPALKAKLRERRKAKGQARSS DESEPEDEMEPDSVILHQLFNRKVILQADKTVVKVGKRITLGEGEALKIAVSAGIPAP SVRDTCTTSDGEVRITMDYIPGESLEKLWPDMSVDQKKDIAQQIRQIVEKMRSITPPT DFIGACDGTEIRDTRVHFTYHSLPCRDEKAFNEFLLTALYEPTPPLLREAFSRRLRTD HRVVFSHCDLAPRNILVQDGKIQGLVDWEDAGWYPEYWEYVKFFQRNFDKDFRRYAVD IFPEVYHDELVDYTAISHWQNS FPSE_02924 MSGATRYTVYVAVHKGDPMDFSKYRHTGLWFVPENSVPHYFYHV RGKPTVFLFEMRKNWDPTRSANFAKKVLAGRISHPLTASELEQHMRSVTVGNDDHEFN CQQWVQMALLLLRQKGLLTQEQYDAGVDGMIDATMEAADEDIA FPSE_11025 MWTVLDAASEKCQIPAQDATVALRASSLVIFGSALGAYILRLIS KCIYKSEWGADDTFMSLAAFLILPLIVLLQLMVSEGIGRDLRTLKDEQIIFCFQMFYF QQVTYFIVLGLVKASVLAFYLRIFPDHKFRFAVWVTQFVNLSSAGLYVILILLQKNPV SLNWTGFYEPNHHGDVLNDKLLYLTHGIISMALDIWMVILPFTQVYHLGIKLRKKIGV LAMFSCGILLVGTSMIRFYYLVKYQVARDAEEAVKAIMWANIELCMGVVVGCMPNIRQ LTRRAHHFIISRSGHSNTDPEQNSGIFRQRSLAYISNETTVVSGEEKGTGATASSFST YVGDILDPGRD FPSE_11024 MTELGNLDPDSSRRISRSGDHGLGSQHQDETAPTQNINEPEIDY PEGLKLWLIMLSVTTVLILSSVDMNIVATAVPSITDHFHTVAHIGWYSSAFRLCVCAF QFIFGKAYKLFSVKRVFLLANAITIAGSILSGAAAMSTMLVAGRAVSGLGAAGLFAGC FVIVVQSTPLRQRPMFLGIMGAAEGLATIAAPLLGGALQTLSWRWCFYANAPIGFIAF LVSTLCLSDIQKPIETARLTTKEKIAQLDLISNVLLLPALTSLFLAFSWAGTKYSWKS GEVIGPLATFAILSIAFILNQARKGETAALPPRLMKHRSVVAGFIFMFCINSTGVVLE YYLPTYYQLVRGYTPVKSGYMILPIIITATIGSLIHGAGTSVFGYYTPFMILASVIMP IAIGLITTFEIDTSMDRLISYTALSGLAYGIGFIGPQTAVQTILPAEDVPLGLSIMLF AQSFGPAVTVPMAQVLFTNQLSTNLEGLAPGLSHRNISNSGLSEMVASVPASDTTTVL IAVNKSLNQTFYFITGLASVAIVGSLSTKWQSVKR FPSE_11023 MEGFSRILGSSPDGHSYATIPVDYSTAMEDKIVAEPQGQGHHPY PYSPTYPQQSFQQQQNVVSPQPSIGQFQHAQPISPESISHPSFPQNTSAWFDPQSPSL RDSPGYDRTFDSHRSLMSHDPANVLYEKTPDVHVTNRQTNDVQHKGPFLYRAMKSKWS MIACLIIGAAAAIGHHFFYNHLDGQEAKNQQWMLRAGQLISFIANANFILAAVMAHQQ VAWRAVGQNGFSIHAVDSLFGATHNLVELFNREAWAKSWFVMFLALYMWLSPAVVILT SATLYVVPGESVQDTLCPSIRTLNFSNEAKESYQSRKMAEGEAKTMTGRSLCSYNWTA LGGNEAPGAKTNDSIFEYYNGPSPILNRIAAGVFSSGQTTYKANISEEICGEGWDCST TIHFVAPGYQCEELANGVGSSMKKFGDAEAPFDLDKLVPAGNFAYFASNDRGEYMKPQ IDDVRIGGIPPPEAFPDLPKNLGVFRTEPSIWIGYATVQDYTKEHAKDNKTDGWNDDY TPIIFACDHWEVNYTVEFHYTAGFQTYNITDREYKKKIIDTEWYSWTNESTDGTADGN VAKPDKNYVSPRHDFARYRRIAAFHSLGLLLRNILAGNVQVQGGSTSDGAITTTNLLD RHETLPVQDLQKEVGRIYEDLIISLLSDPQLLAVAWAADPSKLSGTGVGREATNYACQ RRRKTNFFDYGWSILIAVYSVSFIIASVGVYYGIKAMTSDGTDAQRVMTFSTIAGATK KVSVDEAEGRATKIRCFPTEERPGEYEFRAELEG FPSE_11022 MGSRKEYYSINTINAQFIISYNTDHLSIATDQQPTMGHFDVLSK LAFHLKSVLIKSQSMVDGDLDQELYLSVPTRNLKDAGLSQHLILRDGRFLRMKCVTDV TAPDERQAKCERTGCDEIWDPELWRQLESNWGIHWKCEELHQSHQQKDGSRWSPFLAM MLTCRELYIEARASIYSSVTFCTRDLDTIHSLAVSCPNPIFNNIQHLQVTVRLRLPGF DGPNYAKKSVMLPWHRCCKALERAENLESVYLWLDAKPRSRLYMYKASEKNIRCYDFG RKLAAKLTVNAPFNPNRPEGWDTVAQLKPRFTIHARGWAEYRASHDASIGVVGNLEGS S FPSE_11021 MKAHPITICRRHTLCRWIRHHVIATPRFSTSARPRVEWERAYDP QAWILGVLPLIAFTNLIWDDASTAVEKSKASDSELSEFVETDQWAAIHRFAFLVKFLE KKDPQHESQAYKALAECDPEFKKIEMVGNVFNDMDGITALVEWNDLDYLHSWIQANAV PRLSLVPTAIAEEAADVFLNTNYLDCWLSLQSQRESLGIIWAVRSRHSYP FPSE_11020 MTSPSFRVAILANFILDDTGGRPMIDRITQLIRQSKPDAEINVY AAIEGDKLPDPETKDLVILTGGPFNLMKDERPKWVVDTLEYLKLATAGPSKPKILGIC WGQQAIALALGGALGKSDRGQIVGVADIPLTAKGTKFFESPSLTIHKNHEILVTDIGP HLLPMALNNEVLMSKDGQVLTFQGHPEMDSVLSRLFVASDNPVLVEAGSDSGLKPIDS PHDGEIIFERIVRWASPETGHS FPSE_11019 MAPPAAVSPIFVPQVGAPTDFHELEPQWDTVGVECSGLTNKALT NWLHKEHHSHLSLIQAAWALCLRGYTGSEDVSFTCLGPERNYCCHVSPTQPVRSLFHL QHVESIGQNRTTFWDAISVVLTHEDLIEVTNPSAMFDLAVCFSQRVEAHVPSIKVYYR SSKVISAMAEMIAATVAKSIEEIVANIDSQINDLELCSDLDINRLARWNILSNAQDPI PQPIHRVISQNSTLHPTSIAVSAWDGDFTYRELDRLATGLAIWLQHLGIVGPEVFVPL VFDKSKWAVVAQLAVLKAGGAYFFINPSHPVHYSRELCSSLNPLVAVCAANHSDIAKE LVCEAIALGDSVRELLESIPVDDTPGSPTVDISTSNAMYITFTSGTTGKPKGIITEHS AFYCMAVANAKSLQINDTTRMLQFASYSFDVSNRDTLVTLMFGGRVCIPSESDRLNNL AGFMRDHEVNLASLTPSMASTLSPSSCPTLRGLVLGGEPMTESHISTWSKHVQLFNAY GVSESTGIAALASNIKSGVSPSNIGYGCGSRLWVVAIDQPDKLAPIGALGELVIEGPS ISRGYLDDKARTDQHFTSDPEWKKRIYQEYGYRQSGKRTFRTGDLVRYNLDGSLQLAG RRDHQVKVNGQRLELTAVEHHISACPQVLEAGFGHVAVVAVKAGNSGSTKLVAFLGRD TSRETCTPAQMVPEQLKDMDGLRLDLEGFLLLDLPSYMVPSEFVFLQHMPLTTSNKLN RLLLQNTAAQALALDQDEQLNGHDLSDGEGPSTDSEMVLAETWAKILGIKESTISRND SFFRRGGDSMAAIRMVASLREAGFLISVSDIFKTSILSELALLLVQADEKSTSTLPAS FSMLENSDKTVESIAREAGLLVDDIEDAYPCTHMQQGLLALTAQNSRAYIGTYFWQLA SGVDMNRFKQAWQEVWQHNPVLRTRAVQSPEGLLQVVVHADMPWEVVSSVAGFPTKID INEGPLVRFYLSAETFRLDIHHALFDEWSLDLLLAQVQQAYAGESLQMKPFSPFVRHI LQQDHLSSETFWRQQFSGLEVEHFPATASKVGDTTKTIVLERDLPLDAEMSTKYTISS VVRLAWAILLWHQTGSEDVVFGATVSGRNASIDGIDQISGPTLASLPIRIKLPTGSSV QDGLAEVQDQFVNMIPHEQTGLSRIRQYGKEAAEACNFQNLLVVQPHEQKVDSAVFRS STGSAASSDNTTAFASYPLVLTCRPDEGRVNFKAAFDSDLITSEAIEGLLSQLSHVVR QIMTSESVAIRDISTVPPQDMKKLRVWNEAVPKSVDICVHDRIRQLSTSQPDALAVHS MDLDLTYRQVEDYSNRFANHLISQGVTEGDFVPVLIERSPWAPVIMLAVLKTGAAFVL LDLSHPIQRLRTMCSMIDAKILVVFEQTRHIGEGLSLPITTFEPAEYLERQHQVCGTL PPIVSPHADSPACVVFSSGSTGVPKGIVLPHGAIATSAAVMRERGNLTAASRVFHFAS FAFDISIGEILFTLAAGACICVPHEQERRDNPGKAAGDLGATWALLTPSVINLFDPSD VPTLETLGSCGEPLTSQIVDIWAHKLNLFAMYAPAECTVISHIGRVLPETHPSHIGRS FGAASWVVDPTDHNRLVPIGTIGELLVEGPVVSTGYLKDKARSDLVFVDNPSWLSQFR SSCGRMYKTGDLVRQTPDGSLQFLGRKDDQVKLHGQRLEVGEVEHCLMSVCQDIKAVA VECVKVADQNNRAALVAFIAPHTEGAWGQVASEAEGGDVQWIASPNEEFYSTIEALDT SARDQLPSYMVPAYFIPVANIPLSLSGKINRRLLRETFSASISKNLDQYQLTTNTVEV DGTPETAHDREIQEIFAKVLNVDAQSVPMNGNFFSMGGDSISAMAASTLARRRGIELA VATIFTHQVLYKISLACAPVNGETTKAGEHTEGQAGNASNGGHIPLDELPNHIPRDVL ENVIEASPATEFQAMTLYNFYSRYLWIALPDAVDEERLEAAYNSLVQKHSILRTVFYT NTDGSIIQLTLRDMPVALTHYADVDDMGKHCADDSLSMGVPIDGNPGIQAQLLKLKDS RMILALRLPHALFDGMSLSTICDDLNSAYTGQEMSPCGQFSDHVRQVWEKRTPETYRV WKDVLQDTPITVMDSESLPVAKKAASAQVSDQPQLVTGTLETGPISIPRNSTTATLVK LAWAITISKLFTPGQDGNGLGDVVFGQVVHGRVLGIPHEDRIIGPCLNIIPVRVHFPS SPHKHDLLSQVQQQHVQTMPVQNLGLGEITRNCTTWESGARFGSFIRFQNFTNSQEST CDFDGHACETGLYSLPNRPSKTADVLVAPKGLRLSIAMTVSSEVMDEEAADYVVRYFG DVIESLSRDEEACSYLC FPSE_11018 MCGISAFITHPGQSRSPVLNGDVKHVVEELETSLDLIAHRGPDA RGRWFSDDHHVGLGHVRLSIIDLSPSGNQPFHDEQGGIHAVVNGELYDYERYKEQLAD EFKFVGNSDCEIVIALYKHYGLSFISHLRGEFAFVLWDENRQQLIAARDRYGIKSLYY TVHQNKLLVATEIKSFLAFGLQPEWCVRSLRDQSWRVDSTTFFEGVYKVRPGHYLIAR PNEREEQHPYWDLEYPDKFAKDLRSEDEITEGVRERLLEAVRIRLKADVPVAVYLSGG IDSSSVAGMVSHLIKQGTKLGNETSLLPSSMKCYTVQFDEGSGADESAIARRTADFLG VDIHLVKMDEEALVARFEDTTWYSEVPLPDLNGMGRLALAEAVHAQGIKAVITGEGSD EHFGGYDAFRADSLSEPDHSWPAMMTDTERQEAHALASKEAQYGIFGDFTPKVPISTK RMFYSSHVTSSIARVGSLPFSDWTKVYGNSIPETTMIEGFDGRVRDNILNRWHPVHTA QYMFVKTFMPHFILRYNGDNIDMVHQVESRCPFLDHHLTEYVNNVPPSLKMRYNAKDK TWREKHILREAVKPFVTDEVYNMSKKAYMGPRKFWPGGPLHKKISELVTKANVENLGF VDWQATQDAMDGAFNKQEGLALRRIITVAQFVVLGQRFGVKRASGRS FPSE_11017 MTTANKPHQGRFRYLTRGSQPTPSKEAYLLPSLSEFGDIVTLPL TDLRPSLDLGQDSPYKLDVHGFTARRHDSALHSTPYSRASWNNEKLLRQIYFPEVEEF VKSVTGCKKAVVSAAVVRNRLYSEGDDSAPAEEEADTQPSGSEDTSHLFPPIFGNSVK DGVCPAPKVHLDCTPKGARHHIRRYHSEVALAAEKVIEAENRLLESGVEWNDLKDHSG KVPHFALFSIWRPLKTVHRDPLALSSAASFPVSDYVPCDQREPTDHNIPSHLYRIVGR DEENIDKNDDTYQTQSYLAYAPRDAEKTSHAWHYISEQQPSDVLVIQLFDNEMEAHAR APLEGGKGKSDLGVGGAVHSAFELVDQDEKAEARESIEVRVAAFW FPSE_11016 MARILITGSTDGFGFEAARQLIERKHQVYLHARNQERADEVKTK LPGAAGVLIADLTTVAETRKLADEANAIGTFDAVILNAGLLYGPFRKSDLGVPASVFV NLVSPYIFAALLNQPKRLIFIASVLHHEADTSLKDIFWLERGEKEWKDFPAYCDAKFH VVLLVNAIARRFKDTSVIAVHPGYVPTKLAGQDAPGKMEDGIETYVMLAEGDYDTSLT GVYFDPKKERAQPHALTADLDKQEAIVKACKELTGVKLASS FPSE_11015 MHLQALTGLATLAVTAASQTLWSRDVDYKALSKELSASAKVYFP GTEDFEAASKRWSNLDTPTANIVVVPATENDVVEIVKFANKKNLPFLAQNSAHGAITT LGQMKQGIEIYLNQLSGVKIAKDGKSVTILGGTASKKVTMGLWEAGKQTVTGCCECVG YVGPALGGGHGWLQGRHGLIADQFESMNIVLSNGTLATVDENSELWWALKGAGHNFGI VTSVTSKTYDAKFKNWAFASLTFKGEKVEELYETINKYILKNGTQPTDLINWSYWFNI PALDPTGPIVQILLMQEGVDVVDKAYTDPFQKLKPLAVDAKKGVYTDLAKWVGVTTED GPCQKNGAMNPRFPIYLETYNPAAQKKAFNYFADNIRGDSIFNGSLVTFDGYSTEGVK AVDSKSTAFAYRNQNILVGPLLSYQSNGTATDEKASEIGHKIRDILHEGTGRSTVPVY VNYAFGDEGPKEWYGSEAWRQSRLQELKEAYDPMGMFSFYAPIA FPSE_11014 METSKKTHVIVIGAGIVGASIAWHLAQHTKVTIIAEDIGGPASS ASFAWLNASSTNDKSYYEFRVRSLNRWKEMERELPGLPVTWNGSVNWHKSAETFIQKQ ESLESWGYGVKRMSANEIRLKEPDIEFTSIPEWGLCYTEEGSVEAHLAAQQMVADAEA NHSTKIIKSTASSFLKTNGQVTGVVLTSGEEVHGDHVVVAAGLGSVPLLGNENIKLPI HSVPALIVNSKPVQEQLLHTLVNSKYLYMRQTKDGVIKAGCENPGDDPGDDPAQTARD VFRELQNTLIGGDKLEFDYFTVGNKPTPDDGMPIIGPTGLGNVSVAVMHSGVTNAAIV GELVSKQILTGEMDPVLGQFRLDRFSA FPSE_03315 MSSDYEEPKLHPGPHLELFNPVPEPLPEPDRNLHNFSSLPPELR IMIWRESLACERLITIGRRPYLRAAKTSPLITTTYVVGIPQYLGLHPALFVNQESREV ALSYYRIRIPCISPRYKLYEGDMNGIFYFNPDYDTVLVFRDDWSGSWANEFVKFAQEV QAADTKQLGLRNVAFHAVPRRLQTIAALEKLRQNNALRQVMSGLRSVMFVLAVYRREE WSSRRASSSLRYKRGIPVNGMQYTCFQRVPQDPRPSIQQDLRHNFGQIRENGSDFEQL LDIRSFASTWIHLRRTWKLESPCEHKFSLTSRMRFSCERSDILWILKNDNRIWQNSLI TPKRKGLIPKGEETVEELKTAPQTAIGFWIFPVDALGLTPPVGAPGYTGEESEVLDLT AYHPELALSDID FPSE_03314 MEAVGLVLGVAGLFSTCIEAVEKVQSYRSFGPDSSTINTRFQTA KVLLEQWGRSVGFENGILLATHNENLDDPDTVVAVKDVLNIIKSICQLGSQHRAANGA NGSLIAGRRQKLKWAFGDKEKQQEQVELLERLVEQLRHLVSEHHLDPKVSQSHASIAD IKSILSRIEKETRGEIRKEVLLWIGHGPTDQRYHDSLQKRHAETCNWIYDREAFQRWL TPDSGSGLQALWISGPAGFGKTVLAASIVNHLSTTLDTPVAHFFLTSESKSRDDPFLA VRTWIAQVISEHQGAFQCAHHAWEEDRDPIAPRAIHLRLLAQIAQLIPGCAFVIDGLD ECVNLHNHNASATAFIKAINDALSTTSSRFLVVSRDDPHIRHATANNFNEYTILSSDV HADTVSVSQEIINRKLNKKSDEFRNSLSETMVTRCEGQFLWLRLQEESLRNGMNMKKL RSVVESTPLGLEALYEREWSRIVKSPDYDRIISLLRWAAFAIRPLNVAEITEAVLIGD FEDFPLDDLPDEIDDDYVETEIVGLCAPLIQMIHRCEDKGTGSLAARQTVHLAHFSVK EFLLTQLPAPGAIQANTSLVSQYQHTLLATACLQYINFENTWADTADDVTPPGAVLRG YAGNTWRLHFNSGLTSDETAMNLVTALFDEENSVWGRWRSWYHMQLSNIVEQGNANVF TRWMTENSGPISYAIIMGLDFIADKLIPEYISGVRDMADVDRVALLISRDLGRQNMLE KLLKSGVSAKAAEPDGTSILYLAACCNSSQVVQILIDRGADVAATNRKGFTPLHVARN ADIARILIQSGAVVDARANDGRTPLHLAADSDILEVIDILLDMGATVDARDEMDFTPL HSACGSGCQEAARRLIANGASLVGAIHMACAMGHNKVVQMLVHEGACIEERWKGSSAI QLAACMGKLETFKLLLDLGVNIHTKDEYGDRTLHLVCRRGHTQIIKALIDAGADIEEL NTLGFTPLAVSCFYGHLDAANALIAAGSQLSSIIKPHGGMLLGKAVEMDYTKIVNLLI DNGVDIADRNEDGCQALDIAASHGRTKLVKQFIRLGAPVSGANKIGRTCLHQACLRGN LETVRLLLDAGSDLSSIDNRGFTCLHLASFSESIEIVKDLVARGLSISKKSFKGSTAL HCAVSEGSVEIVKYLLDEGALLEEVTNDGHDTMDIAVSRGQLDILECLIEASPEGTIN NARPNFGGSRLHMATYGHHTKSVETLLSIPGIEPNRIDNSGRTALLLAAREGFDDIVQ ILVDDARVDPNLIDWFGSTPLFAAVRNNHVKVLEVLLKSPKITTDIRDGYGIDLYWWA ERFENPEVLSILQQYLGEDEIFPDDPVSPDLDINLTPFDPDLHCCNACLISTQEPGLY EDGDLEFVFCPDCWRAQAWEIYYERLTDGPSAALNHSSGGAGQDNG FPSE_03313 MSDRSPNEEIAGVGTPGVRTPRALAQNLDVDTITQQLSACLQTP QGNPPFIAPVGFDEALSASDHSEPISKAFKQRGFWPWLAYFLVTKNGDKLSYFFECLS ALPLDIVSDIAGRVASVPVEPTLVMRLQNTLWTARRGSQRRSQLQQLGIHDFPPAPTS SPLPEPSPRKRPRVQPLHNYDNITSVIDRRNFHSIVSDIPQTGDASTAYYPARDKPVE WPRIVENTNPWTPDPDSLHNYAYPNASKIPLVFGSELGDMIVRNENFTASIFASFPSN PDRCRLVLDIEAGMVAPLAMKLYDAQVVETGRQRAFRLPSGAILEIMSAVRLTNTKLS RWEELLGSLIGGVRNAQEYVNEVGHGLAMTKCLVMEVPGATNSPARMSIIMDPMVLDD VIKKLWPTERSY FPSE_03312 MAVSNAGRGVSGATRNDHPACSYVPILPLRERRAATRPGEQTPW VVQKSTSLPPARIFARPGYDFLDPFDALPIKMPLKSKELLHYFLQAGATIGPLPVNPN DCVASATRNPEVLQNTMLVSALHYAWNKGDLAAFEPTFLFHKIESIKQVNTWLTTSSR AKEILRCAKYISTLCFVECCLGNFAVAESHLNGLAIYLSTKDREALRQECDCGVDLEL TDRYLVVASNMIHSTKSRLAEVVPPEVISQPADTELEDPELSRMIHKMHLSEANGPEL RLRAFRMVPFFFGSIPPGREPKDVDMFPAISILRPITELAMPTNSKDRGDPDIPMPWN VWNSGAPSKLLYTVITAHIQSFSNKIPLPTHGEPVYVSGWSGFCSAVDFYLTTVLAVC NQGLPPQRVLHYLKVDIIKRDLENGPPLFDSMNTETRNLWFWKAFMCALRTLCLDSEL DEIYESFDLERRTLYIVICGLANRSGEKGAVQGTLAKNKCQSRTPRNTIVLKKNRSIL SRHIWVQVDLLINIEVNYTVTLSMTLLKRYLVAAYKFKLKLWKKRDSIAALIKYHLRL QADDTCIVLPQEQWMNGCFNVCVRVEVTAGNSTRSLIFRCPVPPLAGQQAPGRNDEKL GCEVGTYIYMQQNCPDIRIPELFAFGFTDDSSFVHVQQTPIYTRLWRKAWKWIYRCLG YPILSDYIHEKSAPAVGTAYMLMEHIGPETGKMLSTTLFNESTDATRHKNLFTGLART MLSLARIPQQHIGSFRFNSLDCTIALSNRPLTCTMAVFEQEGAKATIPEDRTYQNTDS FVSDMLAMHDNHLIHNPHAVVDADDAKDRIAILSLLRVVKHFFVLPERRSGPYFLQAT DMHASNILVDEEWNITCIIDLEWISAIPVEMMHVPTWIIKRSTEQIIDHYDEYDEARK DFMSIMDQEMKHVQMEHDIPIAQIMRDSWESKGTWYWLCIEAVNLWLFLFEDHIMPMF SSNKGLVSKLRQLFRFWHKDANNIIETKAVDEKRYQSELRSLVESGQKLPKI FPSE_03311 MVNLKNIALAVTLFLGCGLAVPAPAAPAAEPGDIIPGSYIITLK PEIDAIMAKTHLKWVDGVHKRSLTKREELGVEKTYDSKSGFQGYAGTFDSTTIKLIKQ SPEVLAIEPNRIWKISRVKKDHNLEKRAEVTQKKSTWNLGTISHRKKGFKEYIYDNYA GTDMYAYVIDGGVRVSHNEFGGRAKAAWTNWKGDNKDTDGHGTHVAGVIAGKTYGVAK KANILALKVFKGEESDTSIVLDAFNWAVNDIIKRDRTWRAVINMSLGGEKSVAFNKAV DNASKKGVVTVVASGNDAIDAAKESPGSAATAITVGAIDENWAVADFSNYGKTVDIMA PGVGILSSGWKSDTHTFTEDGTSMAAPHVAGLVLYAMSVVEVEGVADITAWLKELATP KKISGNLRSAPNLIANNGNWVQ FPSE_03310 MGAQISVVLSNDVIQLANAVFNGPGVSVVGASLKSYYQVDTITY NKGSAGTFTNGPFGIGSGGILSTGWISDAKDSSGTIDHDTGTDGSIYCGSGSTTNGAV LSVEIVVGQGYNGLAVEFVIGTAENLQENADTIGIYLDGVQYAVDTSNNRITVNSEYL QQPLGVTDTEFVRSTMYDHSSPLLLMGLAASPGRHSMVFAICDANNGQKDSALMIKAG ACVDCAGDIKLNYKTTTTTVGSTSFVSTIKPFITNSGTVVYGVPVEATTTSEEAYSTT TADVTSYSEEATSTTEAMSTSEESTVAETTTTADVVSTSTEVSTSAEIATTTDLPTTT VVSSEEPTTTDDASTETENTTTTVMTNSDPGSETSSTMESDATTTILTSQDTTVSTDV SASQVSTSTASNTSVNSASTTVAPTMTSSQLSIPAASTLTTVRKPCRP FPSE_03309 MNLNDLDIYWDILKTVLGFIAKQTVQKGQAIVHRYTYRSTPDPR NVVVVGGSFAGSLLAQQLSHTVPSGYRVILIEMNSHFNYAFAFPRNTVFSGREHHAFI SYENLAKGAPEGIFHHYCDQVTDVTESHVNTANGISLPYEYLIVATGAAQPPPARLVS KNKSDGIEELRGFQQRIGKADRVAVIGGGAVGIELVTEIRERYPGKEVTLIHSRQRLL PRFGPKLHDYVMATLKKQNIEILLGERPPYPDDAGQRVQETSLTLADGKERTWDLVIP CTGLRPRSELLAGYSPKSIASSGEILVGPTLQVENLPLSKKNIFALGDVAQSGGPKQA RASIMQIDIVVNNLLRLMKGSPAEVEYVPHFFENTLKLTLGKRLSVIWAQKGDYEWMK ESKKEDEDLNVNQTRRQLNAKAEES FPSE_03308 MATVIIQYPSGHDFDVDYYVKTHMPLAEKTWKSKGLQSAQVIKL GGDSPYQLYTIMKWESMAHFQAAATAEDAKNLHDDVKNFTTATAEFRVGETIVDSKL FPSE_03307 MSPTNKLQDKLVVVVGGTSGIGFAVAKACVEHGASVIVAGRSQQ KIDGAIERLKPAADDLSKVRGHVCDLSTPDVETNVRNLFDFATNNGQVKVHHVVNTAS QMRSPLALKDTTAEDVLNICQARVVGDVIIAKLSLQYLEASHTSSYTLTGGLGTYKPV AGYSVRDGLGGAKDALARSLALEMKPIRVNLINPGAVQTELLDQLAGMWGEEVMDEIR GKAVLGRIGQPEDLAEAYLGIMKNYFITGSIVNIDGGYQLA FPSE_03306 MSDYSDPQLTPNGKVSKAKKGKPVHVCTECQKVYTRAEHLRHEG ETEPGQDDYQQ FPSE_03305 MEITTTYKKLGKLCPGDKYPTQQRILDNVRIPGTCQWFLNHETT QAWLDGDQHHILWLHGPSTSGKTFLSSSLVNHIHHDQHESIACGVFYFGCSDLQYNLA DYDLAFRSITRQLVSQTPDSLGLWQSIVDNEEMETPCLNVSSKIIEKITSTFDKIVVI LDGVDATNESGLNDLFHLLFDEKVIPSLKILITSRLPFPNAIDRTDLGISQIEARAPE SDIALYFARYIDESPVNAEVPDPARVRLFPYKKFFDLSNGLYLPLLPQWFSNVASQPN SVFLELVESWSPESKDDVSKAFCEAVMEQIKSSKDANLIMCVLYHLIVIDKMGYLFTV PMAYEALDAWGTTRPDGNPFTASEILKSCQGFIFLNDKNQTIVMRSPLLMEHLRTHVL TNFHHQVHTRVTMKYLSKEDFANGASKSSAELKERFRAHPYLWFAARSLSPNLTMGDC FESYFLALTTSQGSIESYQQASEAWPYLDDESYDECERSCERWRCYTTGYTALHLAAS LGVADVMVQRLVSDGAHLEARDGSGQTALHVAAGIEEESVTLKNLLLAGSDVAVKDRD GLTPLAICVVHGNLASVKLLIEYGADVSTLDEEDLAECAREKPEIAQFLVELGIDMPD VDESDDGSGDD FPSE_03304 MTSLAQSSKQSGFPPSAPLSPSLVTATKILSIVRIATGGACLFA PQLTCSLHGYYVPAPYALLVRMMGAREAINGALLFTAPDGKESDGGNRNIRRALWVGI LADSMDICSVLYGFAMGEVGTSTAGILGTAAAGAITMAALMLRALRPSIGL FPSE_03303 MNNYPLYVNPRAIPQDEIQHYTRDFVVAGSWSCIGQGLMSNLEA LNDIAFDNGGNRAFGLPGYDASVDFIYKRASKVKNAKVWKQNFPALFAYVDSIDLKVD DKSIYVYGLTYSPSTTAEGITAEIALGPEGAAGCDASSYDGLDVKGKIVLVQRFRCPT GGTLAGRVIPAAAAGASAVIIYHDLSTNVTAGSLSAPNPEKHVPAGFINLADGQKLKE RIEAGEKVEAHFQQSQTIEERITTNVIAETTGGDPRNVIMLGAHLDSVQAGPGINDDG SGSSLILELFLALSKYKTKNKIRFAWWGAEENGLLGSKFYTSNLATKDVNDLLVYLNF DMVAKGFFGVADTDGSSHGSKAPKGSEVTEKIFIDYFTSKGIETTPAVLTNGSDYASF WQTLNKPFGFLHTGTAVEQDPCYHQACDTIDNPDSKTLTVNAKAAAHILATLDERGTK LIPKTKITDATLQNLQQRSVGPDLGQMEELEAMGLRHLGCGQHEI FPSE_03302 MENTDGATTEQTPKLTTRVAYLRTILDKKSIEEHGETDWLNLNS WLWTWVGQNIPWDPWGSGRKNRTKPPPELLHHYILGFSTAVDPAILDWLLKNSEPKNA RLSRSDFTVYLSATQMNDPSNKGLIQRLASENVTVKKITEPSEEYTSDDICDSVMLSE SDYILDPTIQIGPSDWVTTDALYRDSLRYGHPQLYRTEEYSNFYYENRLKKKMGGHTM TACCYPHGKSDQWKRDHEREWRLYECPSCGQRCKRSKGCKNTGEDWAADRNKYKTAWM EGVDLSAARLPEFETDWRTLSVNDVVYYGDERFKKWNWRSVDTFTGDWKRE FPSE_03301 MSQESRIPDVYGPGTHTDRTFTPVPEDTKRIFRLIASQTPGFTQ DEEILSKVKFEGESFPVIPGPIKAVSVAASLHAMAGVLADEILTIRGAENKERQITVN TTQAGLWFGNIATAYVGNKDVLSLAGSGELNELLPNWEQGWVDTPLKYRTTGLYPTSD PEVWYSLHGSMKAEPVLKSIGVDPSEPISTNEEAAAHIAKSTSKLSPEKLELTNLLNG NCGSICFTPKQWNESEMGKSLAAHPLVNVKSQHQAIPTPPVAFPPLDPSDQRPLAGVK VVEMTRVIAGPQIGAILSSFGADVIRVNPPHLPDINIMQLTLNAGKRTIAIDLRKPEE AALIKSLIADADVFIQGFRMNKMPKYGLGLNDILKMAGERGRGIVHVSENCYGPDGYY AERPGWQQIADAAAGSAYVTGKSLKLPNNEVVLPSLPVSDMTTGALGAVGTLLALRDR ATKGGSYAVHASLVAVNTYALRPDVGLYSPETVAECAERFQWAEMRGSHHVLDLLITV WNGWKRVLGDILAEDSGFYQSFENSAFDGKKLSILKPVVRLSDEKSTPRWATPSVPYA YEKAEGVKFQ FPSE_03300 MSINTVAIIGCGAIGASWSALFLAQGLKVQAFDINPASETYLRN FIRDALPVLSSIGLVKNNNAKPEDVVFTTTLSEALQNADFVQENGPERIDFKRKLFQD MGEMLPSQVIIATSSSGLTCSSIQEGMTTAASPERCVVGHPFNPPHLIPLVEVVGGNQ TSSDIIQRTMKFYEDMGKQPIHLKREVPGHVANRIQAAVMREVFHILQQDVCDVQDID DAVSYGPGLRWGVMGPNTLFHLGGGEGGIEHFADHLLGPLQTWYAPENPVVDDSLKTK WIEGTRTAIDERGYGDLVKQRDEELVRLLNVRKDWDGYAKGVKDI FPSE_03299 MGSLSLPRLYILDTDLSNFPHPKGRILSCNTDGSDLYTVFDNMS TMPDGIAIDQNNGFMYWTNMGPTFKSNDGSIERSWLNGSERTTIVASGSIGVHTPKQL TIAKKSRKLYWCDREGMKVMRCNLDGSDIEVLVSTGSTAEDKKDMSRWCVGITVDETN CYFYWSQKGLPKGSQGRIFRAKINNPTEVQVLFINLPEPIDLEIDEETQTLYWTDRGD PPKGNSLNRAFVGGTPKADASDREILAKRLHETIGLTLDKTVSKAYVTDLSGGVYAVD LKTKTKTVLFAELGDLTGIALA FPSE_03298 MHTQGWNETPQRGSKNIPTPYGFQPIGTHWADDFGCLPLCQGGI SCGKVHPGTGGHTDGRCLTPDPSQMFGPVNWDHHQRAVAANQPASQNPFPAPPYHLGY IPQPPPYHLQSGASNHDYSYEPSLIDEAEDSGMSDWDAGPLTPDAEEQERWEQIVGDG AQDIDESSSGKKKDQVDSVPWEVSARACQTELETINDKVDFVVLAMKKLLGQKQEGQA NVSHNDARLEVETDGESSGSQSVSRLQHRRSKRKLNCRPRLKRESANEIDARKKLEAM VAEPPQSADCALRGIGFPSSITLFEHYDSNALTRPGDCALDASSNRNDLTPTVATTPC EEEEETSIPGDPHKQLVLSPKQVEGMRETHHINEISSELDIKEEDAKKKAEIRDGPIW KYRIRHPKMVQPRNVDVDKGRIYAKLLAQSDRQTKPWNGDLSNLQAFLLGPFHKCADI TGIRNKIFNLFTGIQGVSIELCYVKDEQRLKLFVLVPPFYAETEGARSSFELMEDAED VSQLLSQQRVDRFDVEIWEANFRLVVV FPSE_03297 MSSQDYSDLGHVPYWPEDTETVNPSDLWNTTPINNSFDPQQLNT TPPPDEVDQSHATDTYESFNDAIPPRPGKRLSLISVRILNKWLSAHMHHPYPTVAEVE SLTRQTGLSKQQVLNWFANARRRKKFQRPDTLDYSSASSEASPRDIPLPRPPTPTIQQ TPFERWRSSPPEDEPLGLDAIARAVTGVSHPPAGGSHVQTRNAPSVTGSWATSAETSD SSRSSNGHRFDGSIRSTRNVNKKRRRARRHGRGYGTMQLSQECHRFQCTFCTESFKLK RNWTRHEKTQHLSLEQWVCTPAGPTILNERSEKVCVYCGAVSPEDTHFETHNHHLCHN REKSERTFYRKDHLRQHLRLVHGSEFRKWPMEDWKVKHQDIRSRCGFCDINMTTWSER ARHLAEHFNEGMTIADWKGNWGFDAPTLDMVENSMPPYLIDYERNSPLPFTTSQGAPY SSTSAFELLQLELDYFYSNYVDTNHNIPSDETLHTEACSVIFGAEMSSSTPQAASSWL RDLIMGTEHITAKARMAPLKSAARSRFTELRIHSKKDIFDKCNLEDFLRRHVDMLKTL NLEIDDDELQREACSIVSHMSDASPIFTNLLIALINGSTKWLVPFRLRTGLPIVETEI NLQVDGRETENRDATPLDLDILQQILSEEADRNTLGHPTLGFSPASSNQKVVSLNDGN MYRGLTRDLTRYVARTISPLNPTSHVPTDEELQYQARWIMYDSHDVWNQTPADNMAWL EEFKKESGLF FPSE_03296 MGAKVGFAASARQFFLGGEATSKEERKLVRKLDFFILTYCCFSY FFNFLDRSAFANAYVAGLRESLNMSGHDYNNVLSLTTAGMAIGQLPNGIIIQKVKPRI WLPSMVVFWAAMTMLSAAVTNVTQLLVIRFFLGLAEASTYSGAMYIIGAWYKPEEIQK RTALFGVSGQIGTMFAGVMMTAIHKGMRGMAGLQGWQWVFIIDGIITLPIAVFGFLYF PDTPENTSASYLSESERKLALSRVPRIAEGGHNIMPMSLLKRVFFTPMFWILFFWSPV CASTEGFPFQNSFLLWLKYYNDKFSQTQINTYPLGVQAVGILANMVAAWYMDATGQRV PMAIACVILQIVVGSMLLVKNLSMGATMFAFYLAGSAYMVNPLIFGWANIILQRTGDD ALRSITLYCMNIGSMSMWTFWGIIFYSAADAPYWKKGSIALLGGCGVMFCYMWLVVYV DRKTMKKHGDGMGDNTEDPLEVAEDEKKPDIPVVTEKQTST FPSE_03295 MTHRQDADYGFPNNSECKTSNLDGFEWWALLAPKKRDRTAKPYF RVQSSRMKPSYFCTEYRSFDAFKLFCNSLGGIKIQSSSTMPHNLRGQSRLERLPPEIL GLIFEVSEPDGFIALSLCSQSLWAFAINWAQNGYLRWRNAYSLAGTPVIYASSYLKSL PQCLYDMYPNLVTEETEEEVVAPRLYGQRRTVTRSTAWFNDIVLQSHQAPFPYDDLYV QSFEKMISKANIPTRLHESMRSAIPKMAIEKGSKWMLRNLTNNEYIRMESIVTTEGEV TVSHVGNSWLTLDMLLFWLICWRGDGNQTTWSWEQLEGYVGMTEEGIDDTLHDPTYGP LDDKFWPIWAGNWAGHSLEVVTDRELDSSWVDRTESIETLASKMLLTMYGLALAEGSP QARHHWESVFEQRGDIIDEEHECYASDGVTIETKTHKMIVIGSLRVHADCLCGCEL FPSE_03294 MSEIRVRKDVRRLSDQERDNVVRAFKHIMELPPDDNNSYFTIAG YHGLPEPQYCYHGVVLFPTWHRAYLCRLEEALRTAPGCEDLALPYWDEASNETKEEGI PHLFTDKEYKFQDGTSIPNPLRSYKLAKGIVDTEDKKTRKDTKPEGYQTVRYPYSGLV SDQFVDRTRLHNAALDDKETDEVTKILNQNVIRWLYLQKFQNHEEKWISSGEKDNYVN CLEAPNYTVFSNTTSAEKYNEENRGKPTVSPIVPIEQPHNAVHLAVGGFDVPGDKNHN VYQFANGDMGENDTAAFDPLFFFHHCFIDYIFWRWQDRHNKKESLEIMKGYPGTQLIK RGKATANFTMDFPLAPFIINDKDTGKKRDMTSNDVVDISRLGYTYTEPGLHLNLMTPA QRFVDAPKLKISGINRAKIPGSFIVSIWAKTGDGMPDRLLHTKAVLSRWDVEKCGNCQ SHLEVKSIVHLTDFDHEEALNTEFYAKIHTREKPEGERHIAGRDIPITLNACRAVGII R FPSE_03293 MSPTANINTIPVELLTRIFSCLRDDSKYGKEGIENSRLVSRRFR DVASKFLITKISVCLTSDSFARFESICTHPVFSKGVQRVNILLSYYEVELARDKQLYM MEAQSRVLRHWETMERSRLYKRKYNVTDELFRWLGDIAWGGNPDVQQLARGEDIQTTP TPIQILFLKAYDIYKARFEDQDSLRKDNAHIGRLVNGLSSLPSLTTLNLDDTHGTKMI MRESTQTKLGDNQMHPEDFSDTGYDRTTLQHFDSAIRQSGWCGSFHTGGSRRHDGTAD LSTSPPLEMLGELCSQLGAKGVRPKSIRISVKPPPNMRVLAMSTTQQENMKRLVSQAL SLIFQFDFFSRPYGLQENPRDEMLSLCSITKPFFSAPKLEFLDIYFVEYPRSNAPPTV SLSEILPLDMSWTRLETLWLLYQPMTMDELRGLVSKSCPAVRELRLQSPWLLQGSIHD ALHTIRGFKNLEKVDITYPKGSDFRGTGALDFKWPLDEIKRYLLKETDDFPLPDELDY SR FPSE_03292 MRVPATARNATGHRPMGKWSPYQRPKIQRWVARKVNEANQRNLP LDPSLVAFQQLVNSNPTWKALADPMFTQSSKYYDPTGEPAIRSFEEFLTVVNVLIKSS PPFYVKETPEAANEMLGLPINAVMDWPMGTMAGHEFWLTPEINASFKDVLNTWGSFLS SHASRDSLKGWLSQEALMKIEEAANCGKKGPTFDEIFVCDPTAPYYGYASWDDFFTRK FRDGIRPVECPDDAPPTLNCPDPTLVIGNACESAPLQLAENVKLQDTFWLKSQPYSLD RMMNNNPLASRFVGGTVYQAFLSSKSYHRWHAPVSGSVVGIEQVPGTYYSENYFEGLA GNWRAADPAAPNNSQPYLSAVATRAIIWIQAKNPTIGLMAIVFIGMAEVSACEFTVSV GDIVAKGQDIGMFHFGGSTHCLVFRKGVKLRFCNEPPWNMATEQNNRVNSSLAIASAC D FPSE_03291 MSRASCPVSGSIGASCPASRSSRGSRSHSRSQSQRRGCAFSGAS QPGDLHAAFDIPRGVDPDDWLRTRERKSINELLYKSFPSRETLDRAKTQPELDALNAS DQSILATALGAPGRQVLRRAEEIGPLTGWRDGYLSVEHGFCPPDYEEPIAALARSPGR IWSDLCERMPGCCARGRVREAVAKLPLVEGTDDNIPDDALWGAVVALGMLCSIYRFED KHDGKDGVAVTSGTSTRPTCEMGDELCEELQGIPMCIALPYYQISRRMGRTLPHLSFP DQASYNLKIRDTKSTYPYLARFDNTDLRWPMFGERAEVAFLKGCADTSASFQHGPDAI AACQEHVMTGNVEGLLHEMIRLKEILERMPNAFHSISPNPNAGDNYVSADKWVRWGLF SAPLSKRCPAASGLQFPPYLMMDAFLGRKTHNSFLGAEALHLRAWLPSNHRAFIAAIQ YHYSIPQFVQQSNDPRLKGVLEGIVEAYTGERGFMGVHRYKVFGILEVAAKTGRTATN GLSGASDANRPWEETHRQFSDAMKERLEPFRGKLDLEPHSMRGTFEECRYMGRVAGVS SVDKDAKRGTAMVTIDIRDTGITFSPGDRMAVMPLNSWEEVAKMVAALGLDHHLRASV YTTGTWSRFAQHLSSITRTPNRLNVLDVLRRGHLAPITKDLAVKVHELLHASSSTVLQ VLATDEWPVRGSLGDLLQRAIVDTPSHIWDKAFSLDDLDWLTELVTLEVPRTYSISSY SNELLPSTVDLTISRSEYDLCSMFDQGESVVRAGVSSGFFNPDPSAGVDSAILADDVL VGVSRPVSFQLPIDDMAPCAYFAGGSGIAPFRSFWQHRLATSGLSGGRNLLYLGVQSR DKFSYESELRKLVNIGFMEVHLALSRDSNGLVYDDVLRDLVDKEIPPRYIDTLIIEQG STVCDMVMSKKQGGLGGYMYVCGSVSVFDSVMSGIRKAIYTYRTATMETVDKIINKAF AERRFMLDVFMSPRALPVNLPTISQSSLALHTGHRKGGRMWIAVHGSVYDVTDFCPMH PGGTLIIKSNAGVDCTKSFDNLAHTNNPEVSSLLTRYFIGHLSPKPDYRDKDVSTLHD LWSAYLRTTVETLVAHQFEMNDIMGDTLDAPSKDDPNGITNIWQREDLPNIIAIRTFY GYQSRLLQGGFAALFGPKLEELVLRLSFVFASAGGPGAAYKLPDILGVIARAKTSSDA ALITKEISSLGDFICDPTAQLRFQERGVFSYTAKCVQLDIELLEDLRQEACNGMDAFD NIASMLDRSDPDATPLAALTTLLLRTLEKMAHRLAMFYAQLARLSVYQPELEHNPART RWAFVKKCIRDGTFFVLTREVSTETMLEQSDFYNASQKTHFDEVVEQINHRISMAVEE EQPEPEPTSVNAVHQERGNNLSNTTTVDSTAERLNVGAVSSMSSFIQKNKTAIRRLSN MPNAYQLQNLQSALAFDPNQMLSARMMMMSGYASTPSVSSRTSSPSSRRTSVSLGASS ATSSMPYSSGGESLLKMEGLNKRSRTQSVASSSRQSMSLASSVAERRSLSVSSLRMSR NMSMNGIAGVNASRGRSEESVSGQGQGTMREGSASKLLALKLGALAEQRRSFY FPSE_03290 MWIADACKAFVFSFVAVFHSPTLPDKGPHQDVLTFTHNSPGGKI PYPRFPAPNGPDKDGVEFQCKYPELKGWEKCTSADDRSCWLKGPYDQVFDINTDYENF WPEGVVRQYTLNVTNQDINGDGVNNPYGKVFNNQYPGPWIQACWGDIIKVTVHNNLAY NGTTIHWHGLRQLESFEMDGVNGVTQCPIAPGDSFTYTFRAVQYGTSWYHSHYSLQYA DGLAGPITIYGPSSANWDEGRDPILITDWSHRSAFQSWQRELVPNSPTRPMMNGVLIN GVGNFAGSFPRERFNMTVTKGKRYILRVINTSVDTTWIFSIDNHNFTVMSTDFVPIHP YEVNHIVIGIGQRYHIVLDATPTNTSVLPASPDGNYWIRTVGADGCKAFEAGNEPDER QGILRYNASSTLVPTTFRTPYVTACRDENYTMLKPIFPWKVKPVELDYEKAQFDIGLK KYKDRPEKGDGFQWWAFGENPLWLNFSNPTILNLNNDTWDPNYAVDLVVPDSKKDEWV YIAITAPPAPLVNKPDRVFAPVAHPLHLHGHDFALLAQGTNFTDLDTGKVKLKWDNPP RRDVALIPAGGYLVVAFKADNPGSWLFHCHIAWHASSGLAIQILEQQERLKWMMNNDR MKEVERVCEKWNDWFGNKSNHWNAEVFQDDSGI FPSE_03289 MLDFLSPRKLLTQLTLGAGLVAAQLQTISNFGPTYDTRLVMQAY VPSNLPANPAVMVALHACGGTGPGYFQQTKYKSLADSRGVILIFPSSPKDSNCWDVAS TKTLKHGGQGDSQVIVSMVDYAINTWKADRNKVFVTGTSSGCMMTNVLAATYPDRFAA ATCYSGVAAGCMAGSPGSSPISSDRKCSDGKIVKSGQEWANQVKAMYPGYSGSYPRFK TWHGTADYLVTYQNLQEQIKEWSTLHGVSLASSQQNTPQPNYTTMIYGDGTKFVAVSA AGVGHVVPTHEDDDFKWFRLT FPSE_03288 MVYQAITRHPNGKRLLALDGGGVRGIMGLVILKELMHRVQKRKN LPTIPRPSDYFELAGGTSTGGIMGIMLFRLRMSVDDTITEYDRIAKSIFSPKFWGYDI SWLPGAKGINNSKALVQDSRFDALSMSKAIDEVVEKYGLDDNDKKLKGNAPLVHDGGA RMFCCTTAQNRAESMLMRSYRDSTIYSKSKVNDALLKHGDKLTISIAARATSAAPTFF PEVKFPEDKPDLLFWDGGLLNNNPIDQLWYSRFELVDPSEPAPSISCVISLGTGYVSP GKAKPSWIKVVGVASKVMDFATNTNAKGKDFSRHMKHLNAREEHKDTKYIRFNPYMKE EIGLDDYTRMEELKELARKTMADPNETNQYWIEQAVDAICDTK FPSE_03287 MKFLSILSLGMIATAVDAAYPNPGNGPADACYTAVAKPNNKQRT SDCKSFLLTTVTPKKSTIYKTTTITRKTPTVTKSITVTSTLSAIATSISSVVQTVTDN IVVTRTVDHTKTVTEQTTTTITTDVADPAPTVKRKRSVSRIPEYLDNLCTNSAQYSSA CSRIGVTAKTVSGPRVTVVSKIVRVKIPRATTVRTTVSTTWVTQTVKTTVVLKTYSTE EVTKTVDVVTDDVTVATKTVTETTTKTEASNPLETVKLLAVGSNDPVLQGIGFSNLES NQGSSSSFYMTFTSVLSNQQYTIHKVTGEVKALNGPGNAAGQFGSYNFSPGSGNPYGP AMIQAKGGVPPLKMPKNRPSQQKRNEAKHEEWAKERRERELHEHETAKAVADNDKLEF GAKIDELAKIRKWFSGDTPILDQYLGGSLTLAETVDTIAKPIDVSYSSADFGRKYYEE EMCARTQRGFHSPEKAIELWGPEEDYPEPREEWDPLKSTESQLWVLWFSILHAAKRIT FTDEAQQQKLVDLVKAFKERPNPPPPEPMTIPLKRSWIWESGTLWTDLTVLGISVSET FNDVCGCGAGWLWPEQRACENLYAFMARLTSEGINLARIGLSCVNALEQTPFPGYRTF PAPPISEVLSYDVTCAALWTIIAGKEVYSVYSDTRDERDIQVVDRIIGLRDNKLPWKR SLNKYKGRARWETARKEFARRRFEEESRNEELSAEVRELAAKAAQAMIPLIWLQGQKT DKE FPSE_03286 MKTSIAIISASVASVMAGPFPISTKQCNVVPSAAVNGKVHPYHN GKADTAVDCQTDCASDDSCQSFAFGLIKGAHQPSCLLFEVSAAKVPARNDGLHVFDKE CAADRVPTSAPTTAQPWGTVPKKVLVRRATQCNCAATGSADNSVQPFKTTTAATAKDC QALAEADTSCLSFLYGLSGDPKSPVCKLYKVAASKIPARSDSLFIFDKGCSSKQVPTT APTEDAPRGLVSVSVKVTKGDNKNEYQDTKAKSSKNDEHKEVAKAKSTKVQISQPKAT KVNKSEKNKVQYAVLEVTEHAVSPKLKSTKTLISKPKATKVAKVENGKDQYAQPTKVS NPKTKVTKIAEVENKNETQDNKAKDTESKGTKAEDTKAKAEGKKQAKATKVQGKQYQA TKIAEIESNKGSKGQGTNNNDAKVDSPKTLVTKVRNNEQQATQAAKADGKKSECKTTK TSNAQPKVTQAY FPSE_03285 MKTSFILTASMASAILAHPFDARSQQCNVAPSAATNAKIQPVSQ PSAATADACLKSCSSNASCKSFIFGLPANAKAPTCKLYGVAAAQVPKQGSELYVFDKA CSSKAVPNTKPTHDEPRGQVKGKLAARDEMCNTAPTGPTNGNVQPFATPSVKTADKCQ DACTAQNDCQSFVFGLPADASAPVCKLYKVAPANVPSGDDKLNVFAKSCPAAKVPTID PTHVEPRGEPPVDQKPHDKSADKPKPKPKGPPHVQRRGEPPVKQPPVEQKSNKKAAEK PKANTPAKPNKGNGKHN FPSE_03284 MASLAKLPVETIDRICQFLVLLSTRSLRNLCLANKHLNQIGSPW LIHRWDNSQECAEPTTERFVLHLFRHPELRKKVKRLSIGYALELDQSNPSVVDLDSET LLALSQAAASDVEMESEYRNQLCSDIERGSVDALNVLLLAWCTGVNHLSITVPPFSIF DDERCHVLTFAKQAIVRLLHETPVKDLPFAEVRHLEVHHCYKHNHLFFGAVTSFFRLP KIQSFVISGIYDRATHLEVWSHGTFDPHHGQYARRYAMAIPHASSKIEELVLRNVDFI ADGLSTLLHGVGNLKKLTLRPTFDPEQESTDREEIAEALSGMCQDSVEEIDLRTDRRG YLLNPESLKLDYDFLAEAILIRQDIYKDFVNLRRLSCPMADLLTVDSDNDEHKTSLVP GKLPESIEYLKPRCYDMVNTWISKDSSLQPYIEVFVRILEDAGPGQRLCNLKVLDLSY AFANDPDTDGIVTMKVLADDRGITLLLK FPSE_03283 MPTTKTSSTSKFKKWFRKRSKSNDSRSATSTDLSDTSTSLRSTV TQNSRPSADTASIGSDVKGTDAVSPIIPEKVPESVVINDKPADLKDENTTQKDDHPHP WKQAVSYLNEEDRILIASIDENDNNANVFADLHDLINKKKTLGEEKAWKITFGGRRIV LRDVLAKIVSWLDSFKAIGDLISQVDPVHASVPWSAIKLVLSVFTADNEQMGLLIIGM EQVICLIARCSIYHQLYLENVVHTTRLQTKATRQLSNAMARLYAKLFSFLAYYIRLLD CNSAVRMLKTFCNPSEVAAKLKEIGNLETQVTTEANVCQGTIAESAFQNMDESSRDTR QKLRDMSSRFDDQTRQLWKHLNEDERCKILQWVSDIPYESDHYIARKGRVDGTGEWLM GHEVYETWQRPDTSNLLWLNGIPGAGKTKLSSRVVDDLLVRLPKEAEENTGFAYFYCD RNRPDHSEPVAIMRSIIRQLCAPRDNQSIESCVEHKYLRRKVKGFSSDRLVAEECKQL LTQLVAGYKSVYIVVDGLDECDRGTRHMLMDLLDEVIIKFQQTVKVYIASRTDQDLRK RYNEGTHLEVTANDNQADIEKFVLSKMEESEFCRTKLSRKLRDKILSTFQDKSQGMFQ WATLHIGELIQLERNADIAKYLDGLPKGLEAAYDKIYGQISDLTGSKKSIAFAAFQIV MVSQRPLHPFELAIAAAQHPRHNFILDQDVDIEYVLEACQNLLVVADGSQERDVTAVP TMDSNLQGYLKQEVKATRTSGSMAVWENNVGVTKDSICRFAHLSVQEYLETKHWSSAQ AHEMMAGICLQTLLCLSLPGGVENGQEETPGSDAGEDWDATLTLHVSHSKKRNIVKIV PDEEENRQLDASLSPSLSKGTVGEISICDTKSFPIPDPPPFECYLELVDYDIHDGHDE SCFCETFEPFLVGFEGSPLQTWTTYCSHFFPRHLEDVRKNSKEGIGYTLLGLIRQFLG TPSNSTTSYMAWTRLVQNTPVLDDSDPKYGTGKSALRPYTTPVLGCVILDMHEVLDEW LSKSEVDPNGRNIQGDSLLDLAVRSFNADVCKVLIKHGADPNLPNPFTVTPLGTAVHH ANLDLVQMLVEAGADLCTSMVPISEQKRGWRGLTAPHYETPVHEAVKTGNADIVKAIV DGAKSASYHGQPLRLEKALNEAAQACRPDLAAILLSYGDNEDGHRQVMIHNALNHVPA NDSGIDIMKFLMGLLKHRTHTLLHSAVKDGNWTFVEALTEMGVDVSTHRDNLYQETPL HSIFREWCGDELVKVQKIIDKGANVNTRDVHGNTPLALAMKTKIFGSKTTDHEQDGDQ DEETNDTTTDESTPDISRVEAIRYLLQHGAHTDTINRNGMTLLGIACAHAVVTPEVIE ILLEHGANVNATQGHGELSMSPLDALYLHAKPSETEIPPLEDDNLAVVREILKKHGAR YFRAIDYEKWDIQRKLEWITKHRTGPFAVPNVTTCFTGG FPSE_03282 MAALVNKAHNRLLVKKQLPDGTTQPADFLDNDSIRPTPVKDRTW TSITYSAFWFAATANVSNLYSASTGQSAGLSMWEALGCSLGGQLLAGLLMALNGRAGA LYRIPFPVACRASFGTWGALWPTFNRAIMSIVWNGVTSVQGAQCLYVFLHAIFPSIAN IPDIMGTKSALNSAQMLCFFLYWLINCAFLFIPVPRMKKLVHVKVGVFFGATIAFVAW TLSLSGSVRKTLSEPSTVSGSEKSWMILKFFFLGLASCGTFITNAADLQRYATKPNDV IAGQVFSFPLSNFLVGVFGNVIAAASKSIFGEVVWNPLITLDMLMEGDRYTAANRVGC ALISFAYVYSTVFSAIFENSIPAGNDIASLMPKYITVKRGFFICAVLSYAICPWYLLS SASVFINFLSSYQIFLSAITGILICDYYLLRRGLFDIPMLYSGHKASTYHFLHGFNLR AFAVYLIAVAPNFYGFLSQMGVKAPLGIQRFYYVAYPVGLFIAFGGYWLVNLLFPNDD IPKVSGWQEPKDFVEDHDDTFVDVVVNSSEASSDQEKGNPQDFITKKY FPSE_03281 MATTTVQITAPVEYTTVQLLTPNGPVSRRVRCGKPRTPTLDEMP IIDLSSIDGDESARKALSAQIKAAAENTGFFYVSNHGIPQDLIEEALQQCKNFFNQSQ QDKDRVAFTKAGKFCGYHGVGCTQINNKETKDNKETFSMRYDTRIDPSHDCVDDINSN FDSIDFVWNGTNHLTKFRPVLTEFYQRRLTLARKLIRLFALALELPEDYFDSIITTPG ADAVHLHYPGTEETEDIDVGIGSHTDIQCLTLLWQDMSGGLQVLSADDEWLDARPIEG TLVINIGDFLQRLSNNRFKSTVHRVYNRQKSSRYAMPFFLGFNPDAVCEVVPTCTDAE HPPLYEPISCGEWRNSRLRLAETGKLN FPSE_03280 MTSLLWSSIPWVDSSAGWHKHQAPYTANATYLHTLDVWVPKATG SHSLPAPDFVSRDPGIWVIYIHGGAWRDPLVDSSSFEATALKLLSDKEAHISGIASIN YPLSSHPNHPTHPAPPRDSSQPVDIARTAKHPDHIIAVLAAIAYLQNELGVAHDYVLS GHSCGATLTFQTVMNPDRWGVAPKVKKPKVIAPLNGLYDLATFINNPPESHEKLQPLY VEFTKNAFGDDETVWRDICPTIVSDWSEEWPEGKAVVFAQSKNDSLVPYSQTELMKEH LRKNSKLEVIEMVASGDHNDLWKHADEIVVIIKRAVAYSTEV FPSE_03279 MSSLSTAYLVLGLATLATCTSSCTFQPSSNATLENFPRASPDSP YDPNILSQVPVASVCNSSNATEELGCARKYIDIIDEQLAFLYARRLGYAAIAGFAKYD QGVELNDPTRNEAVAEGMAQRVLKYGGTKEAGRVMGGEGCQIYVSLEYEVSQIQETCD PEFRQDVKRDCN FPSE_03278 MSDFKDLKKATDIDPNVWYHLTEEWVDDYDGNFTGMLQTYKEDS GDDGFRVYAVKNRKTYWQFQPIDKIPGRYSLRCSETTTRKQFAVCYRDFESVENRRTR ACLTDSDGTESQQWDVSLWGTNTTETYLFTNVANGTKYHLDVIPGNAVFMSPNLDGYQ KRQRWLMTSVKNVDDDAYSTVFTNPPPESTRDAGTTTDSSSETATDPASSSSSDSSSS SLSSGTIAGIAIGAVLGVLAIALLGFFLWRRKRRNNGFTAKPDEAMGSHNGDYNQFAS YKPSIDRTLVNSTSPAPPQELSSAQNPVELPAAEQRHELR FPSE_03277 MANMTACGLTLVVLSLFQVPTYLDMTAMVHHNNMKKAIEATRDE PTPTMSETLHSLHQKVSALVQKLSAIGADETMPPCAKQQLHEATLDARSIQEALGHIC HAALADSSEIEIEIEITPPPTVHPTPDRVLTSTKSTPSIIRPTTSEDSNVSVTVQDQT SQHARAPITKRRIVHKVYPETATASVAESQSPPLVIPPTRSDGFNVFDTVQDKPSQPC ARVSKSRTTRQAHLETVTATSGPTLRACDMGEKLVANLDKIVTQDGFQNEVFVPVPSI DLEAFKTDLNPYQNSTLQVQKYDNTNTEANQLGACFIFKSTSARDRFKRPDFSIQQKP PTANEAEKWLNHVIKNPPQHTISHYVGHANHIEFQGRLNPGPHVLSNDALGDIHKPYF HIGADKSANRFHIEDLSCLHEKESRHGLRSANQVLLGIKIWVLIATRHTTKFRKFIDK HWDTERCGSAVSHLELLVSPAQLRKEGIDFTIQVGYPGNLIVTHPCQYHMVINQGACI AQSINFILPGDSIECDKQLRCSQDGLAPFADELGMTMVSISQPKKCLQSADHKKGLKR APDTDTHIAPKIKKTKKGIYRPQELSHLSQVLKELHKKDLVFQSPQLDSMQSVDERVY RFVCAIASRETVRMFFVMVAAWNKRVHSSDPPQQMGTEPVLRRVMLIKAIEGEGALIT FISKHNQLHLHKEYLKKKNEGYRILCEMREEVRQKAGWSKSLLEYHLEIGKRFLKLSH GKEGILPFLSCYPQKDFDVRLSNSTWFDDEQIEQMKRLLNTPYTTALLLAGKSLEQAV ETLQPMELGFHVDDVSWEELKEDEVITKLELVANHALGASS FPSE_03276 MSIDLHYTTKASPLDFTLKDPPAIIVPSSALEPVSATRRHSRDI LDTIRDLSKVTTLSIYIEACDAPNELQDISDAVGQGLFTSCNTQHQIASKYGGVGGKL IDLSVPVLPPSYDEAATSPPPPPPPPPPIDRSSKKRARLDSDPERDDDITPLGRIPHS RNLSRRTP FPSE_03275 MTSRSTTTVCEPSSSRLESSSIRTSTLRANPKDSMKSTWRLADR KNWTTSHWFFEYLGIHPVSLDKQVPVHQKGDKVPYTPEWQLHRWVLVHSLVPIAIHHL IVRFTGHNLTPMQAFFFYSLAFKVAAVHQLHALRRVGHITGFLDGDVHARDGVPDVGV TKVVKSLIATSSFRPVFTVFLSYRTYQSPSSMNWLWLPLEIGLYGIVLDFWFYWYHRL MHEVGSLWKFHRTHHLTKHPNPLLTLYADFEQEIFDIAVIPLATYFSLKFLGLPMGFY EWWICHQYVMFTELSGHSGLRVNTSPPSTLTWLLRMFDAELLIEDHDLHHRKGWKTSG NYGKQTLLWDKVFGTCIDRIELKKENLDWETRIDLPIF FPSE_03274 MELSIFPLVSTLLWLQACLVAAIPGQYGSTSDLTTLPKVNRVRT FILTDILNEPDDTMSLIRYLLYSNEFDTRGIVAVTSWSLRNTTHPGEIKRVIDVYGKV VDKLNQHVHPDNPYPHPSDLISKISSGPISYGKAALKQPLSEGARNLVKALRESKEPL YLSLWGGANTLAQTLQHIDKTETKRVASQLRSRLRVYAISDQDDTGAYIRVTWPDVFY IVNVHGYREYSLGTWTGISTGDNNAVNRTKVLDDWLTPNIRVGPLGSEYPKIIYTMEG DSPSFIWTIQNGLNIPGRPEYGGWGGRYARVTEDTEINEYGTSADTLINNNGDSWRSQ YATIWRWRDAYQDDFAARMQWTIVDKFEDGAHPPKISINGSTDSEPLRFGVGLNDTMI LDASETYNTDNNDASGLTFEWYSYAECALPFLTSLNANFFKIEALSPPSGTNGTLTVN EAGFSNATLGPVVRISTTLGNWVQEQPSSVDKEWHIILQVTNNKGSYPIRRYKRVILQ IPDAK FPSE_03273 MTLDFQVESWIQKIDPSSNPTARMEEAAKQEPFLKRRRLNTLRS DASRSEKSMDYQRSLRSSKRAPSDDYETPRPARRTRTPRSESGNSLPFTQSGASQQSE LSSPTKQLRALQLHSKGVVAKQLSAFHDKPAALEVLLDKIDLVSSGIGILPASHVALF SHLDRDTYSDFKWTQHPVLSKFLFSNERDEIGHTPSPETVQWILHEAAFCDSKGCSKT DWNTEVHHRVLSAALRPQQGPRRDQLLDFRLSKSASIINEYHVTSASTTVDFCMYIDP KQDKRTKAVETIETIREILPMGMFNHANFSPLSDNPIAVSIETKGTGEGWENAKLQME VWMSAHWQFLRQLLEMRQDASTKLSVLRSRAGEMSFCSDKIWDLPEFIPGIIIQGHDW HLVITTAEGEKTMFWQKKALGDTSSSKGIYQIIYNLQLLRQWAQEEYWKWFKDLLLEW PRSNGELVF FPSE_03272 MKTTGLLTILFTSMAATAIASPNALPMGVEVVERDGKTIVREVP HALLSRGLEPRCRECVGQGGSCTIGDGSCYAEDPPLYCTWCGDKCGSRCVRQGQTCQQ TCV FPSE_03271 MRLVNTKNLQLEFFTDDDVPDYAILSHTWEQEEVLFHDIGQESA KLKKGYGKLQNCCRVAKENGFDYIWDDTCCIDKASSAELSEAINSMYRYYQEANICYV YLADVSAVVQIPDSRWFTRGWTLQELIAPHEIIFFDQHWQQLGTKTSLVSVLSERTNI PKSILCNVVELDTTSIAQRMSWAADRVTTRKEDSAYSLMGLFGINMPLLYGEGEKAFY RLQEEIMRVSDDHSLFAWRFSGARGGLLAPTPAAFKHSRDIIPWNPFAPYNSPFTITN KGVHMDVSFIPRDASGRGLCVLFCTTIGRRDSLIAIHLRDLYLTMEHFDRCMSSEFQW INLDTFSLTQYPARPLCVRLHAPPISRKDRRSGGADEAMNGRDYSPRFHSSLSEAALN GDSGAVWFMLANNVGVLSEVASDDARLAVCIAARGGHESLVTQLMTRRDTSGFLADKN GRTPLSYAAEAGQEHIVKVILSSARIHPEARDSDGLTALWYAVHNRHHACARLLLQKG RVSGNVGGSDNRPSALCQATLAGDVELVKLLVQNEALVGARGEPALCLAAAIRSVPIA TILLEHGADPDERDAKRNTPLHMACVNDHHDMVALLMRRGASPDRLNTAGKTELAFAT LRGNIPLVKLLLENGADPKAKCANGKTAAAYAQGQEMKSLFTDHRWYKTLIDRTSTNR SGTSGRS FPSE_03270 MASIEVNDILFCQEHFAEVCDECCVDLREENDSFYGVVCNEHDS ATCSQCFNWKKQISRKFKEAAKHQK FPSE_03269 MSPLIADKPLLGPLLGLNTWTFAMEALLYIRRTPALSKYNVSFD PAIVKKEKAEKLPPYVQWPADNFNNLLEQPTQFYAVLLGLTFLGVKDKITVRMAWGYV GLRFLHSMIHVTTNNVLLRFPAFAASSAVLLGLTAKAAWELLF FPSE_03268 MKYSTVLSLTAVITGYVLADTPATCSTTGDNTPIKSGCCPRDPS KRLRRSAREIFARTDSPTCPTPKFYGDSCCTHEFAKPTLDKGTFNLQCGANGVADIVN DAVKVEIVGCDTADDLTKETCILQISSTPAGSITDTHLEISTSAWTSTKYPTKDPASW SYTSYCVKTTGVCQVPLNKIGDGASALCDKKLYIAYHTSTSDGTTSKTCTGDGTLIPG QPGSRWWEYLTLDFTCPKTCDGWCCCEKPVTPPPPPPSTQVCDIGTAFGYADGAKNLN GNPVGPAVCDTANRWGWYFATSETSLSGTLIVGAGGNDLTKGTTVGTWSATITGSDIT FKYDLYDDNTNGHFDLSSVHVYASCTAPTTCAPGKFTFKDDTLTGSSDTSYTKTFSVS GGTCSTYYLIFHASVNQQIPSTGTCPTPAQ FPSE_03267 MGGSGKQSSGTAPGHGVSATYFRAYKPDNAIWISDDDSSDTEDE DDVEDHDLNRSQSGCTTPMTASVVDHLNSMCTKHSETESNAAVRMGAMAVLAPARLEG VRILSHESQMDLSSDPESNQQTLPSTTCTPTGDTAAGADTDSGISSIGPETQSCLGAS DGELVTKATGAISELDLITVALSSEESCQRLQNAPATPSELTYGPIAATPEVMGQTPL HDSKMRPSAERNDATPAAGSSSPRLRLLSESQPEQDQDHKTCSPSDTEPDLLEAESGS LPGARMPPPFREGLSRHCCRRTSPHIHMTVQDKDSDEDTEGSGSEDDLDV FPSE_03266 MAPPNKSTATPAVASLPADIYTNEQIHSRSKAPWRAHFLRRLPW DGFLALVVGIGCAIAMIIIILKSNNDLVENWRVAPGVYLAIASVVANVVLRYTFNRGV EISWWVAALQEDKTTTVADLHHIWSFGTSLRSALLAGRGFNLVALAAILLALMPANAP LVQRASRTVSRPTTRDITVPVVAAPFLNATWGATGMITGRIYQVNYITPSFAPVLQDH LLSTPIWLENSPCRGTTCRGILQAAGYKMSCVNGSEFFDKSPRLPDEIAEDGNSAFNE SVVFSTGFSYMVYGRVQEWRGGESIMNLTAKFKEHGQCKGNVAVRNCTMAPATLAYHV VIANGTIALDRSYTYKDDMLVRYATISDIQVHGGIFLALQSMFSAKVTLRFAGGVGYD MTTTGITALRYSRRAETDAETRCQNKWLDPTHDILMTARELMFRLALQGNNTDVAPQS VRVTQRGTEVVYTSDFLFLGLALLLIGLAAISVVPLLMYWWRLGRDVSLSPIEIARAF SAPELMDLGSNLDASRLIKDIDTKEVRYGVVSYESADGNREQTTSELAFARPSVVQAP QRGEQY FPSE_09325 MYIGFQTLAIALGASSLVAAAPAEASAVELMQNLNRQAINALES TFAQSTERSTAKKCTLANAAVRRDWRALSKKEKKAYIKAVLCLREKPSKADPAFAPGA RTRYDDFVAVHINQTRSIHGTGNFFTWHRYFTWAYEKTLQDECGYKGTQPYWNWFETR DFAVDPVFDGSDTSMGGDGEFFKHNGSSGGRGTILLPSGNGGGCIKSGPFAGAVANLG PPAPSMDGMEATTTPLEYNPRCLRRDLTRYAVDQWLTYPNLHNVTLGVASRNIDIMQT EFQGRFPDGFLGLHGAGHYTMGGDSSDLYSSPNDPNFFLHHSMVDRVYWIWQALYPKQ ANDIAGTITIGNMPPSRDALKSDPLNMGVNSPEITIGDALNTLSGSPLCYIYL FPSE_09326 MPCKKLGTGWRVVATFNIIAVFLITLFGAILVIVGTQQPAGTSQ KLGGISAQFIFFEGDCKTSKSINTWLHLLLNAFSTGVLASSNFFMQILSSPTSAIFST EYLGTDWHLTIASDGFVQGVKFRGSGAALWPPQSGQKLEGYGSPGNLDDYFNLSSSTF EKIQNASREAKYWKRLGVSDCVSQYVDCNPRIGFRDVVMVVESQNMSLTAENETLGWT RDELLQSFKSDKDKSYWNQRMPLEEVNSLRFAADCKTSVSPAQSRAGGCNQTCGKAVG TGNITSNSRRPGEVPSTYTLSFFTNTSIDASWPGLANESARDLTLKYCLAKSVLQNCK VGISNQLLLIVVVSIAVKGFLAVAILVILPDEKPLAVLGDAIESFIQFPDDNTVDRCL LDRDVEEYEGVGHACAMTAPPLRQNWTSAIQRETWTRSYGVLYLNILLLAAGFIAAQM NTPITGSSFTKSMSNEILSAAEASTSKVIDFVLRANLP FPSE_09327 MSDHSPPPPPPAPPLPPSIHKSQSKESSFMLKVRGASEDWFYQA PKPPAQTDLFEPPVGPYFVYGTLMDPRMLADILGLDKEPELRAAKIEGYSRKLWGQYP AIQDGPQGAEVGGRVYNVQSVAHAKRLAEYETNSYKPAPCLIQYTDGREPSEDFGHVF LFVGNPRDLCDGEFDLEQWLKRMDRQPAS FPSE_09328 MVSYTPLPVSSQGLAQRAKPSSLQVGLYMVAWIVSSNITILFNK WLLDTAGFTILLVTWHLVFATVVTQILARTTTYLDSRHELPNSWDFYLTTVLPIGIVS SGSLVASNFVYLYLSVAVIQMLKAASPVSVMIVSWLFGVMDPTIGKIANILVIAMGVA VASAGMIEFSVIGFIFQMGGLAFEAVRVVMTQVMLNGEGLKMDAMVGLYYYAPVVAIL NLLVAFMIEVPHFDMADFHRVGFPTLFLNAAVAFTLNFTSMVLIGKTSGLVMSLSGIF KNILLVICSVIIWHVTITPMQLLGYSITLFSELKPFLHDSVPSPQLA FPSE_09329 MADIAGVSVDASAVIQQGKDLAVAKISLPRLQDHQVYVRVDFAA FNPTDRLGFDLQAFGDGAVLGCDFVGTVTHTHQSVNTLEGKSKVLEHIREQRHSIWPF KQDSDNFRTYCIADERISFKLPATVESREACAIPLAINTAYLALFSEASLNLQKEAPS NDCHILIWGGSSVVGYFAIQLARLHGYVVTTTCSAQNIEYLKKAGATHVFDYNDPDVV AKIRSLPPSFGHAFDTIGSIDSSSNAAAALNEDGVFCTGCGPLAGDMG FPSE_09330 MAELQQEEKTQDISQVGSNTPGEMAHVNDLRRDFKPRHVFMFSI ACAIGTGLVIGTGSALSRGGPGSLLIAYILVGCTVFFVMTAIGEMATFLPMNKGFGGY ATRMVDPAFGFATGWNYVLKYIVATPTNLTAAGLVLQFWRPDLNVAIWITVFGVAIVT INVLHVNSFGETEFWLGCAKILIMCVLILSTFVVAMGGGPNHDRSGFRYWRDPGAFAE YLLEGSLGRFLGFWACCCQACFGFTGTEVVGMTFGETPNPRKNVPRAVKQTFWRIACF YIIGVLVLGMAVPYDNEQLIGATKQATSGAASPFVVSVSLAGVHVFADIINGCLLVFT LSAASSDIYCASRSLYGLAKDGQAPRLFAKVRDNGNPIYAVGFASLFIAMGYLNASKS SSAVFGYFVSLVTVFAVLNWVAVLITHIRFRHALKAQGIAITELPYVGFLQPYGSYFS LTVSLLVIIFNGYDAFIPHFKPETFVLKYLGTLIFIINVCWWKIRNKTTFWRLTDIDL VTGRRE FPSE_09331 MFESFQQGPPDPMFFLKKAADQDPSPEKTDLGVGIYRNESGHYS ELQAVAQAKLLLAENDPGHDYEITTGNEQFLNHAAHLMFGKECQRLESGHVASVQTIS GTGANHIAALALSQSISPRPQVYLGTPTWGNYKPIFELVGIEVIEYAYLDSKTRHIDF DSILSAANSAPSRSVFILQACCHNPTGADLTKEQWQKLALVMKQHNHFAFFDIAYQGL GNDIVEDVYAIRLFADLDFEMFVCQSFSKNFALYGERCGVLHAVCPNPETAAIVHDRL RCLIRWEFSSSPAYGSRLVSIILESDHLRDEWLQELSEMQQRLKSLRKQLHYHLVEVF KTPGDWDHILMENGLFS FPSE_09332 MFACPNNLIAKVAAGQLCKAFGVRLVTNPQVVQLARNASADSLF IDLEHSTLSLNDASTLCITGLNTGITPFVRVPHQCGNGFIQKVLDGGAMGVIFPHVTN AKEAKDAVSISKYPPQGCRSMTGQLPVISLKTFPQTQVISETNSSASTVFVMIENAAA VDNVDDIAAVQGVDVVLVGSNDLAIELGIPGEFRHETFRRALTRISEACKKHGKIMGL AGIYDQPDIHDWAIHTLGVRFLLCQQDSGLIAGGAAKCMAAVEAVERGSASQPVNMTN GFNGTVGKI FPSE_09333 MVLIPQRRALLALQNDLTQFGGHVHALAGTVIAGQAYLAVEHNV NGLWNAILHILYPVADMDFLINPEAYPLQGQQNGARADLIVREINVNPGGAGFLPGRT FMYFEGKGGASADTALNIRTQMVNYLNTAIGNAQGRACWAIGAKGDRVWFYRYTARHV GDNRMVPVDWNGIANAINSPNVRAGQMLAGYRISGGVPGAAVGDWATVQSILEHMSQS YADF FPSE_09334 MSGAKVSENLLNELKRKAEELEEMQGPAKKTPRPSGSEDAHVAE SESDQTAEDVLPQMTNPLVTPSSKFVVDIQGRKRFLGPSSTWAYSRHVMVMIRQYVDQ ETSPEVPLNIDGHAFNIELPRMRQAGALIDIESLPSLDYAIYLTNTVKFHIAQTYHIF EESHFMRGLLSLYNDGPPPLTSDNRMWYIQYFLVMAMGKGLLTRGMSKAGSPGSEYFL RAMELFPDASGLYEDPILSIELGLGLRIALSQGLHRDIVGEFSDDAEVDRYRNAWWTL YILDRKFSSLMGAPSSVQDSDISVPVPGQLAGSRKSNALDMHIKLSRLIAKVLNNSAV YGIDGKLDDSFPKNTLTILKELAALASEWNSYPDLKLDGQGPVSRVSATLNLCYHQCI VLATRPVLMCLLRDKLELDRRESRSTFEIAEPIKALLKACYDSAHKSLRILATLQTQD LLELFLPFDLDHAFSAGFVLALISTVQPFSDAMCDSCFDATTNILDTLIAGGNLPACF RRQELERLHDMLHLIKQRERIPPHPNVDQIPGFDAHRGEQGISPTQLLTVTNMLDSQP SFDLDFETVNSWLWEFAGAGDAPS FPSE_09335 MAQTPGLLPNAVRNAPDDSCRAPITTDALQAMPDNSITSLRTTT IEDPMGSTKVTINVTGTDDMTTNNMSISDMSTNVELIRDRVTQHLAQHPHTNIHKVHA EYMTTVERIRTLQKLQEVNNREIENYSPETGDIYSRRKLRVTQAKLRVSLKAEKERSR VLSEKIETEKQLRSILVASREIWNALVRNENDSVRW FPSE_09336 MSASSRVLFHALGTSSFMFSFYLLTTWDSNYSRSFGWYFQLLTV VGLALSLVTFSLGLIADLAPADILFKAKDAVAVLATPLEVLIAVLYWSIRMHDPSLLM PEDLIIDPLPDLGFHLVPAVLLALDLVLWSPRATITTRSMMSLSTVLAVVYWCWIELC FYKNGWYPYPMMDQFSAIQRVVIFIASAGLLTASSSALQYVHGKVHSPKTRKIRAE FPSE_09337 MGLSTRARKNRFTAETPHAQVRTSMRRPVTGAFHYSIPGPLEQV ENIFVVSTNATARYVDGYYKVHGVGSHCIKAPVFDSIRFSHSPMLFTIRDPKFHAQRK RILGRGLAAMKEGQESKIRRLAELAVSNIEKEAQEGKSDVYKWWRCLAVDVISEMSFG KPFELLRPGGQDLPVYKALGNAGSGVVLQVMLPSFLQSLVRWSPIAWLRDIARVGETI FGRVLIALNEASLPSCGPSMVRHILGEAELSKSPVLSDEELGSEVSMMLVAGSDSTAA TLTYATWAIIRDPDLRRKIEEEVARLPEHFTMKDLDRLPLLNSTLEETLRLYNPAGAL VERVLPPSGMSIHGWDLPGGTMVYTTGWLISRLEDVFPDPDTFDATRFIDPSPEQAKA HVPFSIGSRSCIGMQLARMEILVTLATLFRQCRDMKLHYAPPPPRRSSSGGFLGLSTW QVIALLIVLILLSLGIFMCMRKKSEPKAPEEDEGEEEEEAEEEAEEEEEEEGDEEAED EDEGEEEPVPDETQMNAYNAQYLANPYGNPYAQQPSSATIVGSHAIYTCPRGFAVEW FPSE_09338 MYLGLSIFRTSTLYRLPPKKKLKELNKQTMPTTSGTVSSFDGGN KITATFVVDGVQCTFNGSFDPPVGDFNSYDAKLDYSDIRQLTTQREFRGKVSSQNLII DTNNGPKITSHSDKPISPVSQFSGRGSWEHS FPSE_09339 MGFVKTAAFATALIGAANASPHYAPPSNETIHYTTEVVTHLTTY CPGATTLTYGDKTYTVTKATTLTIEDCSCTITKPVATATGYAPPPKPTHTGDCAEMCS DKFDECRVAPDANMSFCAAKYAACLGYNPWESGKFVEPTACHEGAKPTGPAYTTEVVT KLTTYCPEKTTLTYGNQTIPVTKPGTVTVTGIHHTTTAPVVPTGYAPPATPSTPAQAK DCAEMCTDKYNTCRSASGANMSTCAAEYSECLGYAPFDSNGSLVKPTACHGPAPVGPT GTGSKPSGPAPVVPSGTAPVAPPTTPTAVTAGAAQVVPAGIMAVIGAIALL FPSE_09340 MTQNKHESIPLGAYLFTRLKQLGIGSVFGVPGDYNLKLLDYVKP AGLHWIGNCNELNAAYAADGYSRMHSLGVVITTFGVGELSAINAIAGAFAERAPVLHI VGAPPRSTQSARLNVHHTFLDGEYKRFAAMHTHVTAAQVCLTDVMSAAGRIDWIIEQA MVHQRPVYLQIPDDMVQLPISTSNFDVKSVISPSPVATEIDMGSVDKILDRIYSAKKP LILVDGESRNMNVVSEVSELINITAWPTWTSAFGKGLINEELTNVHGVYLANCGDKKA SEYFKGSDLILFFGPHMSNINTGIFTAIPNEDVTVSFSLDQIKIATQVIRDQPPRAFI QKLLSKLDASRLAKIDVPTFLPKSGPGELEPSGNLTQELFYPYINSMFRRGDTILTET GTAAEGGKVLKLPAGSRFFTAVTWLSIGYMLPATLGVALARRDKDSHNKDTERTILFI GDGSLQMTAQEISVMVKEKLNIVIFVINNNGYTIERVIHGRNQDYNDIAPWNFKHALG LFGYNDEELKKRYFSAKTWGELKTALDSEVMKQNDGVKMIEVFMDQEDCTAVLKGLLE RQIAREEAST FPSE_09341 MDHLFLLRANKRKGVLLAMGILVIFGLAVHSCLFYYPSTSDLAF RSSLSTPLPTKLSENTPQLMHHLWKPFIHDLNATQFISKEGYKYKINSNNHQWPPLGK KLLILDVDTRLETGAGAMMDKSTMNAEEMTGRTGGMMNHYLYAMIHGYDYQFIRAPNY RNRHGTWVKVPMIKEALKTHDTVVFLDADAVFMYPEMPFEWLMSLWNITDKTLIALSN DPDSPRNHDTKGKVMMNTGFMVARQSNRTQELFHDWNQCPTETKYKGCDRWKTDWAHE QAAFANYVRYDYNLTDDVRTIPCMDGNGSPYIGDKTCGGVFIRHHWFHKDYPANDLRQ LLLDTLVKRIHAGFHHAQEQNFIDASHYTHPLNNMKNM FPSE_09342 MKSKLLFPLLSFVGQSLATNDCPLITSRWTADPSAHVFNDTLWL YPSHDIDAGFENDPDGGQYAMKDYHVYSIDKIYGSLPVDHGTALSVEDVPWASRQMWA PDAAHKNGKYYLYFPAKDKDDIFRIGVAVSPTPGGPFVPDKSWIPHTFSIDPASFVDD DDRAYLAWGGIMGGQLQRWQDKNKYNESGTEPGNGTAALSPQIAKLSKDMHTLAEKPR DMLILDPKTGKPLLSEDEDRRFFEGPWIHKRNKIYYLTYSTGTTHYLVYATSKTPYGP YTYQGRILEPVDGWTTHSSIVKYQGQWWLFYHDAKTSGKDYLRQVKAKKIWYDSKGKI LTKKP FPSE_09343 MAPKKPEAVIDNVVAFGRVRKNLKMGCVGLPNVGKSSLFNLLTE QSAAAENYPFCTIEPNEARCAVPDARYDFLCDLWKPPSMYPAYLQVTDIAGLIKGASQ GEGLGNAFLSHIQAVDGIFHIVRAFDNDQVLHVDDSIDPVRDLNTIQSELCKKDLDIL DKQIVAEEMIVKKAGGKYKMLPLFYETTSKIRAMLDEGKPVRDGSWTPAEIALINEKI QLITTKPVIYLVNLTMKDYLRQKSKYLPSIAKWVTEHGGTARDIIPFSIEFEEKLHSM KDDPDAQAEFLKESKVKSKLEKIITEGFTKLGLQYYFTAGEKEIRCWTIPRGCLAPQA AGAIHSDFERGFIKAEVVAYQDFHDLCEGNKSMGPIKAAGKYRQEGKSYVVQDGDIVH FQFNVSNKK FPSE_09344 MYFTNAFIAALAAQAFSRDSRISSSGAGKLAALMALGATPGSAL PIFTTIEVREPHHQGGQNKQGQQGNQAAQANQNQNGNNNNNAANNAAANAGCNAKRDE DELVARHHQGAQGAQGGNANANANANANDCNGANANANNNNNNNNNNNNNNNNNNKRD LETRHHQGGKNKAKGKNNKRDLETRHHQGGKNRNGAKAKGNNKRDLETRHHQGGKNKA QNKGKNNKRDLETRHHQGGQNKNAAANGNAGNNGANANANANNNAQNNAQNNANNNAQ NNANNQANAQANNAGCNGKRDLETRHHQGGQNKGANAAAANGCNN FPSE_09345 MESLYHPLTEHDELNVGEKRVLDSPFDRHIRIPSHYRRYLQHPY LVWVCHGILLSLSLVFFVSSLVLRANIHSSVGSSIPTFPYSPAAEVADFHTVRYNITP ETKKTEFIGFGPDVDKAWDHVTYDVGDQMITRDELDNLGLDPSSLTIKDPKTGKTGYR VGIQVFHQLHCLNLLRQATHSDYYSHTGGDIDVDPEDLRGHLDHCIEFLRTNIMCQSD TGVFPFRYYDGYEGHWPDFSTLHTCRNFSAIREWAFEHAVVFGDEQ FPSE_09346 MVRFGLSALLFAGLSLAQDELSQPPQPEQGTVAHLPGAYIFEFE ESQDTSVFYDKTESQSTTRVKFDYDLFKGVSVQFDDVASAEELAAKMAALPVVKNVWP VKVYGIPTPRVEWVAEPGMKAPLSKRAVNDTADTFSPHVMTQVDKLRKKGITGHGIKV AVIDTGIDYKHPALGGCFGPDCLVSFGTDLVGDDYDGFNAVHPDEDPMDCGGHGTHVA GTIAAQTNPFGFTGAAPGVTLGAYRVFGCSGEAGNDVLIAAYNQAYQDGADIITASIG GPSGWSEEPWAVAVSRIVEKGVPCPVSAGNSGDVGMFYASTAANGNKVMAIASYDNSD YISLLKQHHYTVDGSDTKIAFGMTAGTPAAWDDVKLPIWAPSLDTTVADGGCDPYPAD TPDLSKFIVLVRRGTCTFAQKAQNAANAGAKYIIVYNNAGGANEIDVSAVKSILAAGM VTSKVGAKWIGLLKDGSKVTLEMSAGSDGEVIMEESRNDKTGGAVSTYSSWGPTWEMD VKPQFGSPGGNILSTYPLAKGGYAVLSGTSMACPLVAATIALIAEVRGTLDPETIENL LASTSNPQLFNDGTGFSDYLAPVPQQGGGMIQAYDAAYSTVLMDVSSLSFNDTDNFIK VRNFTIHNTGKKEIDLSVSHIPTKSVYTLEKDSIYASTFPNDVADAHAELKFSEAKLS VGAGEKVTIEVVPSAPEGLDAKRLALWSGYIAINGTGVSLSLPYQGMTGSLHDSVVLG SNDTWIARSNDKDKLTPVPANTTFILPVKGQNATDAQPAPALAWKLALGSAKLEAELI PVGGNSTKSLGAPAGFPVQWNPMGNGNIVWNGKLADGGYAPAGKYKVKYQALRIFGDE KVKSDWDHSESPVFSVKYP FPSE_09347 MVAKTSRFASASSRLPALTLSPARLLVTSQFTKPQLPPKSLDLS GQTAIITGANNGIGLACAKLLLQHGLSHLIIAVRSEAKGHEAAQQLKHVTSVAKIEVW GLDMGSYVSIDAFVKRCETLHRLDYAILNAGMGNPTHRISESTGHEETMQVNFLSTMY LSVLLLPLLKQKSLSSNPGRLTVVNSGSSMHCELPEAKSDPLIPAFDAKATFDGMSHY AKSKLLGQLFIDKLARHVDPQNVIINCVDPGLTKGTGLMDKSPIVMKVFMTTMMWLLG RTQEQAASTYIDAAIVKGEETHGSYLMDWRIYPFGKYYYSAERQELQDRLWKEMMNEF EFAGMEGIINSMGK FPSE_09348 MRRQDLSLQCTSSLQNHCLERQLLKPSLRMSIDDCGFDSSLFPS SVLQTVMKYNSITEKVYPLDTNISVQSWIADDFQFLHQNRTLLSAIYLATRTVDDLRC SAHLSPLTQQLLCVILSSLNRDLCRVAGQQSSTTMLTILILLFAAESLHDFGAVGSHL EGVRRLLIIRDNVFTGLDAKLLYKIQQFDLRLALAFGQPLHLALEHNHHPTLPMPPIA KSDILQRLNVNSPRVIEAFQNLQALIRDIKDAISARTDLIWTDFQSQISNIQTQLLHP NNDCPDTDEALRLGMLAFLTTLSQSPVRRPQLPELQRRLETSYMVMQDQNDNYRTFTI WVLMMGCFSTVGVSSPLVGDVWGVVASPGLSWETVRDMILAEGLPWIELIHDGPGKNT FAYLQA FPSE_09349 MTVSKEALLNDYEFDPSLVERIAFRKVKHTIAIVEPDPSWPQHF DLAKTRIETALGDTAVSINHVGSTSVPGLPAKRVIDIDLTVQDVNDEASYVQALENVG FHFLLREPHWHGHRFFCDYGDVPTNLHVWGPDCPEAARHKIFTDWLRNNEEDRKLYEG VKREASEASIAGGEDVMAYNKRKENVIREILQRAFKALGYL FPSE_09350 MAENPKQISTERVRDANDQSVSSVSSDSETSALAVLQETPKRSW KSYLWDTFDKSPEERRFLFKLDFALMTLASLGYFIKYLDQVNINNAFVSGMKEDMNLF GNELNYMQTCWTIGYVIGEIPSNMLLTRIRPSIWIPACEVTWSVLTILLIKCTNPTQL YVLRFFIGLAESTFYPGMQYIVGSWYRKDELAKRSCLFHAMGNVGSMVSGYLMAGSHN LDGVHGYHGWQWLFITNTIVSLPIAISGFFFLPDVPEITRAWYFTPEEIAIAKRRMEL EGRAKRAPYTKAKFKKIFSSWHIYALVLLYILFNNGNGGSSQPAFPLWLKSQGYTIRD VNLYPTIVDVISVITTLIYAWTSDSLFRGARWPAIVFSGLVKIIAYVGLTVWKVPTAF TWVCFMLCGFGGGISGLTFAWAHEICSDDNEERALVTGAMNQMAYVFQAWLPLVIWQQ VEAPSYPKGYPTMVAMAVALIGTAFAIRILHKQQIGGRRHALAEA FPSE_09351 MRLSDRDAGPAINARLEPLGRTALSIIYADKSEPQVAIKVTGFW LDGEMYDRAALAEDCSETFKREAAIYDALGSHEHILKTYGAAYLPAVQGEESDPSVKS EREAWALKLERAPHGNLRERILKGDALPMAQRLTMAIDLAETLQYIHSRGVLWGDIST RNILLFDNHHIKLSDFAGSSLRGIYPDVMFACEPRYWIPSTDPPSPEKSRFEKELFAL GTGICEITEWAVPYGTIEVEELQEKLMCGEYPHLSEDNPAKHVIRGLWNLTYTSVEEV SNDLRKLSS FPSE_09352 MARSIYNQAPLETRYRTATGPRTTPLTDPQGARLPRPIIIGFVN VLSRDADSVIFQPSCRYWVRDEADAPDAWWREDPAAFACFKTSFAYEMIGEEHPRIVP VVGQDAWTGLPLLRKPSYGSLGDFFEEYESKLYTVGTEATLPDSRIKPEFLPLAYQWS LQLLSALVLIHSHDIAYGEIDHSSCWISAESLDISLAGFVGSDFHDPATGWYCPGGLY NSYEFSPESLPLSRRVSVPTIATDMFIFGRLIYCIMTSHMPGDGMGRDPGETERLMEN EDWIPDLEDEFLGNILHKCWRFEYGTVEELQGEVQDLIESYGWSIKGDKLEGLDMDYI KPLLQDSAASSKQ FPSE_09353 MYRVLLSREAIVIGTMVSVVSAMVTGHAGRQLMPSMPEITADPM DFLDQVQLLQRRELQQRQEASSPFDVTVTSAPDATCGYLSAEVGVPITCTNKEICAWA LVQEDSGVIKCGTEIKVNCYESSKAVDPSLCNDVCQSDTMNLLCTDSDTPYCRTYAFP NGIRDFRCASSSLTTEQSAEHTFYGQKNPNFKTRVANGAAATTELEEALGKTATESDE PTTITKVAPSTKSASTDGNSKSSPAPIGAIVGGVVGGVALIGLAMLGVFFMLRRKRNK TVATQGPINPPVMNFIPQNMGPSMLDSKMVASPHAQPDSAISPLQSDSRQSMFGPPPV YEAPGHESQVHEMGESSERR FPSE_09354 MTAQIEQAHEPLAADTFDDVDSDSTFSGSDLESLESLRSSVLQY QEENGRTYHAMSSGKYNFPNDESESDRLDLQHNLWLLTLHGELGLSPKIKGSAKRVLD AGTGTGLWAIEYADAHPETKVIGVDLSPIQPNMVPPNCIFEIDDLEKEWTWTEPFDLI FARTMTGSFADTEKFIKNSFENLEPGGYLEMQDLTYPIACDDGTLLPDSALVRSGKLS IEASAKAGRAIDLAPQYKEFFEKAGFVDVVEKKYKWPINEWPRDKHHKELGKWSYANM NNGLEGLLLALFTRFLGWSADEVMVLCSAMRKQIRDRNVHAYIPIYVVYGKKPETETP SKA FPSE_09355 MNDLPTAIPRGSWVLVTGANCYTGSHVVIELLNRGFHVRGTVRN LEYSKWLLEHPSVKSFADQEKVELVLADTSKPGDFDEAVKGVSAVVHLGNIGEQTPDP NVAFPSAIEAALTVCRSAAKEPTIKRFVLASGLWSAIWPRPGDSSTINQETWNEDLVK MANLPPPYELTRVLVTYLAARVDAEKAVWKFVQDEKLPWVVNSVSPCWTLGDPLHARH YGPMPTQLLQQLYLGKIEALRENTTVYYTHVSDVAVIYAAAVIDPDVKGTRIQALAKP FNWNNALAIMRDAYPEEDFEEDFIPGDPTLSYKIENDIAPGLLQKWAGRDWITLEKGI TETIDFSRKIGNLD FPSE_09356 MKFTLGNPAAMAETPSEVLNWRLYWSTFVFGILGASRGLDEGLV GGMVSLKSFKSEFDLDAGSEHHQAQVESNITSMVQIGSIAGSLLAFFICDKIGRVRSL QVLCLLWLVGFIIVVTSHGSVGQILAGRFIAGLGIGMTVVVGPTYLAETAPRAIRGML TNIFAGSVYLGVMIAYFSNWGASINISNNSRWQWVDPQMCHIGFSSLLLILSFTVPET PRWLTMKGRNEESTKALCKLRQLPEEHPFVQAELYGIQEQLEREQEAFLGVSRWGKLR ELFTIPANRYRLMLGFMAQLLGQWSGASAITIYAVEFFGVLGKSGQSEKLFATCILGV VKLSSAYLCAFFLVDFIGRRRSLYGGITLQMISILYIAIFLSIVGTEALENGTLTPSQ KHAGVGAVVMLYLSGVGWTMGWNSFQYLVNAEIWPLRLRALGSSMTMCLHFANQYGNT KAVPLMLLSMTSAGFFFFCAAVCLLGLIWVWAFVPEMAGRSLESTDELFSLPWYKIGR HGTKLAPDNEAIMVRDEKAEVKGEANELEYGRRD FPSE_09357 MEMTVSTKRSSIKDDKDGNETLSFHAVRDRRPETLHLSHDAIFK TAQLELKNSNELRKCHISLEEVRDYVNLTEDLISSAIPDIHPDHHAQAIKAARSRLSK LKDGLTALYDKLTPESVPCLHKVAFYVHNSIPTTSWRIDTPDDRNKGLVATLTLITPT GTLSIHNVYNRNLTIDVDLLVDYIKNFKGDAILLGDFNLHHPLWSGEGRGNVTSQSNL FASRIVEMGLELQTKRGKPPEPKSPVNISKDLTEDELLAILKDIKTGKSTGEDEIPNE AIRLGQEALFPYLLDGFRACIRLRTHPECFKKAIIVMIAKPGKDPNHPNSYRPITLLS HIGKLYEKIIANKITRAIRENPDMLPPTQFGGKSTTEALLYMINVIHDAWYSPHDKVV TILSLDMSGAFDNVLRDKVLEEMARVGLPAWIIETVASFLSDRDAKMRMPGIVSDEFE LNTGIPQGSPLSPILFLIFAAPLLNDLGSHLGMQKIFTNEGRECWVDLYAFAFVDDIY FIAVSNSYQLNCEGITRLHTELIDIAQRLRATFGAPKYHTMHMHSPFGKKRHNNEIPE IPGVTELPQPVLTILGVEVDCQLTWKAHIDKIIEKCKYRKQQMMRISGATWGPKLHSM RLYYLTVIRPVITYGCGAWLVKPLQSEDLPKGVLTAKQLESLQSLQGDILRHVSGCHG KTARIFIEKDLFIQDILVVLYTQACLQRVKSMSGTDLRWRLALNSKYNPTKHTSPTHI LNLECNDLILEACKSYAKDAALRCKVGNSGPYDQAVERITNRATRVDIVSNYLKRQAT KECEELWNAYVDDRIQSLEARGGNRKTLPPAIIDEPWSKRSLGYYDGLTRAQSSMLLQ CRSEFIGLISHLYTIKLSESPACPCGGGRQTPFHCFIQCRNLDNARELLQEKLGYTNY KDLLTVDGKIAAQWAIAYFDIEQYDPVRAKSTCFPVDPNFFSERANSNNLLQVSTLAQ QRAYLDSSTSDPTEKFY FPSE_09358 IYYFGYMAFLMSSIARRSGRKQEALERVAELEDAIRDDIPRRKD PELLWAILNLWEQLAN FPSE_07989 MSSETTSTVPKTSFLGLPSELREQIYRHYFKAAGGYVYDAATET LRQTDGTPVDIALRYACRSIAYETRDYPFQLNSIKFSTVHRDDWQETAAIHTYVVLYH HYLQMGLLTHLRDLVTPDMYECSNHSHREYMPAIKREIDRVIAYILEMPRTNVFSGCE MYRTDGDPPGDDYWAGSLPTMNRKFKDKTIVFNDTVAYLLRILAKRHPDQFAQAVDVM LPGWPDSHPASEVLDLKFEPWAIPSHSEAISMAKTLRLEGPVDCYTEWRVNADAGLDD PMFTGTRYQYRQMGFFSATAVAIRFLKRIPEYQRLNMRKLILDEDRPAVGLAMCHGIG LIPFCKENTKLHIEHCWNLWTTLLLRTEQRWISSLTMNFELSPEKYQEWIDDDSDFGP WTLHEASKQRFSNIFHAWCMNILDVVNSGMPLQSYTVMIEGDPDLNLSTLAFHDVMAR NIAWLTLNTDCVARGLFAPPDHHDYPLMTRPSIEGSDSSDTRSSLIQCNFTLDQPWDL EKIVEEYSVQRQPYRPYRPWDNILDPGSDDFRVLTDTLDYKKLQLLWVDRKPRSEDDL AAKQGRQ FPSE_07990 MSLEAKSGKTIVAIDPATENTITEVQAAGEEDIDIAVKAARKAF ENPEWKDLDTAQRGKLLLKLSILAERDAEILATIDSWDNGKPYTAAMTEDAAEVISVF QYYGGWADKNHGQMINLGLDKWAYTRHEPVGVCGQIIPWKYPVMMAAWNLGLYLHVVT PLCSRLPLSVLYLAKLIKEAGFPAGVVNIINGYGAEAGSAITAHPGIDKIAFTGSTIT GKTIMKKASETLKSVTLETGGKSPLIVFDNADFEQAVNPQVSKAQYEKILSLIESGKS EGAGLLTGGAHSGDKGFYIQPTVFRDVKPNMKIVREEIFGPCVNLRKAHRVAAGVQAG TVWIISSQDTHWGVPFGGYKQSGIGRELGSYALSAYTQVKAVHATPQPYG FPSE_07991 MNTTHSRDRNDKSDGPLEPFDEVEEVLKTAPQARDYSGAYEKTD LREIALVKKLDWWIMPILWLMYWLNYLDRNAIALARLNTIEKDLKLTGNQYQTCVSIL FAGYVIVGIPANMVVTRVRPSIWMASCMTVWAIISGLTALSRNYTGLLLTRFFLGITE APYYPGALYILARFYTRKELATRISILYTGNILATAFAGLIALGIFEMDGMANLAGWQ WLFIIQGAVTLVVAIAGFFVLPDEPLTTHWLTPEEQKLAHERTQRDTVGNSGESTSWS GIISAAKDPKVWLFVVMQHCHLGANGFKNFFPTAVKTLGFNQTITLVLTCPPYLIAGV ISIAWSWSSGRFNERTWHITIAKAVAVFGFILGCATLNTGARYFAMCVFSIGTYAVNS IILGWVSATCSQTREKKACSLAIVNCIAVASFIWTPYMWPSSDEPRYTMAMSSSAALS VATAIGAWAMRFWLIKDNKKIRQSENESTLYYAY FPSE_07992 MKFSSLLFTASLVAAMPASIEPRQAQNSINKLIKNKGKLYYGTI TDPNLLQSQQNNAIIKADFGQVTPENSMKWDATEPQQGKFNFGGGDQVVNFASQNGLK VRGHALVWHLQLPQWVHNIKDKTQMKNAIENHIKNVAGHFKGKVYAWDVLNEIFDWDG SLRKDSPFTQVLGEEFVGIAFRAARAADPNAKLYINDYSIDDPNAAKLKAGMVAHVKK WVSQGIPIDGIGSQTHLDPGAANGVQAALQQMASTGVKEVAITELDIRSAPAADYATV TKACLNVPKCVGITVWGVSDKDSWRKEKDSLLFNAQYQAKPAYTAVVNALR FPSE_07993 MKTTSYVSALLGLASAVSAHYTFDKLTLSAKQEGTDNQYIRKHQ NGYMPTKFKGIPDGSISPNDKDFTCNKGSTASADVFKVKAGDEIGLKQAFGGTGMQHP GPIQVYAAKVDDATKADPSNLDWYKIHQALVCKAGTPEQLRGPSWCSWDEDSVHFKIP STLPDGQYLVRGEHIALHGAHGGEAEFYYACAQVEVSGNSASSIPGTSVKIPGVYKQD DPAVNFSLWGSSTAYDVIPGPDVIPGGTIRGTADGASGDVEKVVEGGASSSAPAPAAE SQDQNQNQNQNQNQQPPQNNNDSGCNSTPYVRRHARDVVPRI FPSE_07994 MLFLSIVLLYLTAMKVGLADTSIPACKPPPSSCAFLPAFSTEIC SDIIASQSKTLSTCFVPAETDVTTVTSPALIKTTTVITATTTTETSTSTISKTQTKHL AVIGALYTTTVISATQTTIVFTTVPKVQTKSQAVTTTITIPATKTAKTTSTWAQQSCD VPVDRKLRFKRDPVIERGALPLNCYCFLTTSSTSATTASRTVTTRPLTTTTITKLTGG KVPTATKTLLVKETTTTQTTIAAETSITTTITKTRRSKVTETWQKTKFSTTTTTSEYT KTATTRTIPVSTVQVNPCGPTSYGRIEIDLPESQELMISNEVALSGSTNIDRDCCNAC YNTLGCVYWAKSMTDGSCLNFKAIASAGCSTPQCPNGFPQFSVSGQDDQYSYFAGPCG ISKFTTIAKLETIDEMQHKQSPVVRVARLGTEYTHSPAIELPLSLDRQCPSAFFFTKR ARGNPSHVSETTRLASGYWHCSYSYFFIS FPSE_07995 MAPSTMFKNRSFTFSPFSASPNDRNSRYPRNVSNEIRARKTPLI AVIGVGFVGAGLIDSFSSRYNVLGFDVDKDRIHDLRKEYRSRPNVTLTSTESDLGKAT HFLISVPTLLRLDKSINLSYLENALQKVEQHAKRGSTVVIESSVAVGHTRELLGPIAM ARGLFAGMSPERIDPGRTDPPMQSIPKIVSGLDDLIPGSLDAIQRVYSHVFDVVIPVS SPEVAEMTKLYENCQRMIGIAYANEMADACQSLGIDPFEVCRASATKPFGYLPFNPSA GIGGHCIPVNPHYLFATCDFPLLKMATEAMDKRPAQVADDILRTFSGEAKNRDSGAEL DRKVLVVGVGFKAGQRHLVNSPGLRLARELKRSGLAVSFADKLVQQMDVPDIGRLDDD DWVEGKLGEFDLIVVCHKQWGMDFAVLSRLEGVEVRMWCE FPSE_07996 MHFQNLLCILIAHQVAVATTTSAKPATPSSAKGKVPKIDKCASA ALTTEFSAGFKQPHPPVIQAEFTTSFVQHKWNQKMSSISTGFIHNLPSKGLILADQVV DGMLTSSVFDYNNVTYDDNVDHTMTVFQNASKSPVVWRGYDAVSFPILTTQMLVNGDA VFIGQVTRDFSGRGVGWMIMDRGKNPLTVYLNPCNVAIGYDYFLRDEKTRVVTEFFNT NITAVAQPKLESIIHT FPSE_07997 MAAQCRSGSKLAFSFHNFHPFCTSPFYTRSPTGGSATQYLRSSR GMVQMAIAHIESHHDIPHISGMQEIMAHPEQRKTLPQDAQPISIIRIPDAQEPDSEQI SRVVKPGSDTETLQQPLEDKIGDRGLFVEDSGYPEVEDPVDPARILTRNDLIKQRTLF LGIILILNILLAIASILGNESKVAVAMVYFIKSKDFLSSIISPIGLVISNFYHVLRPV QKPTRQWILSLIPAYSESEEQIVKTIFSLRDNGTAPHRQVMVVILDGQPRNIRGNMTR VITQFERSYISFKWKRGTLRIIAGFMEDVPVIVLEKLKNSGKKDSLILCHDLFNYIRA NAPIYTRLLRDELWMHVLPPLINDNFQGFDMIFCTDADSTIHKGALAMLANALANNQN AIAACGLVLVELEPGYEWSVWNLYQQFQYTFGQYVRRRAEHYIGKVTCLPGCITMISV REEMGGAIQKYAEPITGPFVLSHQVQYLRMDIGN FPSE_07998 MGNFEIKSIAVVGAGAAGAITAATLKAENYFDRIRVFERRETPG GTWIYDADPSVATIQPGALPTEIDKPLVIPEDLPTTTTPNQQERYAHTPIYQNLTTNV PQIAMSFSDMSFSYGPFVPHYVPRQYIESYFSVHKTDGYLSLNTTVEDISQLPLTSRD GLNLWRLTLRKYDPLRRVDIWWQENFDAVILANGHYAVPWVPHVQGLEAYMERFPGRV IHSKFYRSPWIYAGKKVLVIGNSASGHDISVDLLQAVQLPLYQSRRSRGRLDGDEPPA GVEWKKVIKEYRLDGTIVFEDDSELTDIDHVLYCTGYLPSYPFWNTQANGRPLFDYKK KKLINNYWHTFFQDIPNLAVVGMPRVLTFRSFEYQAIAIARLFSGRSAIPLPSKEEQQ KWERDRESRCRQEGRRFHEIEWENGETFSWLDGFFQIAGLGTLHGDGRIPPVLGKDLI WAIEHVRKYPVPGNGKQKESGGGLEYKENEWTLVHRPKKDPLLFI FPSE_07999 MHAITLATVLAGVLNVEASPLQHAKRVLMGRLDDGAHEIEHKFQ PLTDFDTDGCYYTSAIDKDGNTNRGLSQKDVYFKGLAADCRDPNRLENNNVYSRRRCN NGWCAIMYEYYFEKDQIVWGTIGGGIGNGHTHDWENIVVFVQGEDVKRVAPSCHGDYE HATNEPRLDGQRAKVVYHKDGAETHCWRMANEADDQVENYTGQWFIGNLVGWDNWPVV NGRSLRDYLFDAWNGGVGPKFWDNENKFTDNLRKAAGDGVPGFDPAVDE FPSE_08000 MSPSNPIIPGFSPDPSIVKVGEWYFLVNSTFHMFPGIPVYASKD LLSWKQIGNVISRTDQISLAKSPTEVNPLPGIGEVMLATGGLFAPTIRYRDGTFYVVC TNVVRTLGKADVLENFISSTKDIWSGQWSDLVRFEFDGIDPSILFDDDGKTYIQGSKS PGPMTKIAQIEVDLETGRALSEEKILWEGTGGVYPEGPHIYKRNGWYCLMISEGGTHE DHMITMARSRNIWGPYEPCPGNPILVPASSDMYIRHTGHCDAFEDENGQWWGVCLGVR RDREGRYNMGRESHLTTAKWTDDDWLRLDPVQGQVDTSRLAKTDAAQNLTAVEGVDYF YIRDVDLDNYKINESNIRLTASAIDLSHTHQSPTFVGKRQRVLQGQSTATLNIDPSSK VEAGIASYKDEHRYVRISYSPSDRAIKYEAINNAKQIKKTSTHSISQDTNSLRFRIEY TEKEYRLSYAPDDKDWHSIATLDLTDMTGPDFVGPVIGVFALGDGEKVEITDFNVE FPSE_08001 MGLFRPPKRTQTVLPDKPTKGHFFFAGKEFQRLKWWKRRNMRTL YFYIVILILTNTANGFDGSMMNGLQTLSYWQEYFNHPRGSVLGLFNASMSLGSLIGLF CVPYMIDRLGRKVGVIIGCLVMLLAVGLQSGARNFGMFVAARLLLGFGDCIVLGSAPL LIAEIAHPQDRAILVTLSGASYHSGAFISSWVTYGTLQIKSDWSWRLPSLLQSICSVV ILVGIFWMPESPRWLLSKDRHDEALKVLTHYHGEGDVDDEFVQLEFSEIKAAIALDKE IGQTGWADFLKTKGNRKRIGLITALGFFSQWSGNGLISYYLKGIMDAVGITKAETQLG INAGMKTQGLIINTTFAFFIDYFGRRPIYLTSTIGTCVIFNFWTIVSARYEIDPNKAL GYAFVALTFIYGLFYDVKSGLMANYTTEILPYGLRAKGFTWLNFCVTAALFFNQYING IALDAMGWKYYCVYCVFLGFEVYIIYFFLIETRYTPMEEIAQYFDGDDAVDVGEVARA DMKDRGMLVEEEKGPHATTIERLESQK FPSE_08002 MFASLPMYLVTLLAATSTVANAAAVSPVFTGLGTRYGDADGCTE ENCWQNGACSFVGYDLPPGIDGSTCVSDEIWNKGANCGGCIQVTYKSKTIKVMVTNRT GGDKNHLDMTPATWNKLTNNMKGGGVDGIKWKWIECPLRSAPLQVHMHGGASKYWFAA TIENMTHRVKAVQVSSDSGKTWKSCYLKDPNMWMLDGTLPSDSAWVRVTSVNNKQVIV KNVALKSGVVTKATANF FPSE_08003 MEHNSPVITLPLEQRRPKLRQMVALIFLILVLDFVAAKNPRDSF CRRFAHQTTVIDDKLYIDGGWVNFDDFQQTHKNYSNTWLGYHDLNHLVERSGELWPDL NITLSKNDSIPTVHGGVLWGDEINKRFYVYGGEWNEGFAQEPYSLLSYDIIYDKWDDF GSPKIAPPPKVASYGAGVGVSETGMGYYLGGWVSNASMSGWSNGRTMTSNFYTYSYDS GDFQQAASPDKQPRAEGGMVWIPAGDSLGMLIYLGGIVDPYENGTEAPQPFDEVFVFD TVGNSWSTQKTTGIIPQNRRQFCIDVAWAPDKSTFNIYLWGGLSVQPPVVNTTSFNDI YILTLPSFTWVKAYPDHKGNATLPPEYGHYSASCNMVKHMSQLFVIGGTYTDTDACDL AVNAWAMHNFWTGTLHNEGDNETYWALYDPDITKNVVPADVYNVTGGNKDGGAKAVSP KGGFDTGNKPLQDLFGRRPEIAERTPTRAIPSATGPPKATPSPSHHSTSKLSVGAIVG IAVGGAAGLALVLLVWFYIGKRVVRRREARRQSAMTQVHYGSYGGSVAGPPSAVSPQT WPGSWTMGSEPVSPQHATPHQAFSVSQVPPTELPTEHPGMHDVSELPQYPGASKSPFS STERPHG FPSE_08004 MNNTSGGSAGLLRDILQIVNNEPKIAIGAVVFSFVVIFIVNSFR AWYRLSHVPGPFLGSFSRFWMLRGSIRTHLPKEMQATIDKYGSLVRVGPNELITDDVK LIKKIHSGRSAYTRGPWFESVRFEPGKDNLFSMRDEEGHKKLRNKMAAGYSGKENPSL EGSVDSIIASFIALLETKYLSTDDVYRPVDFAEKSQFFTLDVISDLAFGQPFGYLTKD EDVFDFLKITRAFFPFTVTMANVPWMISLLHSRLFSGLLPKDTDKIGFGAFIGVAKEK VAERYAPGAAPHADMLGSFIKNGLSQEQTSRESLLNVVAGSETTATTIRMIMLCILTN PLAYRRLQQEIDDAAQAGTISSPITDAEARRLPFLQATIQEGLRIKVPAAGPLYKQVP DEGDTINGIFIPGGTQIGISPFSVYLSKKVFGPDASVFRPERWLSAEPERLEVMAESV GLVFSSGKWQCLGKPVAIMELNKIFVELLRRFDFSIVRPEKPLDIFNAGVWIIENFNV RVTRRET FPSE_08005 MTTLTLQSKLRALKVLEGASHHKTDFNSFPNTPQQAFEAWLDEA IECGVSEPHAVTLSTIDQDGRPDARILILKNVDDRGWHFATKVDSPKGRQISMNNNVA LTFYWPGMGRQIRLRGKAVSLSRSECDADFSARSTMAKVTATVSKQSEPLLDPFDLET SLKDGLLKQQNKTEELSSEGWVVYAVSPDTIEFWQASSDRLHQRLKYSWDAVESGWCK SALWP FPSE_08006 MTGTRLNYSPLNPVTFSTAVVLSLGNVFALGRAFFLPTIITALR RLIPQHHHAAFPTFQLFNIELDSLPKPELAVKAFKASQTDKPGDRALLIYTLQVVLQQ HLPKSLALKNRIDSK FPSE_08007 MATDTSLSLAGKVAIVTGSGKENGIGAAIAFTLAAHGARVVINY VSEATAVRAATVATKIEEVAGKGSVLTIRADISKDVDVKSLIEGTLKGFGVEQIDILV NNAAWASHEPVLSMLAKNIAKTFDICVFGPLALIQAVVPHMRNGGRIINTGSIASKLG IEPIYGAAKAAMDALTFSLATSLGRDGKNITINTIMPGPVLTDSLPPSVPEVEALKAS LLAQTRVEGRFGTPKDIADAALLLASEKSRWITGQVISVSGGITGG FPSE_08008 MGSRQVQPEKNFVIPLMDPDGGNGNPKWRFSIEEDLTFINLSHP DDNRRRVVQQRIRSHVMTKAGDRRRKKPRRVVIPLEVVDEEEAASRGLRRVVEPRRVL QASSVFAPSLLPSSFQLPAVGSNKRERELIGFMMAEADRDYRPFRATWFHFALSDTTA FSLSLATAALYWNNAERLSRAFESDSESIKYYFKSVKELSRRLDSETDCLSGGVIATT VGLICYDTYIGNWSRYTMHMDGLEMISTLRLGFDGLGSNLSLMAFWLDLVGAAVLNSR PRFPVPGSMLQFRANDSDLSYSLQILLLRLEETYSDLLSLFDGLRLLGSLVATVNRRG DIIGFWRDEVEIVTMLGTVSHHLLSMPSLLEVSNRRSDDGPVIVQEMVRLAGLMILSK LKRLASHNYSDMPRLQQRFLALLDLPCLRISWELQQLRAWAFVIGVSLAEAETKDAIA ANLHDLLPDMGFPNFEAMVGETKQLMWLNLVPDIGAGGFRLYRPNSRATGDSERYCDG NIAASFDGNPALQIKET FPSE_08009 MQLAINALLCLVAFSVLSNIIQFRRTVNQLVRKLRIVTRSFRHE TIPSNSNEAALQSALLPALHGELLTRDDVEGQFDDNSWQGLAYHDFRSTILAKGRAMK TFPCVYATMGYRSGDHRFVFLESDDPSEPRNVRKVATALAEYLRISTSLGPNTSLVII GAPSEKERTVEEYNRTFWDMLRGLRICDPKAWPKDIPEDTEDAKWTFCFSGQPVFPVM MTPAHQERWSRHMSVPLVALQPKWVLDNLLQTPEKRKSAQSKVRGLLQKYDTIGISPD LTDYGATGTSEFTRNEI FPSE_08010 MEETRQLPGGIIELAPRTGVSFLINKGQRLIVTDPKGGQVSDLV AFNRHDKDEVISNGRTFDYADTIYLTTGHPLYSNRSNVMLRIVDDTCGRHDFLLTPCS KDTFRIIYGHKEPHHGCFGNLSLALGKHDIAPDRIPCAFNCFMNVPVDGKTGKFTVDP PVSKAGDHVDFIAEMDLIVAITACSAGKSNGGSFKPIQYQIV FPSE_08011 MASSGPLEKAAKGKGVHVDSDNRICWDEDAEQHPRNWKSGTKTY TAALICWLEMYMTAISSSGTATANSARDEYGMSRTLAYFAFVSIYLMGQTIAGIFCSP ISEVFGRRTIYILAATIFCISSAIVAAVPSVIAVYFGRFLQGVAAAIPATVAFGNFDD MYNAEHRIWVVYIYTILGMLGLVLGPIYSTYITSSIGWRWVYYISTIVMGATAVACIF TRESNAEQLLDKIVKQIREETGIQDIKPDHAEGGKLTASNFVQNTLFRPLKFLVTDPL VFFCAMLCAIAFGLIYGLTESLTIVYTAPPFNFSEDNSSLAFIAIAIGEILNILPRFY DAYLYKKYRKTNRRILPETKITSFAISAPALAVALWLFAWTIPPRVTNVPWPVSMIGL VIIGFSANDFSYVLFGYATDSYGKHAASAVSAISTTRTLAAAVFPLFTHQMYTGLGSN VATSILAAVASLFAFTPFLFIGYGQRLRHRSKFAADNDEALEQENSHMKEKD FPSE_08012 MDNITEKIARVTDLSTYTDITPEYLKKMFDEIGGSPEKLRTVLN LWFIPDFKPIFIEPQNNVSSGLHDLSFVDCPEVDQAPWPLRLIDLETGNMVDVWNTSP LDAYCMLSHRWKGDEITLAHIKRARKMNLERIRNGCRDAADSDISIVLEQSKLDILEQ ETTILSLLGDNPGGQTISDLLSMVINAGDAKAQFNSARQNLDRKKSTVERCRMEKDMF DHLAKRIQQNIGDGVEEVNESSLAWSPVIEEAEHEYADAQHKFDAAFKNHSKTNNETV YLRGDRRLSDATEEMIHLIQLWKSAVKLDKSINEAREIFGTKLYPKRGASYIWSDTCC IDKFNYGELSQSLSLMGDWYANAEFCIVHLDTDSRVDDAIRDWKLFKGEVDVEQRGIA SFRAIQDNSPEWASRAWTLQELVMSKMAFFTNCEWKSLSRPVESLGYVYPLIPFIDIY TAGDIANTFSECSTAEVRANLKKAIVDSDALESLLDKSPVIVADDCQDQNASANVEGA LRLVFILQALGFVFPTEMTTETAIPEMSRSVYLAAWNLVKNGHDESNTRGREVLRELK VHIDPDIQSLALTEEVEAQQILNLLLFWLVEATKDLVVSDRKSIAKFSQVQQLESWEQ GIARSGFSAAQVLQLSCQRKATVSVDHVYSLMGILGVRFQSFHAEGYAKALSRLLDEV VVSHNDVSVFNWSGVGMGSPVRGRSMYPAFHEAYGNEKDHAQRYNMMISSDVQTKRKE VMTAYNGVINLLRDTIDFIKSKGREGLPLEWIQEICAFIRNSTFEVLRPELNNVGKIL HYIQVYCTRSATVQGTLEMEGSIKPSPPLASDQKGTFGRSFMKPSLPTMKLEPSLKTP KLPSFGFGGISKPSFTRHHSEPVEKDVRQDIASPETQKPTTAEGPEWLSMDTQVKGYL KSLSSPVRDTGTQCCLPNQIQELDFKAIEPEGVIESQGTRANGLHVDDDLSCPNPIII NSSGIEGIFDIQRIVVTMIDREKLSRQVARATSPKQKVSGWCIISTGFASVVVNFACH QHILKKQLDIEEAVQDKVIKEDRASKLHSNLEINVAAKSKAKNGSSTQDDRPIETPKE NEKDVATSWKATEEEQAIVRIIDFIQEPKLQLVAGEWVLARFSGAQGAKWFLCHLELG STHSFYGHRIAASEIDFTNSAIEPGLMNAWKTYMSRKKRKMCNVLDKYLSSLASATKG QERLNKSSKIANENYARLWDVGNQGLDRVMSMGSVSTTPSSKLPLHENGTKDTHVEEG LDSEDEKENGTGLFEDLFDQGKEAATALGEYTVLAAYERICEMQAKHLDKHLSTSVLK RTPKSLQTAVESVDENKGFLPAMFHSSRRVHMF FPSE_08013 MSSTAVPDAGSGGGGGDDNTELIIAVVALVISVLAFVIAILQAL QQYLATATGFSSCGEAVIGKWSQFARRQMIWSEFRFEVQFETPVIFVARPSNTRGPLG DDALAKDESRKIIRLDGSNANFKYTNTVKEYDDNYKKSTQQVVHTADNEKATWCALLM AIVRMEKESREWQGKKSVEWRKATGPRPPLDSPVPLQEPGAHHSLLVCMQRKRRTWDS MPKDFAKPYATTTISHIVEIAAMLGIHWRQFDLNNDQYRAQGNGFVLYGSYTDGLGIC FNFQKQGPTWFEKNRIIPSYHVKQLCFGMASTIFSKERKVYADEAKGVESLQLGSLAE IAKTLVVFGCDINTVNYFRKNTDQARHSHLFAVPFEMLGMVGEMLHVKDTVFRMLPNP TVFYWDPSTFSLPTLLAEYIGSLRRLYGQSLTKTDQINRILEWAEKDKKFPLLRSEGS TLDVFGEDINGATLVNGLNHLRTGIELCDEYFGKMKKQSLSMMKKVVRVHIQEIMSIL HEKNEPRDKVNNEAVDGASSDEPITIHDIDSASVDEREGLLMQMYFDRVRSGVVRTVG QHGLLSKHMRVRKDSFASDNTSNDGNSKHTKTYDQSEISEVWCTLVFRMLCWLQLHDF HKMDLQITKSDAYGSRIPVYII FPSE_08014 MRLSSFLLAACASSAFGQFNQNFKRFTNTSIPTTTTSSSVPTSI DTSATTTGSSTPSTAPISLGTAQLGAGASYTTALDGSAAVSLFIRNGVATFRLVPFLA SFANLRIFVVFSILVRPPPAGLKRQVLEGCSLEVQLDGQTIYNEVMSDTGGSEVGQRS NPVRLNAEGRPDLQYVLTCGPDAVEVVVSHLSFEQASDDAETTPIAPNPTGTETPGGT NTNSQGFTTNSDGETVFPTGTDSAGFTTNSNGETVFPTGTDSAGFTTNSDGETIFPPS TNSAGFTTNSNGETIFPTNTNSAGFTTNSNGETIFPTGTVTGTEDQSSAPTATSPAGF PDFIDAFTLFGCVGSNDGFPTFELAESDTSMDLDQCSTVCQGRAYFGVYDTDCYCGDE IDGEETARVGLDSCDIECPGDVSQFCGGDSPRRRMHRRQTIPSDRLLTVYVSAGGQTN VVTNEVTRTVADQSTFVTTFATTVVGPVTTATEWVVYIFVEANCGTCNGQWVYIPEAC DCQGGFQYVPYLCFGGSCSGKTVYKSQECRDWWNHKDFYVPADCEECSGGEIIYKPWE NSYGTPENCKSEEMPACEGLKCPPVYPTKNKDQNGGGSSDHSGSSHPGMHHGGSGSGS NGSSTGGSNGGSNGGPNGGSRYDDQSKGLNDKGSNGDIPGCTGDDCTEPYGGESNSKP NGPYESSGGNSYAEPAPGSKPTGSAEGSDSYSKPALSGKPTDVPVIISSSSKKSTSIF VFLAAFLFTLF FPSE_08015 MDIINSARATDVTKTVHTEPYDAISPENPKLSQAGKTILITGGG TTIGNAVGRSFVRASAETVVIVGRRPEVLQEGARRLEQEAKTAGTHTKIIHRQLDIGD FSAVDAFWTQLASEGISVDVFVSNAAAFPELKPLLEIGTKVTWSQLNTNVHAPMYLLE KFHAQNTGKQRFVVNVSSTIMHSYMQPATTKHAAYAFQKSCGTMLFQMVAKDTPVDEM QIASMHPGLLWQEEWVKMGFKDDIGFDNIALPGNFAVWLATPNAAFLHGRFAWASWDV NELSEGPLRKRIDEDPYYLKMTVHGA FPSE_08016 MTHAQLSSWFTSIHTWDTVYSERLKTDAFSSFDLDGYVKKVRGW LAKWVQTGSNPFIHRQLYSLRFPRSIQDAYMCLSCYLNKTPANEQLVMRLVEERSEGL LNEHGFDTTGPLVLRGQGNGLELMDHIARVQALFIYQFIGLFEDKVSSHPVAQSRNDV LLAWTKEMVSAAATTVPSGVRDIFASSEPGTYYGKELIQLLWHSWIVSETVRRTWNVM ATMLGLFGFVKYGRTAPCPGGMMFTTQIGVWEAKSATEWLEICSSRSVGLMQVAEACE LLYSAECKQINEFTITTLELTYGQERVQEYIK FPSE_08017 MYGRRTAVLGIMTLVNAMATTVQNLSFGADNFYRSESYRTNVAG NLFYPRNLSRSVETPAIVVGHPMGAVKEQSANLYATKLAEQGFITISIDLPHWGGSDG EPRNAVSAEYYAEAFRAAVDYLGLQEFVDQRKELINAAAAQRWTESEGAEPGLIGGTV DRREDATDAIQQEFYDFYRTTRGEITPLGALLDTTTHPTRSSQVKFLSFYPFNDIDTI APRPLLFVSGDQAHSREFSEEAYRLAAEPKELIWVPGAGHVDLYDRAELIPFDRLTRF FKQSL FPSE_06111 MRPYQMNPLALASVLPLFTATAEASSTLFWGGTIIGFNNDTSSL EVIRNGSVLVEDDHIVAVNEEPGSKDDAGDGVDVIDVSGQILTPGFIDTHRHGWQTGL KTLGSNTTLAEYFMRYGAPASGQDFRAEDVYIGQLAGIYEALNAGVTTMVDHAHHTWS NETSWAGLNASVESSGRIFWCYAFESPTGTEGSRTIEEQIANFREIYESENWKDSPSM IGIAYDAWGPQPDVEERSKILDLAQEIEVPVITTHSLGGPWGFANLPSDLAGLDVLEG DIPIIFSHASFLTANDRDLLRQNNHYVSITPESEMHYGQTHPHSYLIQDQAALGVDTH FTFSTDILTQARIWLQSTRYRLFNQVLETWRVPRSTPETVNQAFLLATRSGGLALRRH DLGVINEGAKADLVVWNARESPALLGWNDPVAAVMLHASVGDIHDVMVDGKFVKRDGK LAVEGYEEVRERFLKSAKRLQDVWAEKEYPVLEGKWQGSDYADTLKADVVAGEGDGYG ELFVE FPSE_06110 MMVESSICVTLLRIAVIRLHRIIIWCTLAFVLLSTTTVIIGLFV ICSPISTAWGHEGICAPTIVIASLGYLVSTGAVVTDWICAVLPVFMLYQVNMKRASKF SVAIILGVAAFIIPKHPAVSDWEQLDGGGFSGKIHVKIDMEMQSLDRSTTSRASNRSS EGLVP FPSE_06109 MTSNIRFNAMVDTITRDGKATGPVLGMVPRSRRGYVPVTSCDEL SFVLSGVLMRIIASKGLGSQQLIQDQSFLCLEMDQCWIDYRESNRHQHIS FPSE_06108 MLLNILLLTLVWMSRPILVCGNLSPFFTRYLLRSSDYNAGDSIR RAFSTSGSENRDTKKENSTSLDKSWENAILFAYTAEQDLDPKQGNTSISAGIEITCTA CYIKGTATTRFISDKDFNISRALDDLTDQVENEIYNLRTEVANYTKEYIDQVTDNLED GFDMDDFNLPTMDFDLNIDLPEIPEFRIEFQFDGLEVYMLVDTVLSAGATYTLNLYTS TTPAGFAVRHNLEVGVIFTVDLIVSVEGEIDISTGFHLKLDDGVTIELGIFSKEISNM VINGGEFEFLPVTVESAGVVFKAVLRLGIQAGFEISSSDVSIAGKDIFRIGAGIETGV YANIAELITNVTLSTEEDDQCGLRVEEAYQLAVGAAAGASVAIEDITWGPAVETEVPI FYTTLAQACAVQRSSGAISAYQATLTSAALAIRGEDEYEAEMETTTISEEATFIAVAF PTDSEAFFPDSVRFTSVRPIPFGDSAKKLFTTSGIPESYVPQPPTHSATTSATDDDGD GNENTSSNAKSGRLSNSVIIGLSVGLGVPFLLGGIAFIFVWRRKMRLSKEILSTSIQT VEHTPPSSKTAIASVVELRSL FPSE_06107 MTSFNHYSFGSVANWMHQVIGGIAPLEPGYKAISIAPIPGVSTN WRLTDAGFHLKVRIPPNTKAEINLPGTDKKEIVGSGLYEFHQLT FPSE_06106 MALTISRVSFEHYRTALGIAETEPRISWRFDGDVTNWEQSSYDI EVTRSVNDEPKSFSFNSSDSLYLPWPDTPLDEAELAHLRVRAHGLATSDQPSTPWSDW VSVETSLSKKGWENVKPIASTIKVNVSEPKRPVYFRKDFAVPDSFESARLYITALGIY EAEINGKRVGDHVLAPGWQSYHHRHVYDTYDVTDLITPGANAIGALVGEGWYVGRLGF GGGQRNIYGNSTGLLAQLHIKSKNGTVSKVYTDTTWKSGFGPVVNGEIYDGEYYDGNL ESDVEGWSEPGFDDASWHDTRILPAVHEVLVPPDQPPTRRIQEVKPKEFFALPSGKQL VDFS FPSE_06105 MCIKYYINKFIYNKLYIIKRLSLYKIKELKIKYLKKFIEFLIIR HLKKHFIITFRSIAFKGLAIFNLTLKNKLIKGPYKSLGAYLLLARNYLISTIRLGLNR LILKTFKGRLKGLIRSFIIAESVLRLKD FPSE_09870 YTNREIIIPKGIKTSFRYISFSALKYYKIESKKLIKDS FPSE_11081 MTSLNLRGGGVFSPELGSVIGGGAAEASTAMLSRDGLFARRELL VPASLLGGLKAVLSLSTSALAFEAALAADTLWSVRVMYGPQLRYIPHTVQYSETASK FPSE_11080 MQRTTELMKCREELDKRPDTNLEDLWKQTKINFKEKTGHDLDNG LPKDELQQKSKEVDKMQVSKVKNAFERAKYIFAKVFKVIWNFWEFFQPAVKEIPIAGA GVEFLAKAIDLLIRATQNYQEIFVKAAQLFEHVGFFSMRFEMLMEAESEGARLHPKYV SIFFAVSTIVLPLSIPRTQFLHSIMAHMVDCVALYIKLNFKPKVDHKSKRQQIGKQIK DKAQDFGQFLNTMATGDDAGVGEQMVKLQGLIEQEGRLSTALILASVLKIHKDVGAVR RSVSTVMDKLSLVHDSIIEYSTSLTSQHVEKLKASLYITHEPWNDAFRACAERCVAGT GEWLLLDDKFHAWATTQNGAPHIFAIEAGSNFGKTYLTTIVIADLHKRPLEELKTHPV IAFYYFNNASKDLTAAAVIRAIAFQLCAHNTRFFEFFRNLHKTSSDASSSWGINLWTS LIVEVSSQLTDSSVFIILDGLEMLAKEQIQILSDVLASPNKANQGLRILLTGNTHCLE PVSQLVGHSLVKITLDNAYPHRGDVSLVAEAELARCDFFLDELDDPEVRECMARTRNS LVQAVSGDYYLLQSQIREIRHLWSTDEIDRVLNRAVKGRNATIERHLSNLAVRVSEKE LFRLKDGLHLLAVLNILDAPMPHIVVLAQYLASGRDSATSRTKVRTAYSGLVSIDTEG YLSLATDEITSFLMPDMKSSQGSQAIASQTQTVNIKQAFYATFNPIFLEENGIDDEFF DSRSRLNHLAGFKVERNVAMAKVAIHFIDCLSKISTTQRKQTSHENHPLHSLSTFARE ILPKLLQHIDTSQLVDPDRIDLGYQVTRLFFEDTMFDVFWPLETLSQYVTTWGENEQY FDAVYRIVKHPEIIKHLEGEPRGKSWATELQQMSKASDLQVALSKMVARRWLLSKSFW TQDDIRNFFLWFSTVQELGLIKKDVVLEPYDRSITTGWFTPPNWEKIKAWVLRNVEID YHCASFDIQRAAVLLAFGGKSKEPAEILEPYRGIDWRANAWLAEAMSWHDNFDAAYDA VEQCLTLLPKFDVDQATITKVNDCFLDWIYHWDSLSRDMQVREQMIKLNEHEPGILSS YMWARTLEIAFYVKGRDAGLDFFQAQYQSKKPTICETFIRQASYHYLHISLSRTLSDD PERLRYLCGIYKDAIAFCDEKPMLSSHDMHRARVRLNYWLGRLFFISKGKPNFNEVIA RWEGLLKDFCLNPSTRDIDILIPIIKHLCSAYILALRGEYTDIEPNQIISRIKNLRNV TCSLQEPIGLKLYFRVSLCLARIYVVRGETEEARKILQEHADSAFAMLSQRNNEPLFG IGWLYLASILTVLDQNDVREKWAWSKFRLLQQSQQENRKYYAEASERGDNPSLDNAVK QRIDAIKTSEEYNYFDSLERDPIDSTWADEFYLPWFATIACDGQDCEATSKKTSGQPA PINSEPLQRVDGITFNSISPPTPGLIHEWTTFRVCRDCMLSRMCKTCYCQLDEGFLPP MGCSKDHSALIVPAAYDDYDDDDDDDARDIESVEATPWEVSDKDMEIVAEESKNWNTN SYFG FPSE_11079 MSNATVTPSMRAVAWNVHFTIGEDEDPGAFAGIHQVPGSNLVTF RNVCDELRLCFECPYDISDNENEKTWTDIAFSVNQDNSPSSLDPGLSFVTEANMDQAV PSLAPLRPKEQNVLTYHIYYHKNCTLPSGSPLKSHMQAKCAKNLPNPSRRRDRRYLPP NKTPSDPKLTVMPLRKKLKARSVSPGKRSASGSTSPTKEVDDEFENVVAPASMEIDLD EARKVTNEFRSSCLNRATSCAVSGEGESWCPGPPIGPGIQACHIIPQHHYHVYPVAGG DADDDVPLEASNRRLKEAWQSTWSPRNGILLMKHLHDFFDARLFSIHPRTLRIRVFVP YNALTRFNGQRASVPNTIDRKALRHHYEMSCIENMAAERPMLDVISPTASRMTSGMVT PLTAKTDLPATPTSGDPANWRMGDPTKKSRPNYPDLNQQRDSSMPDDLLHTLNITELV DERGQKRKGLDDDETYSLDEWLEQYAADRFITSNNSEEFLNDVNWELYKFKKRR FPSE_11078 METSIPDTNMARGDYRDLLDIIDNLRLHGVSRYIDLPEIIVCGD QSAGKSSVLEAISGLSFPIKDGRCTRFATELVLRRGHRTDAEVSITPGKNRFGEDKER LETWRPNESLAHVGLGVITKEAESAMAIPGGTGEFYEDTLRIELTGPDQPHLTMVDLP GLFRGGNKEQSDTDIDIVHDMVESYMVRPRSIILAVVSAKYEYVLQEVTKMAKNADPN GLRTMGLITKPDTLDAGSPSEDYFVRLAKNVEEELCLGWHVLKNRDFKERDVTSAQRD EIERAFFSQGLWDSVNSLHCGVAALRVRLSIVLKDQILAQLPSLEQDVEEGIRDCAER LDCLGPVRETPLQQQSYLLRVSEEYTSLMRYAVDGTYTDQFFGSRNDKATFNRRLRAV IRRQLDVFSEEMRLSGHSQQIVDSESDDENDIGCRDVPEISRSDFIKEVANRLVYGKG RELPGLFNPLIVNDLFVEQCTPWKRIVMSLAGNILEAVETTTELIVGQTTASEVSEGV LKYIRKEINELETKMIAQINTLLELAAQHPITNNSQLTQNVQRIQQNRHKRNTIARIN SMFGQNRFDGSDKKISINPLELLKVFQEGFEPNMERFGSALAVAMERFIDDVSALAVE DCLISKLPGLFRSANVMNMGEEDLHLLAGETPESSMERERLKVKLEILEKGLQDIKGF HKRRAVIDPVQHHDIVWEVSKDDSVSRSKQASDISSSVKADSDIFSTEDLAEVREQTA RFRYLYSSSGIDM FPSE_11077 MDKERRLIQIRGSGLNHFVLYSALLRLIDPVRGDPTRLLDENPD SSLLSASQLKKKFLDSFALICATSDKGAETAAAVCLETNQQTGNILRVARNHGFCPNV LASLENVLQLLRDVARKGTCFLNSLLNFTDIATATEKISAHAETEMLQLVVKLDRDRI LSIAEKIEKRGIRDSLEKASSRLHSGRAKAEKLSGWVANHPFTAPPQNWSDATTAMFI DWAAQARWHHAAQLQPLLSNDDTGNQFWLKHLHKVARYHSAIKSMVKLAVKEPEIFAQ ISIKGSRAPKQLHFRYPYDENPLLTVRNIVGANSRPVMEQLENRLDTSNLEARLRRAC RLDLTLHAEMQLVVFYEGNPAHNPCMRFIGTSKKACFLCDKYMRLHPLRLQTSASHQK IYPSWMPPPYYGTTETSIRTPFMKLSKEIEELATRELKHGLTVPRRVWNHDSTAGPSL TATATVPTELRSVGAGRRKVTAVDSSSSEDSE FPSE_11076 MAEAVGLASGVLTLVTFAFKSSTVLFKTVRNFKAQDANARALKS ELSDLTGVLQSLLETVTNYPDIKFDSLELPLLRCGKTCEEYHKLITRCTKHSDGTRPS VRDWIGQQYLKGDIVDFKEMLAGTITAVTRNAIEEYKDMIDDTTSDLQKHMQRLDERV QSLTAPDTDTVESDSADWLALFEEKESTRKGLQICVELSEHIESLGSTTKESQQFSQQ PTADKYIRSSLSSAKSSISLLEARLKSHGSKIDERMDAMKVKQNLSEDDIIQLAQLQE TKESIRQCMNVVADAGEDLAKERVNVFEDISMSDNSYGITVSTVKDLVLARRVNLQGQ ARYVGGQIDQASYNATINALTDLDRGSVQYGGRDTRVSSEDGAFLDRHGRGVKLAWND ISTKPSGASS FPSE_11075 MCYKRTICLSCEDCGKAIKTSYDYGLCRIGRRANFWGMCRDAKM MHEALPGVCTECIMKKRERRKKKEEEEKKLKEVEEMNAE FPSE_11074 MSRDMRTEENEKGELENSTLLAPDTASGAVSPSLSTSSFVSVEI GDSEYFSCWDKFSYK FPSE_11073 MTGVTTACPPWSDAQHVGPGDDFSTLHKPAICEYKGEVFLCWAT PNAPPNSFGWTTWLASDSGWQSQWKQQGMDNGKQQAHTSALVVFKGILYALIPWKATS GSDSGCQIWSYDGKWFNQMGEWGSTYSKATTTTITGPSGFSPNTRINESSSSNPALVI VDDTLHLFFLVSGSGRSVLDTCMNGQEPLSWIRITGDDLDESGNSGISAVSNPTSDLL WICFKTHNGEDNLICHWSRKKPSWTKSVPLGSGGVFPTKNEAALVYSNGWVYAVWNRA QNRHLLWWSRRLVHHLDPSIWMSYLASPSTSIAQMSIPGTHNSATSSWNGLFGPQNGW ICCQDMSITQQLNAGIRYFDIRAGYANLQDRNNGYSEPLCVFHGKFYAGISIEDVLEY SYNWLDTHPTEGIIVQIKADGPTGTNPQNVSDDFNDLIQEKIQYWNLGETIPTMSQLR GKIQLVCRIPRPTNYNIVVDGLLQPSTQPLGINASRNWPSDTAESVEIDLFNTKSTPV KLWVEDNYSFDDNGSVALEKKKQKITDFIDLAFSSIGNSSAPTWYIGYSSYVTDWTLT SGLIPDSNLNYATEDLDGDSSMNEALEDYVKSKGGYGHPGLVGNLVMDYPNRDSGTLI NSIILNNDLNLKS FPSE_11072 MDDFTVAPYNGQQLVYATAYGQGGWKSMGFSPVSDLNNLGSASQ TLQTGTAVAPTLFYFRPKGIWILAHQWGPTKFSYRTSNNPTNVNGWSQPQPLFTGEIA NARFGPIDQTIIGDSRNMYLFFCGDNGRIYRASMPIGNFPGNFGSNSQIILQDTEDNL FEAVQVYTVQGQNKYLMIVEAKGRNGRFFRSFTANSLDGQWTVNAGTESNPFAGKANS GATWTNDISHGELIRTANDETFPIDPCNLKLLYQGRNPSINVDYNLLPYRPGLLTLRR FPSE_11250 MDVEPASPLLKRALSSPQLRLSTGVLGLAREASSDLTAVVASNS KSTDPEAASNSKEPLRDSPKLPTTQTTQTTPEIARLVSKSKAKSPGFDFEQHYGVSRK SAGEVLNEILQDLLNYKNIHNWDDKLVTTPFAALTATVRSYYNEKPGHLNSLLEDAVV DEVLTANGVSSRPSIKAEMANIDAHLFFTKNLPKRRPSTTPESEAVPVTPRTRSTPGS FQSKSPGLSHGRVVYIKEKTTALKRASFLVLHAFCIRLQNEDYGFIRAFIERHLSLRC VQKVPYHWQQISPPQMDASRQQCYKRVTISCHLSYLTLDSDSQDAADTKESIDREAVF PFGHKTKDHHDDLPNSHQSLFRASSSVLLTLAEPVESKSHEEETSLLRNPEALWTVIV LNSPSNLGYDHEPVQYLTPIAQYVRGMASSVVTQRNNAQGIYDAVKGRLADNEGDGMF DDKNFTKSMLYHWAVQACDELAESISSSLRFLRKTLETNVKKLCTDAHVYEKLGIEYW MQRMDEELFALEDLESQIIALRGRVQENRHALHGVTAVLEARLASQQSERMKILAYLA TIYLPLTSTASLYSMSVLPKSANFPSFFVVLAISLVLTILLGLQLPSLLAKWFYVTRT WPPRARQAIKRTNMSFQRPEWTRIPYIQGYVDILNPTIDLDPRLRNPPDHWSETVQDS LLFYITWFIFRRLPVLVLHHFLSNEVNFPVYQWFLYQHRHNPRMEIYYHWAFFIRDFV RAILLPAWVVVGGAFLCYLIIQDVLGVVCVPVLNVVLGPVLQLFTFCFVGVVLVSEFI WDNLLGILRFLSFRCWLRRG FPSE_07801 MRTIPPRKTNYTFEYHTHGQTMDIGYSTWVSHYPTNNTIEGWRP INELLVPSTDLTILAIAPNGVRHYQPNDDPVFGASYQRDGFFLPDKYISPIGCIDRHA ICNPNNGKYTHLMDKRGAIKNATDGQLGLNHAQFVAIQRLRLVLLESSSFRDAVWTRT QGFLQAQERVAGSAGLALPSNQWEIEMAALFDDTLVNLQYHVMEYVAGSSIPGNFETI KVWENMDTVNLWDNTSDTDKYPPPHLSHISQPAKQPTVAKQSPTSKQDTMSSTQHAWV VVEHGTPLQKIEIPIPEPTGTEILIRVTHCGVCHSDLHFWEGFYDLGGGKRMYAKDRG AKLPRALGHEILGTVAKLGPDADQAVPIGASRVVYPWVIRGVFSNGGFAQYVTVPHAR YLVDYGNANPSTDCTFGCSGLTVLSSIQKLMPLKPEEPVLLVGAGGLGLACISMPHAL GHKKIVTADISPEKLQAALEAGATAVVDSKAGEDPAKAAIQVAGGPFSGAIDFVCNKQ TAEFALASVGKGGKVVTVGIMGGETAISLVPFTFGSKSLLGIITGTPQHLRDVSKIVQ SGKLKAIPITEFPWDQANDALQRIYEGKVTGRFVLIH FPSE_07802 MAGNQQHPSLFKPTDLVPPDAQAPIEMKMGSHGFFQLLGWMGKD SLGEFNHEYLPITGYKPFVDETTKLLFSGTRALAEQRIASCQSLSGTGALLLIGLALR RLNDISKTIYITNPTWVNHKAIFTTIGFEVGELPCYKDGMFNFDAYIGSLKDVTPGSS VVLHTCAHNPTGCDPTREQWKAIGATIVEKKLYPIFDSAYLGFNSGSFDDDAWPIRYF LKNLGIEFAVCLSTVKNMGLYGERIGLVTCVLPTASAAQVATSVLQKVQRSAITAPPA YGARVAAQVLGTPPIREQWAKDLVAMSSRLRAVRRQLYEELVRLETPGD FPSE_07803 MPLGGSITYGVGSSYGNGYRKFLQDMLLSNGYQVRIVGSRKSGS MENNDNEGWRGYRLDQIEIKARRSVATLGPDVFTINAGSNDCLQDYQLDIFGKRMGNT LEYLWEASPLSTVILSTLLINADEQVNSRVLRVNGQIRDLVSLKAAEQRRIVLADMNS TEGPQLDDLVDGIHPKDEGYKKMADIWFNAIQQARKNQFLKI FPSE_07804 MDFTVEEQPLKACDLSYSYTTTPQPVPSEAAILASEESICTDHM VVATWKHGIGWSKPELKPYGRLSLLPTASCLHYATECFEGLKAYRGHDGKLRVFRIDH NVARLRTSAARISLPAFEPEEIKKLILSLLSVEADRWLPKEQAGGFLYVRPTLIGTSA QLGVQAPKEAMLFIVLAYMPRLDLPPGGLRLYSSPEDAVRAWVGGFGYAKIGANYGPS VIVLQEAAARGYHQVLWLYGQDRQCTEAGGCNFFVVWRRKDGKVELVTAPLDDKTILD GVTRRSCLELTIQMLGHKIEVTERKFTITEVMEAAAEGRLLESFAAGTAYFISAVSRV HYQGKDINVPMGESGMGGNITTAIKQWLTDIMYGQTEHWWATVVPTCA FPSE_07805 MHITTAYTHFYNKTRLDKASESAAAVCVENLSTWAEALQEIRTW PEYTPQPLHSLPYQAKQLGISKLFFKDESKRFGTSLGYFKALGAPYAVFRILAEQVFS QTGTRPTSEELRTSKYKHITQKVTVCVATDGNQGRGLAYGAKIFGCRCVDYIHNHVSE GRAQMMKDLGAIVIRIDGEYDASVERAKEDARMNGWHFVSSTSWSDFDNGIPQDVMNA YMVVVEEALQMVPAVEEITHVFVCGGVGSIAAAVFLGFFTHYSQIQEARGAKALNPPR FIVVEPIEADCLYQSAKKGEMCLSEGSLRTLMAGLACRGLSPAAWKVLIWLTSDFVAV PDEVAVEGMKELASGQGGDIPVVCGESSAANMGVVLQAANDETLRDKLGLDSNSQVVL FGLEGATDPVIYKELVGKSPEEVFAAQQASSRL FPSE_07806 MAALGEMASWLPISSDFTGYTARFCDSSLGFALAPFGIWGSYGA LFICILIALTKNYDVFVGGGFGTKKYKTFITGYIGVPIYLALIFGHKTITKSRRPKAY EVDLYTGKDAIDREEEAFLAHQAAQREVEGTRSKGRLYKNFISWLF FPSE_07807 MAKKTEAVGATAPPMEQRHSTQAAMRFISYFENLVRENQELRNI NRQNRMGGTTAQGPTEDAAENEIPPDTPSSGDLSHNPVLQEKPWFLSVRSSNIPILIG EVADAAFATRFRQLLTNQALHHTLRVSYPENRQIMALIQAECLFPRPTNARFLVRVAL KSLDGYFHILRNSRVWELLEQSLQALHTVDSISQCKLMALFALGELYSSSCRAQETAT PGLAFFSHASKAHGLLQERPSVDTIEVSLLLCLYTLCINRRHSAYFLASSAVRHCLVM GLHFDLPEAQLDDPETKEHLNRLWWTAYIMDHISASVSSQIVSVSDDEIFVHLPSDTR VTDIRHTDFQHTELLISRIQLAKATKCMIKSVYGRTQEAEPFLQRVQHALRDLKQWLQ RLPNDMKIDSESSHSKPAAVQSLHLAFNQCVIVATRPVLLHMLRMQKESKGDTPATAD RMISNNVQVLGDACIRCARHSYATVIESWVEGSFRTFDYFNTRYLFSAATILAISSLM GDSESSADRESFDFAGQLLEKLRDSGSFSATEFCRHIEAMREDIHGYLSTELSSLDNE VAVGVLAAPEVSSLPDNTVQFTQFMTSGMALAEPSLEAFLQSEQSLPHVDFLLDNAHS GCLYWPSYDSV FPSE_07573 MALTLEKTGRTPAHEPYYDLGPHTRPITTKIRDAQIWFDRGLVW AYSFNHRESAACFNQVIANDPECAMGYWGAAFASGPNYNKVWMAFDEKDLATTVAKCY DLSKKALSYASLATPKEKALIHAIQSRFPNREVPGDFNPSVLAYGDAMRKVYHEFGED DLDIITLTADALMNTAPWKLYESQTGEPNLTTPVLEVKEMLERGLQHPDARNHPGILH MYIHLVEMSKQPELAVIPADYLRNLVPDGGHIHHMPSHIDVLIGDYRRAVHTNLRATV ADDKYYGREGGENFYSFYRMHNYHSLIYAAMMAGQSRMALEATDRMEATITEDMLLID SPPMASWLEFFKSVRVHVLIRFGFWEELKKLSVPCNKELYCVTIAMIHYGKAIAWAAT CNVENARKEQGLFLQAAKRVPDTRLDFPNKVVDELEVAKAMLDGEIEYREKNYSRAFE CLRLAIKLDDSLVYSEPWGWMLPTRHPYAALLLEQGYVEKAAQVYAEDLGFDEKLVTA HQHPNNVWALQGYHECLVRLGRNEEARIIKRQLNVAAAGADIPIEVSCFCRLDKIGGE SNPNCCDLAL FPSE_07572 MPVPSSTRAWSVTGVHQDSFAGLELAENVPVPQLCEHDVLVEVQ AVSLNYRDLAIPKGLYPFAMKTPVIAGSDGAGKVLAVGSKVIDFAVGDSVCTLFNELH QNNPITPEAVGSGLGGAVDGTLRKYAVFPDHGLVQAPSSLNAIEASTLTCAPLTAWNA LYGVQSKAIKAGDWVLTQGTGGVSLAAIQFAAAAGATVVSTTSSNDKAEALKNLGASH VLNYREDPNWGESARALTPKGLGFDHILEIGGAATVAQSLKAIKLEGLITIIGFLTSA DSDKQPPLMDALNHICMVRGVFVGSKQQFVEMNRAIDSAKIHPVVDSKIFSFDDAQAA YEWAQGIRHVSPQTKIWIQLGDMSSALEAAKICQPDALVLQGSDAGGHGHAHGASLIT LIPEVVDALENEGIANIPLIAAGGIMDGRSAAAAVMLGASGVVMGTRYLGAKEANFDA NVREAVFSTTDAGKATVRSRLFDDIWDGTSGLKTANLTFSGPASFLRTPVTVPRRMQD SEHSFLPDVDSLGLYGQQALREPNVVGNGTSLAAVAAAAWRVSTAVQRGIDVIAWIHA LEAHAALHITNRVVIHPFLTVGASREALSENSNFSETSQSRVSLAANPSDSKPDTVEK LLLRISESPVGDQVVQAILNSAAAASSTSPATVPTTHQSVPHESSRISESTTTEQLTL PDGPCQTRCTHATEPKAQPSTVSPLDILAVAVDAVSAPTDSDSEHPTLTSPSHS FPSE_07571 MQTDWQVLSSQTVESIFKLESTACDPVAARLIDETDASYLFHLF FSTRNPLLGLLDPELHTPEYVYTLSFTLFSAICALGCAISSRPRDRVIYAALLSLAEA NMKWSIAVSVRSLETIQAIILMQYWAPMCTRHSCDPAWLHLSHVREALRTSGNSCANV MLTGMFGKAAQLARELGIYETDRIDKYLAASVPVAADEKKERFRRNIERTWLYIFIAD KSFGLINGRRLGVSWNELPPDVYAWWQNPMATAHDRMISGIVETRGILLDALKEGKNG DMTLLSVFKWHSRWFETLEHVRGVRCTPDASPSSVYLPLLAFYMDHSILVLNAQAIAQ LAKPNDETTSPELEAVYQKTVKVATRTLNSVLNDPMMVSLRLGFHNNQYIMICHAVAE VVQATKKNLLSIQETADAASYMRAIPEHLDKIAQQLPVTSLARMYASLSRCLMLQLNK DIVPVGERSGSIVSLFNSSSAPWRSWETSLDGFDPASLSLEQMMLDMGWDIPFDAESD TFLFPQQGI FPSE_07570 MEKRTNLVEYLFLRLTQLGVCSVHGVPGDYNLTILDFLEPAGLH WVGNANELNAGYAADGYARIKGISALVTSFGVGELSAINAIGGAYSEKAPVVHILGDG NLRVFADVYKSFTVAQANLVDAKTAPSLIDEVLKKFFLQCRPVYIEIPTDMVVAEVSL SSKRIDLTLLGHSEPLENEAVSALVTKMQQSQKPLILVDGFAARFGVRDELNELVRLT GIPTLTTPFGKSLVDESLPNFHGVFSGLAGDSTHAAWVQSRDLVLHFGPLGSDTNTYG FTAWPNSQVTVSIEKNTVEMNDPLEATSSSRGIHIGSFLNKMIRALKQTFLPQHEPFP PNPGHPRELLQALAPPVLDVKVDQYSFWLRMSHFFRPGDVVMTETGTSSYGGQSFVLP RQTTLINSCIWLSIGYMRAASQGVALTQRDMDKEGSRPQGRTILFEGEGSLQMSAQAI SDIIRNKLDIVIFVINNNGYAIERLIHGFNASYNDIQPWCHLEAPKYFGAPLDNEVYP VRVRRIETWGQLEMALSDPEIQEGRGLSMVEVVVGIRDAPIALTKFADYLRVRNSTGS P FPSE_07569 MSINIFTPVNEMDFAGHPVIGTGHVIFRQLLPGLVADRTASSEI TLLAKAGPVVVRYDPTREIVAAEVPHNIHIHSHPTSKHSILETQPSLKTQTSTLEQSY PCLSIVKGVTYTLVDFTNQPEMFAAVAAGPSQIAELDEGWAPSFTGVMYYRVVSDPYI EDDRKVQQLRVRMIAIDLEDPACGSGSCALGAYLALQQGGAGGRYRFYLDQGQEIGRD SNIIVDIVLDETGDRVSSISLSGPATLVTEGTLLLPE FPSE_11203 MKLISLKAAIIFIQVASGLKHLNMYSDDNCDNWIGQINDDTGAK GTFAPGTHSVMLVDCGKGLNMYGGYMFHYGSNHNGRWSAAYCSGPWSTCGGQNNKCIK LASGVGSWSARDCSG FPSE_11220 MKLGPVRDVGVTMNRAAKDPLYDQESLKLGKDDIRVLILLPGRR DCPADSIACRLERRLLPRRDSSQHRLVITNGVLGRTSPQCPFYTALSYVWGTTTKPKL IHCNSIPFYVTQNLYSALIHLRDKSRPIVLWIDAICINQRDNNEKGVQVQRMGDIYRQ AARTIAWLGKGTILSHLAFESCRALAEERRRTLTQSDEAAVHTKGEMATTRSLLQHLI EIVRLGRLWRLGTMMILLRRPYFTRVWIIQEIALSPLLDLACGDEMIPFVDFSRAAID MLASQLGGKKAAHLAHLMAVRSLLSSTVNRGLYDLPSLASVFGLLAQDKLWIEKNILT LLTLFRDSVATLDVDKVYSLIGLGEEIERGSTYGIQVLYEATEEAYVRVARRILSHQN SLRLFGAITHQLPLGGFDLLKDRIGAYLGRGDSREIVMLPTWVPDWRNKGSVAMPISL PSGDRFRTTRGVPYNFAALNHRALEYRDEFIYTITCGRGDMLNDGSPLLATAIFGHSQ RSCFLSFPALVQAIYVYLALRMLYLVYRPGAKQLWFVCLNWAVLLTTLFFISSVFYRI WPNLSMGNPLAQLMAERLYAIESRRLARLSSGCLALVPKASQDGDVVALCRGGEVPLL LRKRGEYFEMVGECYVHKAMDGSRFQEDKCHVVSLV FPSE_11219 MSSMRALVSPQLQTLLRPQVLRTSPRFMRIQTAALSSAISDAII QDHRELEKYYNEVINSTDHDHQQRFGNQFVWELARHSIGEELVVYPAFEKYMGPKGQE MADSDRQEHHKVKELLKQFQNMKSTDPDYVPKLKELWAPLWHHIQEEEKLDLPALEQS LKAQGEETETLARRFDRTKKFVPTRSHPTAGEKPPFETVMGLMAAPMDKLADMLRKFP DQSKL FPSE_07800 MSLKNNEKSEPTSNLDDSTKPARSNSKCVPYLSPPNSPFKEKGK SGDKARTVENLGSNFLSPPSSPPRRSSELTVHEEQVKEDKIDVTTLRTLLGLTNGRCG ASAKTGRPCRNWSPAAKKAAVASQLESMITLTQSSVDLKAHLDKLASLVHCKNHDGGL PMVDRIKAWTKAFPVGEVSITDPILLVEKQIIQFLALNSTQCLRVEDGTESRCGEGIG GLRVHHCALTINEIVTSSAYLNDAHLDVLLQVLERSMRCSQHTKEKNLQKVASWKSSI TGFLINLPEKSAPKENEGSSKHSNTQSTTDSPLTNMSDDPIIRRLTTSIPDFDQDLSA FWPTTYRTSPFEIIERSNRLTDYKSSYSLIKGVINKPLQTVDLRHGHIYMYEVEGNPG FVKIGYTTQSVNKRLEKWDFDCNRAPNALYPIPPRTAEAVPHARRIEELCHAELHHRR IRIYCPGCLKQHLEWFEISSTEAITIIQKWTTWMKTNPYKPTSLRYGQKWTIKMEETA RTERMDNFIDEISAAS FPSE_10822 MDGWLILLLSRRRQLHEILTSIPAYLFATLLIARMHKLIEKPGM NESTIIKYNNF FPSE_10821 MTTYTAYTQEGPTDIKALGTENYQLQVAKSVAGSDGKPSFNVVY SSHFLAPNMTVSWSVNYGLNWTAGIPNKGEKVEYGGVWQSCALGDSFNLDQGGEWITN QNNPKAKPDALNVGKNGYQIAVNIIVGVQDESGNYKPIWVSPDKLLNNSSGEYEPFQA VQIWYQENNQTSTMIGTQETPSQNFTYQDTTTFQYFSYDAQAGKWRTNQKVPFTNLP FPSE_10820 MALVTVFSLSFRKILPGNIFASVSFNSTEDFILHRDLALPGDRY VFPDFVVFESVTNDMEDTSTSDIKLVIEVKKDDTVGAMAQARTDAIWYLGRAGNNTNN PNQDNTQIFSVATAGDRWSCRRYNKTNGFWGPADNWSAEQLTTADTFGDHIEL FPSE_10819 MTNHQDLEGDARLEKAEVQHAEDAVTMQDHQNTTWECARKNPKA LLWALYANLGATLVGYENVALAVCLALPAFQMKFASKVDGQLIIPAYWQSLWNATYNI MQLFGSISAGFAQDIAGRRVVFLSGIIIVSCGIALAYVAETPAQFMGAKMVSGFAVGA FQSTTQTYVSEITPLPLRGIALSLNILMMNVGFLIAISTTYSRVTIMDESAFRVIFAA AWIFPGILALGLPFLPESPYYLIMKNKPEEALKHLAKLYSVDKDLDARLTHMQETVEA ERSMTSEKASFVECFKGTNWRRTRIILICMYMPQIVGSSLLSNAPYFLSQTGLSSGLI INIMQVGLAVSILSSMFNIYMMNLFRHRVLMFTGMSICAIVYLTMGVAASCPQSQRTL MAIGIVMQCLSLAYGPAVGSSLAVAGEVSASKLRAKSQGIAFGFQAITSTVWVTVLPY MFNKDEGNMGGHIGWVFFGMTVLIMLAVYFDVPGAKGRTFHELDIMFEKKISARHFES YKSE FPSE_10818 METSSSVLDSAIQFVSPASVLKTEGIVQISNDLSSFTLKNLGSG LNAELVLDYGRCEGGFPIFFFIISASAPKGQQQIAFQLTYSETIEGIDNENGDGPFFL FSNAMDSYRVCHHYAGATNDPQTIKSRFTQASQRYEKITLIEPNTTIVFSEIGFQPSR PPASV FPSE_10817 MCTVAQGETVPAWDIVNQGTRVYGGHRAPCRQGTRWSDTRTTFY IKVEKYGASWAVTVTIEGHELATVTDIYVKAMLGNKLVNTGSVAFSGPPG FPSE_10816 MLLTPLLVGSLKLFLVEILLVMSFSLIACPLPYSYKLID FPSE_10815 MSVTTTQRTGSSSTEHAPQSTRQNSWTLTGADQPNEAHANSILC SSIVLGFYLQVVNTLAFLGAILGKVLGAASSPLLGWITSEGISAHASAIYAKFKGPWK SKVDLVGKVIVLLALVVSVLALWPAFAGASDGKRATILAQWTARKDYFEFCETHDWQA SGCNTTKEEPLGPPPILDPRDTRHGKTVDNPTEPVSPILHIGIIAMIAMVVSLRLRPL QQQMLRCAAKLLGRPQVLAPRARLVPAFGVVNREHNLRRVHADPPPIAFGTLSSFEFS FRSNVSIPAVATSLDNSSHGGLRQRKFQMTIPGPDTRKDVPPPLPPPRFPSGTQSRRY ARPPR FPSE_10814 MDTQAVLQSLTLEEKVSLLSGTPDDFTSIAGIPRNNIPLLQTAD SISGIRPTEFDSSLTTACFPNTACIASTWNIELLKEMGHELTSQAKLKNAQIILGPTI NI FPSE_10813 MAAAIVNGIQSQGFAACAKHFVCNDSETQRRYYNVDESSHGRTL REIYLAAWSFLLQRSNPAGVMTAYNKVNGTFCCDSKDLIHDVLRGEWDYKGIVMSDWF GTRSTVESMMAGVDVEMPVPIFRGQKLITAIKNGEVSQDCIDASVSRLLDLRNRTKGA QSVTSMTSEIIPSTNNVALRLAHEGIVLLKNENKALPLQSLHDLKVAVVGEYAKKAVF TGGGSASCNPQYRQVPLDLLRKAFPRTESVSYASGVLMRRIIPIVSPEIITTDQGQKG VEISFFNAGCDHPFLTETLEKATINLMTRTKPGLVLAGSHIKMTGNLVPKTTGTHILA LRHSGSFTVEVDGITIFTGDAPDITTEQFLFNLRKLESRIEVPMEAGKSYRISVNMNS RKLVVGEPTPHGLTLAFEEEYHESQAIQEAVDVAKSSDITIIYAGRSEQCESEGFDLE EITLPYNQVAMIKKVAAASRKTVVLLHCGNPIDIDSFVGDVDAFVNMHFPGQEGPQAM VDILTGKVNPSGRLTATWFKTLQDWPSFGNFPAKKDGDGSFVIKYAEGLSIGYRAPVP AAQIQFPFGHGLSYTSFSYANLRINLDKSSMASVLKVSVSVTNIGSAFGKNVVQVYIS PPERTVDWRPSRELKEFTKISLCPGETKNISIDLDVTTFNSYWCESSRAWVVDGGEYS VEVGNQRIAFVI FPSE_10092 MPGVAKEAVVPIEAVLFAVASFLVLARLTLRAVRQRQSLTVSDW FLIASWFDAAALFGTDTASYNLGGMDEYDPEAPPRSIEDQVALAKISFAGNYFYDTGI YLPKLALLALYFKLIPQTLPMLRKALYASTALTGTFMVTTCFLDTFWCGANVAVNWDP EGGCSTFESKMVFRIDWGMNLVSDILVFLLPFPLLFGLQLSRRYMVGLIAIFASGIIT VGASIGRFITIDTIHAWTNVYVLSMTELAAAIVVVSLPALKSLLHGFGLRSTKQGTTQ TGSGYHKHSATVTSSSHFNMLSSGRDRNAEPYGTTARVAAVPEEDSGSEVELTNLQGI YKSARISEWILLAVSYLFVGLRIYARLFRARQKLDASDWLLVVSAFNALALIICDTLT YQMGVLDEYKTSVKLSKISFASNYFYDFGMGFPKLSMLAFYWAYFLPSNGVSSAMRKT LYGITAFVCISYLVILFDDTFFCGKDVSVQWSQEEGACSVFYAPEPFILNFTLNLACY FAVYALPLILLVQGVLKTSTGVMVTFVLGALTICTTMVRFITLKVGTGQENLVYPLSM VEMALAITVVALPGLRPLVSRPMKHETANESFYVKN FPSE_10093 MADYFHYDPSFPLALVFFVIFSLSLNLHVYQVVTTRLWFFLPFL LGSLFEATAFISRAISAKEAPNYTLGLCMVQDHLLLLGPTCYSAAIYMLLGRFIRYLD GDKFALIKPKWLTKIFLFGDITSIVLQAFDGVVNDKTDLSHNLTATEDVVVAGLIVQL VFFSMFVVVAGCFHYRFSKNSVVQPPNWLKFMMVIYFASMLILVRSVFRMVEYVEGPQ AKLQAVEVYVYALDAIPMAIITISFHIIHPSNYMQGLSKTLSKTESETSLASPHA FPSE_10094 MKTPVGPFDGRKKRSRCASCARSHLKVRADNLGASPVWVWSNFV IKCSGNYPCNNCQKKQIDCTFEAQKPMRIRIDYAQSAVRIDGRAARSKLCGSTSPQLG IGLSNNYVYYLSHYFNEFLQRNVVNPKTKGLDDVVSLMKDKVPFGFLHHAVLSVGAMQ AFKLNASEGIDPSKAYSLAVGHYSKAVTGLRQTLDQFDQQPSSLHCVLWTTHLLGLFE LMNDASGAGWVQHLVHGTSKALVAAGPTACKSIPGQRFFAEIQAFEACRAIIFNEPTF LASPEWRLLVEEMQGKSQGDNSSLNELLSIVVSCATLRVRVRDFLYSPESQPSDQHGT SQDNSYGIISEGFRLRQALIDWEAGLSSTCPEEENIDLVAKSFFCLAQAYFAATSIYL SGVFDYEMPYWQDMEIAPPTLSEQEIQTHVNNILGRTTMLLENSPISPLLVLFPLRVA GARSWQKWQQDCIIQSLLAVEMSFPVAAAFRADLQELWIGRDHLL FPSE_10095 MHLSLLFLSLLGIGTAITLPDPTGPYGVALRSQGMTDTKRIDPY DPKKGHRQVLASIFWPIHSTACSEEIVPYMPPAVAQFYGQQAQSMGLSNDTFAAFEYA VCKTPASPKGCGSQRSFPLAVFSSGAGNSRLLYSNMARSLASYGNIVVLIDHPYDADI VEFPDGQIIRSGNIPETTKSLIDLTKVRAMDISFVISKVMQPSFQRKVFKGLPGSIDA KKVFALGHSLGGASAAVASLSDVRLRGGMDLDGQIFEPALSKGLDKPFFLVGRPNHSK EDTTWKTFYAKLRGPKKEIAIKGTVHGSFTDYPQLIQALHLPASTQKAVQQLVGDIDA DYLQKFLSETIVRFMNISFDNEAKA FPSE_10096 MGIWLSTLPRLHRGIPSDEQRQTKKARATADSEDLKSFIKPLIT SLASELEYSSLTPTDNSALWDAMCLYADKTGVQYDEGTHSGTCFKIGFTYPAVCFPHH PLEVQTFIGIYSWLGLLLDDEAVTYPDEFQMFHQRFFMGEKQPLPLLQGWADLMKMAF QYWDPLVANFIVTASLNFLNANALQARDDFTRIERTKAGHSWAWFMREKDGVGEAYAW FTFPKTLCGDKSRFLEVIPDLSMWIGLTNDILSFWKEEKAGEKHNYIHNRGWYEDKDT WSTFEDIVDDVKLKTRNMRLVLNGRDTYLQLLNSHLLGYVAFHKLNTRYRLWEIGLGE FPSE_10097 MRFSILPTVALALYNGLVAANPVPELESRQTSGLDAAMKAAGKK YFGTALTVRNDGKETNVLNTKGEFGSITPENAMKWEAIQPNRGQFNWGPADQHANAAT QRGMQLRCHTLVWHKQLPSWVANGNWNNQTLQQVMKDHINAVMGRYKGKCTHWDVVNE ALNEDGTYRDSVFYRVIGEAFIPIAFRMALAADPTTKLYYNDYNLEYGDAKAAGAIRI TKLIQSYGLRIDGVGLQAHMTSESTPTQSTVTPSRAKLASVLNSFTKLNVDVAYTELD IRMNTPANQQKLQANAAAYARMVGSCMDVKRCVGVTVWGISDKYSWVPGTFLGEGSAL LWDDNFNKKPSYTSSLNTIRGR FPSE_10098 MSLRRSSRLAKTGDNQQEMADLAHQVISLQPKRGSKRKIEMPDP NLSTKGAPKTAKTKHAASKTKKPCIQSSNALKIDRLSNLPPEILSMVVNNIREKSTLS RLSRTCKKYYLLIAPLLYKRISVAAMFHGHIAKLIRTLEPHLSIQQRKQLKKEGTYKG QKDIYPSGLRPDEMPVCANHVRQLVIGSVQAGRNHDYIVHRYIEEALKNTANVEILES FFVTESMAKSIAKLEKLQALSLDLGYRDYQALYGIKNLKHLALQAYIQTDLLHSLLLN SRSTLRSLNLNTNSLDFFYHFDQIVSSAEQDTENQHYFTALKSLTIQVSDLDSTSIRS LMRATNFAGLQELHIEHLPESSSVFFQQLADLFSTSSTSGLEVRLRDLKIDMRTSGYN VTESQQKAVTETKTSFLNSFDTLKSLELFDYGQYPLEISENPGLPNSLVQAILQHKGL ERLSISYNGIIGGYQLPYLTPSTIGSLIDNLPLLREIDIAPEEHDLDQLGSVLSRASN IERIVFSCTSSWASHIRTDDPTKPLLHSVLKGFLCRSHSGDAGQLKWEEICKLRFVTV NCQIYEIASKFGKGGKGIGKPEKFTVPGNPKREVMFRNITGTGPTTLHVGFDPTFQWV EKVSKDLD FPSE_10099 MTIANLLVSLNDKVQENKGQSILITLFIAPLFYILVNELVRHNA RISNLDGPWGLPLIGNIRDIKVNASEKYREWAKKYGPVYQIQLGNIPIVVVNSAASAR HIFGANAQALSSRPEFYTFHKVLSDTAGTTIGTSPYSDSLKRRRKGAASALNRPSVAT YVDHLDVETRDFIKELIEYGNNGKTPVDPMPMIQRLSLSLALTLNWGVRLKSQKDNLF AEITHVEEEISRFRSTTGNLQDYIPLLRLNPFNFHSSKAREMRNRRDKYLTALNDGLD ERIKNGTSKPCIQANVIMDKEAKLNKDELTSISLTMLSGGLDTVTTLVAWFVAYLSQH PEIQDKAVSEIGKFFSQKEPMCDVNDDQKCEYVVALVKESLRYYTVLRLALPRASIRD IIYEGVKIPKGTVVFLNAWACNMDEQVWSDPQEFRPERWLEQPEAPLFTYGVGYRMCA GSLLANRELYLLYMRLLNSVKIEQFDNVDCHPVRGNLDPTSLVAMPRRYKASFTPRSP DDLKKALAVSV FPSE_10100 MDQQAASEKSPGQPAVKARKRNAADAESIPETVATGRSKRGKYT TVACDDCKKKKLKCIPTADDSNCERCVSGGLSCTFGTTTVQLPKDRSEKYNQSLGSEI SQLRQQVSELIVVVHELKQQTQRPQNPATVSPHTRDNIAIHSPASARSQVPRQPHFVG PTRSAFGILVGERSLNRIGVPKFDSMPPSGAQSPVGPTSDEPISDLEFWNCCTANDVT RYLVTFQEEVESVYPFIDIGDYIAQSKEILQIIQGSSSIADDERLCSQDVTLAKVAVA TGLILDEPSKIELTTAIVDSVQLNLSSILSPQVDLKEIQLLAMLSIYYFHSGEELLAW RTIGIAAREALEMGLHRKRSLLDNFKDTDSRRLATRVFWCVYVLDRRWSFGTSLSFAL VDKDVDPELPKPDEEYLYLQCMVGYGQLCSRIWEAIPPFGSASQSIPDDTAAALDLQT QDWLESIPSHLRLRHPRLGLAPRAQPRLPHRLRALLYLRGNYVRILIYRHHLMSTDSI ASNPQSAWLVVDIAQDTIQVLVHLHSTTDIYSRQQSAFNYFLLSALAVLFLAVCHAPE TFSAPCRRTFSDGVDLVRGLSRHSMVSKRLWKSIRGVIPRIKSFGFPATQDESQGQGQ DEGDGEATGGANGQVSPTQLTAAVEEQMPVSTIDGEALPFGDIAMPEGDFLGSVPDMF QMRNDLLDLFDAIGQGQQFLQPVPGAFTHDTDFTTTTDETHDISMRFQGLI FPSE_10101 MSPVTRVTLFKVASEKDQQHLVELYKQMPQKAVKDGKPYISSVQ AGKANPDQRAQGFTVVAISTFASQEDFNYYDTECQAHLELRTFAKGVNQGFAMIYFEN EVV FPSE_10102 MPTTHFRIWSMVVHHEPIREVVHEVAFPVRYAANDNFSSSTLTV IGSEL FPSE_10103 MSSWFPIPTKSHFSLANLPFGIVSTSTQSAPRPAVAIGEQALDL SVFAQNDGFSKLSDFPAEKIDVFSQSTLNDFAALGRKVHRATRAYLQDVFRNDTPYPE ILKNNAYLREQALIPLANVISHAPLSIGDYTDFFAGRNHAQTVGALFRGPANALQPNY SHLPVAYHGRASSVVVSGTPLQRPWGQVLPSPQSQDPVFKPCARLDIELELGMFVSKG NKLGSSIAVEDAEDYIFGYVLMNDWSARDIQQWEYVPLGPFNAKNFGTSISPWVVLAD ALEPFRGEGLLNETPLQKYLEEKRKDPILHINLEVSLTTNKGHTTKITTTSSKNLLWS WPQMLAHHSISGCNMRTGDLLGSGTISGLEPGTQGSLLEQTQGGKVSVQLDGGEERKF VQDGDTITITGWSGNADDGLVGFGECSGTILAAVSRD FPSE_10104 MFLVYPYKYDLGRFSVIGSISFDHPDPSIYTVLTGPSDHPGTAV ADFVIFPPRWLVQEDTFRPPWYHRNTMSEFMGLICGQYDAKTGGGFQPAGASLHNVMT AHGPDADSFEKASNSELKPMKVGEGSMAFMFESSLMLGITDWGLETCKKVQADYNKHS WEELKVHFKMPL FPSE_10105 MGKAGVVRSLTSQERYSAEATLSDCGNIERLWQSDSLAVGSRPR LTCLLCPELYLIQLRHFRILSSCVEICKSVMSGHLG FPSE_10106 MVAKQAKASPPWAIPPDERPGESRLRWPSSVLYKSQSSTDPQNP SETTIGSQNIIKNEPKIVSSTRNAPIMTTDPVYKRQLSDTEFRILSISPATDGSYPIH AVLGNYKIDDCPEYETVSYCWGGEVGDSERYCPVYLGDYWDVLLQTKNCWSMLQYARP QSYPRLIWVDAICINQEDHLEKECQVPMMGKIYLRCLRVIVYLGDQVVKPKETILRRS YPARRDFSEFDDVIPGSLIDSSQLLKLKYFQRLWVIQELTLAPAALIPAHGYEFRVGP LSQLDSRLPHSGDHEVSWMGEICTGYKSRSLLMLLQRTWASQATDPRDKIYGLLGLGY HVEGFRPDYSISLLHTYVGTILHLLLAEEFIEILTGATDQPAESKLPSWLPNWNLFDI GKRLLSISQATPEAPPDGFSRRKRFIEDKIATLDRGCETLVDMSNDKFSGKTGLTASE LWAIEKPYVDPLTLELTLSMVHVCKFTSRLTQIYRFDYHKPMRVFKIEVANCRLYICT SDIPLDLLVESGSNELFLLKKSHDVTLALFLRRLAGDGRYRLLQCNFCFDLFLSGNPS REPGFNRLPSNRDVTLNAVEGQQLSLLDGTVSKALAESAKDVERCFKESRDILKRTPS PDLSGVTSAIFETFYQLVSDAGTSFLHSYIAFLLQCWPGCSARVEQNIAYMELEPNDW AIANDALFGPNRFVDFEVYVPSETKFPDSGTWHKAQLLDQTDIKQLIKSPIRRTFDIQ PLSLVSSHQTFMKTDAMHTMSLRVKAEQLRTCLESSFYYIEAVKKWYCDRILINGESV LRSEASWNTPDAFCYYPWPESLYEDGQNPGRVCQVTIV FPSE_10107 MADDLGFSNYGCYSSEISTPNIDSLTSQAGGIRVTDFHVAATCS PTRSVLTGPGGYLEERVTTLSQLLRHGGYFTSMSGKWHLGPKPEHHPNERGLNTSLAL LPDCAHRYGILPYSHTKPVLIAITMLLYEPEYDDPRSEPGKVFETASRAIHVQNGKFL LEGEQGPECAEGNIATDAFCTVMDIIPTILDYAGLQHPNSHAGRPAMPLRGKNWMHVL DRLQKISRSDSICNQDYVVDFKTKCSGAIRRGDWKINLVPAPKGPKCWELFNID FPSE_10108 MKSFLATALSSLLLVGNVAAAAVEDPIEIFNRQAAGQVIRQCSK PGVLALAYDDGPGQYTNQLIDTLDRAGAKGTFFWTGKLYGCVYNNQAAVKKAYNSGHQ VASHTWTHPQNFGSLSTDQLKQEMQKFEQAMVNIIGKKPAYMRPPYLATGGNVLPTMQ QLGYKVITNDVDSGDWNGQSAQQSQQKFQQAGAGGNGHIPLMHETYASTVNTLTPWLL NWAKQNNLKIVTVAECLGNAAGAYQPGTFQGNGQNTC FPSE_10109 MASSSIDPEMQPAVDLAPMGHGKTEVPNTDNVIHVEHDEEGSMK HVDADSTPVSAREHAFAELGIPDWEELEKKVVRRLDMTLLPTLWLLYVFNYLDRASLG QARLSTLDEDLKLEGTQFGSAVSILSAGYVLGQVPSNMIIGKIRPSLYLPGMAILWSG VSAAIAGVKTYEGLLAVRFFLGLVEAPLFPGAIYVMSSWYTRREIAVRIAIMSTGVSL ANGLSGLIAAAVFTTLEGARGVAGWQWLFIVLALFGSTFAFAAVFLLPDYPQSKSGSA MWSMTEDMRKVAQVRIIADRVSEVEIKSTIWTGVKLTVIDYKFWLLTGVNIAISAAYG FSNFYPAIVRGLGYDRIMALLLTFPPYCVAAATSIAVAWNSDRVGERGWHFSIPIAVG LAGYVICMITTATVPRYSASYLFIGGLFGANPLIQTWLSTTLARTPEKKAVSVALCNV LSQIGNVIAPFFFVDSDEPRYRLAFILMFLMGSLCITCALTLKYCLWRENKRLYREAQ ENGTPYMPYLQ FPSE_10110 MSSTSSVMLFGAATSVTKLKDDTWSANLHPDYCVGAVPNGGYVA SVMYQAVESHVRNLGLRQQIISAQLQYVNRTQIGEAIITIEKVKSGRATSTFHATLLQ GPDTSKAQLRKCVLGYYVCLAPATDGLTLATGWNLLPPVPSIDVELASKGLDPNWSSE ASRIQIHHLASLGFVRAVEGAFESFYRRQPARKGLEDSWIRLTSGERFTNATLPLVAD AKPYVVEGWRPLPADESGEAVPFSRDDPFWYPTLVMNLDIKKGLPREGEEWLFIRTEA RKIEQGRLDLQVSILDQQGNLVALASHINLVLSASRNLKTRNVNENQGKL FPSE_10111 MAERVAQIASHITYPIGLLAGQTAIITGSGQGIGSEAARLFAQE GAKVVVCDVDSAKAQSVVDRITSSGGQALAVPGDITDQGYIDQLVKRAAEFGGGEIHI IVNNAGYTWDGVVHKMTDKQWAAMANIHGTAPFQLIRAAAPYFRVKDGKQRNIVGISS TSGVHGNAGQSNYAFFKAGVSGLMKTIAKEWGPAFNVRANTVAFGYIETRLTQAKEAG AFITGPDGEKIQLGVPGRDGKSSFEDIPLRRPGSAEDAAKVVLAVCSPLFSYVTGQVI SVTGGRNM FPSE_10112 MPTTIEEHHGAFAKLKPKRQEPRKRSPKKHVGKHPASGAETETE TATKAPLAPKEHEGLPAVLTDEFLETPNLPEEKPRQTGRPEITFAYYPFLCINNLNSL NSNDVKYLDSQGCLRVPESNCLDDLIRAFFRYAHPILPVINEAEFWSSYDPLTSDDNT SRVPLVLLSAMLFVACKYVEDSTIQSMQLDSAHEARDRFLRKTKLLYDHETESSPVVL GQVALLLAHWTPQTSSSCGRQSTQWLSRAIHHSHDAFSQAKLWSTLGVRYNESNLRRL LGCCKFSDCIHSLYNRRPLMMPPGMVSTESDHLVLSRADLSHEIGRSRVYSVEAKHRL IDAQEQMSALVTILGRALALVYPQVGTAIRRPTSTHDEDKYELINCKNELRTWYSGSD VFPISGRDSALGSPVSPDGSSEYGSSQHDPVELLESMMHLHYETAMLALCQSELLRLT VNPRTTYSTSRRQQSLLSEPERQYSSCILKMADRLSHSLQHQHLCRVPESMILCTALP LLLHLPSSMSSDQQVIGAQSCYPDWVQKVIGCLRLYFRNELEFILQVVKTVNNSIAQS LQESQEAQVAFLHADGPQTSEGQPHMSSWRELLDTRPGLFARLIKRLDTVICWGGDLP KTSMGISVDDSQERTSPTLTRQFRAAGTSLALTPHVSSAPSRRRTEKRSSPILCDDEP EAQLETGSVDSALTSSGGLRESFSDVTHSYLDEVPEKTYSPTSLDTSQRYWTQETVDG GRRGQATADGEIKPSAIDFIDADDMMLEDGLSDGWIDALLEQDMSLQDDNAGRSVEDI DMAVI FPSE_10113 MYENLQVERREDVFILTLQKPPENRLTSQFCQEIIRALNDVRKE LGPDAPGAVIIRGNDTKFFCTGLDLYERDTNPYASSEGFYPLVATLLDFPLPTICLIT GHTFGGASVMTLAHDYRIMNAARGYWCMPVAELGLHFDGMGTLLRAKLRPQTARKVIL QGHKFTSSEALAGGIVDEIAPPEEMLDRALELANTVKSKAKMGVYGLLRGELYGEALR AFQQISYVHSRLNSRQAKVKL FPSE_10114 MTTLPSFTVTKGPITIEALDHGSGPVIVILPSLARGANDYDVVT HFLVEAGYRVVRPQPRGIGKSSGPMEKLTLHDFAADVAMVLDHIKCGPSVIVGHAWGS QPARMLAANRPDLVRGVVMAAASAGKLPPGSTEKPFSRLRTEIDGSGDMSLPESKRLE YLQAAFFGPEGNPRLWLDGWSEAAHKAQAHARIYTPVDEYFSAGETVPILDLQAEHDA VVVKDVMKPCLGDRVTVQVIKNAGHAMAPEQPEAMANAIINFTKSL FPSE_10115 MAIDTSTANLDRTQIVPLHDGPHVLPNNPLFTRLLRHAHRNYLA IRDRELGVSKTYGELLDAVLGLRDVVRAALPSEVIEQLNNGNEVYVGVLAAGGYEFTV AVLAVLALGAAVVPMFPAAPVDEIVYYATKSQQVAILSSSATTQLAQESAQCCGIAQL NILPNLPRTPRFEPPDISLSSNPPQDPSAPGVVIFTSGTTGKPKGVVLRRTYTHEGAI TVGDSYGITHTDVLLHTLPVHHQTGLGTSFFPFLNAGACIEFHGKFDAATVMQRWLQG GLTVFSAVPTIYMRLKWFIEQLPAQEQVPYKQSATQFRAFLCGSSALQEHVQDFWAAE LGRPILARYGATEIPGCIRVSVELRDVPKGSVGQPLPGVEAKISPEGELLVKTPNMFA KYLMEPETTKNAHDADGWYKTGDIARREGNFYFIVGRASVDIIKSGGYKISALDIERA CLALPYVNEAMVVGVEDDEFGQRVGAVLALKNAKASDITLAKVRSDLRGQLAGYKLPT LLRVVEGELPKGATGKVQKKILGPELIPSPGYEKIPQVQVWKNPRQAGRVLARL FPSE_10116 MAVIEVEYRGPIAVIVLNKPNKLNALTKDEFYELARTLQEVSNH DEVVATVLTGTGRFFSAGADVSVSRQTPKGTDLYRHNLTVTVASNMNLARAFYTHPKI LVVALNGPVVGMAAALIAFADFIYCTPETYLLTPFASLGLISEGVSSIAFAERMGISK ANEALILSKRISSKELLQAGFVNQIFDCPAESFRTEVLKEVKERFHDGLNSSSMLQIK KMIRQRSRRELDAQNVDEVFGALERNVQGIPQKEFEKLRKGQKKHKL FPSE_10117 MTVQRTLSHASSMEKQSATHVEGQTVDDEYLILFPLLANKSLED RQRIEKQLKRKLDWVFLPVVTLMLLMGYLDRINVANARLAGMQDDLHMSDTMWSAGIS LFYVGYIVTQLPATVYLAKGLPRWQMPAYVVAWSIITACMAAMTSGWSFLVCRFMVGV AEGPFLPMVSLMTSSWYTKEEAPLRMAIWHAGNIASNIFSGLLAAGVLENMNGVAGMR AWQWFVIIEGVVGLFVAVMGLWCIPNFPHNTGTYFMTPEMSEMAQYRMMVSAGGRSED DEGGAWEGVKLACQDPFTWIYTVLHFGLIVALSFKDFFPSIVKTLGYSNLMTYLIQAP PYIFAYIATCAISWSSGKHMEHCWHIIGSTVACIVGVIIMISTLNPGARYFGMFLLCA GPFVGLNVHIPWETTNVARPRTKRGALVAITNCIASVSHWFTPYFFLRSQEPRYQNGG ILIIAGCVLGIVGCLICKWYVKKLNKKMEEHEAANNLPKRWRYVE FPSE_10118 MELGVLMRELCDLPAGNVAQSPHIQSIHAMTLDKADLLASLPTT GEPSTSPLHLLHTLLKDISGPWPVFPLVKCLTRVYKNLPEDVKTGNDTESALVLKQTS DLVKASYTSLAQFISNDNKPALRTSSGGHVITHHELLQFVNNFQLPVESPGRKPIVSI ALPNGPLLAATCIAVTTYYTASPINPAAGAEQFRADILQARSNFILTTREEYTKLQLD ASWVSDNNIRVYVIDWVAGDGISVETLDGKSVPTGIVERVANIADDISLILFTSGTSG TKKVVPLTTHSIVTGVVAVIESWGLTSEDICLNMMPLYHVGGLVRNIFAPIFANGSTV CCPSFDANLFWDVAETIQPTWYYASPSMHSVIVAEAAARPEALQKSRIRLACNAAGGL LPSLAYQLRDTFNCVVLPSYGMTECMPISTPPLDYRLDREGTSGISTGPELTILDWSE VQVPINTVGRICVRGDPIFPGYLKADGSYDKSPFNASGWFDTGDLGYMDSDGYLYITG RSKEVINRGGELISPFEVENAIMTASKSENSPIYGRVSQTLAFSATHDVLQEVVGVIL VTPSGVPRVDLRTLHAALKASLQQAKWPVVIAYMDDVPKNNNKVLRINLGKRMDLPCL TDDTPHMGRHWDATCPPADTPLSASIGSSPCQVDYHVLSTHIQGIVPDHVDVFCLQGS DGAPQVVLAPKTNDVAVEDTSLTTTIRHELGHLVDNYMVPSEIHIFDRPLPRRPSGEV DVDLLQVQLDELLTASMKQLEASTEGLVTKAFADVLALPPADIPRDIDFFSLGGDSLR AGRLLAALRSEFSIHLPITIVFNEGTVSALAAHIDKMLEGKREGHEEADTVEGCTKTH SSTNPFLMLLQLVPLVIVYPLRRAFQWTCFFVALAYSQKLPTNRSVPGRFVNLVVSMI ISRIVTQFVSPFVGILAKWLIIGRYREGMYPMWGSYHTRWWLVQKIVAVCGRGFFETN DMTLRIYHRLMGASIGKNVKLNESFIGEWDLVKIGDDANITGCICRPFAAEGNTSMYL GRITIGEKCTVGFSSIVVPGTTMPANTCLGFNSSTWEMQDASEEYRDQLPSARKGPHW LLNILFTLPLMGLSHFVAALPWAGGLVGMVLKKASPRVEPLRSSVEWFCQPKRIGYHY LARVAGCVLGPFFLFAFIVLVKAILDCVFGKLRSGSKQETSTVAAWRAGLMRDIYPVK TLHRLTSLFGQHYEATSIALRALGAKVGQRVYWPGTGPSIGDYHLIEVGNDVVFGSRS HLVTSDGIGSEKVTIQDRAMIADRVTLLPGVSVGERVTMGSGALTRRRGNYSDGTYVG SKGGDAVCLTTSREGSRDGSAPSSMLDMSFDEKKFQSDTDPSQTSGSITGEGPDKDDL SPFGRAFYLKMAPYYVLGPFAIFCYSTFIAIFTSIYWDAPSISSIQLVRLVLIHVLGP GHPWWKDLAAIFCTMLVAIAVLTTIQSVLALAIIIMSKWVLMGRRQPGNYDWDKSSYC QRWQIFLGIERLRRGCYNGNGILNLLTGTSWIVLYFRLLGAKIGKDCALFANGTPSLM FTEPELISLGDRVVVDDASVVAHINTRGKFDLNRVDIGDRCVLRTGSRLLSGARMEND SCLLEHTLIMGGDVVEEKSTMQGWPAERFTRKRV FPSE_10119 MVRFSSLLAAAACFVAAESVSITVGSKGGNATSGHQYGFLHEDI NNSGDGGIYAELIRNRAFQYSKKYPVSLSGWRSINGADLSLDRLDEPLSDVLPVSMKV KPGKKGKSNEIGFLNEGYWGMDVKKHKYTGSFWVRGSYKGHFTASLRSNLTDDVFGSV KVKSKAKKDKWVEHEFSLKPKMDAPNSNNTFAITFDPKGADGALDFNLISLFPPTYKG RKNGLRVDLAEALEGLHPSLLRFPGGNMLEGNSNKTWWDWKDTIGPLRYRPGFEGVWS YQQTHGLGILEYLQWAEDMNLEIIVGVYAGLSLDGGVTPKDQLQPLIDDALDEIEFIR GPVTSKWGKKRAELGHPKPFRLSYVEIGNEDWLAGYPTGWNSYKEYRFPMFLEAINKA HPDLTVISSGASIDPVGNKNAGFDIPAPGIGDYHPYREPDVLVEEFDLFDNNKYGHII GEVASTHPNGGTKWEGKLMPYPWWISGVGEAIALVGYERNADRIPGTFYAPILKNENR WQWAITMVQFAADAAMTTRSTSWYVWELFAGHPMTHTLPVKGDLDPLFYVAGKNEDKG TLIWKGAAYNTTKHADVPVSLSFEGVKAGTQAELTLLTNKEDTPFAFNDPHKGNNVVK STKTTLKADKKGAFKFTMPELSVAVLETVKKGKPYAS FPSE_10120 MSVPTYLGASGKSLAVLQIAAIVAPSFVLFGYNQAGIGGLLSEE DWVKTFPEIDTVNSEGAEKSRKSTLQGFVVATFVIGALIGSLSCSWTGDRFGRRNVIF AAAVCSLIGEILEASSFGLAQFIVGRVIIGLGIGQLSSIVPVWQSETSAAVNRGRQVV LTGLFICLGYVLESWINLGFFEFEHGSVTWRPPIAIAILFSLILMASIYCFPESPRWL VLKNRSEEARHAVAALRGLPIDSTEVLAEVAGIEHSLEETSDKAARLGDMLKMGDDKL LYRFALCILLQFYQQMSGSNLVSVYANVLFQKNLGLDAETSKALTGGALTWKFLASFL AFFTIDRFGRRAVFMISGAGMSACMIALAITTSFGSENEPAMIAAACFIYLYNTFVPI GFLGANFLYCTEVAPIRLRMAMSSISTANHWLWNFIVVMVTPVALNTIGWQYYIVYAV IAACVPISVYFFFPETMGRSLEEIELVFKESPSVFATVKFAKTRPRETPQQFLAGKEK ADHLEQGDE FPSE_10121 MRFFNITLASLVSAVTALSSFDTWAHGRVALNDVSIHFRYAGSG PPLLLVHGNPQHSLTWQFIGPILAQNYTVIAPDNSGAGDSSIPPNGDYTAAASAEDLK GLLDFLNITSTYVFAHDKGVGMATALAIKHPEVVKRLSLAEYPLPGFGYEQAANPAAY WDLYQNWQLAFFQVTDLAEFLMSGKEKQFLQWYFYHSSYSGVESFSEETVNRYTSSIS KPGFLRAMLGPFSSTTVFKDAEFFKTSLNESKLSVPLLGMGGEASLGLKALIQESYEP ISTNLEIDVIPKAGHWIADENPKWTAKRLLKFFQDDESPKEVDLAYLDDMVTLDVGYF GTRRNFKLGSQ FPSE_10122 MLRQIALTALSVLPLTLGQVAEDFESGWDQVAWPTYAPDCDQGG KVVLDKTTGNSGTNSIKVTGGPNGFCGHKFFGTDAIPSGDVYVRAWMKSEKTLDDSHV TFITMPDAAQGKGKHLRIGGQSKILMYNHESDDATLPDLSPEGIAASKNIPANQWQCL EYHLSPDGTIATWLNDSPVKGLVYKPNDPASYSNGWKNSKPKPKIQGVYFGWESYSGA SNTFWYDDIAVSSKRIGCSTK FPSE_10123 MVALKSTLLVILALGNEAFAATTGVICATKLGTVSVASNKIPRA TVTFKNQITVIKKVIRKVNVIVVPQPRTTSETQISKTTVTTDADPDVETAISTITDTQ TTRITEFTTTTSTTVTSTAVTSYSTSTIKAPDNFKFLLNSGGYVPKLKGRGAKPILPR GTTSQYPQRVDCTKSVPSTTIKTVSTTVKGVRVTLQPKTKTKVVVKTETVTSTNYPPK VTKTNTITVSPTVTVHDTVTRQAIATDTVTVQTILPADPYYEACGSDAFLKTANDGNS VNLAIPFSTPYTQQYYFSADTMSSYLCCVQCMKSPKCFMSQTYSGSITCYNYLSTTTD NACPNGQIQWASYYGSEGPAFYTYANGPCGFMNNIGFQGIQG FPSE_10124 MDNAKPSVQMDEGDPSPAGQEQNLDNFNFPSQTEEWRLDFEKKL LRKVDTRLMPTLVIMYLLNFLDRSNLAQARQGSLEQDLGMSGTDFNLATSIFFVGYLL MQLPSNLILTRLRPSLYLSASCCLWGVVSTCNAAAQKFSHLIVIRFFLGFVEAPFFPG AVFLMSSWYTRAELTRRIAWLYSGNALANMFGGVLGAAILGDMDGAHGKAGWRWLFII EGVAAIGFSLIAMFILPNYPHTTKWLTEEERAFAAWRLAQDINEVDAYGESTVWDGVK MAVRDYRLYLFVLLQHVSLISQTFQYFFPTIVGTLGYGKIVTLWLTAPAWFATFLLSV CVTLSSAKTNDRSLHIICLMLVAAIGNAIATATTVVGARFFAMFLMPMGAVSSYQIIV SWVANSFPRPLVKRSATIAICNMIGNTASIYGSYMYPSSDGPQYIPGGSANTAICVLV ALIALMLRYVHKWENKKLDRAENETNAAAEENGKGDLAATSELREPGFRYIY FPSE_10125 MVKSTLLLGALAAFSSYAQAKEMKVNEDKAHRLYDTGVIHNKLM ASKQAVWEKQEAAGAFASEQYPKLGYTKCVRGFAEAIKGDAKNTFACHNADLYSFLSH ADLGSTGGRGSSSWGWTSDDGREFVAIGQYDGTAFAEITKKGQLVYLGRLPQYSVPSQ WREIRAYKNYVIIGSEAVGHGIQIFDFTKLLKIDPKKPVTFDAKKDLTSHFSALLPVG RAHNVVVNEELKYAVAVGAMPRNDKNCASGLNFFDLTDPSNPKSLGCAKGDGYVHDAQ CIVYRGPDKRYQGRDICYGYNEDTLTIYDVTDKANVTNIISRISYEGASYTHQGWVLD TQNQEFLVLDDELDEQNGAGPGSDGFPVTFIWDIRDLENPKQTGLFKHPTKSIDHNQY VKDSYIYQSHYGAGLRVLDGRSIPSDPTGAGVYEAAWFDIYPEDDNLSGGGSVAFVGT WSSYAFFKSGYIFINTIERGAFVVKLTEKAFQKPKW FPSE_10126 MKMNERYLLILFSQHALAQVCYFFGDKTKTFQTSPGYKPCSSKI TTYSTCCDFGNGDKCLENGLCLQSDTNETGVMYRGGCTNKNWNNCPDICLRVNTGGAE PLRQCSSKDYCCWSDSRGSNCCNLEGRQFSVTDGPHVSVGGGFVAGEHR FPSE_10127 MPLWTQLAKRQALLLSVSAFRHGVSDRDRCMQEK FPSE_10128 MSTGLRITDLPAEIRQQIFCEYFAITGGYVYNGQSDKLRTIENT PIDLSLIYTCRSIANDCKHLPLAVNTIQFSTLYREDWRSLAGCFNLVATYYYVLQQDI VLHLAHLITPEMHMQLEKKFPNFRSKLEIERAFHFRVWDTGDDNTSQVRFRPAACQFV DEFFEWNIDCILPNQRYEYDGYGLVHNRVRHSATSTRDNYRRVPGLVDRDFRRWDKYS GEIRQCLTHCLQLIAEEYPEEFGDHVFTCLPHWRGKYPAHDFLGLRFETWAIPSRSQV ASAMDRLGIPDFAWKLSETWIYEENPLSLGPPEFDDEDPPFDFEFRTREKIRFSAASS AIRFIGLLSTTQRTSIRTIVLLEDSASVNDMSLHGYGLVPFLKENPALQIQRRINIIN TLHAVCHVATAVWEVLYDTMNWSLHDETLIPNLSRWLLNAAGASNEGIPVGSLTLLLE TDDNPKVGTDIFQQRVHYCIARDQAWPKCLEEGLLQPLTGSQVEVLRGRFTLDDDFKN AIVQLVNQSSTVFRCDFDPGVPQGCEAFVNAAKAIIPGDIWEYWSSTEWLNNYINLAR TEEDKDATLRQYDIQTSQECILSWVSIGALWETQDDR FPSE_10129 MATTTSETLAHMDSTTTQDTTSHTPQTGIFKHISSIIPYFKNPR HVLILKLDCLLLTWTFIAGIMKEMDQSATTQAYVSGMREALGLYGNELVMFNTFFSIG YAVGLVPGQLAQTRVRPSIFLPTCEIIWGLFVLVTCQAKSATTVYVLRFFLGLFSAVF WPSVVSLIFNWYTPKELALRIACFNVSDVAGAMFLGALQAALYRNLNGAHGIAGWQWL FIVSGVITIAQGIVGFFVIPDTPAYTRAKWLTEDEKRLSRERMGDFGAKTSKLIPTSV LKQKLRKLITHPVTFFFLCAFVMNAWAHRANAYFVLYIESLKDSAGNRIYSTYQVNIL PLGGYAMQICTNLILNGLSDWKHWRWQISSGCAFMLGIMLSVLCAWPSDNKVVMGFYF MTYAVNAGSPALMAWFAELMRKEPEARSIVVALTVMIVYIGHATIPLRAFRVSDAPQY PIGFPLTTAFSFGSVFVQLGLLWWSRRNPQLMQNGYEGSSIDSRASDEESSGGVGRDG VSVDEGDYSTKEKTSSPVVSKLDG FPSE_10130 MSGLDAQEIASAYDAVRADKEPTNWLLISYASAVGNKLALTKTG TGGLAELVSNLDDGQVQYGYVRVEYANDKESTRVKFILVVWIGEKTKVMRKARVSIES GDVKRQLSHHSIAVTTGDKSELDERDIVVRLRKAGGADYNGGRG FPSE_10131 MSKHDSGSTSTGAMEQPAIDQKPRRNSKASGSGNPDAADQLLEN LGYKAELSRNRSTFQVAFMSFVLASIPYGLATTLAYPLIGGGPVNVIWGWLAVSLIIV CVAASLGEITSVYPTAGGVYYQAFMLSPPRWRRIASWICGWLYIVGNITITLAVNFGT ALFIVSCVNVFESSPGVGVMSGEAYQVFLVFLGLTFLCNAVSALGNKYLPWIDTAAVF WTFAGVIAIVVCVLAMAKEGRRDAAYVFGHFEANSGWPKGWSFCVGLLHAAYATSSTG MIISMCEEVQNPSVQVPKAMVATIFINTFAGLLFIIPLMFVLPDLQQVILSAQPVPFI IKSAVGSSGGAFGLLFPLIILAIICGIGCTTATSRCTWAFARDGAIPGAKWWSKVNTS LDVPLNAMMLSMVVQIILGVIYFGSSAAFNAFSGVGVICLTASYATPIAISLATGRKQ VKTGKFYLGKFGAVANVIAIAWSLLAMPLFCMPSTIPVTPETVNYAPVVFVFACLVSG IWYWAWGHKNYAGPPTNED FPSE_10132 MTTSRVDPADALQLAAITFDWGDSLDTKDWARLEAILAPELVVD YTEVTGQSWDAMPAKDFIAMVSAPTFVGDPLVDSQHFIGGSKYEVVSTDRVVGKHQLR AAHQRYTGADKTSVEAKGHCHALMQHTYVKIDGEWKLAGLKPKVYWTEFDFDKIFKSS F FPSE_10133 MTEHPKRNKARVADLASGFPHVPPFDGEESLDKSQIASAFINEF SSAVTSADWDKFADLFVEDAWWRDALTLTFDKRTIHGRSAILSAWKTLSENHNRKPTE FSDNPTGIWGMAPQYMRFSPTLACLDVPFGFCTKEPRSKCIGQAKLVRVDGKWLIWIL STAVQELIDHPFEHLPRLSKSSIDSSQRGNPSAQGLPNVSGVLDAVVIGGSSSGLANT IMLDSAGADVAVFDVELEAGGNWSTSRYSSVVLHHNRSMVQLPMFPIPPDSYPEYMGG KDLTRYMSSAVEQLKLPFFGGIQVVGSVWHEDAQHWTIDLKDIQSGNTSTIKAKNLIL SNGFLISKANTYIPPLQGREKFRGPAQHTSEYRDATSYKDLDVIVVGSGNSAHDVAKD LALNGAKSVTMLQRSPTTLLDFDIFISIVSMRYQGQMPVEAADFQENSLPLGVMRDMA SGLMSSLNQTMEPRHKLLQEKGYQIEKQVCLPCRAFEERGRSIYIDQERVFDLVLSDR IRIATGEAKGLTEQGLVVYDEAEDKSKTIPAGGIVFATGYENEDLPKKYADAGFIDRE SAGKLENVCAPCVDEEGEPPGYVTYSGHPHLYFAGIGFWMCRWISRYVAVQVMADVMG TFPERYARG FPSE_10134 MRFAPGLLLALASSATAQRFTNSSIPATGSATEPATGTTTSLSP TDTQVPDQPLDLGSANLGPGASFVNRPDGTIAIALFAGPNGVASFSIGIPNDAFADFI GSLFAILFEIFVELLENARKRADTDCTLDVTVDGVNVFAEGLTPNGGFVSKSSRGTLG GDSPPNVEFVQSCGDNPAQATIANVKIGAGEGDGGNGGGNPIVTETTLTNGEGEPTAT VTDTIIPTGTDTETNTNAEGATTNSEGETIVPTGTETSTDINTNSEGATTNSEGETIT ATGTETAPTSTATSAAGFPGSIGNFAFFGCVGSTGGFPTFELVESSASMDLDRCAELC EGRSYFGVHDNDCYAGDEINDDNTERVNSDLCDIECPGDDSEFCGGDAPLARRSRLSR RQAISNTILLTVYVSLGGADVTLTNVLTATVTDQSTITTTFTTTIEGASTTETQTVTA IYECYNGQCYPDTGKGGRIVYIFKPYPGEDCDGQYVYISEACSCKGGSQYVPKYCSNG DCHGLTVYKPEQCDDWYNYDVCYTPADCDTCEHGQVVYKPWENSYGTPDHPKVPELPV CSSSGCPETEHGSKPAPPCYGDHCEESGSKPTPPCTGDHCDESGSKPAPPCTGDHCDE SGSKPAPPCTGDHCDESGSKPAPPCTGDNCEESGSKPAPPSGGSSDSGSKPAPPSGGS SDSGSKGEAPCSGDHCEPTIVSSAGKQAVGGLALLAAVAAALL FPSE_10135 MSKTLKVAAIQAEPVWQDLQGGVEKSIRLIQDAASNGANVIGFP EVFIPGYPWSIWANSPVENAAWINEYFKNSLEKESPEMDQIRAAVREAGVFVVLGYSE RYRGTLYISQSFIDETGTIVLHRRKIKPTHVERAIYGDGQGESLDNVIQTTFGKVGGL NCWEHTQPLLRYYEYSQDVDIHVSSWPSVFPETSDQWPHHITPNCCKAFSHIVSMEGA CFVILSSQILTAENFEKANVKGFDYTKNGGGGFSMIFSPFGKELVKALDPGVEGIVYA DIDLEDKYKAKQNLDIVGHYARPDALSLRVNRHPAKPVFFANDL FPSE_10136 MPEAAPQNPNPRKRASVACNGFIFRRHTNAYITALEARVASLEK QLAMHARHPESPDSMDNCGPVDDEAAPPTTDPSLSLAPVDSAADEMDELTNSLGCFTL GEIGELRYFGASSNFSIISNHAIKIPSSLQARNRGIEAARAMPGFFEPTDQLRDELLT IFWKWQNSWQYIVPRELFVRDLYVDHAGRYCTPLLLSAILAYCSRYSRRLELRTDPDD ANTAGEAFAAQAKTMLHHEYDAPTTSTVQAAALLGLYWASIDNEGLGFMYIGMASRMV MNLGLHCDCSSYAVKSLISEDDVEARTVTFWGIYVLDKLYCLGMGRPASIQEYNITTT KPKGNVPHSPLLTDDQLASTSPYPTSHITENAMYTCEILMVTSEVIDQLYAQRYAWTD KEREDRVMKAHLEAVGLFDRLPKSLKISNSSLQCSPPYVYQLHLQYHQAILLLHRPFF QLLNPGKNSIFYDPEGGDIHSQSVKNSAVRIARILQIFRKNYTMQCMPISAVHPAFTA SIIHLLHIKSSGDIGRTEAMRCLSICIVSLYEMNVSWNWANRSIRAVQSLAAEWEIDI WAHGLAQEITEESRLQFERYEMDDVPVARVEDVGTAEVISLDDIFESWAYGQCFGESS LSFFH FPSE_10137 MLRSRFPTSHHFTVSVFGCQYHAEAPSAEKTELIGRFDKLIDSA AIHVEHLEQNDVPSKIWMSYWESPQKFKQWWEKDDTVSFWASLPDDAGFWRETFSLPA TRAMYEGTGKDAYGFGHCGSLLPLTTKTGYWGAYRSRMTPDFEGDTFSSPIPMYADQS VPADKIRPGRVRITDFPDNLCMVVEGQHYADMGEREREYWNENFDGLTKQWVTNVVTA GHKQGMVIARACHGFAGEKKLGATNGPVNGIFPGLDYVHQAQILIWQDISKMEYLGRY DETHVKLRRNFMKAYGPGGEMEGGDLLLWVDLGILKKDEIDAEYVGCYESTGFLKLDK GQFFKVESTAGSKLPPFFDEPIESKPIEW FPSE_10138 MSTTVTSSAAAASASTACAAQLYNQPNQDNTCALRYTDRYLPMM EKCCGDAKIVSYYDDCGIYCVALDQTIDDLSSCLFKAGAADADVFCSGNNKTTMTKDA EVPATAQASVVSSKDDDDKDGDDKDSSSAASTGTATGTASSSSETSSETGNAAPSLAP KSGMNTVGLAIGALLFSSFAAGIFQL FPSE_10139 MIFLLLLPFVVSLLMREADQLKRGNSSTSHLRQTSTNFPAVTSF HLSIKTHVLKDSVSMKIFNSITRRVRLLVSPSAFHRTHYTGSLLFNLASFILPALYGT LSKLWVANIDSSMVVLTDAYTYMNTASEAVNEGLPRAAWVIIGDKASRSLAKRLQLTH TLIAFQTAIGLLLSIIFLGAASSFSKSFVPVEVRDVSLTYVRITSFTVLAGTLETAVS TSTRALDKPDIPLAISTVKFAVNIILDFLIISRFHVGSFTPTVNMQGTIQLICNLVAA FAGLVYFLWSNTWSFVKHTPHDPQDTRLWPSFDCLKVLLRPGIIFFTESAVRNALYLW LVSTIVALGAVYATAWGVFNTIRWGLIMVPVQALEATALQFIGHNWGDWRRRVDISTR KPRASLKDLQCIVQPALRSLTLAIIFEVPIAIFLTLFGAKPFALYISGSDEVADVTAY MWRSLDWCYVFYAMSTQLATILLATRPKWYLYQSLASNLLYVLPWAIVCQVDDLNDGN AWWYYKFVFGGSLVFSFGDILVVDTLWAWSLHYGKTKLEAFRE FPSE_10140 MKNYALLACAIALTVASGTLPYNAIVECHREMSHVKIIRDKDTF ICVRRSMTFSEKGYSVAKYERLFGNTRGDIFFEAIDQGDTKIDKRRSGEWIKILTQRG VIKPGTCQRVKTIELAPGIDFN FPSE_10142 MAHFPIGRIGAGITHAATEEISAIIHPTNMTSQDCPIWSRSVSF RREPTPPRLHAYIRTRELADIIIDCVTSPFLTWKTRREPGSTSSDHTQLNTGHATNRG QKEQHTERCAKIADNISL FPSE_10143 MGWAEDTKLRDPYIFVKSDDFFQGIDASGSNVKRPRTVTFNQDD QLLSDGKPNPACSHGRVFRFDDESLLLIQFMRPKVWQIRFDPNNRSGDDFTDFNTRTI VKDTLGGSGGLIQTLDSLENIQWDVELVQDAQYIILQSVLKPNREVQLQIWIQRDPFQ ITVVRVLESNPPAERLPGLQSVDPQISEQLKLPGNKGAQAAIIWKTRPRGLRYTERAT ILSIEKSVTADFMGFGEQGGKNLFKKKTYMNYFNFDNMRYQNVYGKGSHDDREPLYHS EPFWIEVDSHPGYRSQVSTFIDNYSHICVDVGVKDTGVIRVATRFNSFRAIMVAADSM QDLISSYTSIVGKPRLKPRYVLGYHQACYGYDSQESVLESVAEYRKCGFPIDGMHIDV DMQDDYRTFTIDKRDKHFPDPVHMFKTLREQGVKCSTNITPYISSMPSDTYSTLNEGL KEGHFIKDDRDVDPSAPNVWEQRYVGWSVGNQMLINPNTQKPPYFEEDKSNFEDNFNS QKPFHGGVFYGWGNGHPGHYPNLNNQDVRKWWGKQYQYLFDLPFRLNLDSDGWCQDPT KLSRKKAIEIWSLYSYNLHKATYHGLNNIHKLSPILEWRENRRNFIIGRGSFVGSHRF AGLWTGDNASTWEFLDISVAQVLALGMSGITISGQDVGGFEFIDSERDFANPELLIRW YSAYSLLPWFRNHYTKRRDWVDGPRQGSMRKDGKLFQEPYAYQKYYEENSWKFQGQEA VIYRAVLPVCRYLIRLRYSLMQLLYDAMFENMVTGLPIARAMVITDDIDRSLFSADNQ GFTRSQYMVRNDLLVAPALIPESKRRTRKLYLPYPDKWFTMNLRPDEDLGAALIEPAN GGSRVEYDCRISDQDSQLPYITPMYIREGGIIPKIKVRDYIPDPSLPVQDVNPITLHV YPGKDNNYIMYLDDGISRDSAPVVGSGLNISDEKAADKYCQVNISQTTKSFNESTGVN FIRNVTIKSPFNGFGDLTSMIGTEYTVALWHAPLVDITTVQVNSSNSKVTSSTDNNSR VTVVKLPVAEAHTEDGVTFQMTYNEAK FPSE_10144 MICLLERNWEGDNELNEYVHKLLTQAPDLGDLEWAKVRVHQGHG DQTQFVQRQMMVAKSSRNADVNNNEQHEPPVALRVIIVGTLRNLSVSYAMSQQDVKPM NVKTIVQHIGSEQDHAMLVQEWHEYVAIMIDAVPNPQRKTMEGYSVVAGGSHPLQNLF NAPSYWQFNRDDIERPNPQ FPSE_01772 MILLSSRTALSWWAKSILGNHHAAKNLRDATCTQVPWWSHFKGP GMSRCMSAKDVKFSSVNAYKRKATEKLRIADLAATKAIPGAKGAIVVNGWHTSPSEKQ VHCTVDYIDAHNRRITREHIIQDPTKEKK FPSE_01771 MRWLLLSDLHFKNRDLDRVRRTAQWIVAEAEKRQVGRVVICGDL LTSRTMQPTHVLSACYRFISNLSDVVPRVHIILGNHDLAYRRDYQTTALEALNIKRLA PHVSLHSVIDRHEWDDRQVLLLPFREEQSELTEAVAAINPDDASKTVAFAHLAINKAI LQRFTPHTTNPITYRGLTGPNHFASLARTFTGHFHSHQTITQGDLENNEEDLHGSVTY LGSPLQLNWGDLNDEQRGVVLFDPQTLQHELLINPHAVGYTTVDVQQILDGQVDQNTI RDKHVMLLGTPNHLNYVTARDSLLSLGVRSVRDWTQTKYLSKSNQRPLDTLGSSVPTS DAAVQPLGEPMITQEDLAMTSDADSGTTFDTQPEVKTLDLIAEAREYVELLDLDESLS SRRDELVRVGQRIIQTSREITDEDSDLEVKYQDFLNRSVQTVGANASGSLEDSSTDVF VAEPRTLTITNFLGVQHIITIDFRSDFPRGLTLLVGDNGSGKSTIVEAIVWCQFGRCI RSGLAVNDVLNDSIGKNCSVTLEFSNGYAITRYRKHKVHKNRVVVTLHGESQPHLERP DARTTQAAVNELLGTDFDTYIRTVVLSQESAASFLNSTPTQRRELIEASLGLPMLDHW GQMTKMLLKNIDAEVARVRRKLEGVTRTMKESGRRVAELERTHTRLANEASKAVASLE SVSGQQTATKPQSSKERPCDTAVIESSVDPRVRISDLQKQIHHAQADLEHLKSFRTEY QRRQRPLEPTGLIDRLQAHVHQTIEGMATHRPTGLRKLFHYIKYMILNYASITIASYR SMFGDSEGGCEEQDAPNQEEIAVDKLDREIEEITSRLKTLKHEEKRAINHALTISENA ARSARAQQAREELQKQVAIKKHDTATYKHLAETERQSMQRFKSEHDSLMKDLQRIAED RELFEFWASSLSKRNRNSSSTSTAKLKERAGINFREHILLKSITELNVLLAQILTVLY DDTRHANIATGMLHSIFNTDATGALTNESIPASVLNAKLAVNSSLSYGKRSSGERKRV DLALFFALLQLARAKSTHRAHYVLIDEVFDNLDNAGQASVIKWLSVMSQTVVGWVVVI THSQFLIEQDLGQDSAKALVVRTSMGQDGTELSANDCKIGRD FPSE_01770 MPWDGIGDVYWTTSFQKSQVRRATTRKRSCANDSAFANCRKGPC GGATLCPRKSLNHRGTKIRSTSLAKSGKHGRASGLP FPSE_01769 MALLPMLSTIMPLLNDSHGLSHSRTKTREKHRIQKPTAFEANFL DDEVALAEMASLEEVNRVKVASLASLSSEAFRAGISPPLDPAFIADEKFLSGDLNDSD ASIVEISSGSDSDASSTEDSDDEDSSAELPDKPEAPVLIKSEPSTPSKPQTVPAPSRL TFTPFSQTPEVYYSDDEDDEDQPPSKKEILSDESTSEDFEYFRGELNLFEEDIASVLR DLGSIRIAAFGVGEADKPDNALCAPYRAGKVTLRRYGERCTIIILVVAGETLRFGQTR EILI FPSE_01768 MTKFKRQAQSQPKHGHPPASGASKAPVAPPVRRPGWTGPSYIRK PVKKTPVAVKENSSIEQIQNLSVKHQQLILDTIRTAFPAVDEFSSLRPVLHAVREALG QQDYAKAFGTDEFLEAYTIRWSPSRALTVANVLAWMCSEMGDAAWVQQFLHDDGRKPS KVVCIGRGGSDLLACVALLKHARQDKLDRVPYNDGAMLDLNLVDRHDWSGIVTKLQHT LTHAPPLSKYATAKAHASNIPAIPSQVLNLAVNQLDVLESGSTDLDAMIGPNSTLITL FFTLHELYSISISKTTAFLLRLTMAAPKGSLLLVVDSPGVDVALPDSSNKGQEKRYPV EWLLYQALLPPKSRPDVEEEEEKQPTWKKLVEGSHEKEYKLPTGLRFPGSLENTRLQV HLLERL FPSE_01767 MTEDQNHHSCRTNSSALAQPTLPTTFDHLNRNINPKDCN FPSE_01766 MADYLFMVGSQGARILPSQRQNKNAVQTRTRISLGS FPSE_01764 MPYTPPSHRSPASSAPTSPVASRRSSLQSSPRPSLPRSASYLTK HRRTPSASALSDGSTGTLTPQGTSEDLKSMGTAVPSSVRQSPPPVTDERTMPMGAIIS PPDSASSGSDDEEQGPQIRGRKLDKALRDAVSQIPMQRTSSPERTQIKHQDSTETLQL RPRKENMHLSFSTSALGDLAKGRKMGHVRSATEPNAGLGKSVDNSQPVSEEETDEDLL KKPQMVRKKSGELVRPALRPSSRRRPSSMPGTPIFSKAVHFDSHLEHVRHFLQVDRPL AVSAGSSPIDSYESDTEYPFPGNGKQTARAPPFEWEILTTNFPHDSVARKSLPVRLEK VWLSADQKSLLGSVAVANIAFNKAVTCRFTLDYWKTTSEVAADYSHEIRPRETPLGHD RFTFSIKLADTANLESKTLFICVRYTVNAQEYWDNNANSNFQVDFRKKHLPMNGKNNF QGASSRPANGLPRSNRRTSSANAPRPKSMPVGFGEFGNDAKLNYDQPIHEFLGESENS TGGLRLKSKSAGNLASDNLSKDFGSPSGLAFSNRYDFGASLSAAVQAAKEKEASQDKD GLYMKANVRSPKPILTVPEPTSTVKSPTMATGATSPNSTISSSSYEELVNKYCFVRAP EVRPASPIASGARRPSLFGSKQSSPSLMDGTLSGARFDTATAGNHRHTTSLGSPNGYS HHAGPAAHHTLQLGGAFSPQSGPSTPKDGFRANSASPTPRPSATARSASPAFVTFDGT PSNDLSYHVQQQMMDRFPWSGDGHAATAIRG FPSE_01763 MSSRGVPEVLFKKVDEYVDSLAPQIQPRITTELETFQQKTIDSL EDKVVDAFRSLFDKDKKPSDSRSLDDNAPDAYGGQSLPFADEIAKLTRSFTNITDEAG DDLRDIFDITEGRGGGGDETRSRGGGDSSRGGAKGFLSFAIDAVQDHLENNSGKGSGG PGFELDGLLGVLSGTVKDAARNPEEKARLISPEIKEQVGAKLREQHAPIAEQFTRIAL DHIKRWLRGNTSTRDLGDGVKGEIEDQVKDLVKGLGGLFGNKKSNEGSARGFGDNDRD GERADGDGESGGFSKVISDKLSTGIARVHREVRLEFRKILGGIEKQLFELLPDSFQRP LEKILGGNPFDSQLDRDAGGSADRGFGDDIKAKLVNKIRGLVRKVQETLRESILGVVN GGHRKFERQSWVFVQNMVEQKVQKYLPKVKISVPDDIGNEGVSVGAPAAGNLGGANHP VPAEQGGQYGQQPYNPPSQFQQDHRPEHQGQDYRPQSQEYRPQQGQDYRPHESQEYRP QGHDEYRPQHSQDYRPQHQEGYRPDEYRPQQHQSQPDYRPDQYPPPPQQHQSGGYNQA YQQDQGYGQNRGYDQGQDQGYGNNPRY FPSE_01762 MNPYFPSTASEKEKYQHPAPPQFLRKPVAPPPTPPPVYSAYPSP QFGSAYALPSPAAPSASTSTSPCPSPSLKTHFESYNPSSGSYNPSPASYSPSPAYSPS QSSFNPPPAPYSSTPNPYNPSSSSYNPSLIPPPPPQRPLRPVRSATNLTSAHAPQQSG FLDPRGTHQVDFVVDDLPTPTSLSPGLSPTLPNDLPAHEIRRVKSSSALSAALSTQEE QPKDSSKWKSALGEAQYFAGGLISHPAESNKHFSIIRHSHALVWYRGPATSVPITILA DEPLPPTRTIWMQQKGYSGSVGMSLKALMGTTGTWLNVTPATRASVEHLPEADERGIQ RDFKRFVKKASGKLKNHIPRETHIVRIPAAATDGYFRLVVCAGEDNKKILCGSPVFRI ASTSTDVSTVRGSSLSTMPLEMGIKVATTIGSQVAKKYTGVAGVVVEQGAKRLTPTNA TIKKVAHTAYHKTGLGAAVSETWKNGKAGRYDPLVVGSGLDAPLQIIGTDDGPEAPFP YKFAGKVVRGTGISTREYGIPTANLSEVGDDVKMRMGGVFAAWARVTPTNNTPTEDFD DDWHEAIVTIAPLRNAPPGVVQRNKVCVHILHDFEDTLFFEMRMKVMLMGYLHAASTT LSSEDIVEEHSRDVMTTMASLGRDAWGPEVNLSATRAAKSDRGFKERLGDAAGVVQGG LDRVPSHWVGVRSEGMEMRDQVYGNGGLWVVR FPSE_01761 MVAENYDAVLKGKYPGKAHAKRVVDLIRKDVPDANGIIYLESQL TRMMEDSDEPEAFRQRRYFYYLTGCNLPDCHYIYDIQSSKSILFIPPINPDDVIWSGL PVSIDEALSQYEVDEVKLTTELNATLAHLGAENPKSSAFAIAKQVSDHVSFIGFGNKN FDVLKNAIEVSRVVKDEYEIAMLRKANYISGIGHRAVFAKAKAAKNEQELEAAFYERC VAHGCKKMSYDPIAAAGRAAATLHYVGNDAPTEGKLNLLMDAGCEYNNYAADITRTFP LSGKFTKESRHIYETVLKMQKACIDVLKEGVLWDDVHVLAHQIAIDGLLEAGILKGDK DEILKARTSAAFLPHGLGHYLGMDTHDTGGNPNFADKDKLFRYLRVRGKLPAGSVVTV EPGIYFCKFIIDPYLEDPAHSKFINKDVLDKYWDVGGVRIEDNILVTETGHENLTDVP RELDEMEALVSAA FPSE_01760 MIKSLALFSLIAAASARKCTNITVPVSITSENAVFSIEAPVTEI DVTNLAINLARQGGTPYPQTIQTGKANITGTYDLAATYCEPDAGPGEQLQIMTHGIGF DRSYWDFPFNNYNYSYVARAVDEHGYSTLTWDRLGIGASSKGDPVNEIQVFLEIAALK ALTTHARQGSLPGVGCGGYSKVVHLGHSFGSVITYALANESPELSDAIVLTGFSQATA YLPWFAVASNFVPVVDSPIYPPGYVAPASTVGVQINFFAEGDFDPEFLKVAFEKGQPV SPGELLTLGAPAGVNNTYTGPVQIITGARDIPFCGDNCYSTASVGGKLPSLLDFSKQF FTQASRFNTTVVPGAGHGLNFGYSHTFTYDSIFDFLSE FPSE_01759 MSKLYDVLIIGGGPAGLSLASTLARQVYSILILDSGVYRNAPSK HMHNVLGFDHVDPASFRAKARDDLEKRYNSVEFQSATVATVRKTGDGTFEAVDQEGTV YKGRKLGLGTGVRDVFDGLPEGYADCWGRGIFHCLYCHGFEERGAESVGVFAGGMISN ADMLAHVTPMAKRLSHSVTVYTNANTSLIAPIQSKIHSSKVNYDDRKITSFTLVNDGP AVKITFEDGTSKVEGFVVNHPNVVQAAPFAEQLGLETTPTGDIKVDAPWNETGLKGCF AFGDAATMMRSVLQAMHMGGFAALGMAMQLQKEFDEKDEL FPSE_01758 MDPLLLNSSGDLPAAILQNKHFPITPAPSIIKTRAHTSRNHFVR ATAKRQAQHKGANLCLDTGLPCTTSNWGESTCHRCGQQDNTASARRPQLEKILHFEIS TGNLQRANPRWTHVQRNRNARNHAHSTGLGGSDELEGRDLARSKIPLPSDRYRLVRRP TLEREEAFRDASTARGNVYLGRKMPMTEDDQVAELYRMGLLYDDEQVRGEGFSLDSIN HQEPIYSIRAAKPSRKSRRSQSFSFNQPLHLDLSFTDLGGDQTIAQLLSSSDDDTSLP DSQSPSQRTFAPLRVIYELDGSQPSFDVDTSQPPDLISDEILSDYDCFSDSELDDGPR QREVFDSAATPTSDAWVVLGDDS FPSE_01757 MDTIRGEIADLDLKYHMCRAETLSIMTLQDKEPRLELSIKNLEA LNRLNNASIPTAMSQNILILGAGELGLSVLEGLSRHPKRQHQRITVLTRQATLDSAAP EKRKLVQHIRALNAGTEAGDIAAASVDDLAAIFKKYDVVVSCTGMALPSDVQFKILDA VVAAGVKRFFPWQFGMDYDAIGKGTSRDLFDKQIDVRNRLRAQKDVDWTIVSTGLFMS FLFRADFGVVDLSQKTVRALGSWETEITLTTPQDIGRVTAELVLDPRGVGSRPVYTAG DTITYGRLAEMLEAHFGVAFKRELWDLDVLTKQSEDEPDNKMIKYRYSFAQGRGVAWD KEGTVNVERGIEVVDVKKYLEMMDFKVGE FPSE_01756 MRFSTVSFLLLAGCSTGIPHEIRGGSHEVNGASGGHPEKLLGRA EKRSTDLQVDGSRALTVRSDAYEEDPIDGTEEEDIDLEELEEIEMGKGLKGRAIDPET DPDSAHLMPRAKGEKVSLDQLANSRYKKPHAEIALIHAYNKYRKPLPNQQLKQIAAQE EAFVKNKLGMKGSAAATPPAYYDSQYVVPVQIGSPQQTTYLNFDTGSSDLWVFSTDTY QPDQAGHILYKPDKSTKCKRLNGQTWSIKYGDGTGANGIVYLDTVRVGKTYVNQMAVQ SATEVSDGIASDKFAHGILGLAMSSLNTVRPTAQKTYFDRVQDALEVPIFTANLKKGS AGNYNFGYLDQSEYYGSVGFAAVKKDSPWWQITVEGFRVAQGAPWHKYKYSAIVDTGT TLLLLPDYLVNFYYKKVKGAYISQDYGVWVFPCTAKLPSFYFGFGNYRGKVPGHYINY GRIEPTLCYGGIQTSEGIGFAILGDILLKAQFVIFDLKGNRVGFANKNTVKE FPSE_01755 MDPAAPHQPPYTYTANQGYEQTEEIPRELQAQRDDGAVLENQDD ENDFDDIFDDNDFDDNDWSADAGDLTKSYNRQRNTNEGAALRSNQQKPTANTFASVDD QVSALSKHAAKIRLDSVKQDASDSKDKDKADRATSDQVLDQRTRMILLQMINRGFVSE VHGAISTGKEANVYGAVLLDDSTGEATQRAIKVYKTAILSFKDRERYITGEHRFKSGF DKGNNRKMVKLWAEKEFRNLRRIYNSGIPCPEPISLKLHVLVMGFLGDRKGWAYPRLR DATLTGDDIDQQWHKLYIQLLGIMRKIYQVCRLVHADLSEYNILYHKGKLYIIDVSQS VEPDHPRSLEFLRMDIKNVGDFFRRKGVDTLADRAIFNFITAPEGPVEEPEMEKAIDV LYENRADVTGEDNAAQEEVDNEVFRNQYIPQTLEQVYDIEKDAQRVGQGQGNDLVYSN LLPDQVIAPKKADGEKGDDDKEQGSTSDSDEGASLSDDDSHDEADFEKGTPRGRRFED KDEKKAHKQAVKEAKREKRKEKMPKHLKKKIVATSSRRKK FPSE_01754 MAENPSPDERVVIDDLTPEEANRIIHSHRKVRYGTACWPCRQRK VKCDNKQPCENCVKREHPRLCSYKPNRSSTSKPTASGAASESSQTVVNRKRTHSFSEV PREEAIRKVERQESWPRALASIDEPEVGADRYLGQNSIPALLREQSSPAHKGEGLDIR QDMRSILGLDTSAPFPLMSSQHLQKLTQDISNELPSDREVMKLFRAYKEIPQPFWGFV MDIDDLESKLMIYLEERSRNATKGVKSTKPVTASWLAILFAVLAVGSQYHESPYHIRT RDSQRFIQISFHFLRLGNFLLRPSFDSIQALLLTCFVLLNDMKAEASWALMGLTCRLA QSLGLHRTPRNESRDCTPPNTQSKEMVRRRLWWSCVWHDTLTSLSFDRSPMTNFPCCP IPVMSPTPNGNYSYLETMYHLMEIISRRLNPDDMMSSTYAQIIEDCESVEQLRSRTTP QLRAKENCKTALDRLQHYAIRLHTSFVISVACRPALRKDSEFEPEQRKTLADRCKDNL TETVRMFLAMHQLSAIPTRSWAFTYHGLSSALLLGILCETKTDPEVRQLQGDLIAALS ATAAKDVSSPEPHVMTTDKDIELSGPLYRALTALKNIYDHGSIVPSHLKKEGDASAAG SGSRTPQFPFGNAGQYSGNGNSMFRVGNVPQSADPREDAALAMAEMQGGGASIQDFAG LPYSQQMQANANLNMDSMNAMNVDPTQYMAPMDLYESIWGESPDPWNTGMDSLNFDFL AQPPPGQPQQQFYF FPSE_01753 MSANTLPPRKIQVKEELDRTIRSISSEFQLQLQIPDPTLSPTKR QLQRRDGEQERCDAIYYRAQFLKFKDPNRLSICLTRFREQADGHLTNWIRKPRGDPDT TLIKSSFGDPQRHHGRLTSEERGELQNFLLRLLNDNNIASQQRSRTAKRRSDEFSDYS SKRSRNSFDDSPTCDSIDDIPVRSRAVDSNGRVHAGRAARRSGTASFPNGATSPVTSF SSTQPQSLSFGPASFNSSKASLAPTVFSATTNGVPSTQTTDLTNHSFQGSRTSFQSSQ LDRRDHQKQNDSDIPFSQRIQQFQFNPQSTASRNVDPAKPSSSRRHESEVFPEPVGMP VDEPKLPPLAMPQPLRNQHLGMKKREAIQSSSPGTAYSSLPEMNELDTLFLDASGPGT TSDSCVLTKRLRNIWPKFPIPGLNQAPLIILWELTRAALHCRVDLSGWDLEYKADQTW HDQTNFRALIFRHRLFIGAGLPPPCDRVVWNAAFESFALHDKNVVLAAEFVINTEDSG PLYKLKLQPPRLELGHRLARRFGADRFMEIIIPSPTSRDAPEIIRNDEQGADKVIGWL NDNLHYFLGRSWSPFYNRAASKSVKDPRPPHKSITIMQERVYFFAIDGNNFRLPESQF PALEEATSLEYRTKMRRSDLLKWAIDVEANAFQPVPKLFSRLALSLSKTCPTIVLEPH QFRHRDTMLGFHKYMSSRDREEQKDMGDGIARMSRGLARRVSTHLGLLETPCAFQARI GSAKGMWIVDVEDDGLDDDVWIETYPSQRKWECKFEDVHHRTFEVREWSRELKSASLN SQFIPVLEAQAPNPNSMRNVIANHLANGLQDEIGGQLAAMTHPTSLRGWTHRGFDRSS LGRVPFVGGLPDHDDDIVSFMLDAGFDATKCRFLRDLVWNNQKRQAEQLKAKMNIKIP RSAYAFMVVDFTGTLEEGEVQLAFSSKFQADGESDTLLDDIDILVARAPAHFVSDVQK VRAVFRPNLKRLKDVIVFSSKGKSPLADMLSGGDYDGDQAWVCWDPDIVRNFSNASKP AEPDLVKQGYLRKSNPSFQSILETTPDMESACTGFLRSAISFNMQPTYLGIATKFKER LCYLEGGVNSERAVALSTLVALLVDQAKQGLLFTRGDYDRLKRDMEMRGKEPEYEKER SSVQNYKGENGFIHILDFLKFVVAEDTIAHALKQFYDALQVSGVQAWDKDLARLFNQY NDQRRVSKTVERLMTDIVAHVDAITTEWRVAMAGGKNDSTSSEYSAKVKELHHKWSSY KPSTQLMSMRIVEPLLDTWNGDPALSKWELLKASTLFKLRYEKAYAMVWRLAGKQLAW MKAMLSRSTSDTSAIAVTAEMWSILRPDNKRIAALNAQRQIGHDDESLAALEEVTEYD DETGTQIDDA FPSE_01752 MKFSTVFTATLASYTLASPIIINSPESITISNRGFPQDFAPRVG VTLPAGSKKVPRAVSDRIRRTSKNHDSVLKLMDFVATRVRADCQDINTSIMAVKYEGL RDVAVATTARTMNNIRTLLSKTVPQLDTTPNMVFTQEERRKLIDDLHIITSEFFKTTE DYINTLGGASGGRSLSRTTHALTDVLESITAMDATIASDMSRKLTPIFSGRVADDDDL LNIVVSSVTSFLSSIKLDEVTTACSTEDCISNQNEELR FPSE_01751 MKNAAGILAFLPLVAAHGFIKSPTPRMPGDAYKAACGDQPFYQQ SSDINGNVQGIKQVVGQDFKAADCNLWLCKGFQFDDNKDNVQKYSLGEKIPFEINIAA PHTGYANVSVVKTSSDTMIGEPLIEFENYAANAGTASNNTAFSVTLPTSLGGDCTKAG ECVLQWFWDAPDINQTYESCVDFVVGAGSGSAPAPSSGSGAEKPATSTPAAEQPAATS AAAEADDEDCDDEEEPVASTTAVAEKPAATTLQAVAISSAADSGSAQPTAAPGSDDDE DCDDEEPIADEDEDCDEEDDQNAGDDDEECPADDY FPSE_01750 MAIIDVNKDLAALFEQQASATPDAVALEDDKRSLTYAELDRETW ALAERLRDYGVGRDDLVGVLMGRSADYVIAALATLRAGGAFLVLEVAYPSGLLRDVIE DAKPTVILTQLEHSSNLTSETPVIVVDSPDKQARGESSPHLTERRSLPEDDDVERLLF VSYSSGTTGKPKGIMNPHRAAIRSYDLRFAVNDLQPGDRVACNVFFIWEMLRPLIRGA TTVAIPDHASYDPVALVELLSSKRITDTLMTPTLLATVLSQHPKLGQKLPQLRSLWLN GEVVSTDLVRRAVDALPETRLLNVYSACETHEVAVGDIKTFVDYDTQVCPVGLPTDPE HTYVLDEAGNRVESGVSGELYVGGSLLARGYLNLPETTAKAFQMDPFAGDKDARMYRT GDLARILPNGLLEITGRVGGMIKTRGYTVQPSAVESAIRKHLAVRDCAVVAHGEGLER QIVAYIVREKSESRDRTIPLIDDYGYSPVARRALTDHLAHYMIPTIWVEVDELPTHGV SGKTDLKALPVPPSPRSPRPVPKKEQNIKVKTETVLQLWAASLNMPVNVITPKHDFFD LGGHSLALADLAGRLTKTFGFPVPLAPLAGTPTLEGHVAAIKAARDGHTAAVQADLPA VLRADSILPDDIQGNGTPMRALKDAETILLTGVTGYLGAFLLKTLVDSTDAQIICLVR FPEPVEDCAPAGMARIRKNLIDLGLWEDYLLERIEILPGTLTRKRLGLSPEVFDELST RVQVIVHAAATVNLVYPYAALRNANVSGTREILRLAGRSGATVHHVSTNGVLPPSHTG WCENTVIDVDDVPDKLLDGYGQTKWVAEKLVDEASRRGIPVRVYRPGTISGHSVSGST NAWDLINAIIVESLQLGRAPDVEGWYVEMTPADFVSDAIVTLANHTGDTEQTLYHLGD PAPVPSKDLFSTLDKLGYPTEPIAWDEWVALWWEKRGNKKTGNDPFTVDILRGGMPSV EVLKSVIVLKDGKTQPTLDKYNVPRPKMNEGLLEIYMRHFYARGWLSRPPRRGQVNGC AKKVRKGRLVGKVAVVTGASSGIGAAVAAGLAKEGAHVAVAARRTEALEGVKKKISVY GGKVLIHKTDVTNKAQVESLMKEASEQLGPVDILVSCAGVMYFTMMANNQTDEWERTV DVNCKGLLHALSSTVPGMLSRGSGHIVAISSDAGRKVFPGLGVYSASKFFVEATLQAL RVETAGSGLRVTAVQPGNVATDLLGMSTDQEALKKYGEPTGAEVLKPEEVASAIVYAV CQPPHVAVNEVLIEPRDEPI FPSE_01749 MFDSSSADEKSPRVSNDKKPKTDKEDVETGEAGLDRAMSSRHLQ FIAIGGTIGTGLFLGVGPALVKAGPVSLLVAFAFMGSVVYSVMVSLGEMAAYIPITGS FTSYAARFVDPTLGFAMGWLYWFSWSITFALELVAAGIIIQYWDSELSIAIWISVFWA LFTALNFVPVRIFGEIEMWFSMIKVVTIIGFIIFSICINAGVGDEGYIGFKYWNDPGV ANTYMGIEGATGKFVGFWAVLVTAGFSFQGTELVGVGAGETANPRKAIPEAIRWTFWG IFSLFMATVFFVGINVPFNDPALDSGAQDASASPLVVVATRAGVKVLPDIINAVLLSA ILTAANSNVYSSSRIMVALAEDGLAPAFMKRTNKYGTPYFAVASCSVMGLIAYINLSS SGEKVFNWLLNVSSTSCFITWVLINVCHIRFQKIMKAQDIPREELPYLAPLQPYLSYY GAFFVALITITCGFTVFIEWDTADFFSNYISLMLFFVLYVGHKLICRTKVVPLMEVDL SKGREEM FPSE_01748 MGESDAYYNGGQQQQYNGGYQQQYQPQPPAASYQAPPQQPYQQQ QGPPQNGTGNGNGYMPAQGYNGNEKGSFDEQFKIAKPKYNDLWAGILLILVFTGFVVV SGLALQGYSANKGNAGDGIYNNKNDFSPNTSTVILFMFVLAVAFVLSYAYVWMARLFS KQFIWVTGILNVCWAIGTAIFYLWRKYWSAGIVFLIFGLFMAFCFWTWISRIPFSALM LKTTIDVSKKYGHVYLVSLIGGIIATAFSAWYAITLVGIYVKYQPAQDNPSCADGGCG KGKVIGLIAFITFAMYWFSEWLKNTIHTTIAGVYGSWYFNPHNFPKDATRASAKRALT YSFGSIALGSLLVAIIQFLRQICNAARNQEGADGSFVGYAIFCCISCLLGLLEWAVEF INRYAFCHIALYGKAYFAAAKDTWKMIKDRGIDALINDCLIGPVLSFGALFIAYACAL LAYLYLYFTDPAYNNDGQYTAVVMAFSFLIGFQIANVFTTPISSGIETIFVAAGWDPQ VMWRDHPELYNEMVRVYPKVQQVIQDR FPSE_01747 MASSSATSLRQGSSGASSGHSHPQSTSLSRHSNSNSSRSRSFTH QDVDPNVPSISIDTLVNHLLVAKRSLSSMTLVLRANEIANAARQSHEDVAILAAQAGF LKESILDQTTILVRVRRSLQGTYDWGKRDFKKLIRSMDLVDGGLEHTMEMLRDTSVEG VFRPDGEERRSLLDFIDEGGVHGVREAMKKSIQELQVIQQSFDGDLLRFDTDIRNLKK IITDTPTLTHDDTHFPTFELLESLVDHSANMAQLLVSLTHHFDMCVTAIRTTEGGVAL ARRRAAEATQTQGNDGVSISGVIAEQESNVSDLEPNTAKDRAEMLKVVVQDAGEVNDV VQEIQERLTAMEQDYLVLQEQHDISKQAYSNMLEAYAVLGEIGDRLADYLAAEGDFKS RWDMEKESVFSKLQEMQQLKEFYERYASAYDSLIIEVERRRAVDDKVKNIWRKAQDSV DKLLDADRASRETFRQDVGEYLPTDLWAGMQGSAKKWTVVPDGEGEDVKGPALRRSVV EGARERLGRVERR FPSE_01746 MHGYSSSEESDDPRRPRAQPAGNNNNNDKTKKKKKKLPPQKSIN QIWKKFSKRKFHKALAVLPFDPVQPPATYHSNEILSAGYERAAEECRRKVEKIIKECK RVNMRYRDPGWDLDWDLKYEKGHCLNNLGTQKFELNRTTLLSSKAAVPKAVKRVHEIF EKPTFMKEVSGGDVKQGSLGDCWIMAGLTALASVPEGLQRICVAYDTKIGIYGFVFFR DGEWIYSIIDDKLYLKSPCWDSPSMQRDLLQQIDREDNEYVYRKTYQTGSKALFFAQC RDQNETWVPLFEKAYAKAHGDYASLAGGWIGEGVEDLSGGVTTELLTSDILDIDEFWD KEMSRVNDEFLFGASTGLLEHGYGERNGISEGHAYVVLDARTLKSGQRLVKLRNPWGK VRKGIWEGAWSDGSKEWTAEVQEEIDHKFGSDSVFWISYEDLIRKYSHFDRTRLFRDR DWRCCQRWIGVDVPWKAAYHEKFHIKVTQDTPLVLVLSQLDGRYFKGLHGQYSFRIHF RLHYEDSPNAEDYIVRSHGNYLMERSVSVELPDLPAGNYVVYLKVTGERDSNAQSVEQ VVKREAAQRVENEKLAQVGYAYDLAHSKAWDHMDKVTKLRMKQDQKKASACRQKERRR MWEKRATNRDVSKQQTKKNSEKRKRRRAEWEAEQTRLDEEFNAKVKAEREQMKKERLA KAEAEKAAEAEKKAQEADDEALSKKTEEIKLSNDKEETVDDGVISCSTGSPHFTPKSV DLTTADAAQEKQEVPPVSGAPPAAVEQEEPLPVRARPAYDSAGESSDSPVEDWEALYS SDDMARKPRLTQANAAAAQDEYDSEEEKMPEPWNAICIVGVRVYSKDENLELRTVMEG GELLEGGMGSKGAADLDNAQSNAGGGRVVDRKSSETKPDQESKVSTPTIRKDDRFMED DGTSGEKYSSIKSYFHIDSAFTTRVNSPAPTPYEHDRRLEFTPSSR FPSE_01745 MTVVHIVLFKFRADVDETHRQTFINELKTLKTLPCVKDQRLVVG GPSITDPIARSKGYQICLLSFHPSPAALAEYQASSEHHRVTSQYLWPYAEDVTRFDFE VDEKDEDSFEKSFGLVR FPSE_01744 MHPLIHRLRRLPKPKFLHGIPNNSIFIIGTLIGVNALVWIAVAI VLHFHPALISPAALSYVLGLRHALDADHIAAIDLMTRRLIASGQRPATVGTFFSLGHS TIVIVTCIVVAATSGALRDRFDGFQRVGNIVGTSVSASFLIILCIGNGWVLYKLVQRL RAVLHDRKDRVHLEGFAEEETQIQDHFALEGGGFLTRVFKRLFRVIDRPWKMYPLGVV FGLGFDTSSEIAILGIASIQAVQGTSIWLILVFPILFTAGMCMLDTTDGALMMALYTS NAFSRDVVAILYYSIVLTGITVVVSAFIGIVQLLSLIQNVSDPQGQFWDGVSAIGDHF DIIGGSICGVFAVVGLMSILLYKPWRRRVEKPKSQTLIGSHDGVIQGTLSPEASPSPL SDHTSDYIVAQSVQRVV FPSE_01743 MSSNTPNDPEKESDGSNGRRPNPNQNSNVLRTEDMGIHPVVGGG DDGLLFDNLSDPTALLIFINGQGIKIPRNGDPPTEDPRNADYVDLENVQRAVDNMEAS LNNVSNRQNPQSSAPVISPQRAVKRERQDSDKAQPEARASKKCRQGPEAQQGVQAPDD EALRARHTGIQRPDCQYPNPMPHVNRSVQQGTGPRECRAIVPNVRRRIEWGVANSGPG GFDPQAIPVNNLPPWVNNLPPEMNNLMPQHLHQMGPQIPPQVPIMNGGQMHNTNIARA PHEPRQWPPIPQMPGMHQTNYEYIPNTIGAPQQPMMPMPQMGPQWHHMNGGHMYNTDM VHIPYPAVMPQHMHQMGPQIPPHAPPQWPPIPQMPGMNGNMHHTNLVHIPNSVGAPQQ PMMPMPQMGPQMGPQMPAQVPGMNGEHMLQSNGMPGQMRPRHVRPGHTQSGQRPTGQR PTGQGPTGQRPTGQGPTGLGPTGQGPTGQRPPRP FPSE_01742 MADQEPSTQKFSIPASEAQRLVQDILQGNGIPSENASIIAKCLI AADLRGVDTHGMNRIPSYMERIRQGVLSATAQPILTQVTPAVAQVDGQNGFGFVAAHK GMAAAIESARVFGIGMASIKHSNHYGMSAWIVQQALDANMMSLVFTNSSPALPAFGGK SKLMGVSPIACGAPGKGPMENFILDMAPSVAARGKIYKAKRRGEKIPLDWALDSEGRP TDDPEAALGGVMLPMGGPKGSALSIMMDVFSGVLSGSAFAGHVTGPYDPSKPADVGHF LVAIKPDLFMSLDDFRERMQYLYERVVGSEKAAGVDRIYFPGEIEQLNRKEREKTGIP LVQAEIDALNAEAERVGAELLKY FPSE_01741 MAAQLCDAYFTAINLQYPILHRPTFDSMLDQVYEQDNEDPVIRF QTFMVLAIGSAVLSGRIRARIPAESYCLSALQYLDDLNLENSLQGAQCLLLLLVFTMH SPSVRLNVWYLNYHCIATLLDLGLQRNISAGAGISLLEQEMRARIFWVIYTFDRVIAT MMGRPIGIRDEGCELRMPIGLSDEQLAIPYQQSTETSSEMTFAIHLFKAAKLNSEIKY IAQSIVRDSPRYAYPRVVDINDWQTTMLHQLDEWASQIPNQDDPSAVYLRTTCQIRYH GLRMLLLRPSPGIPKPSNEALVQCHKSARESIQLFDQLYKKNLLVHSWTTFHGLVLST ITLLYCIKVVPDIARATEVDALMADLSISLSVLSATGEHWSGAKRSRDILDELGKSTI RHLNDQTGVNSRSVSQTINHRPAPAEVLDVSGLGLQTAPMDTSLMNADSMGFLQNPFD DCMFNESFAGYFETDSINVDNIVRDLFQDFIPTYTAEL FPSE_01740 MTDSNNNPINPDYDLDKPITSKVGLRQGLASYGDPHFSLFLRKV FIKALGYSEDALSRPIIGIVNTYSSFNPCHANIPQLIDAVKRGVQLNGGLAIDFPTIS IHESFSSPTSMYLRNLMSMDTEEMIAAQPCDAVVLIGGCDKTTPAQLMGGISANKPIL HLVTGPMMPGSHRGVRIGACTDCRNNWAKYRAGSLDIEDITAINDELAPTGGTCGVMG TASTMAAILVGLGMMPFAGATAPAVSASRLRIAEATGGLAVAACKDVERLRPQALLTR ESFLNAITVLQAIGGSTNAVVHLMAIIGRHPKVAGTITLDTVDEIGRKTPLLVDLKPS GDNYMTDFHNSGGMLALFHQLKPLLHLDAQTVTGRTLGEEIAHQSLIPVPQELSVIQP FNKPLYPASSLVVLRGNLAPGGAVMKASASKYRNLLQHTGRAVVFANSADMTERIDDL DLDVTPDSVLVLQSIGPVGNPGMPEAGMIPIPRKIATQGVLDMLRLSDGRMSGTAGGT IGLHISPESADPKSPLGIVRDGDVITLDVEKRSLSVDLSDEEISQRIQERIKSFEQKD EEPWVKREGMRGYRGLYMRSVNQAELGADFDFLTAQGPQR FPSE_01739 MLNLKNKVALVIGLGQTNTEGWGIGAASAVTLARQGAIIFGGNR TIASTTKTKQTIQEQGGQCDVVATDATDSASVKALVDACMEKHGRIDILLTSVGQSQP GDPASMTEDVWDSQMDINLKSVYLACHHVLPIMESQGSGSIICISSIAGLRYIGKPQV AYNTSKAAILQFVKATAVIYAPKGVRLNAVVPGLMDTPYTKSLAQRFAAPGGYDEFCS MREGQVPMGRMGDAWDVANAVVFLAADETRYITGQKIVVDGGITSSTGRT FPSE_01738 MSSTNIPLATFADDTTFPPITDTFWPDFKEEVENDEQNLRVHAL RCGLCDELMPSQLAQAHDQHRPWIFPCGHMVGSSCLMLTQGNNFLDKNLNLACPVCRV DLPLHGCGHPAIGMQAPLDKKSFSHVSPVLSNGGLSAIKCGLCEARSALKYLRAVAEL DPPRMKRGKRMNLSIRTNPMRYDIVYQDDHHKVVERKDNEALHQRAMEYKDVPKTVRD LWEQYVKDWSEQAHQLWFYPNPSSFSLHASVGKKKKEEMGFWEKLLVGWF FPSE_01737 MSLPILDHFAILVSYQTLQTVTNTLKDSLLVIDGGAHADGLTVN KLIHLADGTYLEFIAFAEGVDPEKRRAHRWGNLEEGKIADWAHTLNNEADYAALQKRV ADAGNGVTYGDLTSLQRHRPDGALMKCLVSVALDPEGGRIFPGTIPFWCVDETERHLR SPFKADGGDGLHEYTKHPSHAKGVSKVTVLLPEKDIATYKPVYDAIHNQKAAEGKEHS WPYDLPAGPNAGSNKVVLSTLEGGNGKAEIKLTLLGTKDSPKSIELLPGLTVDFEQTA FPSE_01736 MTTLYQQSIPVLVKYLKNLSFLLQKGAKFCEEKSLKHEEMLSYR LISDMRGLPYQVQSCSNTAKFLASRFGAPNIPTFEDNEETFEHLQERITKTIEVLENV DPEIINGKEDVEIIMESKVGNFRFTGQRYISEYAIPNFHFHLTSAYCIMRTQGVPLGA FDYLKDVFEKV FPSE_01735 MSEPPSTRVQTPDVDSSQAVRTVHVQNLMDRLRSKSPIYNFIMA DAQLIESSQGAVTTRMTLNENHLNSSGNLHGAVSATIIDFVTGLAIASWDLRETTGAS VDMHISYVSTARLGDMVEIVSTADKVGGSVAFSSIKIFKVEADGTLKLVTHGQHTKYV KNSQPKASLA FPSE_01734 MPSDQHEDSVEPTGDVEMIETTQNTQETAPENTQDDTQNGEGEN ENADETALELAESEVAKPRITFANYLMSPIVTLLIGSGDQSILSAHQGLLTQSPYFKD ICDTFVEDGSPRQIELPEYDIDTVGSFLEYLYTGEYFPKKLPGQRVLESDPAVPAVDD SGNQLLKHARIYTLAEKFGVDGLKTLSSSKIHCVNSTAKGEIAYARYVYAFTNNDDIT IRAPVASFWATRSHTLRAEAEAEFKALCLEHPQFGYDVLTRVLDDKLKRERNDKMHPA TSSARKRARHSSGSRAE FPSE_01733 MEYTNLLRQLDIRPTADEARNAYNQEWTPSTQPTLLPVCASAPA DLLTPSAIYLKLSSGATAEYSFLLESATGSTETVGRYSFIGANPRKVLATGDGYQHSG DPLKTLEAELSHDRVLDIPSLRLPALSGGAVGYVSYDCIKYFEPKTERPLKDNLKIPE ALFMLFDTIVALDHFRSTLTIVTHMKLPKNPSDDIQPAYDEACETLKKTLDIIFQPET PLPKQTLSAQSESDQQFSSNVGRKGYETFVTELKKYIVKGDIIQAVPSQRFRRSTQLH PFNIYRTLRTLNPSPYVFFLSCADFHIVGASPECLMKTDGYAPLPSDSRFGYSAAEAR SRPRIVNHAIAGTIHRGKNAAEDDRLAAELLASKKDRAEHVMLVDLARNDVNRVCHPT TVKVDRLMRIDRFSHVQHITSEVSGVLRPECTRWDALRSIFPAGTVSGAPKIRAMELI YDLEQEKRGIYAGAAGWFGYDIVRVDDSSEQQDKIFVDEGPMDTCIAIRTMLVKDGVA YMQAGGGIVYDSDPTDEWMETMNKLSANLRCVELSERYFGDGVSTKTVEEIIAIERKK GEEEIKAAEQ FPSE_01732 MSSIQQYWPPIRDAIEVNNDPSQPNSTIRAQCPICMEDVAVTSF PPIPQRQATVPRTDPNLGEVLLCGHILCQQCRVSNEAASHPFENRTCPMCRTSLQCID CGKPSQVIPIPKEGPVTSVPAILSKGSRCRECQATAEVGESIQQGDWPEGLQDLEPGF VPLFYHLAGKLEQQGYAVSPRNLVDAFATIIEDEFLEMVTGRVQAIQGRHAALEGQTP WFADDPDTPVPRPTDLPGGGQRLQRLVPQEDNWPLSGTSPAGPAPQQNPWGPIGEAVP QGLPTPTSPLARPAMQPFDMRSPPSFEGFFGQRSENRPTFSLSEMSAGQAINLTSPTT QWMAFPSSSSPFIEVHTEAAPSTAHAVRAVDPTTPLFSPAAHPSAHEALMREVIEERF GAGLDITASVAELMADRLRRQRPISPLVAWSRGPTLDDREVINRHAVAAMNAHMVAEM SSNSGWDRFGFSHHDNSNDDDYIDFEDLLPSTDDEVTNGDDGNDDRDDSGDYYDLIIS ADSDTDSEDEDVEMAPAPDFFSYV FPSE_01731 MDPLAVVGLPTTTAITGLLEVGAAAIDILSDLNISPQHDTLVLN ALLREVKECRSSVHAFYKTLSLIENGQIPIPARAAWISLETLVATITDTVLAFSRLSS LCCAVDEESTRSSPEDAAKHFEKRIRALCARIRWHNLSIAMMMTIVNCPGEKDAKNSR DELAHRVARLLTFNVGLAARLRHTARPGSGSSAAKTTVSVTESPEITPVNVGRRPPAS SWSNSSSTSSPYSGTLANLTTPKALSRIVLPIELRELRDDFEYYTGTVPSQVGSCEFP TGGVFVNTPYNVTSAR FPSE_01730 MTTKSFCLVAATAALSGVSALQIPLNLQVPKLSWSPFSDDLPLV DTKELQKSIKPENLEARAKDLYEIAKNGEEEYGHPTRVIGSEGHLGTLSYIHAELAKL GGYYTVSNQQFPAVSGNVFESRLVIGSDVPKEAAPMGLTPPTKNKEPVYGKLVLVDNE GCNESDYPEAVKGNIALVLRGTCPFGTKSGNAGKAGAVAAVVYNYEKGDVHGTLGTPS PDHIATFGLGGDEGKAVVKKIKDGEEVDAIAYIDAEVKTISTTNIIAQTRGGDPENCV MLGGHSDSVAEGPGINDDGSGSISVLEVAVQLTKYRVNNCVRFAWWAAEEEGLLGSDH YVSVLSDEENQKIRLFMDYDMMASPNFAYQIYNATNAANPKGSEELRNLYVDWYEEQG LNYTFIPFDGRSDYDGFIRGGIPAGGIATGAEGVKTEEEVAMFGGEAGVWYDKNYHQI GDDLTNVNYTAWEVNTKLIAHSVATYAKSFKGFPERSSEAVVQSETYSDKTKYHGSKL FL FPSE_01729 MAAYLTKRITHPHHGIPVSRPETPAPAKTEKCSHPIPNVAAKGV PFFTPEQDPPAGLAIKPDDGRPVPRLFQPLKIRGVTMQNRIWVSPMCQYSCHEGFMTP WHITHYGGMAQRGPGLMMVEATAVQANGRITPEDAGIWLDAHVDTLRKNVDFAHSQNT NIAIQLAHAGRKASCVAPWLSAGATATEEVNGWPDDVVGPSTEPFNEHHPTPRSMTIQ EINQLKKDFVKAANRAVTAGFDVIELHFAHGYLVSSFLTPSVNKRTDQYGGSFENRTR LALELVEEVRAVIPESMPLFVRISATDWLDTNTEYNGESWTVDQAARLTQLFAERGVD VIDVSSGGNHPMQKVQGGPGYQAKFAKYIKKEVGDKILVSTVGSIKTGTLAEEIISGK DEGDVSLDLVAAGRMFQKNPGLVWAWADDLGIEIQLAHQIGWGFGGRATKKGDHVKMS IP FPSE_01728 MAPSQTANKKQRMTLAQVSAYDDILTDALVDHVFYWTTVPKNRT SYHPSRGVKEEEISKILQEEVVLKKDLDSAEKRLLTTNGLKRFHNGLKTDKEKDDFRK HLRRYVQIYLPDCPWEVSSTNRYTIVSHEAAVTARRAIRRNEAIKYLSGVQVVITPEE EMAISSQKKDFSIVVSSRSKCTSLFMGPARFANHDCDANAKLMRTSHAGIEIIATRPI DAGEEITVTYGDNYFGENNCECLCKTCEDLLRNAWGPEEGTVPVQTGIEQSLSDGYSL RRRRRDDSISGSSRTPSVTPDMRPRITKANSRGSLLARDTSSVRSPSIDQTSRKRTHD VLATPPKTPAKRQKLGVQPIVSDSSSRGTSVTASESSGAVETDVTSPEKETPEPMQTP LKGASKKQNNEQSRLAPVSPQSTEGSQSPQQKNGATSSNRSSLDTMSIQAILNDPLES EMESEPESKMKKVTVVPPPVEPVAPIATSIEAVEEGQAADAEQSKRKKQPRRVHKEDT PPARVRTPGDYLLTPLLLSEPEMAWIQCTNCDEYFVQQNAYFTRASCPRCERHSKLYG YIWPKTDKAGPNDKEERILDHRTIHRFLDPDDERRVRNRKSFGTKMNTEEAEDVERGR KRFGTAGLMGRNASTTEDSGHRRSGRLRRVNSRFLDS FPSE_01727 MIQLKTMLNCIDNSGAALVECVLVVGQKRHARIGDRVVVVVQEQ RGSSSGGMAGMSAANKVKRGDIRHAVVVRTRYPTQRPDGSIVKFDDNACVLLNKAGDP VGSRINGAVGAELKRKKWSKILSMAPMQA FPSE_01726 MATPQSTTSYEAEADKKLEQITFRFCSECSNMLYPKEDQDAHKL QFTCRTCQYTEDAKSTCVFRNNLNTSAGETAGVTQDVGSDPTVSDLPPILCHGCGGVI YCTSCGKPDCHMVASLNKISQPITGMCQFTLPWEDPSADYDEEMMDEDSDYAKTESDD FLSDVSEEFLEEISMMSDS FPSE_01725 MADTGLPPGWEVRHSNSKNLPYYFNSAEKLSRWEPPSGTDTDKL KHYMATNHSAGSRPGAVPGVPEGKIRAAHLLVKHRDSRRPSSWKEAEITRSKEEAFEI IKEHENKIKAGGVSLGELALTESDCSSARKRGDLGYFGRGDMQKEFEDASFGLSPGQM SEIVETASGLHLIERLE FPSE_01724 MSTFRNNVSKILRCLSPSNEARTSRSTPVHLAKTLFQSTRDMSL SKIWKKRLNYLARNVLEFGWVVIIYLMSELIIWGLSRALAPINLEFFSSIFGMVLTFC CMAFAYLCFSGVDDVYQRHIKSKVDFINVHLGLGFPIPLVMLNQSDILGGHDIARIIG NFVVTNLASWVMVFAMSLLVMTFAARWTAQVPDDFCLPKSHTEAPPRIETSWLSDSTL DQLPQPMFSRREKATNQPAPDRDTAPSTTISQRSSIALKDPVDASSVWHLWTSNFPLL ASFMGIFIVGAPIAAAANEDRILDGCVMWFIWALTLRLQREVRTAKVCADMPNLKNAI VTLMNPVLFTTLLMTAYTRAKAGAYGFGSLSKVLADFSSGTTLYLLWTSVATGTPLSD DRTPWFGAGDAALSILECGILIWGFKLYECQRQLFSLAGLLTVILATAAAAGNVFVSV LAGNLIGLDAPEALSFAARSTTLALAKPAMAALGGNLGVNAALVVSNGILGQLCYPFV LDKLGVKREDNPRSETGLSESDSRSSRLSLKPLLKSAQQDLASGDDPFTISAGIAVGI NGAAMGVSYLYETKSRAAPYAALAMTVSGVMTVVFTTVEPFKGAVLNLAR FPSE_01723 MHLAPRDRAEALLLKAARAHGIPATKDDIRSALSDTAFVEWANL HLATDNLLTGDELALYTALDKSGQVDRLADLHDLGEVQAVNEDDIRAAIEELNRSTET ITKQTETLRQQQDALARLVKKRDEAEIEHKELEDERLRKTRYTLNKLVFEVDGEAQSL EYRVQDLEQIAKTSRSNVKRTVESVFQSDDKLLLSLQKLGWELDQQDPEEEKTIEKLR ETCMRLIKTTVETLRTRLDRIYLEAILAAERSGDVKAATQDDVKALEEELESLYSEIL PVAQMSTEQQHLEPALKSTDAQSGQSLRRSAVAVTYVNECLDHLVDKITLLTERVETL QSHNAAASSIIATAKAESSASLSPEKKKSIRAAMPASPIRNPSPIRMRANTVGSHRGA NNKSSRRSSGILDEPAIEALLRDLALSLPDAEEASIQDQVSALNKAFRDRSDKTTDVM RGAQEGFEMSVTSRLDDARLAIQLLRDSMLAESPFGKVKMLDPEFEESVVALARDVEG VKEKLDGVVDKKALAKSVKKDEFVQRWA FPSE_01722 MAWVPNPTLHPRQYTDFIDTDEKRQSALAKNLETVKRKRRASRS PGAASSSAGSVFTPDSIPRQRNRRVPTVERTSRRIDRITIHDEPSGSWSSPLPEVTPS PTSLDNAVDEYRRRLYFQPLPLFDPQGLRERIQRSPMFLQWIFLALALSNLPYDASSA KEADLIHSHARAARDTVLDLAMQGTAQLEISQALCLLALGDILEGRPALALMTIGAVC RLELVRGAGYAESPSDASLRCYWSVFILEKGFTPRFTLLSQTHSKPEYPRSAREPSPP AYIGDEEYAPDLVTIRDDLRTDPGITSHAVRAVSFCGDVIAYLHALRIGKADNPADTN SNFYQLTNTLYDLESQLGHIHFVRNVGFSERTSEEFERHREYWAPWLLFQMTAHASQA LLNHPFIHLVLLRRANRPSQPRLFLQQTVDQAMFHSAWVTSQAHDNNMISFQPSKIWQ LIDTDIMDAVAPQPTSGEAVGNQADASKTTVSVQTTFVHPVGGERRVEQRQTNMTESL VA FPSE_01721 MTSRNPVAKTEPIVIVGAGVFGLSTALELKNRGYQNVTVLDRYL PPVIDGSSVDISRVIRVEYADPFYGKMAREALEGWTGQYSDHFHQSGFVMLTDKSGNS FAEQSKNADKSLGETLKEYENAHDIREEFPAVQAKLDGLKAYYNKTGGWADAESSIRQ LAMECSLAGVSFITGARGRVLSLRYNDRKVTGVNVAEGEPIAAAQVILATGAWSNRLV PISHASSGSGQPVGFIRLTPQEAKKLAEMPVIINLSTGVFIFPPTPKTHILKIARHGY GWATEFDAPDAITESRKVSTPKRDENNATANYLPKDAEEALREGLQQLVPEIGNHEWL RQRLCWYSDTPEGDFIVDHHPAREGIFLATGGAGHAFKFLPVLGRYIADCYENKASEE LRHKWRLRAPTGKDMVKQGDGSRGGPPLRKLTRAEQAKL FPSE_01720 MIPTTTTSVEAAASKKTKTKDAPQGQDPQDNNILSGPVAREDDD STSEKMIGEVTEFEKRKILDGKAKFNRLGWKRLTIVLIVQAVALGSLSIPGAFATLGM VAGVICSVGIGIIAIYTSYIVGQVKIKYPHVEHYPAAGGLMFGRWGAEIFGAMVTFQL LLLTASHCLTGTIAFSTLTESNVCSLVWGVVSAILLVLLAVPPSFAEVAILGYIDFVS IIAAIGITIIATGIRASDAPEPVNWSAWPKEDVTFAQAFIALCNIFFAYSFSISQFSF MDEMHTPTDYMKSIWTLGGLEIIIYTLTGALIYSFVGVDVKSPALLSAGHTVAKVAFG VALPVIFISGSINTTVAVRYIHGRVHENSIAKYINTPKGWISWLLLISIFTWIGFVIA EAIPFFSDLIAITSSLLNSGFTLYWPAVMWFMLLKKGKWYSRENILPAIVNLIIFIMG LVFLVAGTYSAVVDIIDQYDRGTVKNAFTCAPLG FPSE_01719 MEHPVKEIGGVIKSLTQGTPEAQESALREYFLPNASFTHPFCHV PSISKGQVPLAGGLESIQLILGIYRWYRTLSPHIDIQVDSAAFDQKSGLLYVSLRQTF ALWFVPLYKAPVRLVSVLQLTQLSPESSSDEDEAPEDRKPRRQSPKYYIASQEDLYPV NDCIQFLCPGLGPFLWRIWQLYSTWLCIMGSLLFLPVYFLLNGTGSKTRRTMPKF FPSE_01718 MPFNSGLVNPILHPLIFLFQIFQWITAKLLSPRPPSENARLGHN RPKIAVIGTGITGVTSAAHCIGHGFDVVIFEAGSKDNVGGIWSRVNETSGLQIHSLMY RFHPSVQWNRGYPDRQQILSQVRQLWERYGLKERTRFNTKIDRVYQDEHGRWIVNNPS LGRFGGVIAAVGTCGAPKMPKIHGMDNFKGPIYHSSDLTGKDVKGKKMAIIGGGASAV ESLEFAFAEEAAKVSILSRSDKWIIPRNMFVDALLSFNIFGHETVLSFIPEFFLRKFF YRDLENISPTKSHGLFMETPMVNSDIMDKLREGKAEWVRCDIDDFVYQGVRVNRRAKG VPQGGPGHEEVIDADIIVMATGYKRPSLSFLPDDCFREPYPPPNWYLQTFPPSHPSVS AINCTYVNAIGTVGNWHIGIYTRILLMFLMDPLSRPSPFWMQRWIDLTKTLKRTSPTG AFDFFTYLELLWWFFFCVIINPFRWKWAIFVFLGVGFGLPEAVVSQEERITNGNGYHA RDEGTSL FPSE_01717 MDRPNETPSNPQDLRDRMARFIDFINTGDEAIGKEVVSESAIFH VPFGSEPLKGLGGYMQILGMMRSAYPDIQWTLEETVVEKDTIVARFNISGTHQGEFFG FPASGSQVRSQAMNIYRFADGKIVEERGLPDIFGMLVQIGAIPVLGPK FPSE_01716 MASPMLIPMIPLYLTEPPPGYTRVMENPPTSAGAVLPAGVATTG VALMIVVIRILTRKFVVKGNLGVDDYLCIASLIFSFGFLAMAMMLLEVGVGNHTWDVP IRPYVPMFGQYSTASNILYCASVSLSKLSVLTFYLRISIDKLVRRAVYFCIFLVCAYN LTYVLLIVFRCWPISATFDPLQQDKCLDLSILAIYLISCSVAMDLFLMIIPFRIVQPL QVPMRQKLGLALLFATGGSIIGVTLRRLFITLPVIKSYDYTWNLPPQVVLSFIEVNLS IVVICIPALKPFFKRYIPFLIQSKIRSQDKSPKNSSNQEKGSDCPNVVDSYELSHRKS DGPQDEEARLWYRDPEQGVSVDSDGFRQDTESFDSVADRCPSLTQKPEPAVVSFSTKR SHSFNGIDVSRETVVTYGQAV FPSE_01715 MASINTTSTSGGSAAPIQMSGKANAATPKLNSEVEMGSLPGDAQ TQEDDIMQVARVGDVPAMEKLFESGEYDATYHDDEGITPLHWAAINNQYAMCKFLIEH GAEINRKGGESIATPLQWAAQRCHYYTVNLLLQHGADPLVTDAQGYNTLHISTFNGNV LLLVLLLHQGIPVDVIDTFGHTALMWAAYKGFPQCVDLFLRWGASVHATDEQGFTALH WALVKGSPGCILKLIEYGADRFAKTQTGKTPSVTAKELNTEVAWHRALTECGFDEDGH PAVPPWPGASYFLKDKRSFVTRFLFFWPFVLVWAMLVAMSSAPVYIGVPLGIAAVYAI QWVAQQVLEYAPSDMRHFHKTPWLTGIFAATLFWTGVNWLTTVLFATTLGASEGKGHG ILNLLFALFFGFTVYFYIASMRYDPGFVPKMNGIAEQKAVIDELLAQWKYDETNFCVT CMIQTPLRSKHCRRCQRCVAKHDHHCPWVYNCVGINNHRHFFFYLISLTMGIISYDFL LYYYFDTVSKNASETCNVLSPTLCKYINADSYTSILAIWITMQLLWVTMLLFTQFIQV ARAMTTYENMFGIRDGTNITALTSTGAPLDPNHPSLSATGPAAAHSHKHKGGMLKSLS RTLGVDPFIETITGRGAVSGKNKRKKKNPYSKGCITNCKDFWCDPAPIFGQRENGSAV LGGERVDYSAMYESPSLMTITGRRDRGGYEAVGTEDVV FPSE_01714 MVNFIEVVEAIMTPSLTTIIIGALIVLGAPIILHLLLASSRTYT VPPSVLLVGPANSGKTSLLTLFERGATGTETHTSQVSHDVELNASTDSEHKHSYRNHD VHDGTYTKFLLIDTPGHGKLRNVAEAKLNRTEKLKAVVFVVDAAAIGEPEVLAPTAAY LYDVLLFLQKRATNTKAKTSIPILIAANKMDLFTALPSTLVKTNLEAELTRIRASRSK GLLDSGVGSDDIGSEEQDSWLGEYGSSKFTFSQLQEFDIDVDVLPGNVTGDGPGADKW WWWIAQRI FPSE_01713 MASQVPHVLPRAATVHSATELIGNTPLVRLNKIPQSLGIECDVY VKPELFSAGGSVKDRIALRMIEEAEKSGRIKPGDTLIEPTSGNTGIGLALVGAIKGYK TIITLPEKMSAEKVSVLRALGATIIRTPTQAAWDAPESHIGVARRLEKELPNAHILDQ YSNKDNPNAHEFGTAQEIWEQTGGKITALVAGAGTGGTITGIARGLKKHNQDIKIIAA DPHGSILALPELLNQEHVNEGYKVEGIGYDFIPDVLDQHIVDKWYKTDDRESFHLARR LISEEGLLVGGSSGSAMAAMLLAVKEYGFKKGDVVVVVLPDSIRSYLSKFADDDWLAA NNLLPVNGVESTVNGNGDKKNTDPYEGATIAALRLKPVTSVGATSTCSEAIETMRDKG FDQLPVLSASGTKLVGLVTLGNLLSYISSGRATGQSSVSDVMFDFARLDEIVTDPREF ASPINPGKRRQFVEITKDTPLTTLSKFFEWNSAAVVTETSGDSKSLSKPIAVVTKVDL LTWMINKKL FPSE_01712 MKFFNALLYGALLFSEVSATKKLTASQVEGDIKKSKLRKTLVDL NNIGKKHGGNRAFGLPGYKASVDYIYKELKKHKKYLDTQIQPFNYTFEQTRDIQVRGP DGEDVYVITLIYNVGTPAGGVTAPLALVPIDDTRGSGCFADQWEGVDAKDKLVLVKRG SCAISDKLKLAKKAGARGVLLVHNAPGEGITSATLSAENLKLIVPVGVIPQEVGNAWR KRIEGGESLEVTLLVDSFYETRETWNIIAETKQGDPKNVVMMGAHLDSVQEGPGINDD GSGTAGILEIAKSFTKYTGYKNKVRFAWWGAEESGLAGSYFYGEQLTEKEADSIRFYF NYDMIGSPKPQYWVQASKPADRVGGDILAAWLRKKGKTVQWEEFGESSDYAAFIALGI PSSGIFTGADAETDPCYHLACDTIDNIHWDALTLNTKTAGRAAAQFALSLKGVPARDK TTPNPKNKRAIAARFELWQKKKTLASNSHKCNHKTKEVV FPSE_01711 MVVPHMVIRQEAQVITQTVELPSTTYTTYVTLGVVSAAATDRPV AVPSQHSGDGLSGAEIGAIVGAVVGFFILSFVVVCCCCRRPKKQVKKRRSYRSYSGSE DDWSVVMPHEGWTRPVPVVIPRPTPVQYPPPTAQREQVPGGPKFPTYRAIPIPNPRRA ASNLPRTYR FPSE_01710 MESDSILGKGDRSPYVRLLKTLADKPILAGKIRALRLSKAEPEF DNIHKIRAALQNLTLDWSLVGRLRRCLNRSGAASLLLAHAPQVELVECEMANCKSGIP WMLSGILGLEDKVDFHNEIRRIDDRFAFLVEEAKLFFNSDPRNMNTQLTGRLRNKSVN YSPLQNLREVRIMAKDVKEAFLLAWSIEPILLNPNLKTLRTRGVDWYMDEKEMFVWPT HQSNLECLDLQQTILDGNTLKKIMTRCPKLKSLFIDLADLYTKRDDSVPDDNLRGGRS HYGSDSDDEEQYDDGSFSDPDARHGDPVCDVDVNLTDISNVLREHGGNIEELAFCTFL YEQDWIYYSWGGIGSLRELTKLRYLKIEHWKLLGSQDDDLYDNHSMNSSAPSLKYKRF SDVLPASIETLYIYNIDVHPGASYKIDEAETINALVKAFLAEAETWAPNLQKIVVEWW QSTWKWEDFCERDWSRETVDDGWDIKFIEAPFEHELPEEHHKMIHVILTKKEKGRN FPSE_01709 MAHQAERLPWQALASIFDLKPTNPCQHDAPNLHPRDEPETRQKL SQFLDAFIKNASLDAQRERKKYPEKCDPLDVGVFLKSMTGEDEDEGPRAQYDNPPKEH VILTDELVDKITPTVQRWYPKNKDGSVSSKFDQGLQCPHINDSDKCECVLPRKERQLA AFQRDYYPNDCFEFYGHNGEAYRNLEFVKTLILLGEMDPVLQVCSTDECYLAKWWNAG PCYCEDFTLGWDMICDYAIKMYLTLNILYCFPETWQTGDGSPVDDYRGLASYQMAIRL CTLSSGCQVATYPHRDVFGIQDKQFDTYPKPFDMSRWKQYLKVDDSFVSRADWDLGPE FVKPLTYKLKFYPYGLITYDELLNFEKPASYQPHTNDVSHVRWMLGQKGLPTELVDSI ITTAGYSPGRSLPVDGRPFHPGNKAELDRYLEECWQLIVRCFMLGRELENEDVDFEKR IRRLFKACIQEVFRCDCNGSVELFYNFDGQF FPSE_01708 MTQVLSKSLLIQGLPFVSHQTDTKSWNFSSREYYDITPPSEVSE TEDAFQDVVTHSIDTTAEPLVAVIGVGYVGEHLVDVFSRNHDVLGYDVSEARTLQLAK KQAVGSRARFTCKASDLAKATHFLISVPTLLLDNKTIDSSFLQSAINVVRLYGRQGAV VVVESSVAVGMTRALLGSLAKERGFYAGMSPERVDPGRVEPPAHSIPKIVSGLDDIVP GSLDAIIRLYSKSFDNVVPVSKPEVAEMMKLYENCQRMVCIAYANEMADACGPHGIDP YEVCRAASTKPFGYLPFSPGLGVGGHCIPVNPWYLLSNSQFPFLRAAAEKMHARPSEI AQRAVSRLCNSDDTGLKPRVLVVGIGFKRGQSHLANSPGLELAKKLVETNKVDVAWAD PLVAQEAVPQIKRLPESDWTVEALERDFDMVIVAFRQDGLDFDVLDQVRGVLVERWCI FPSE_01707 MKNSCSITLGSLLLLHAGAVLAGPVYGVDDILSPRHSKLRKRAE CGPGIGSCNPGSCCSESGFCGTTGDFCGGSACQLEYSDSCDTFFGPSGSSTESISRPK IGSVPYGSIIKTCTTPGVIALTFDDGPLTYTNDILDLLDSKNVKATFFVAGNNRAKGH MDDSSNPWPAVMRRMHTAGHHIASHTWTHRNLNTVNSTIRTSEMIYNEMSFRNLFGWI PTYMRPPYLECNAGSGCLAEMSRLGYHVVDQNVDTKDYENDSPQLIQNSKNRYSAGVS TNSASNQYIVLAHDVHDQTVHNLTSYMIDTARSRGYRLVTVGECLGDPRANWYRTASR DRDVTSTSTAAATQTVPPTKVTSTTKATATGGLVISPNQKCGGDTGYTCQGSAFGSCC SFYGYCGSSASYCGTGCDADFGTCTPPSGGGVHDTTNGVCGSEVNASCRNYGSKTCCS QYGYCGSSATHCGTGCQKGFGTCT FPSE_01706 MTSRMPISGHRTSSSSSERGNMSVQQGKKPVVPGMSSGEDSSRP STSYPQVPPAPLRPGWTLSRDSSVVRGINGDFHPGAQTPMSGMTHASWLTNNGNESNL SFTSSIMQEEAKLRWDSDEELKKMSKSMLRLQKWSLIIGLAGINGALIYIGWKYYQVY YFFLVLLSSNTVLQSFMCICIILHWFCTRVLCFWWRPKENIPAEPEKMVLLLPCYNET YEELTRSLDSLIAQKHIDNHPRVIFIVVDGNVRGPGMEKTTQEYLLQDILEPGPSRTF ENGYRARDGLFMPVKTQTGFYKGIPYVFVGKRYNQGKRDSLCFARSLLYHFKQRSENV VTMFNNDLFEYVGNNFINAGLDDITYLCGMDADTVFDEDCIYEMIVEIRKNPKVVGVC GHVCVDFDGSNWGYWSLYQSVEYSQTQGLRRMFQSRITGKVNCLPGCCQLIRVDEATF GDAVLRERFGYCPKPNDIMTQHIMGNYSEDSIHASIIFSLFPGCQTAQALRAKAMTIV PQDWKVFLSQRKRWALGSISNEFVMIFRPGIILIERLQSLIAVITWAITPFIIAAFVE LLMVFAKRGKEVMSDPVFLGLICVLFFRYLYSFCIGFWLPRNNLERLQYFVGYVMHLF TSPFMNIIILVYSLFHSDDFKWGKTREVIRGEKDTDDAGGRGTH FPSE_01705 MSPASDKGSVGGYSEPKHVEQASQSGDAADLTALGYKPELQRNR SMFTLLFQSLAIAAIPYGFGAPLINSVYGGGQLSMFLGWIIVCILDECIAISLGELAA RWPTSAGPYYWSFQIASPQYRTVLSFITGWTWLVGNWTITLSVNFGFASLLAGGISIF LPDYEWQPWKLVLIFYGLCVFTWVIVAFGNKFLPAVDTFCAAFTGITIFITCVYLSKE AKDGRHSPDYTLGHFDPNLSGWGNFGFWVGALPSAYAFSAIGMITSMAEECGDVTVKL PRAMALCVPVGCIAGLFFVIPICATLPPLEILLQAPLGQVLPVIFYRVTGSKAGAMAL IVLVLIVTLFCSISITVAASRTTWAFARDRAIPLSRVWSKVDKRHGTPIMALTLTTVV EMLLGLIYLGSSSAFNAFIAVGVIGLAASYAIPISLSMLTRRAGVNTAPWTFGNRFGW IINIIALAWICFEMVLFTLPVAIPVNAVTMNYAVVVFFGFMAISAVWYVVHARHVYKG PPESDGLSK FPSE_01704 MSPYFTSPAGSPSRRPAQSSRTSPSKSPSKEQREACPYELGMPD TEWAPSTPAISTRQLSNDADSRINYVFELFKAGNLETAQIMAEDLLLHQSDLTVYHRA PLHSMLGLYPFGVNHAEKASQIYQELSLVRPEFREAYQNARVALATATKVEALWEAHE MKMLKRKTHTREQIRHDRYQLFYYSAFEHTRKDKIKEFLEATDPAGPVDPVDPVSQLA TAQLATAQLATAPAADPVSQVLPDHIWPALLDPASQPSSEPASPPNITRDSAESKEKS DGKSEITEKKTAGSSKSRPVVSEKNNPYSYGVFDEMNNQTNGQTNDHATKDLHDRVVD HLIKRTSSNLSLGNMTGSPVYGLKSSEVYVRNILPRKSISKNSPPNNGAV FPSE_01703 MPGEVIDRPNPAPLDSSLPDAVLDLAAKAPKKQLDKKTTQSLND FQHAACYIAGSMIFLRDNVLLERDLTTKDIKPRLLGHWGTCPGIILVWSHLNLLIRNH DLEMIFVIGPGHGAPGALASLWLEGSLERFFPQKYGVNKDGLRNLITGFSVPGGFPSH INSETPGSIHEGGELGYSLAVSFGAVMDNPDLIVTCLVGDGEAESGPTAAAWHSIKYI DPAESGAVIPILHVNGFKISERTIFGCMDNKELVSLFSGYGYQPIIVETLEEIDAELS GALEWAVSEIKKIQNAARDGKPIVKPRWPMIVLRTPKGWTGPKKVDGEFIEGSFRSHQ IPVPGAGQDEEHVKILQDWLKTYDTDRLLKDGKPAESITDIIPQRDEKRLGQLKKTYD PYQQLQLPDWKSFGVEKLSENSCMKQVGNFLNQVIKENPKSFRIFSPDELESNKLSAV FENTGRNFQWDEFSRAQGGRVIEILSEHCCQGWMQGYTLTGRTALFPSYESFLGIIHT MMVQYSKFNKIARETNWRGDLSSINYIETSTWARQEHNGFSHQNPSFIGSVLNLKAEA ARVYLPPDANCFLSTIHHCLGSKNYVNLMIGSKQPTAVFLSPDEAAEHCRKGASVWEF ASTGSGKEPDVVIAGIGVEVTFEVVKAAELLRDWIPDLKVRVVNVTDLMVLAAESRHP HALSRADFFDMFTEDKAICFNYHGYAAELQGLLFGRPGLHRMTVEGYKEEGSTTTPFD MMLLNWVSRFDVAKRALKGAAETNDKIKAKLDETLKKIDDRVEEVRKYIAENGKDPED LYDMPKF FPSE_01702 MTEQVTGAIKHAVMGHKNDKIDQLKANMVEPNENSRITSDYGVK QNNTDHWLRVASEDQTGPSLLEDAFGREKIHRFDHERIPERVVHARGAGAHGTFRLFE SAADVTKAGLFTDTSRETPVFVRFSTVLGSRGSADTVRDVRGFAIKFYTQEGNFDIPG NNIPVFFIQDAMKFPDVIHAGKPEPNNEVPQAQSAHNNFWDFMFEHPEATHMHTWAMS DRTIPRSYRMMQGFGVNTYTLVNEKGERRFVKFHWTPELGVHSLVWDEALKLAGQDPD FHRKDLQEAIENGAFPKWKFGIQVLDESQEHDFDFDILDATKVWPEDLIPVRYIGELE LNQTVDEYFTQTEQVAFCTSHLVPGIGHSDDPLLQGRNFSYQDTQLSRLGTNWEELPI NKPVCPVMNFNRDGAMRHTITKGKVNYWPNRFSHQPPATESEGAYVDYAAKIVGMKQR TLSKKFKDHFTQAQLFYNSLSEIEKSHVQAAYSFELDHCDEAIVYERLTERLGEIDGD LANTIAEMVGGKKPANPKPNAGKKAKNLSQLDFVPETPTIKSRRIAIIIADGYDPVAF NAMYGAIKAQSALPFVIAPRRAPIFSAAEDSSSSKGIFPDHHLEGQRSTMFDAIFVPG GAKSIETLSKNGRALHYIREAFGHLKIIGGTGEAVAFINKAIQLSEVALSSTDGSGVV DSYGVVTLRNASPDTLKEIVTVASDAKGFLEKFVYNVSCHRNWQRELDGLSTMVAY FPSE_01701 MDTDCDMTNWPPVRVAISLDDTYRTHSDDQDPEALFEKAMRYLG SITANHTATPEYKETLIKWTNDSVIHAIYGSNMIEWAGLHWEATFNHCHQVLQGLDPS VGGDSDDIRGQREVVQHMKAYQHILRRFVFLGEDMSEELIKDTHAILCKGVSIIDPEY PEVPYERYAGKYRTVPVGAGSTMFIMPKFVPAKMTEMCANIKRELQEGDNGLDLFSLA SKYSLRFVEIHPFQDGNGRMCRMILNAILFRYLGIVVPIGETEEDCIEYINIKKRASR DMEGHGEYATFVLKKGAKSIQKLKQKLQGKKT FPSE_01700 MGNGNSTPLQDCLNTVCANQINCVRYPGDPLYAWWSIPFNLEFP VVPAAVIRPQTVIQVAETVKCATKHGVKVQALSGGHSYGNYGLGGVDGAISIDLDNFK DFSMNNKTWYASFGAGMNLGELDEHLHANGRRAIAHGTCPGVGTGGHLTVGGLGPISR QWGSALDHILEIEVVTADGTVQRASYTKNSGLFWALRGAGASFGIVTKFMVKTHPEPG RVVQYSYKFAFTSHDKMAKLYREWQAVVGDPDMDRRFSSLFIVQPFGALITGTFFGTR SQFMITGIPSRLPGTFRSNAWITDWAALLLHEAEAAGCALGSVPTAFYGKSLSLSEQD LLSDKAITDLFKYLEEKRSELAAVTIIFNSEGGAMMDIPADATAYPHRNSIIMYQSYG IGVGKVSAATQELLDGVHKRIQRSAPGAHSTYAGYIDPWADRKAAQKLYWADNLPRLR ELKKVWDPTDVFHNPQSVDPA FPSE_01699 MTLLQRSRLAASGLSRCRPGQLQSSVRRYQSVAAASVISQRDGR NTSLSLSATPKASTAIHNVSPTAVMARPYSTASEDMYTASFAFFEAIWDAGITHCFVN LGTDHPSIIEAMVKGQREKKGKFPKIITCPNEMVAMSMADGYARLTGKPQCVIVHVDV GTQGLGAAVHNASTGRAPVLVFAGLSPFTQEGEHRGSRTEYIHWIQDVPDQKQIVSQY CRYTGEIKTGANVKQMVNRALQFATSDPQGPVYLCSAREILEADLKPYSLKQEHWEPV ELGGLPTSAVDKIANALAGAKAPLLVTGYSGRNHKVPAALVELADKVKGLRVLDTGGC DMCFPADHPAWVGLAFGVHEAVTTADTIIVLDCDVPWVQTRCKPRADAKIFHIDVDPL KQQMPTHYIQADARYKADALASVEQITRALDKKEFSSKLQSNTAKAAEKERAEIHENK LATIAKGAEPLADGSFGTGHLSKKLKDLCPEDTIWAIEAVTNTGFVHDNVQPTIPGSW INCGGGGLGWSGGGALGIKLATDAENGGTNKGKFVVQIVGDGTYLFTVPGSVYWISRR YKIPVLTIVLNNKGWNAPRRSLLLVHPDGLGSKATNEEINISFDPVPDYAGIAKAAAG GDIHAARVDKASDLESVLKEAIAKVQAGQTAVVDCKVASDC FPSE_01698 MSYQTLTTEAQAATIPLRHPDSIIDRPPPVPATDPQLIADESHS APKPADHDLFQMAPSEALAMLIAGVEVLVRITGDVPPTPPPKSPTVPHMSGMQAEKEN IVRSYSDRSLTRLRKQAELEAKRLAAKEAKPEFKEPEDIDGVRLKPHPGLMSPPPQSE PYVVVGADSQPVNLQHSAITRKFYSKNEPPITINQYLQRLHQFCPMSTAVYLATSLYI HRLAVEERAIPVTRRNAHRLVLAGLRVAMKALEDLSYPHAKMAKVGGVSEAELARLEI SFCFLVGFELVVGESRLQKHYELLKDKTSRYRFDNMDVPILTLKPRPRGAVQPAA FPSE_01697 MSIPIQCIPSDRGPPLPKSGGTPIMGPHRNNKWIRHLRARERRD REMLEAADTQCSDDMGHVHVWDSAISSGLGSFASSVHHNISPGQAGPESTSTSSSPLL TPPKPSTTGLQSVQLSDESCNSKMMRQPETRPISQDQLVAEVKGIYAGLVMVETKCIE VNNTLSAPNTEANNKLHNEQWQALIALHRTLLHEHHDFFLASQHPSASPALRRLASKY AMPARMWRHGIHSFLELLRHRLPSSIEHMLSFIYLAYSMMALLYETVSAFEDTWIECL GDLGRYRMAIEGDDIRDREVWTGVSKRWYKAPGTSPNTGRLYHHLAILTRPNALQQLY YYTKTLTVPTPFISARENIMSLFDPVLSNNPTRLSPIDSEFVRAHGILFSGKSIECME QVSSIYTFINNLDERISKTSKKWLEAGYETKLLFPRLGSEQARRPLPEDFAIRGRLYS EDYITTDWLDLDAGGDLGFDPLVLEPYSLPPSYHTYWFESTKEQFWLSYTYRCSLVTK TLHSVSNYLAMMACFQLATAHHYFDSFLLAPSCDLRLDSLQDKTPYPFIADACIRATS VLSLGPIVERQSWEELPTT FPSE_01696 MGAKTSEDDYNGGNGQGPLSSKPSSAGGEPRGAHFARDGDGSLG GEGGDDDDDDDINATTGSINADGAAKKKKKRKPKKKKKTTSQQSSPPRVPLSELFANK DYPVGEIQSYSDDFNTARTTAEEVRYKGRKQLEDPAFLNDYRKAAEVHRQVRQWTQEN VKPGHTLNEIANGIEDGVRALLGNQGIEPGDNIKSGMGFPTGLCLNHETAHYTPNPGQ KDVILKYEDVMKVDFGVQINGWIVDSAFTMSFDPTYDNLLAAVKDATNSGIKASGIDV RICDVSAAIQEAMESYEVEINGKTYPVKPVRNISAHNIKHYQIHGGKSIPFIKNKDQT KMEEGEVFAIETFGTTGTGKLYDDVGIYGYGLQHDAPKNVHLPFATATKLHKVIREQF GTIVFCRRYLDRLGLDRYLAGLNSLVSHGILEAYEPLADVKGSYSAQFEHTILLRESN KEVISRGSDY FPSE_01695 MCTTNIYTYVYPDGRREQYSQPTLCANSRHNQVCAQNYRLDHPP TYVSAYDAPAFSYTSQLPPTPQYSPAPSTPSGSHRSGDESDRSYSSSNSKKDRSSGVY VNGQKVLDLNRKRRGSRHHQERIVLVDSPASPRTPPQQWSAPASPNGNTYMVDSSRDS NHRRPVIVDERTLQPERRVQIEVVDNHHHRSKHHRHASSSSKESRNSNEDEERRRRRH EEKRQQEKEKLLQKRIAEANQVIASRPVAAAPLAPKRSATYKRPSVEIPVDQMRRLSF EEERRDDKARRLARREEKKEEEAQRERLRERMQPKRRLTVGADTRRPTDIYEPMYRYQ FPSE_01694 MVETKLGIAQKWQQWYSSLGERYRPLSSPPNQSLFGIMGSVTSS GIESSRTLRSRVSISASLVAVNQSRTWGFSISSQREQKSDHLQGSIGCLFLPMHRPEA GTKVVFDAHIAQTQAQHNVILQLNIAYTSS FPSE_01693 MSSPAGKPIDVPVEDGDAILMHEISLGPNDNMTKESLTDRLANL LEEHEHDQNFPDDILQRARSYLENRNGEQQDEELAHDIYAKFQAQRDLMLNNSIYPEV RAVVENTDDPTLPVGTFRAFFLGTIFVLLGTSIEQFFSLRMPAISLSTYMVQLLSMPL GMLLAKILPSKRFRIFSWEFSLNPGPFSQKEHVLIAIMANVSFGGAAVGAYVVSIIQV LKLDTFYGEKVLSNSIPWQIITLLSTQFLGYGCAGLARRFLVYPSSMLWPRSLANIAL TKALYKDNGNREQAANGWTMTRYRFFLICFASMFVYFWIPNFLFKALGLFNWPTWISP RNVTLALIAGSTCGLGFNPLPTLDWNIATYLGDPIVTPFFTLMNYASGMAIIGVIVAP LLYFNNVWDAAYFPINSNLVYDNSGSRYNVSHILLPNFTLNETAYHEYSVPLVTSTQV TKYAAAFMIYVATPVHMYLWHRKDIMNGIRASWKRKSRDDEFDDVHNRLMAAYPECPH WWYLVILATSFTLACISVSVWPTGMPIWGIILAVLFTVLLQVPIGMLFAVTNLELSTG ILAMIIGGHALEGRPIPNMIFNMFSYMSTHQSLNFSCDLKLAHYAKIPPRWAFAAQVY ATFLAGFIGLAVNHWVLRNVEDVCQLHQKDRFTCPRTHTYFMSSVIWGVVGPRRLFGS QGPYRALTYTIPIGVVVPIVAYFIAKRWPNSFWRNVNAPILFAGPMGWAPFNWSYMQG TVVLAFVFNFFIKRRYTAWWEKYAYVLTSSLSAAIGISGAIMYFAVQHTGVVLDWWGN RIHEQGVDRHGLVGADGKIVRCSRLQVPEKGYFDIGFDWKV FPSE_01692 MDMDHMHHDPGLGMEVNYAFARAYWYIIAGVVGALLVVRGINHL EAQQRLKACRDPAIDHPTRPRGTPSQIWATATAIIREMGHPQYYITTKGLQWATPLPL GRIIILACYWVVVVYMMSWQVAKNDVYYWERIGYRNAWVTLMQFPLVYLLSTKVNVIG FLAGTSHERLNWLHRWVARTMFVTATVHGFHFWTMWVRAEFLDYALSILPLVKYGLAA WAILLWNVVTGIVPIRRLSYEVWVAQHVVTSIVMLWLLHKHIPANSRWLLWMSVSFLV FDRAMRWILLLWQNVRLRPQGTACQGMKHLGHRVAARVVGPTTTVITIKDVHFKWKAG QHIYLWLPRLGMFEAHPYTIACAHKLEGTCCCNSIQLVVRAHSGFSKRIHEYATKNTA SELTGFVSGPYGVPPQCDIYETLVLIGASTGASFVVPILESVAAAQKANCTRKVEVVL TARTAKEIEYYVERAEEAGRMVRAKGIAVSIHVAVTGSSDKTEGPVFVSRPESAESSR SSDSDDIQPIKGSTGCCCSSGTDEKACPSDSPCRVEKSISVPELIREYTCRPDIEAMI REPVEQAWGETGVVVCGGREIVARTRNCVSRLSDERAVHKGTGAQGIYLYVEEYSF FPSE_01691 MRSSVLLSAAALCGLSLAHFEVKYPDTIGFKDDDEDKSPCGGFT PDLSKDKLVDFHVGGEAIALRSTHQQGNWLFRVTLDEEASGGWEQVYPIVQQSGLGDF CQPHVTVPEKYAGKKGWVNVVSSAVDGLLYQCIAANFVKGKADAPSECKNASSVKAYF VDDDKLSALVDGGSNSTESSTGTATSTGSASSSTESKDSGAAPQSWSANGVGMITVLS MAFVGGALFI FPSE_01690 MAAVDLYHASFSKQLFNSPTASGLQPLYQKWPESWPRHEMSMLL GLDIISCTILLLYLCRKPLLSLTPRWLKPFAQESAPFHAKERKWNFWTLCLIFLSLVG LGFAISCAIVHSHQKVSFMGLVPWTVTTLLTIIDLPTRAPKLLLVQYSLMMAAALVLQ AIWYLSFKTSSMTIFQIAHLIIEALAILAIGNMPLRNPFWGSLDIGNTKVAPSNKVRS PEDNLTLFQFWTMTWVNPLSKIASHSEIGVEHVWQLPYEFQHWRLYMAFRTLKGKLLP CLIEANGLDLFISTFAAIVERVAEVSNLRLTSKLYQALDKSDPQEAGLWCMVILSIDA IRQIARTSSGWYSRKAYERSRGETFIGLFGKLLTRAVPGSEMTEKDPSSGEETDPSNK SGKLSKFFQQCRGRKKPKTVSQPSQDHQASNAKVVNLIRGDTYEISQRFWEFARVIAT PIKVIVTIYYLIDIMGWPSCVGFGFMVIFITCNSFLVRQVIKLERQRTAHSDRRAQAV AHFVEASRPLKLNGWTSSWRDRILRFRDVEMRKRLHISIVNAAISTINVFGGAVYPFA SICLYTLILGRGLPNDVIWPSLQLFAQLEASTREAFDLISAVWKCTIPVERVNKYMSE PDRDQDAFSGSQDRDIEFVNASFSLPATDHLVLQDLTLKFSTGLNVIRGKVGSGKSSL LLAILNELEHRSGVFSRADEPIAYAQQLPWLQNKSIRDNILFHEPFEQERYRSVLNAC ALLPDLMTFPLADETKLEEAGIGLSGGQKARVALARAVYSKCRILLLDDPLAALDHDT ASYIVQHFLRGPLAQGRNIVLVTHRDDLVLKHADQVVDIKDGYAHVLTREQLNQELEH PHHVNSVSTDVQQHETTQEHDAEDVPGAKDVPEQITETGAIPFSIYKKYMGAGGWILW FLLACFYGLSRYCDIARARLLEAWGHESAGLEGIIASSYWGLPNPSQHPRPWLYVLAG LSIAQVVAYAAAQVLLASISIRAAKTLFKIAIDRVSKASFRYHDTTPTGQLKNRLISD MGMVDGGILAPLESFVYNMIALALSLFAIMTHQVFLVLIIFVVGILFSYFYSIYVPAS RCLRRMEMRYLTPIIANIGVMQDGLVTIRALKVEERFQDKHLEAVDDFQKHDHFFWSM TFWLDFRLGMSSAIMRTILVLSMVYMGTPASAVGFVLTQTNIAMVAVQQLCEKFAALQ LDAVSLERVDALKRVPEEPRGDIEPPQDWPRPCDSVRFNSMSFKYADDLPAVLDNVTF EIPGGSTCAVLGRTGSGKSTIANALLATQAPASGSVTIGSIDLANINRTALRHRVTFI QQDPILFPGTLRDNIDPETKFSEQECNNAIQRVLGDDWALDSRIDAGGKNLSQGQRQL VGICRAVLRRSGLVILDEATASIDRGTAATVQRILRQELKESTVITIAHRLEAVQDAT WCLRLDRGRVVECGPARNLGEVGE FPSE_01689 MASKNGNGKKAPPSAAVNLIAGGGAGMMEALACHPLDTIKVRMQ LSRRARQPGAPKRGFLKTGAAIVAKETPLGLYKGLGAVFTGIVPKMAIRFTSFEKYKQ FLADETGAVSGKSVFIAGLAAGVTEAVCVVTPMEVIKIRLQAQHHSMADPLDVPKYRN AAHALYTVVKEEGFGALYRGVSLTALRQGSNQAVNFTAYSYFKDWLKKAQPQYENTNL PNYQTTLCGLVSGAMGPLSNAPIDTIKTRLQRGGAEPGVSAWARITRITTQMFKEEGF YALYKGITPRIMRVAPGQAVTFTVYEFLKDKMERSNLSLVGGKYEE FPSE_01688 MQSGISASQELQNEFNSLLSSTGTFGLLATIEKESMVPITTIPS KSSSFSDNLSGLEPHLKPDVALYIILRRHEDAPRFIAITYVPDSAKVRQKMLFASTRL TFVRELGTEHFRETIFVTTPEELSVKGFEKHDAHNKLAAPLTEEEQQLGEVKRAEQEA GQGTGQKAIHLSKNFAMPISDEAIAALKEVGQDGGRPVTMLKINPSTEKVELVPEAPT PSGISELTKAISSTEPRFTFYRFTHTHDGAESSPILFFYTCPSTPGNKSIKFRMMYPL MKRSVLDAAESQAGLTLEKKFEVEDPSEITEESVLEDLHPKTASRQGFSRPKRPGR FPSE_01687 MLAHLLIVIFGFAAALCQAAYSSQQPYPEKFKYWGCATVDAAGF SNPVPLPNGVLTPEMCQAACINHLFAAVSPTACRCGDDPNAIKSINEGFCNNPCSEDP YSPMCGGGCSEGESDISNLFVVQAAVIQATETQTELGDPGNQPAPATDFFTVTSSVVL VASAALPWQTSLASTGQGQVPPSLPVTVQQPPESPLPPSAFVPIDPPTTSPGELLDSA STSTAQVPTSLTWVEDEPPTTPVTDPESLSDGSGIMSEFQAESPTSETLVTETGSVPT PSPTVDEVGVSEANQFEIPLFVRLSELLLIAVVLI FPSE_01686 MESFLPLGGFQLPFQKRLSRLYNDTKKSSDFVKEPIQNEEDPQI KALHRKLRIQKDRLVSWGVEWSDPNQSEIDESLSKAGLSEVVGSIMSTIKDTLAEAEP LWLSSKRMIQAPQTGSKDTKPPLIQWDKNHFEDLVNDLTSSIDTLYDLSRTRAAGIPR RSSKTPFKSAAAEDYRPFESTRMQTPQQIDPKTLEHWRPKQGDEISTPRELVYMSKTA YSELTHATSREPWGPLLLEYATFDSIYASTGIMPPMARFEKLSAGLQQDSQRSPGTWT GLPRLLGYFEDMENSRLGLVYRFPPSFNTVLLERVAKNPSYKLPTLGDLLSALGSEPK LEAKFRLAHNLMNTIFDLHARDITHGNIVPTTVSFCDAASQPGTIDGEVDIRRPLLSS FDLFSEDAPSTSPILSKHPLDPRNAQSSPLANNPDQRVLDLYSLATLLLSVGLWKKLE DIVPENSTSIPNSALEELSIRCGSLYTKAVQACWTAVDDELAGRSSGESLLSSVQVRC SRFLEACCILDGVSGLEERLDKELNPGEATAVPVEVPATKMNKDTKDLKDFKPSLKAT SEKPMPASAPTLGAENVASKVESAVDNEDALDKQSETKMRLYPHVPLTPDVVDRWNKI LMPQINHALRHFYRKNPESVEISLESVGPSPQKTQPTVLVVCTSVGKVRAILKKRIGD LFDGTTGFGLKVCRGQVLRSRRQPGSVLRSMARRSAKGFGEDDMDAINPEYQERPSNG ASIGAWIGDRHLPPVSLGGLVIVDDKPYGMTVHHMLDDPDRDFGPSDTLRCSALPEMD WYEQSGDDSTVDDEFGYELSDTESEDYSDSDITSDYDDDEEEEEDEEYNEPGDIPGIE PGCGDGYIVTQPALDDVEEGFYPDPETQDEDHIDTYSVGEVYASSGIRRKQAFGLLHE VDWALFEFSHDRLPDDNTIPRVDAKDSTLRPTDVVPLESLPNMEVQCMARTSGLQTGK ILPALTSVKIYGRVLPSHAYQVTSGDGAEQDSPCKGVPLGIPGDSGAWIVSRDDGQLC GHVLAWSQRKRVAYICPMDVLLQDIADTLEAHEVKLPGGSAVVTSSIYKKQDLVEDDL EDLLEEEQDLPPQLVGSPDPVRATAERRKSPQSDYSSRASERSSSSPIRLDTSSDLGG LSNRFEEALSMSGSFGIGVSG FPSE_01685 MTATSRSQSPGSHRSGNAAETMPLLVGGQLAPDADTEASTLIGT ASPGVGSGAASVAGDYGTVGTSGDGATECVTAPADTAPLSKPLPTLQILLLCYARVME PIAFFSIFPFIAQMVQQNGNLPKSDVGFYSGLIESLFSATQMVVLISWSRLADRIGRR PVLLITMFGTAVGPVLFGMSKTIGQMILFRCMAGVFSGSGLVIRTMISELSTPDTQAK AFSWFAFGGNVGIFLGPLIGGALADPAHQFPRAFGGIQFFIDYPYALQGFVVGAISST GCITSFFLLKETLREPKHSPHAILTQPPASTWQLIKSPAVAIVLWVYGHAMFLAFAFT AIIPVVLYTPIDLGGTGFDSFQISIYMAVQGASQAVWLLVAFPLLHRRFGTRGVMKLC GYAYPWFFAGFIVLNTLLRAHTHASTVAFWILTAVASVVGPGVSMAFTGVQLALNDAA PDPKVVGTLNALALSLASGIRAIVPGVATAIYAVGVRGQIFGGHLAWVVLIPFAMAFA VACNMVPEGKRPAQSNDEENEG FPSE_01684 MPALTIAVQPPSRTQVSTILHPPLVAEFNFKGSVSGFYFFAMAI LLTRNGDIVEHGLAGTATVTGMDVTALVGSSRTTIYFPFTDLSLLYEGAYKIRVDVYK VAYENSDGYIFQDQVKTSRITAVNEDVPAGTLSSSERGVIRALQNAGVSIS FPSE_01683 MVLYFTSSVVDPSGFIYVGKDKFESMFHADKLSSAHIYLRMQEG QAWDALPEELVMDLAQLTKANSIEGNKKDNVTVIYTPWSNLKKDGSMEVGQVGFKDPR KVKRILVPQRENPIVNRLNKTKVEKKPDLKQERDDRLKELRRRDQAVFLARKKEEAKQ AQEWKEKKYQKDHAYDDIFTEELMAGSSNQDRDENWEDDFM FPSE_01682 MVRLSVVAALFAAVANAVDFNKVSEGYGRLPGAYIFEFEDDHDC ADFFAKASTAGKTRIKYNYKLFKGASIQLNDIDNAEDISAEMALMPGIKKKWPVQVFS LPKPEVHWTGTPGMEYTAVQKEDLQERDLSNDTYTPHVMTQIDKLRDEGVTGKGLKVA LVDTGIDYKHPALGGCFGPKCLVSFGTDLVGDDYDGFSQAKPDNDPMDCAGHGTHVAG ILAAQKNSMGFTGAAPGVKLGSYRAFGCTGEAGNDVLIAAFNQAYEDGADIISASIGG PSGWSEEPWAVAVSRIVEQGVPCVLAAGNVGSAGLFYASTAANGKKVSAVGSFDNIKS LSLLNASSYTVDGGSEHEFGHLAGKPSAWKDVKLPLWALNYDTGVHDDGCDAFPKNTP DLSKYIVLLRRGSCTFNAKAANAVKAGAEYILFYSNKEDLSPFDVSSVEGVKAASIVS PEQGKEWIDALKSKKKIVLNMSDGSNGDVIVEQNRNNITGGAVSAFSSWGPTWEMDVK PQFGAPGGMILSTYPRDMGSYAVLSGTSMASPLVAGIVALIAEVRGTRDPALIENLLS ATANPQLFNDGKAFYEFLAPVPQQGGGLVQAYDAAHAKVLLSPSSLSFNDTDHFPDSL NFTLKNTGKKQIDLQISHVPAVTMFTLVKNTMYPDDFPNDFATEQASIKFSESKVTID AGESIVIEVLATPPKGLNETRLPVWSGYVAINGTDGTSLSLPYQGLSGSLHKSKVLGP HDTWIANSTDKNRFPMPANTTWTLPKPGTANNQTDTLPGLTWFLALGSAKLHAEIIPV TTEKPSGSNKARVIGEPADFPMLWNAMGANSKAFTGELADGTFAPAGQYVVRYKALRI FGDEKKKEDWDESYSTVFGIEYKK FPSE_01681 MDSTSSTNLLDKHNKLIFEILRSYRDLMNCATVQGMDKANQNDF EAQTTKLNYRDPDTMAAAEIRTQRKFDQLHDNIKELLALSRTIKELWVFGPLDRADGH RQEKEVQIDRDVQEVSRLMDNFDMKAMRELAEKFGGTYEPQTATASSSSTAPVTATEP APATGN FPSE_01680 MAGHWAPRQRSNRAPIVAFVLFVIFLYWFRDSLLPSNTPARKHR NDYPTLNLGDDDVEMVVASMKHENVSWLDEYLPEWKKNIYVVDDNRAKLTVPMNKGRE AMVFLSYIIDRYDSLPGNVVFHHAERFQWHNDNPDYDALPLLQNFRFDNLKKVGYANL RCVWILGCPAEIRPIKDESPGKEGEPIHARHVYKAAFQELFPSLEVPEEVGVTCCSQF AVRRETIHLRPRAEYVRFREWLIVSALGDALSGRVLEYSWHIMFGKEAVHCPNAAECY CQNYGMCDLKCEADKCESQYILPPFSTLPKGWPQLGWKGENRGWEGQP FPSE_01679 MGDNHSAASGHTATTAVNRPTIDTTAATQTQEKLDPTRLTSMDS STAKRMHSLEIPLDNVRSPPSPAVGVNPALFRKQTSLDLDDYFTGPRDIQKHSKWPLV MQMHGSILPKLIIPLIAIGAWSTAITVIHDHYPKIQVNSVLLTILGFVVGLSLSFRSS TAYERYAEGRRYWGTLTMASQTLGRVIWIHGKDVPDQDPRETILKKIGAMNLIVAFSV SLKHALRFEPYSAYPDMEHLIGHLNTFAKEATLADPDAGSMKKKNIFKSVGEYLGVSF AQSNPRKALKKTDKPLGNLPLEILSHLAVIIDRMVTQGQLDIPMQQTLAYNNLGLMND CMTGCDRVLNTPLPIAYTIAISQITFIYIFVLPFQLVQTLEWITIPASIVAAYIIFGL LFIGQEIENPFGQDVNDLPLEIYCDQIAADLDVIASHDKREPDTFLLSHNSMPLYPVS TASPNAWMKRSDEKLRQVIKDKPNTMFEWRKEIARKKQSGAKFGTNDMMTPGDHNV FPSE_01678 MATDANALADQLQKLGIDKVESYPNCHPDTNPVDIYRSHITSLL APITGVDPSIIYPAIQWTQTLDKGDAILAVPALRVKGKKPGELAEEWASKFPESPLIE KPTASGPFISFFFKADKLTNMLLPTIRKQASSYGKNPYNGLRDPSDPNSGKKRMIVEF SSPNIAKPFHAGHLRSTIIGGFLASLHESTGWEVRRLNYLGDWGKQYGLLALAWEKWG DEEALKADPINHLFNLYVRINSDMSDEKSKIKEKQDAGEDVSALEAASLDEQARKYFR RMTDGDKEAVDLWRRFRDLSIVRYKKTYARLNIEYDEYCGESQVPEEDMEKAAKILAE KGLTEDANGATLIDFSKHVAGKPGKSLEKVILRKKDGTALYLTRDISELLNRQEKYKF DKMIYVIASQQDLHLKQLFKTIELMGHEDIVKKCQHINFGMVLGMSTRKGTVKFLDDI LRDVAEKMHEVMRKNETKYSQVENPEAVADILGISSVMVQDMSGKRINNYKFDMDVMT SFEGDTGPYLQYAHARLCSIRRKVNLSDEQLATADYSLLKEKHATDLVRLLSQWPDVV QNTLKTLEPTTILTYLFKMTHVLSSSYDHLRIVGSEDELQKARMGLYDAARIVLYNGM SLLGLTPVERYVGL FPSE_01677 MICNTCRRAAMRSTRAPLRTLLRIPTAITRLPTTAPATTPRRLL STSIPRFSAAAQEEPDLPSMSPAEAAIAEILSEKLSPTELLVQDVSGGCGSMYAIDIT SPVFKGQTILKQQRMVNAALGDLVKSWHGVQIRTKVA FPSE_01676 MPRKARTPESLALNRENQRRSRARQRELLDDLQGRVREYERRDA QATLEMQRVARIVAGENAALRALLAAKGVAPEEVEAHIDAVRHDGKTAVKTGVSSFTP VSTPSATSPVVIPSRPMPVFQPQCQPQGCGPSNNPPITFQPMMYSPPTPSTTMNTCTE ESGCCPRPQPPPQPEMQPEMQPEMQPEMQHPRSIDKIHCMEAATILAQIKGHSDISNA RAFLGCSSNDNCMVRNTDLLALMDEMT FPSE_01675 MSNTDSPQTKESHDVENNTQPTEEKQSSSAFKALGWLDRLLALW ILLAMIIGILLGNFVPSTGAALEKGKFVGVSVPIAVGLLVMMYPILCKVRYESLHEIF SQRDVWKQILFSIFINWVVAPFLMLGLAWAFLPDKPELRVGLILVGLARCIAMVLIWN GLAGGDSEYCAILVAINSILQMALYAPMSVFFISIISGEEGSLEVSYSTVATSVAVFL GIPLAAAIITRFSLRKLAGPEWYERVFLRIVSPWSLIGLLYTILILFASQGHQVVHQI VSVVRVAAPLIVYFVVVFFATLLISRRAGFGYQLSTTQSFTAASNNFELAIAVAITGF GPSSDQALAATVGPLIEVPVLLGLVYLLRWVSDRWNWKI FPSE_01674 MTEISAGAQEGANGRSVPYVNGKQSYAEKFNIADHFIGGNRLAN APSGKVKDFVAQNDGHTVITNVLIANNGIAAVKEIRSVRKWAYETFRDERAIHFTVMA TPEDLQANAEYIRMADHYVEVPGGTNNHNYANVELIVDIAERMNVHAVWAGWGHASEN PKLPESLAASPNKIVFIGPPGSAMRSLGDKISSTIVAQHAAVPCIPWSGTGVDQVAVD DKGIVTVADDIYAKGCVTSWEEGLEKAKEIGFPVMIKASEGGGGKGIRKATEEEGFEA LYKAAASEIPGSPIFIMKLAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEE APVTIAKADTFKAMEDAAVRLGKLVGYVSAGTVEYLYSHSDDKFYFLELNPRLQVEHP TTEMVSGVNLPAAQLQIAMGIPLHRIRDIRLLYGVDPKTSSDIDFEFKSEDTSSSQRR PQPKGHTTACRITSEDPGEGFKPSNGVMHELNFRSSSNVWGYFSVSSQGGIHSFSDSQ FGHIFAYGENRSASRKHMVMALKELSIRGDFRTTIEYLIKLLETEAFEDNTISTGWLD ELISKRLTAERPETMLAVTCGAVTKAHIASEACMTEYRAGLEKGQVPSKDVLKTVFTI DFIYEGFRYKFTATRASVDSYHLFINGSKCHVGVRSLSDGGILVLLDGRSHSVYWKEE VGATRLSVDSKTCLLEQENDPTQLRSPSPGKLVKYSVENGAHVRAGQAFAEVEVMKMY MPLLAQEDGVVQLIKQPGATLEAGDILGILALDDPSRVKQAQAFVDKLPPYGEPVVVG AKPAQRFSLLYNILHNILLGYDNSVIMANTLKELIEVLRDTELPYSEWNAQFSALHSR MPQKLDAQFTQIIERSKSRNADFPAKALSKALHKFIEDNVDAGDAGLLKTTLAPLTEI IDMYIEGQRSRELNVIKSILEQYYEVEALFMNQPQEDAVILQLRDQHKDDIMKVVHTV LSHSRVSSKSSLILAILEEYRPNKPKAGNVAKSLRETLRLLTELQSRHTSKVSLKARE IMIQCALPSLEERTSQMEHILRSSVVESRYGETGWDHREPSLDIIKEVVDSKYTVFDV LTMFFAHDDPWVSLASLEVYVRRAYRAYLLKQIEYHQDENDNPQFVSWDFQLRKLGQS EFGLPLQSAAPSTPATPSGGEFNFKRIHSISDMSYLNSKWEDEPTRKGVIVPCKYIDE AEDLIGKALEALAHEQKQKKKNTPGLIPDLSGKRKPAPLKQQEEELSAVINVAIRDVE SRDDREALEDILPIVEQYKEELLARGVRRLTFICGHSDGSYPGYYTFRGPEYKEDDSI RHSEPALAFQLELARLSKFNIKPVFTENKSIHVYEGIGKSVDTDKRYFTRAVIRPGRL RDEIPTAEYLISEADRVVNDIFDALEIIGNNNSDLNHVFINFSPVFQLQPKEVEESLQ GFLDRFGIRAWRLRIAQVEIRIICTDPQTGEPYPLRVVITNTSGYVVDVDMYAERKSE KGQWVFHSIGGTHEKGPMHLMPVSTPYAIKNWLQPKRHDAHGMGTQYVYDFPELFRQA IQNTWNKAVKAQPSLASQQPKTGDCISFTELVLDDKDNLDEVNREPGTNTCGMVGWIF RARTPEYPNGRRFIVIANDITYKIGSFGPKEDDFFYKCTELARKLGIPRIYLSANSGA RLGLADELMGHFKVAWNNPEKQDAGFKYLYLDDAAKTRFEKDVITEEVTEDGEKRHKI VTVVGKEEGLGVECLRGSGLIAGATSRAYNDIFTVTLVTCRSVGIGAYLVRLGQRAVQ IEGQPIILTGAAALNNLLGREVYTSNLQLGGTQIMYRNGVSHMTANDDFAGVSKIVEW MSFVPEKRNSPVPVSPSVDSWDRDVTYYPPQKQPYDVRWLIGGREGENGFESGLFDKD SFVEALGGWAKTVVVGRARLGGIPMGVIGVEVRSVENITPADPANPDSIEQVSNEAGG VWYPNSAFKTAQAINDFNNGEQLPLMILANWRGFSGGQRDMYNEVLKYGSFIVDALVK YEQPIFIYIPPFGELRGGSWVVVDPTINPTAMEMYADTEARGGVLEPEGMIGIKYRKQ KQIQTIIRMDPTYAGLKKQLEDSSLSSEQTDEIKKKMAAREKELLPVYSQIAIQFADL HDRAGRMKAKGAIRDVLEWSSSRRFFYWRLRRRLNEEYILRRMTSTIISTSHNQTATK DKETRDKYLHLLRSWSAIVDWEKDDQAVTEWYETERKAIGEKVDALKSEVLAAEVASV VRGHAKAGWTGVREVMRVMPVEEREQILKYLQQ FPSE_01673 MASEPPSSPPGAGATVEDTLGWYKSQYEQLESELAEFRDSSREL EQELEKDIERAEKQERHHQEKAETLGFEVEEWKRKYRESKTEASASQNALEKEITTLR DTNRTLQLKLRDIEVANDDFERQARNTTSSLEDMESKYNQAIERAVMMEEEIKIGEQE REQLRIESQRLREELGDLKIEAELLQDKFKKQESRHLSTISTDLSVLASPTFDGHPAS PGSTASSPLITTPTDSKSMTEDGDTLSELPDPPSPPMSDVSAPLPKVAASRPSAHRRT VSRSRLPSADINTTPLPRSKPPTATTRAPGSRISTGGTTTMRTPAQRAVGPRSASNKL PTSNSLTHIRTLTAQMQRLEARVHSVRSKLPGPTRTPPRASPRTNVYSATNMPASITI RSRKRTSGSAASSVTGDDPTPTNIPTSTPKGSHVPRLSTSGVSRLSFGPLPNRGGPDE ISRPSSRASTSYATSYARPPSRAAGEGIPRPISRASLTGVRTPMGRSRSSMGFHGHSA SISSHLDLEEQDEGEFRTPSRRGTYSSQGGEVSGSGIPMPATRRRSGSRRISANTMRS SVSGPPRKQLSDLGETY FPSE_01672 MEDPIPKAKSHTRNSTRSDDFPQPSDNAPGICRICRGEGTPEEP LFYPCKCSGSIKYVHQDCLMEWLSHSQKKYCELCKTSFRFTKLYAPDMPQSLPVHIFI GHMARYLLQNVLVWLRGAMAISVWLCWLPYFMRTVWSFMFWISDEGFGNSAASQVNGT LPSSGAEISSSVLDIDTCPSSPLFAPTTTSASAAKALFDGLSDQNISDFLVRLFLGSW GFSGKSDRSGASLESANTTASGIPPTLLGEVVFLNNLTRNPTINRAVISILEGQIITI LVIVCFILVILVRDYVVQQQPEMRAAAFAAPENPLAQQEPMLVRPEDVEDLPGPDESD DETLDTGEPIASANDVEFAVEVQAETRRRRRTNSELALPEGLAAAGYLAADAGNLPTD ISPAEERASVYDYLRIYRQADGDHERILEIIHEEGLERKLSYWVDVTQRALREGDDTP GTNVQHGTDSIRGRAGSFGSTAASSSRKAFTPGSDESPTPMDAPGEQSSPKGKEREHV PFLSDTEFETVPFLPGSKSTITRPRAVSDGPQLQDSVNPLANNSWSFAGLPPTVPDES PSDEYRHTQSIFASEQSKKSVHDTADEAGPSNRPDVPHNERDNVHRAESLDSLPSDPQ RPQQADTDPAAEEDRPAGLVNRVTDFMWGDLDEAQQERQRALAAEAVAAAGHIAQLDD TDGWETELEDPHPEVPPPELGVAENPEDPEDMGDAPGAGLDQEAMEDLEDFEGVMELI GMRGPVAGLFQNAIFCAVLVSVTIFACIFVPYNFGRVSVWILANPMRLVRLVFEFSKL LQDAAVMAVGFGLWVVINLIDMFTGLIGGFIGAQVLLARKASWSLWTNAGSRVLDYTF MDIPMSAAEMQNFSAISHSALISVKSNIGTLFTIVTKSVGFILGGHFLNSDLSIESAT LAADMAWAKLVDISSALLKPNSWVINLSESEGSLPINPELAHWSGLDRFWAISAGYAT LFFAGALYLRRGSPFSRGHILQAWEAGIIDTLHQASGIMKVIMIISIEMLVFPLYCGL LLDGALLPLFENTTFKSRLLFTYNYPLTSVFVHWFVGTGYMFHFALFVSMCRKIMRQG VLYFIRDPDDPEFHPVRDVLERNLTTQLRKILFSAFVYGALVIVCLGGVVWGLSWTVP GVLPVHYSSNEPVLEFPVDLLFYNFLMPLAVNFFKPGDGLHAMYTWWFRTCARGLRLT YFLFGERKIDEEGSLQLGDTHEHQEPPWYKTLFLELNARYHVVPKTWTDFFDGGDAKP RTPLNNSEIRSLTRHKNHLKEANQLVESGHFVRAPASDRVKIPKGKKVFLEVDEHGRR SDGQDDADLYASNQYQMVYVPPNFRARIFLFIFFIWIFAAVTGVGFTIIPLVFGRRMF TMLIPQHIRTNDIYAFSIGVYLLGTAAYLVFHLRSVWTKIQDGFSAVRASLTAGDLER RTAAVLLQGVNLVYAYFFLYIVFPLLVSGLMELYLVLPLHTYMHPPTAESVQASRAGG PEASRHTVRVIQAWTLGLLYLKLGARIVTAMFPDTRLALAVRTVTRGGWMRPDTSILT RAFVLPGLAIAGAAIFGPPAIAGVFIKYNVIQGVQPGENELVEAARLAIIYRHSYPAV ALFALLVKNTIGLVKVFNGWTARIRDEAYLIGERLHNFGAAAAGAGRVRGAWRAGGAR L FPSE_01671 MAESGGSIMNGNGHMNGKSNGKPIAGRRRPIKQRRSILVWTFNV VARLATWAAILTVLFRCPSSLEECNETSPSICKPYFQIKNTVQPQVQPYYDQYAAPYV ELARPYYDTVESKVWQPTRAYAVQYGAPWAEKARAHTLAQWEKNGQPQLAKYQTLART HYDQSVGPHVNKAGEKLGPYYDIARTNGLQVYYEYLQPGYAYVHPYAVRGYDVASDFT KTTALPTAYWTYNKTYTFLDSNVWPHIRSVYAQSVEPQLVRIGERLGRYKNKSKSAEN YAASYVTGATASSFQKPTQSTTSVASVEPVKSSSQAPAATESPEPVVEPSQEYINPVQ APPASENESANRRKAREMVAQDLDTWQTKFATQADEGATDLEERVGDIAKRVIQEKVN TNGKQLFKELEATIDKELKGLRSKISALAGSKGDDADAEIVSAVRASGKTIKQKAQAI RQWRQTYDQELQETVVTVADVHFQVLDETRNLALQQIGMRWAWTDGITYKDWAKYHEL KATLNEWTEQLKQLVVTHPGLLEAQDAAARVEDEGMEIASVAAKELARLKEVARWKVT AGDTSDNFDSDAMKLAAEQAIAAKEADEKTPAQEDSAASSLSEVVEESTPEVEEVEEE SWESFWGSVNAEPVVEEASQVAEAASSSASSIVDEPNVIEKATEAVSNSAESIASDAS SVAHKASETVESLSSTLSASATAVSEEASSELSEVIDSATSVPESEDSSMSNPLASEA SEALIGDPFSIVSNGSEEPLAADGKEPLVEIEEDPVMHRDETPLSDQYEHVKPAFFGA AAQEVPHRQIVLDDYVDTDAIASATDAAQSVYSNAVSRASEQYSSALSVVSAQIYGTP KPVHEQLLSSVSGSYDRAVSAAGDQLNKAKSAATTAAAQVPATTTVPTWLDWERIESI ASQRLNEGRLWAEVQYQSALIVAGLATPTPSSAPEKYYEQAKLNYYAGLGMAQDRYSS FLAGASSALSSLTATPTPTDLAGSASSAASVARESAASAAQAAADAAGSVYSAADDTV KSVVQAVDDTVSSVVDAANEQISSAGLAIGDSWNSVIEQISGQVYGEPTQIAWYEHVW GDAGSYASKATDAAADKASVASEAAAASAITASAEAMKQYEAVSGLVSELISGKEPSF TDSVLSRFQAAYATAAANAESLRSQANQAASSVGDRVGNAASGATEAVKENFQRKDEL FPSE_01670 MCKPVRYVYPRCGHPIVQDKYVWSVERCPFAQCTSRDCWISNNI RKDLIEDTPWPFDNLTEPCPLFHERDDSETATLAASSSDGDRTSSYETCDQGYSTCDQ DSSSYVTCDQGYSTGDQDSSCYETCLEFILSERSTPQPEGMDEEELDRMINDILLQEG LPAETSGEEVLYTNEDIFQFDEDSCPPVDQFLLDEDEPHYFDTGLPQEMPFTNDQLTY YNDVVYQTGGELPFASIDDQIEWERVRAVEEPLEQNLFGQDLDMEWRFDEWF FPSE_01669 MDTSALVNNVGAPSDSVAASTLSLNHTSTHSISESTKQNHAPVS SAAPDTTSPIPSTTNGDSLKVTDSDLDSELDDSSQQQPSKNNCDRQRSDQEINPRLVK MPSDLVGKTVSPFLKEHIPGLYAPFGKASMPLSPPPTNDTTVVRKKDPNSKFCYRHRP DSKCRRAADESKMGFIQSELNSLSSADQEAITHVWSLFSAAPAKHRDLMLQGIITQCC FPQLSTVSREVQEQLKIDFLAALPTELSYKILSYLDTVSLCKAAQVSRRWRSLADDDV VWHRMCEQHIDRKCTKCGWGLPLLEKKKLQAWSRHQQNHRQPNAADVVEIDDEAETQL GESRKRQATDDEHEDCDRSFKRSRADPGSKSRQQLEAERKFRPWKDVYRDRFKVGYNW KTGRCSIKTFKGHENGVTCLQFDDNILATGSYDTTIKIWNIETGEVMRTLRGHTSAVR TLQFDDSKLISGSFDKTIKVWNWQTGECLNTLQCHNEGVLSIHYDGCTVASGSIDKTI KVSSFKTKETFCLRGHTDWVNHVRMDSPSRVVFSASDDLSVKLWDIETRQCIKTFLGH VGQVQQVLLMPADFEPDEVPSLDNTDTVSVCSGRSNTPPAEPSEQTVDARASYGSGFT SNPDRPLPPRYMLTGGLDNTVRLWDTVNGKCIRSMFGHVEGIWGLVGDTLRVVTGAND SMTKIWEPRSGKCERSFTGHAGPVTCVGLSDSRMASGSEDGEVRIYSFEGERVEERGT PS FPSE_01668 MSDLENAPSASYEDNSYVSRPGEKDQPIAVQADSDRVEDPINAE QADTDVQLERDEKDAIDQSNIIEERTRGATQPGGTYQEPGDEEGLPSDDGTSSV FPSE_01667 MAADNEGTATSGTNDSRPSGDSNAAQNNDNGNRRNPRHDHRKPG KGRSEKGRGEWGREKGDKRKKNDEFKEFKRRKLNKKGESADGESSNNPFSKDEIAAEE RRPKRKVAVMIGYAGTGYKGMQVNGNEKTIEADLFKAFVAAGAISKANADDPKKSSLV RCARTDKGVHAAGNVISLKLIIEDEDIVDKINAELPEQIRIWGLQRTNNAFSCYQTCD SRWYEYLMPSYCLLPPHPETFLGRKLVELAKEHGVEDELTARMADVKDFWTEVEEKEI KPILARLDPETRAAVLEKVHVTDDEEIAARKAAARETDEAEPSEEKPATEAPAEATEQ TTEPEAQQSTVVLESHKPKNRELGPIDFALRDIKAAYMAAKRRYRVSTERLERLQEAL NMYNGTRNFHNYTVQKSFFDASAKRHIKSFIVNPKPIIINDTEWLSLKVHGQSFMMHQ IRKMVGLASLIVRCGTPMERIKESYQNQKMAIPKAPGLGLLLERPVFHNYNRKATESL GKEGIDFDKYDDKIQAFKDKQIYTRIFSVEEKDNSFHMFFNQIDQFKTNHFLWLTAGG MKAAELTRDTTGEKVQRDVDKELGDEDEEDPEGGEG FPSE_01666 MSAQALNKIAPNSPSRQNPSELETSIAQALFDLESNTSDLKVAL RPLQIVSAREIEVGHGKKAIVIFVPVPSLQGFHRVQQRLTRELEKKFSDRHVLILASR RILPRPKRSARSRNNQKQKRPRSRTLTAVHDAILEDLTFPVEIVGKRVRTKEDGSKLL KVILDEKERGGVDYRLDTYSEVYRRLTGRNVNFEFPQSGPADY FPSE_01665 MAPVIPYTYIQCPCSDNSPPDQQTPQTPTSASAEHTFDPTDPRS NYSLYPLEYLLYCEDCQQIRCPRCVNEEVVTYYCPNCLFEVPSSNLRSEGNRCTRSCY QCPVCIGPTQVMALAPTEQTQPGADPSAHGNSRFALYCQYCNWTSTEIGIDFDRPSGI FTQLSKVNNGGQPRLTAREFKERRKDNPDEPPPADKDVDAELQYANLKSFYQAQLADT NASGVPSLNDNLGYGSPAALTRIMAMYTGHGHSRKRNGPSDVMREALSTEEGLKVADL DDSAQIKKLHQEGLDATATIEQNLLQADVQRFQDGLRPIPYLLRTKRSKRCSICRHII SKPENKVTSTRFKIKLVAKSYIPTITIRPLNPTAGPVPTTQRPQIQHELPLKPLTPHH YIITFKNPLFDGIKVTLATPNSTPGRFSSKVTILCPQFEIDANTDMWDDALKDDDRDK KRKGEESSGQPEAGKIWERGRNWVSIILEVVPTSLRLDDKVKDKSPIKEDEDILEIPM FVRMEWEADSQQDVGTTSAKEKEGQEKRELAYWCVLGVGRIGSD FPSE_01664 MPATMLNSRQSSLRFSAMVNGRMEDRLTVMRWDGAARACTNWDN IRRDPELWFKNGNCMVHLHAKGHSRRGPSFKVPFSALLDAQCYPLVQRFLSWETDQTK PSFRELTRWSRENPTRRIDLYIPPPPSTDKTQALNYHLATRNFFAWVFRRSMVGTSLG SAAIGLLHSMHEFRCGVEDNVTDMMDYFDEEGYLDMANQPNHALAMLQLAEFFQMREL YIRAFSHCVGMSEYLFENPGFMELGPATRKLIRKRHLELDHRMTRSSMMLRTFLDDEL SEAHLSVAAGARAHLERFRSFLLSFYTAKLGYYPPTGTDADNNLFDPAILRIMREDFE ALYDLLVDDQCTKSYAMPPTAHGGMCTIQLVQSFDLQHDYQTLKNPLPLLPDTNPHGS RRKAWLYGRLRSDRKVTAHAALVNASNLIKPGVAQNDLVKAYKRFEEESIMSPNKADK MDKVSLVDARKVRWVLIYATYQVLRHATDLAPELKQNEIHEAYYSLSASTEGLPPWDT PREMGQLLKRQTQYVTGPVDWTVYTDQDDTDGKIEIKPDIDYFALTQRDRPQTQNGYG ERRPSIPARPSRSNSFSQALSRSSTFRRSMAMFKSPSSTPPQSIAPPRSTYHEIVVHG YGNGTNNVSLGTEETDSSSELKMEDIGVALSSPSPPPPSSPESLETSDDALDSPIDTP EFPPLVEHYIEPEIPKDVRACRERRRDVMSMIGRSMSGRVSKRGGRPISAIFSDSYEK DTPTRRHSMFPQPLRLKTQVDEPIILNDDADWAAMQSFMDSPGQMSETDDDMMPAWEQ YADLGGLTDMRRRE FPSE_01663 MVTTRASSRGASVGPEFAPEFHTELPTIPSTPSTRKRSAKAASS SSTTTASAKKRRLSVQEPKKWHHAPSTATVLWLAVSLPLVAWDSGYVLGRPHTMPGGS YQWPLYMPYALYGQVDHVYGQKAWESKNGFTGAQTALNIVETLMYLVYLWMIWRRADK TTNKKEKKRRTVSGRSGALAVLIGFRAHEYFSEFSNISHNTPVDILTLWVIPNGFWII LPTYMVYAFGRDIVDGLTLASGQPVKQRTE FPSE_01662 MGSREKNMESLKEHLTYDKLESLSSFWFEHLPQDTDRIIAGPEY QKRWFVSDKQFDDVCISQFSPILETIRTAGVTSGQELLSIVKPRDSLDWLSLIILLDQ IPRNSYRGDKASVCFTYFDPLAVQVSLEAIAQGIPDKAPEIRWVFSHRNWFYMPLMHS EDLSAHNEAVSAFKRMSEDILSLTTGTGGGDEHERRAREVVQADPDKAKNVGQMSLEF EERHRVIIERFGRYPHRNKVLGRQMRSEEKEFLTSGGDTFGS FPSE_01661 MKFLSLAALATAVVAQSDLASIIASQPDLSTLAELLALVPDIAE TLVSATNITIFAPTNKAFDSVPRDIPEGEAISQKNDTIAIGALLSNHVFKGYYPAKVA SDIPVFVQSLLDSSFINYRQPFGNFTGGQYNGIVKDGDDVVVISGEETLSYVTEADIK IGDSVIVHKVDTVLSFGAPLQLFTRRDNLLNFNAAANAADLPYNLGNIGSDESSLLNI SDFTVFIPNDPAFENIGSVLEGADLETLQQVLKYHFVDDVLFSTDLANVSVPSFQGAD LTFTVAEDGSAWVNGAKILFTNVLLFNGVAHIIDTVLNPADDPFDRADIKPAADATDR LAFPSATPVSKLPFSVITYVGETGTYTTPELLRTLQAIDTNALATATATATSDAGESA STPTGDVTPVVNGANTKVVAGGAGLFAIVAAMMMA FPSE_01660 MKKRSRACEACQALKVKCEFPSPDSSTCERCTRFAITCVPAARK WQRDRIAELEDQVKSLQERLDGQAVSSYAASTRATSVFSTEGNWSTTNTPSDLGFLDA HLDYDSQLKSLKACSTIAAQFWYLIPPVDSNSAPSRLDSMRTETPIKLMAMFAVAPSE AEIDPQTQEELRTKTLEIIGKAAVGLKSPSVDLIQAALVMSLWIKPSLNMNHGNPIQL AFIAHDLGVELGLGGSELQTSAPAWFFRIQGPPTLEMQKTWLACWMTSTMAALGLRRA HVFDWGRSHYEALHALEADGSEPLFLEMLHTSRLHARIAAGLELCNVHAFHDVDSDIA TATRIQTYNDLNALSSRPLAQNVQLRFWRMLAAIYVNEPVLHTATNKILFGSPYLAER IGVHDFARPAQVTPTTAAALISLVEACHLTIDTVLEMEPSLMLSLPSLCFGPAVSYTL SILVKVFVAVSAPGNTYSQILTRETLHVREAMRKLISVKERLLQLDPHMGSWITRIIG SVEWLGVWLDDYEGIIEQYESNLERETAEHDIGVLSPNGHF FPSE_01659 MSNLWKGPKFTEVNAGSDDMNIASIAWGISLGVGLFTFTKALRQ TRDSWSRGRRLNHYIILVWLEWTSSCIMSAVTWCYLRNYIPGGFPVFFVLIFLWCIQI QALIQIIVNRIAILMVNRQNAKWLKIWSFVIILCINISVFMIWIPARLQINDTWADIN KVWDRIEKVIFLLVDAGLNLYFIHLVRSRLIANGLTKYTRLFRYNLGMIAVSMTMDIL LIAMMSLPNDIVYVQFHPLAYLVKLHIEMNMADLIIKVVKASNGNGYDYSGSKSGNTQ PKQNGANKLGKGHIPSAMFVGGNLTLIQAGVDDGEDIEMNRMEGGIQKTTRTEVVVKQ TRPSDSDDRDVASDAESMRPLRNNAYTVPEPKRRRSSQIAILTAP FPSE_01658 MATDELKSILQTVIDDFRGWPLAPIMHFPNEAGLEFEDIYFPSD DGVPLEGWFIPCKGSNKIIIANHPHWFNRAGIPSHLEPWNNLMPGNDFEVNFIPDYKI LHDAGYNVLAYDLRNHGLSGQGNQGLFGFFEWRDVLGSLKYVRGRKDTKDMTIGLFSR CAGANATFSAMRHRPEAFKGVRCMVAPQPISAGSSIGKLVKTMGAPESFMEEADRMFF MKTSFHINQLNPPADAKHTNVPTFLYQVRNDPCTTPADVQSAYDNIPIEEKKLKWIEG TEKRWDGYTYFQRDPDEFVEWFAKYMD FPSE_01657 MNSNQLDPRKHPLQAIVAAIGVKAQEGCQTRSSHFQGPADVIAK VSGAQSGGKREDGNSDLPNNKGTPRPNPTYSKTVGGLPLVSDTFLLQKQQNFNRSKTL ERVVNSCGAGAFGYFECTRDVTELTKANFLSSVGDKTPVFVRFSTATLGREFPDAARN PRGFAIKFYTKEGNYDIVGLNFPVFFCRDPIQGPDVIRSQSRNPSNFLLDHDALFDFL ANNPEANHAGLMLFSDHGTPQGWRFSHGYGCHTFKWVNADGEFMYVKYHFIAKHGQKQ FTDSEAMQMCGEDPDYSKRDLWEAIEKGEDVEWTVHVQIMDPRQADPDTLGFDPFDVT KIWPRSEFRMKELGRLVLNKNPENFHRDVEQAAFSPGSMVPGIEDSPDPLLQFRMFLY RDAQYHRLGTNLHQIPVNCPFMAKSYASPFDRPMHVDTDRAGSKQYPLNSFAHKFRPD TDEAPYEVNDNFVSRVSHYWHEGKKHDYDQARDLWTRVMSEQEKKNTCYNTSKGLGRI KYLAQVHIISVDYAQGIYNLLDEPQFDFSKVKKLAETIFAEAADDWYKEPKFRAKPGS KLVGYPPEIAVYQP FPSE_01656 MYNKIILIALSASGALASVQYSPSFPLLSVRQVVEVPCSEQKLK DCGEGCIQEDWTCCPSKQGGCPPTAYCEVGTNAQYGCCPNGSICNGEGGGSTRASTDT LTLAGGDTTTVVQGGVNTAETEPPVVEGSSTAVIVPPPVDTPVDTPVASSPVPPVLPV PSGTPVPLPVPQTPGVPVVPVPTPSTVVVNGGTSNRYSMFGGIVAGVAALVL FPSE_01655 MSQSIPQTVQQWIIPSRDAKDFSGMKFTEAPTPELGDGQVLVKL QGASLNYRDLILPIGRYPFPAKDGVVPGSDGAGTVLAVGKNVTRFQPGDKVVTLFNQG HIGGSLDNLSVQTGLGGVIDGTFRSVGAFDEQGLVPMPKGLEFHEAATLTCAGLTAWN GLYGLEGKKLLPGQWVLTQGTGGVSIFAVQFAKAAGAKVIATTSSSEKAKLLEKLGAD HIINYKETPEWGAKAKELTGGAGVDHVIEVAGPSSMKQSLNAVKIDGVISIIGFVGGT GQNDPGFLDCLSNLCTTRGLLVGSRTQMEDMCRAVEANIEKLRPVVDPKVFSLEQLRE AYEYQWSGQHQGKVCVKIE FPSE_01654 MAHTPSQLTVNLGLQLPYSPSGTPRPPSVTGIVVFSGGSAANNL VDVFESLREANQCSLSYVIPISDNGGSSSEIIRVFGGPGIGDVRSRLVRLIPDSNGNP ETVAIKHFFNHRLPKNYAIARSEWFEILEATHPLWGDISSPKRELIRSYLNSFNLEVV KRMKPSSRFDYSGASIGNLFLTGARLFTGSFEAAIYLLSSICSVPDRIAVLPALNTNF ASHIAAGLEDGTIITGQNDISHPSAPTAAVPGTSAGVHSPIASRQGPWHHDHHHVEDA NLPGTLPALRRPAIAFSKEQEEDLPARIARLWYINPYGEEIKMPANPRVLDALGSVHS VVYSIGSLFTSLIPSLVLRGVGDAITSPHVRNKILILNGTTDRETGPSTAPFTGLDFV GAIANALADSRGLPKPSEEDYNQYVSHVIYIEGPTSPKVDKQQFGQLGIDATRLYGPK DEKGRGGRYDAKALTQALETIIGRKDARSDRSRRNTLVG FPSE_01653 MGANLSSTFVPDLSGVVLSPQDKDSDIHLAVFWGATLYICAMIF STCALIDRWKGPYDRIRTTGGSVLGALLLSTAWPVVMAYLTFNPALAD FPSE_01652 MSSLRPELPEDESLFGSPVPKPSPKLSNDKSLFGSPIPESILGL PEYESLFGSPVPESTLELPEHESFPKPPAPKPSLILPQCNKTAPEESVPELPTPELTP VVRGGIKTGQPNRGKLKENVVSDVEKALALQRITATPTVKFEDLSPEKQKLVVSQLKR FIKEEQERRDKKRQEIKQSNDDAYVAYQKAKRNRAKLRAEAKRRTATKTNGPLSGSQP LIPGQLNMPQDPIVPRAAIDNNEDRGAVGAFGWFEGLERMY FPSE_01651 MLLITEIVRVSVKRKSYQEHNNVLVLRVMFVHEPFAHIRRANMP LAG FPSE_01650 MPFYSSSGSSYRPAKKCQMRHCDREVGYYESSSKNSFYCPRHSC HANYKCRTPSDDGERFCKTHAVCAHYGCGKRISEEDKSFRYSKGGTDSWLCSEHRCKA DDCYKHRRDSNTKYCDDHAKDNKCEVSDCSSDRSSKHYCDKHTCQHPDCTRKTLNSGR SKEKCRHHQPNSVLTMANAGYIDVMVSSGRDLGIVLSTSATLQPAIDPKTYLMPLAPG SVIDTDVPLQVVQILSSTPRVIMSRDALNIPANDQAVVKKPVLTVQNLLSVFPTVA FPSE_01649 MKANWLAAAVFLAAGTDATVPDTLAGVNLVARDTLAHSPPHYPS PWMDPNAVGWEDAYAKAKNFVSQMTLLEKVNLTTGVGWQGERCVGNVGSIPRLGMRGL CLQDGPLGIRFSDYNSAFPTGVTAGASWSKALWYERGRLMGTEFKEKGIDIALGPATG PLGRHAAGGRNWEGFTVDPYAAGHAMAETVKGIQDSGVIACAKHYIANEQEHFRQRGD VMSRKFNISESLSSNLDDKTMHELYNWPFADAVRAGVGSIMCSYNQVNNSYACQNSKL LNGILKDEMGFQGFVMSDWQAQHTGAASAVAGLDMTMPGDTEFNTGFSFWGGNLTLAV INGTVPSWRIDDMATRIMAAFFKVGRSVEEEPDINFSAWTRDEYGFVQTYAQENREKV NFAVDVQHDHKRHIRESAAKGAVILKNSGSLPLKKPKFLAVIGEDAGPNPAGPNGCAD RGCNNGTLAMSWGSGTSQFPYLVTPDQGISLQAIQDGTRYESILNNNQWPQTQALVSQ PNVTAVVFANANAGEGYIEVDGNYGDRKNLTLWNRGDELIKNVSAICPNTIVVLHTVG PVLLTEWHNNPNITAIVWAGVPGQESGNAIADILYGKTSPGRSVFTWGRTYDSYGTKV LYKANNGEGAPQEDFVEGNFIDYRHFDRQSPSTNGKSATNDSSAPLYEFGFGLSWTTF KYSDLKVQSVSNASYNAPAGNTIPAPTYGNFSKNLDDYTFPAGVRYLYKFIYPYLNTS SSAEKASGDVEGRFGETGDEFLPPNALNGSSQPRLASSGAPGGNPQLWDVLYTVTATI TNTGDATSDEVPQLYVSLGGENEPVRVLRGFERLENIAPGESATFTAQLTRRDLSNWD VNVQNWVITDHAKKIWVGSSSRNLPLSADL FPSE_01648 MAVKPKQPAAQEQHGYEFFGPPGAFAISFLLPVLVYVFNFVCND ISGCPAPSLLQPKTFSLDALKQEVGWPHNGVAGLVSWNGTLAVIGYNVLSLILYRVLP AIEVEGTELSSGGRLNYRFNTLYSSTFTLAVLAAGTIAQGAEFPVWTFMSENFIQILS ANIIYSYLVSTFVYVRSFSVKHGNKEKRELAAGGHSGNILYDWFIGRELNPRIEIPLI GEVDIKEFLELRPGMMGWIIMNCSWCAQQYRNYGFVTDSSILITAVQALYVFDSWWNE PAILTTMDITTDGFGMMLAFGDIVWVPYVYSLQTRYLSVHPVSLGPLGLAAMLGLIGL GFYIFRSANNEKNRFRTNPNDPRVSHLKYIQTKTGSKLLTTGWWGMSRHINYLGDWIQ SWPYCLPTGLAGYQIMSAGANVEGAYVMRDGREVVQGEAQGWGMLITYFYILYFGILL IHRERRDDEKCHRKYGKDWEEYRKIVRSRIVPGLY FPSE_01647 MRFQTLPFVLSFAAAVTADMAEVPSTTVPVPACTATSHTGMGGF YDLRPDMAIVPDKTSSKYAVTKDYFSKGYDYGKNFTLNLCGAVVEPVTNVYGVEPPLW QNVSAYYQVGGEVFSIGFESMDLQSRGRKLVLQYTGGSPCGADVNKTEPIYKRSAQRD SPLEDENDDATAYTPVPEPEEPVEEEPKPKDKRRRKSTTISFHCDRDPGSTSASVSFV GTDPDECAYFFEVRSSHACAHAEPHKPGSVGPGSIFGLIVVIAVLVYFLGGIFYNRTV THARGWRQLPNYSLWAGIWSFICELCSCCFTACLRRMAVRRGYQQVHSSPSRREQDRD AENRLIDQLDEEWED FPSE_01646 MSTYNFTNPAFGSSMPFAPVDGHYSSVNVGHASAPTDGHYASVN FGHASAPIDGHYASVNVGHAFTPADGHYNSVNIGHASTSNDGMYHNNVDFATPGYHQN AYPVSMNNDASVEYGNLMDCTPTGNFTEAPYQPPAPQMSMQEMISTLMAAMTPKRREI AEEIQEKHPEIGAEDFLNCLLIPSKTDNKERNAYIVHLRNWGVGHEAIVVLGDLIISG STVRGIWRNAVKPAEDRPRSVKELWTPLQDFTLRVAVLEIQARYGLTPGTVAGLTGIP WAKVAELMVRSLGRDAFPFSALSVARRCRELEGVTKGEGEEDDDDNE FPSE_01645 MPRIRAPSNLPDLVKSTFTRARSDGDLHYFPTQVAVLNVDSIPF QLRFSPSLANKPKPPPKDNSKPQKPFDPFENPLPALKVTDLGPSHYCVLNKFAVVPEH FILATNDFKPQTHVLEESDLEATLACIEAYETTRGPETEQGHQDGALGGRDGLYAFFN CGDHSGASQPHRHIQLLPIARMKDGLRNDTPWTVLADELKIKTAPFATFTEDINLNMS GTELHAIYLRLYRRACRAVTSYTKDAAHEGQAPADGPTLISYNMAMTKSTLVICPRLA EGVKLRSQDGNVLGTVAFNGTLLAGTALVKNELEWEMLKKDPDVLHNILGDIGVPSTF EADASI FPSE_01644 MYISAALVAIKCPPKSLPWAFHRQRTCPQQSSKLGGPPFLLHEF FRYVRGGQRLRENPPLNSHPETWSLGFASFPRKPPLRPQEATVWVFTAYLKGKAAWSR VFDSSSGRAQDQAVLPFRSSCLAFQVCCGGRGLCCEEDHVTLGRLSKYPEELLKPHVD TVFDSIIDRDTPDGVVSDSCEYTMLHIAAHKLMYDTIEKLNELGAKWLYVKNWLNQVV LLFRYAVMVHRLCKRPWMALDLTGNSTLSQRVLGSNVDLDCHYSDGIPKDAAYMEYDL GYAASAVVSQTRNCPCRESDEICLPEFQAAVCELVLNGGNGDMATKVIDIDVVSSLVT GRRLSEVVYVSMTLVDMGTGLDALCKLRDPRGNTCEAFKLPASVEFRPR FPSE_01643 MTVVIHRKEPHLPQGQLFCYPDVIRYEQGADFRLYRVINCVYSE PPEVFTIHYKFAAYNGDMCGWMSEMTMIPSYEGRKSFLSFDVSPFLETAQFDTQIRQR AEKRGERHEFFSKCIGHLAEYSGLFTPLPGASAGCAAPRDQQRLENERVVMDTGWFLD HTGGTRRVAIPEQNKWTNLWEYCPPFIPGFYLRTKQWGEAGSGKTSTVVNGGYSKFYK TVVPYADKNPVAESMKVCLYTLTVDELDKNILNAEATLKQALELSGRWGAIFLLEGCD EIIKRNHPTLTDIPWLSSVIQRLLNSYHGIAFLVANNQPPRLEFNPDFELLFDRTPRI LGLVQQETPFETNGQMQMEQRQ FPSE_01642 MSLSTTSLKIVRISSLQEAYKLVNLACECFADDPLYALVLPKRF EHPECFREAWQTNFREEYGKPGSVILAARRESDGEFVAFAVWARYGSSYLAQSWQGDT WDKKLMRLKTTMGNFYETITGGPDTNLVSTDALQYLATGAKVAESYYPEERWGLSWLG VSPKCQRTGIGRRLAQWGIDRAEEERVPAVLVSSNSGRPLYEKLGFKEIGQATFDKEG NAQPVMLRPVDDEADNAEGGGQ FPSE_01641 MKIAAILCACGLLPTAVVAEFDGNLNYASPSRRHDRLGMNVPLI KRRSLKRGNTPYDASQLNFAHGVASGDPWPESVILWTRIAPSLDSSLSNNAVNGTAGL YSHETEKYIKADPHPICVEWVVSDSNLSVDQGQSVASGRAYTTSDIDYTIKVEADGLK PLTTYYYQFSVCNSDNKSPVGRTKTAPTADDDVSDLSFAVFSCSNYPNGYFNAYGNAA RKDEHDYVIHLGDYIYEYGNGGERATNPKKDIFTLHDYRTRHGQYRTDPDLQLLSKDF AWIPTWDDHEFANNGYRDGFSALNNTEDSFLKSGKKVSVDTRKVNAVRAYFEWMPIRQ TDLDDGLRVWRSFKMGRLLDLIILDTRNYDRSITSLEWNDEYITMISDDPSRTLMGSR QENWFYRSLSESKERGATWRIVGNQIIFSRITENYGSGEIISGDNWNGYVANRNRTLE HLYNNDINNNVFLAGDSHQNWVSDLVWLGTKEYDNKTGAGSIGVEFAGTAVSSNGIGG PIEPTAGKSSRSRVEKNPELQWQEGYYRGYFILDVNSKNVTSRFYGSPSVATRNSWDI PLANFTVVSGENHLKRPVAGGRAESGALRYGEITHTNVSLNTETGEWNNTGFAKILKE AAFGKNL FPSE_01640 MREIVHVQVGQCGNQVGSSFWSTVSKEHGIDGSGAYHGTSDQQR ERINVYFAEGGNDKYVPRAVLVDLESGPQDAIRAGPLGQLFRPDNFVAGEASAGNNWA KGHYTEGAELVEEAIDVVRREVENCDHLQGFQLTHSLGGGTGSGMGTLLLSKIREEFP DRMMATFSVMPSPKVSDTVVEPYNATLSLNQLVENSDETFCIDNEALYDIYERTLKIA DPSYADLNYLISTVMAGVTTCFRFPGQLNSDLRKLAVNMIPFPRLHFFMVGFAPLTGR NMKTFQHVTVPGLAQQIFDNKNIMAAADFRNGRYLACSAIFRGRLSTKEIEDQMLKVQ TKNSEYFVDWIPNNVQTSVCSVPPRGLDMSATFVGNSTAVQEIFKRVDDQFSAMFRRK AFLHWYTSEGMDEMEFTEAQSNLHDLVSEYQQYQDADIDDEAEEYEEGEPEEYEG FPSE_01639 MALRNALFKALLASITVSHSLALPAPQMGNYGENKGPVGGVDPP TPTVTSTSGSLYGDSSLLGGNAPLPDPDKSDSAVVKDPKLVKGQKADAKLGVYLDFDD VDVPQPMRGGLGATDPGPRTYEYEKLNPDLYAPPGTDTGDVPNAMWPLGLSHNRLGSE PGAGWARQQNQAVLPAATAMAGVDMRLAPNAYRELHWHTSAEWSLVTKGCLRVAAMNE EGKSFVDDLCAGDVWFFPPGVPHSLQAFDEGAEFLLVFNDGKFSEDETFLVSETFLRN PVSVLAKNFKTDTSAFKNLPKDQLYIFNGTPAPKDIKEQTVTGSAGALKGKESYTYHW SQQKPHTVPGGSVKIIDPTTFPIAEGFSAALVVVQPGAVREIHWHTTSDEWSYFLQGS GRVTVFKAPESARTFDFTAGGVGYIPAANSHYVENTGDTDLIFLEVLQAPKFSDISVA QWLALTPRQIVKDHLHVSDEFLDSLPKEKEFIKVGNVDMTAIADEGKN FPSE_01638 MVYLFQAKGLAAFVYGLALLGTPVSSSQTSLTPRQKKNGASPYA DTVSKYIDSLDKELWSINKNIHDNPELGYKEFKAHKLLTTYMKKQKGWKVTDTVGGID TAFMAVFEGSGGGPVVSFNAEYDALEGLGHACGHNLIATASLGGALAAAEIMREEKLG GKVILFGTPAEESFGGKVKMLEAGVFKDAKIDISLISHPSNAPDTPFMLAQSTDRFDV TYTGREAHAAAGPWEGINAQDALLLANSALSYMRQQMRTTDRVHGIIHSAGSRINVIP AEASGSYQLRSANEEQLEQLSDRVYNCFKAGAIGTGAKMNFTMRPYGYANMNSNDGLA ASYTRWFEELGGDLPDADVDKMRSPGGSTDQGNISQDFPTISPMFQITFENGTVPKNG PHTAPFEVAAGSKAAFEKSLMVAKSLAGVAVDVLTVDGLLDEINDEFKKTKSPARRRR FPSE_01637 MATSRRAAKAAPEEDIAAMDSTPRTTTTPRTSPFLPTPLERAGL VLFPAMLIFGTIFSIISPQTRAAPYDHVTQSHLQDPSFSPSYFARKSNLFNVLFVKRG WAWITVAFFGFVFSHPSTANLERRARAIFRWFAVTSMWFLVTQWCFGPALIDRGFRWT GGRCELARREVEFGVDSVADKVTAVACKAAGGKWKGGHDISGHVFLLTLGTAFLMQEV GWAVLRWSGKRNEERCVVMSDGALKSANVEADHAMGEGAGEPALGLGGKFAVGVIGLS SWMLLMTAIYFHTWFEKFTGLMTAGTAFYIVYVVPRFVPGVRQIVGLPGI FPSE_01636 MSKRIYSVNDWAPREAKMESSSESSTVAEGSTAKSPTASEAGAI HTPQPQRNRSMSLSMPWRRPKSFAFGHEHTNSQNLPRHFTDLAIDDPEDDMHGPNQHH GHSHTPGAKQIKGMFRRASLSINRMVHRRASIVEDTQETVEPGRPTTSYTGAHSTWNR LRQATMRRSRSIYGFDLHREQSTYHENPSQLPIPGFGGEPPVIPTNSGAAAKASAAMQ NEYLARQGLYNMWLNPRTNDESNDRESGIGITVTLPGVESEENETEQTAISTIDFISR LPAELAIHVLANLDASALTKAAAVCKSWNKIVYNQHIWRESCLRETTTTYATSEPVAP GSGLGVPAVSPVNDWREIYRVKQELNQRWKTGQARPVYLNGHKDSIYCLQFDEHKIIT GSRDKTIRIWDMHTLQCSLVIGPHEVINEPDVLYDEENQPTHFASGSSENDKTNFSVP AVRSFPTYHMASILCLQYDDEILVTGSSDSTCIVYDVRAGYEPIRRLRHHTAAVLDLA FDDKHIVTCSKDFTICVWDRNTGDLIKQLRGHNGPVNAVQMRGNTIVSCSGDFRVKLW NIETGKNIREFTGHTKGLACSQFSEDGRYIASAGNDKVIRIWDANTGECLREMRAHEN LVRSLHIDSVSGRLVSGSYDTDIKVWDMETGHQLLDFPKWHASWVLSAKSDYRRIVST GQDPKILIMDFGADVEGIQNIESIKPVELNGGFI FPSE_01635 MGLSTIVTASILAMRLFTLPASAAPQAAIPVPATPEYSAPVTPE YSVPAAPEYSAPVAPAAAGSWWMSSIKRQGAVAYGNSANYKIFRNVKDYGAKGDGSSD DTAAINAAFSDGSRCGKGCDSTTVTPALVYFPPGTYVVSKPILPYYYTHMIGDVNNLP VLKPTANFEGMAVIDVDPYNNDGSNWHTNQNNFFRQVRNFKIDLTGMPKSSGTGIHWQ VAQATSLQNIVFQMIEDPSEDNKQQGIFIDNGSGGFMTDLTFIGGRYGGFFGSQQFTS RNMTFRNCQTAVYMNWNWLWTLNGLDISGSKVAIDMTAGGGVQNVGSILLTDSKIANT QVGILSNYDPAQPGTNGTLIIDNVDMSSVPVAVKNGGTSATILAGNTKIASWVQGRAY TGGNGEAVQATQTPIEKPKAILDSNGNIVTKSKPQYNGVPASSFVSVKSKGAKGDGKT DDTAAIQAVFDGVQEGQIVYFDHGAYVMTDTVKVPKNIKIVGEIWPLIMAGGDKNFKD QANPKPVWQVGESGDVGNVEIQDLMFETLGPQPGAILMEFNVAGETPGSAGLFDVHFR LGGSAGTQLQSDTCKKTPNVKTEPKPECMGAFMLFHMTKDSSCYLENTWFWVADHELD RSDHSQINLYNGRGVLIESTKGAWLWGTASEHSVLYNYHLQDAANVYMSLIQTETAYM QGNPDATVPFTVNAKYHDPDFKATCTGNSKRCARTWGVRAVGSKDIFIFGGGLYSFFD NYDQVCVGENNCQDNMISIESSKVHLYGISTKASVSMVNVEGKPVALDKDNRNNFCAA IARFSS FPSE_01634 MPGFRFDSPDRSFWPPPCQATAPNTPTPSREQFARLAAMPSQSP FPDQVMNQDETDISNPPTYPPTYPPLDLGNLEQLVNLDVMQQIKSQDEALTEARRNAE NQANIQAGRIKALEALNKDLNSRIETAEMEIDAKNTSVMRAKSEARKHSDKTEELQAK LSDSEMRKQFLRGRLIQSEKEMKARDARAEELVSMNREMTLDIERKQQDWAILESSLR QQLEDARKATLVKHQKLVQDAEKKFQASQEARADCADALFQARGEIDKLRMDLSTARE EARNELEAAEEDIESVTTQLLMCEDELKKVKREIKSVMQTDSAHDLETMQCWEKVFGP GSPGEFWDPKV FPSE_01633 MRLTTRFSRSFSRAEITSVGISPSTSAAFAIYTAAQTAQSWIDV FDLKNGNGYSKTSGSRAVFSPKGSRLATVRDWTVQLTGGVDFHHSTTVLLRDYVSGKT ACELKEAKGEPIAWSRDGRLVAVGEAKDRVGVYDVKNGTRVGMVLSHIDAITHAAFLP DSSLVTISRDGTLRITNTTTYKTIRRLEMDGSNNPRALAVSPDGRRIVSVWGTNVHIW VPSANDVTSYNLNAVRSYEGWPLAISPDCRYMLCRTEDGFDIMDVATGSVVYEETTDV MVMSGAFAEDGRALVLGRMDGVVEVRDVLDARS FPSE_01632 MSVPDKFQGFQSPSAEHWTDFQKNSFEPRPFGEYDVDIKVECCG VCASDRHTISGDWGACPYPLAVGHEVVGKVLRVGDKVTLAKVGDRVGAGAQVWSCLDC RQCKNDNETYCKDQIDAYGADYLDTGFKTQGGYSSHSRVHEYWVYPIPEALSSTQAAP MLCAGITVYSPLKRLGAGPGKKVGIVGIGGLGHYGVIFAKALGAEVWAISRSRAKEED ARKMGADGFLATGEKNWTEGHKMTFDIIINTATSFDGFALSEYLSLLDIHGHWNSVGL PGGDGIEIRNQDFITNGCYIGTSHLGSRREMLEMLQLAADKGLKSWIEEIPISTKGLQ QAMQALEKSSVRYRSCMTNYDEAFGA FPSE_01631 MDAAAPKRPAQVAFRIHPLYRIFFLVLEPISALVGAFFTHFRQG TYLTLLNAASAPNAAQAVPKATSVAMSQLANMYFFFALNEALVLRSTPDLRVWRVVLF VLLVADVGHLFSMSELGTRIYWDVKSWNASDWGNVPWVYAGMTLRVCFLMGFGLGESR VLKRKV FPSE_01630 MSSRPKRPRSTGPNPTSKRQQTTQIAATRDEVALKIAKELLQAR PPPEQIDLDIMPGFGISHELSQDNEAEAMQLFVESAEWESIDTFDEFAAASQDFKTLW KTSVRVLHMPPNCMLAPQNLLQYGTGTSISDKVVFGSDFSKFLTSLIIHPVWGHEFGM FRLALQFAVKCRIGHRGVWPEKEHHARGYQAIETLRHMLDQSRDGPETISDMLAKAIG DTEIAQDFPAFLLTISDHVKKEDGHDLESYTQCHGLFAFPVLIEDLRAIERALDNYPW VEKSWMTTTARIWAAFKSELGNDKVEFPEPGQLKEYLSRNVEYVFRVIFSRKKQNKDR KGPDARLSQSLPGEQERNAMDDRICRLERGYVELASRFDQDRLRNAELLKRVEALESE SLSSTRSSNDSQLRTENELLNGQLERVREERDTVKDQLREEARIRLENLTQARDQLSK AHDEIDSLESEKGNSQVELESLRNTNVELTKELSDVKQGCNNAQKNLIEATQGWSETL KDLSEARQKIASLERGQGRI FPSE_01629 MATSSTPSIYKATAQSIEAEKRWTQRLNLFLSPFWIVAVAFAML SGIMHHWNDIDYLLFSIAVATPPVLFPALLSKKIGRPWYRRYWFKLNVWVAVIVCLGT YFTSHYFFDLMGMRYKFPCKWNFSSAVVGRTGGQVPVFLYPLTHAYFMTYYTVLMVAE KAVVQVLQPGHFGRVVVVVALSYGVALGETFFMASPLLSDWFYYEKTDRMMTVGSLGY MAFFVTGLPMVGRIDAKGEDWPLSRVVIEALATFMSVLVLFEVWAKVVGPL FPSE_01628 MADTKDYPNLEAVISTDHIEKPQADHHDGHGHIEGNALIVDTKG EIRKIPVPSSDPNDPLNFRPWEKYGIVFCCCWFSIMGLSVASGLGAILNVFFEAYIPQ GYNSDQVVLLITLPTLFIGLGNYIILPLSLAFGRRPVFLISTVVLFASSIAAAIQNSY NGHLASRIIQGIATGASESLLPLMLTEVTFLHERAFIFGLYWMLQNAFSSSLNLASSY INADLGWRWYYWVFTITVGVGFIIAIFLGFETQFTRPAASLDGQLVVTDEFGVTRVIP DSEAQAYLEDMARSGLTPPGAGVIEEADRKTYAQRLRPWSKPSSQPIRVILLSWKYMA ASFSSPGILYAVLTSSIALGCGVGMSLTYNQVLMQNYHWQAKDIGLINVGGAIGAVIA MLYCTFLANPFVMWMARRNRGIHQPEHHLITMAPPAVVGVAMLLLYGFTASGGSTWWG PYLGWTIFQYSFTAVIIISTTFASEAAPKHPGPAIVTVVGTKNIVSFGVTYGLTPMIE QHGYKWAFGVLAGIFGAIFLLGIPVCIWNPKWRAYVAEREARKGTTTTD FPSE_01627 MSENKAAYLVAVNTPLELKSAPYPEPEDDQVIVKNHAVALNPVD CAQQKLGTYFPWLKFPAILGSDVSGQVVALGSGITKFKIGDRVAGSTMGSFQEYVPVK EYSCAKIPDNISYEKAATLPLCLSVAVKALFHPEYLALDLPAPGTESNGKVILIWGGS TSVGCSIVQLAKAAGFEVIATASPRNFDYLKKLGASQVFDYNSTSIKQELLAAVQGKT LVQLSL FPSE_01626 MATTVNPYDEDARRSYIVAYFKAEGLLDLEHLNRRYALAVSGLN NKLHSKNALEVGHLFFEYMLDLTIWNDAFKAAGRVAPKFPWDQTPRTNDYSKGITIVY REWRLKNGYNTAPGSGAVSSAPRGPKAMDPRVMEVVCATADAVKENAAIYKSWADEFA EEKAKDENKTIQVIGDGMEPSNEVAEEKAKAENKKALEKADAALVMEKKALEAKAIYE AELTKIRAGRGKKGKEPMSDAPIIPKGILIPVHPDFEVGKDKRVGKLHVAMLDVRHRI WESINGTLSFKDPVVGPFEVALPPWLDFHGLIWGENGELYERLISSIHKFLTVGWIIA GGRPSCLVVGFKPPNQDPASEDPASRIRHWHDLTTLWEDVVTWVSQARYGNCTTLLDS PSPTTFASGAGMKLPLANSSEI FPSE_01625 MASNGTQGSDAIQNPAPQSDKPVPQNVANVSGGNSGRGRGQGVF GAPRGPFSGGQITPHASRLGVQDFGAGRYTANQPNYNADTSRRAEARRQAWARTITFT AEDLNEPEPKQAIVEDVNDNNQLRAENELLSGQLERIRIERDAAVNKLRENECIWLDS LKQVQDQLSKAHDKIHSLEREKARLESYQRGYQTDIQVTRTVADKIERELSETTNRLY AALGEISSLEMKIASLKRSQGRN FPSE_01624 MFVQQINDIFNLTGRYIAPDNVACCKHAAAIPQKEQNIDFIIAT IKLKLGGPEVFLPLSREIVRRAKLECWGGSIKRAFALAKAARLPLANGTCLIRHFVLL LTMRILQCVVGSE FPSE_01623 MAGALTPEVYAILQEQSRTTLEASLEAWVRREGSVDKHFRATLA ANLWILSAAERERAGWVVEAVRWQHAMTLSLSKEE FPSE_01622 METIYLIIGLFILFTVGRAIFADRSPIPETSGKVSKVTEASELD TVLSSNKHVVVDFYADWCPPCRAIAPVFSTLADKHASTGHLAFAKVNTDHVKEVAAKY GISAMPTFVFFTDGVPKGVSVEGLPSGHSVNVNKDGLVDRIRGADRRALEAVVQVLSS KE FPSE_01621 MSGPPPPPPPHGGVPKGSGLPPGKYDIFVIPEHSSGGGFLYLPS LQPNVNSFAAGFASALILVVLGQTLAPFVRTWWMYSDNSNSAVMAMLVVGVGCGAWAL GRFQNQDRGFPGGPGSSSSGNGRGGQGGWNSGFSSSNAGAPPPPPHGTPPRPPPHQDT PPRPPPHGNGPRTSWQGAPQTDETPPAPPPHSARQSPRNESDPRPRPSPEARPPPPHT PPETPPPKHAKSKSKSKPKPRDPSPPPKSRPGTPPPQKSKSKPTPDPEKIARAEAAKA EAARAESARIEAEKAEAAKKQARRIEAEKAEAEKAEAARKEAERIEAARKEAERIEAE QAEVARKEAHRKAKKAEAARKEAERVEAERKEAERIEAEKVEAARKEAEKIELARLEA ERAEAARIEAEKAEAARIEAAKIEAAKAEAARKKNSWEKAREEMRRKEEERKTKEAEQ KRREEAAKRLAELRAKDAKERQEREKVRQRKEQEAQERKELLEASQRAKELAIKLEEE RKERERLEKEAKEIREREAQREKEARELAEKKKKEAEEREARRQKFAAEREALRKKEA EEAKRREEDQKRKEMEKAEKEKAEQERIEREKAEAEKAEKERAARETASRQPSSYAYS GVGEKISMWPNGRPPAPKSTTSSSTAHQPAPSTASSQRKAAPSATRSNVSGVSTENSY RPYDKPKQPRERTSMSSLGSESSWAASQTTGRTTPPPSSRAGPYSTKDPDKIVISGVY LFMNQFSKTPASQLISGVGTVTDGLILRITTEGLFIDDDVRNVPQREWDVKAWTLKQV EVWCPPQCFNLPSASPAPGTNPSLHGMASSRARDLGMAQPLVGDEAEVYLTEMLRACK TCCRLGLCNRKFREANIVSSTGQTGEWKSKGLHIVRASVRDQEGKRYLFVIDESEAWK VSVGLQRLRRGTQVRQLAVSGMAAADARGTLEMLGWA FPSE_01620 MAPLLEIAIPSTSVSDEGSKKPYTLYNITLRLPLRSFVVQKRYS DFDEINKILTQQVGAPPPEPLPGKHWLKSTVNSAELTRDRQVKLEKYLRAIAESPDRR WRDTPAWRTFLNLPSSSTTNSALSAGGMVRTAASGAADPGTWLDIHRDLKQNIHEARQ CLSRRDAAVDNGNSTAAAEAGAAAKRVLVQSGTLIATLADGLRRIQESGRLGEGELRR RRDLVNQARMEREGLDKISNSMPSSTAAAGRGGFSQGQASTADKAGLLRGGRPAGRVL GAPLPETDKTRELDNQGVLQLQKEEMQNQDLAVDQLTAIIRRQREMGEQIHEEVEHQI RILDQFDDDVDRTGAKLKVANNRIKKM FPSE_01619 MGESRQELVAWLNSLLQLNITKVEQCGTGAALCQVFDSIYMDVP MSKVKFNVNSEYLYIQNFKVLQNTFAKHQIDKPIPVPSLIKCKMQDNLEFLQWTKRFW DLNFPDHEYDAVSRRKGGSMPPGAAAPKPVSAATGAARRGGSTPSGGPRVAKAAGPAT AALQQENATLKETVVGLERERDFYFSKLRDIELLVQTAVDEDPELEKLEDGLVKQIQT ILYSTEEGFEIPAEGEVDDQETF FPSE_01618 MAPSQKRKPADDFIFTIDDDDELPIEEEEVVAQPPKKKAKTAKK SKKSKRDASPEEDDDEDAVEGIWGFNDDDDGAMDSDFEFGGDEAANDNGVEDFEGWGF EGAKKGMRVEKAGVDLDEIIRRRREKKLGKSTGDATAEAKADDVEAETMDVDLDDDDD EVLADDAFGMNVASDAEESGDEKEEDDEEEQEESGIEVEDDDEAASDNDSVATPTNHP DDDASDASDDEEDAEEEAKRKAFFAPEEENNPDKKATASSFQTMSLSRPIMRGLASVG FTKPTPIQAKSIPIALMGKDVVGGAETGSGKTGAFILPILERLLYRPKKIPTTRVVVL LPTRELAIQCHAVATKLAAFTDIKFTLAVGGLSLKAQELELKLRPDVIIATPGRFIDH MRNSASFSVDTVEILVLDEADRMLEDGFAEELNEILTTLPKSRQTMLFSATMTSSVDR LISIGLNRPARVMVNSQKKTVTTLVQEFVRLRPGREDKRMGYLAHVCKNLYKERVIIF FRQKKDAHRARIIFGLLGLSCAELHGSMNQTQRISSVEDFRDGKVAYLLATDLASRGL DIKGVDTVINYEAPQSLDIYVHRVGRTARAGRKGVALTIAAESDRKVVKAAVKAGKAQ GAKIVSRQLDSGEVDAFQTQIDEMDDEIDEIMEEEKEEKQLAHVEMQVKKGENMIQHE AEIKGRPRRTWFESEHDKKKSKQAGRDELNGMREEMKKKTGKLSNKDKKRLDLKQLRA EGGGEWRKGKNSEAEMKARHKEAKAKSQAKKAGDKGSAPKSKGKAKGKGGKRR FPSE_01617 MKFFNLITLVSLVSAFPQFRRQETVVGTIKSAVDTLADTTFTTL TIIENAAATLKNTTRGTDATVEAQIAIQASLEEIADALQTATTQITRVTTGAVGNVGG QVSRLSSRQVVQLTAVLRRTIGTLDDTLDTVSGLLKDLTPEVQATIEAELNALRQSVN PLLRPLLLFTAAVRNAVPRLGGGIVRGLDSAFTNLIQLQRELVSDIGVPRMGGI FPSE_01616 MTMLKEPSKKYKPFKGPKLPNRTWPDKTIEKAPRWLSSCLRDGN QSLPDPMSGDEKWRYFKMLCDLGYKEIEVSFPSASQTDFDFTRRLIETPGVVPDDVFI QVLSPCRPDLIRRTVESVRGAKNAIIHIYLATSACFRQVVFGYSEEQTLELAVECTKL VRSLTKDNPEASGTNWQFEFSPECFSDTDPDYAVRVCQAVKAAWGPNAESGDQIIFNL PATVELATPNIYADLIESFCNNIGDRKDICVSLHPHNDRGCSVAAAELAQMAGADRVE GCLFGNGERTGNVDLVTLALNLYTQGVNPQIDFSDLNSVIDMVESCTKIPVHQRAPYG GSLVCAAFSGSHQDAIKKGFQDRKTKGYTHEDPWDGMPYLPLDPRDIGRNYEAIIRVN SQSGKGGSAFIVHTKLQLDLPRGLQVAFSKVVQKHSEDVGRELLATEITSLFEKTYFL GDNPHFQLVDYSITPDRSRSPAPATQGKTQDTKDFIRIFEGVILVNGKELKLRGRGNG PISSMVAALKEINIEFDVNDYKEHAIGEGRGVKAASYIECKPVGSKNSVWGVGIHEDV VQSSLIALLSAASNFVTSRPSSPLSKSEAATHSQEPSSLVAILEQKANDM FPSE_01615 MMGYGSARATSPGDGERGFRRKRLAAMAGNLYRSGQAAVTEIKE SYAQTRAGPAAAGHENHGRIHIPGAFPDVAITVHGDDQMVLFPSYAKQHTKQDWNDMA AHDPDAPPGSIKDEGFWRQEWERAEDERAIVDVDVRGWIYTPHTGPMTRRNRILIGLA RQLSGIPAPRADQAYSPGTGLPRSHLQLHEEKKEQEKIDAEARRIEQVGQREKQVAYQ GGFSEKTPDNDDPLTDSFYYPSRGGNRSPDSAPSSPTMPARSATASSTNTSELSESDL ILANANLMARIAPFMTNPSVALPITFFFYNDTKSQSKTVMTNDAGHFVIRAALDFVPT HVRVLADEDLSAVQEIKVIEPYGVSLISDIDDTVKKSNISAGAKEIFRNTFIRDLADL SVEGVKEWYNKMAKMGVSIHYCSNSPWQLFPVLASFFKIHGLPPGSLHLKQYSGMLQG IFEPVAERKRSTLNRLLRDFPERMFILVGDSGEADLEVYTELALSNPGRILAVFIRDV TTPEETAFFSSGFDFGRPKTAGASNGPSRSVSRTPSYQSLAPSGYRTERNLSAGPTMG TLIDFSDEPRTKVDRNGALAQAQSFNAKGASTTDLSGRKPAPPRPAKPVALRSAKSIP ELNKGLSRQNSDEIPPPPPPRRPGVQTRETAPPHPLKQIHNSSQQSSGSSNNTFKIPA QSRSGSASSGGGPPPPPPRRRGTPSSITESNQNPRLPPRPTQTSTNLDVDYDPLPPSA NPPTSFGSMTGGYRSDGNTSTGGSPNMGPQAVNKKLELWRRRLARAHEQLDGLGVSLY TWRRGNDVIAEAEGIVKQALADMDRKRRMNR FPSE_01614 MAEVSPELQERLEELDRELEEGDITQKGYQKRRTQLFSQFLGAP PPQIAALAEPQSGLRIHSPDDSDHPSGDGHRATAYAALGSSSGPIPDSPDSPIYRPHS GYAASESPRPPPAQPPPSLLRPGGSLAGGSTTAHRDSLFFSPSHLEPETRTGTMMSGD YAFRPEQQGTYGESQHQQQQQQQQFQQQPQQQQHDGQQYDGRTTTLLDSQGYFSDFAG QQHYDQAQTVEYVGPQQRYSSSDAFSPTAAMAPPMLTTNDLPPPEALEYQLPLDPREV PFAIQDPHDDSTPMSKFDNIAAVLRHRGRTIAKKPAYWVLDSKGKEIASITWDKLASR AEKVAQVIRDKSSLYRGDRVALIYRDSEVIDFAIALLGCFIAGVVAVPINDLQDYQRL NHILTTTQAHLALTTDNNLKAFQRDITTQKLTWPKGVEWWKTNEFGSYHPKKKEDVPA LVVPDLAYIEFSRAPTGDLRGVVLSHRTIMHQMACLSAIISTIPGNGPGDTFNPSLRD KNGRLIGGGASSEILVSYLDPRQGIGMILSVLLTVYGGHTTVWFDNKAVDVPGLYAHL LTKYKSTIMIADYPGLKRAAYNYQQEPMVTRSFKKGMEPNFQMIKLCLIDTLTVDSGF HEVLADRWLRPLRNPRAREVVAPMLCLPEHGGMVISVRDWLGGEERMGCPLKLELGED TESDEEKEETEKPAVSNGFGSLLSGGGTATTEERAKNELGEVLLDREALKTNEVVVVA IGNDARKRVTDDPGLVRVGSFGYPIPDATLSVVDPETGLLASPHSVGEIWVDSPSLSG GFWAQPKNTELIFHARPYKFDPGDPTPQPVEPEFLRTGLLGTVIEGKIFVLGLYEDRI RQKVEWVEHGHELAEYRYFFVQHIVVSIVKNVPKIYDCSAFDVFVNDEHLPVVVLESA AASTAPLTSGGPPRQPDTALLESLAERCMEVLMTEHHLRLYCVMITAPDTLPRVVKNG RREIGNMLCRREFDLGNLPCVHVKFGVEHAVLNLPIGVDPIGGIWSPLASDSRAEFLL PADKQYSGVDRREVVIDDRTSTPLNNFSCISDLIQWRVARQPEELAYCTIDGRGREGK GVTWKKFDTKVASVAMYLKNKVKVRPGDHIILMYTHSEEFVFAIHACISLGAIVIPIA PLDQNRLNEDVPAFLHIVSDYNVKAVLVNAEVDHLIKVKPVASHIKQSAQVLKITSPA IYNTTKPPKQSSGLRDLRFTIDPAWIRPGYPVIVWTYWTPDQRRISVQLGHDTIMGMC KVQKETCQMTSSRPVLGCVRSTTGLGFIHTALMGIYIGTPTYLLSPVEFAANPMSLFV TLSRYKIKDTYATPQMLDHAMNSMQAKGFTLHELKNMMITAESRPRVDVFQKVRLHFA GAGLDRTAINTVYSHVLNPMVASRSYMCIEPIELWLDTQALRRGLVIPVDPESDPLAL LVQDSGMVPVSTQIAIINPESRIHCLDGEYGEIWVDSEACVKSFYGSKDAFDAERFDG RALDGDPNIQYIRTGDLGFLHNVSRPIGPNGAQVDMQVLFVLGNIGETFEINGLSHFP MDIENSVEKCHRNIVANGCAVFQAGGLVVVLVEVNRKPYLASIVPVIVNAILNEHQII VDIVAFVNKGDFPRSRLGEKQRGKILGGWVSRKLRTLAQFSIRDMDAESTAGDMMDPS RASMVSVRSGGGAAPGSSSLRNVEPAPQILEEEHDQMTPRHEYEAAPTMISELPDGQE TPTGFQHSQFEHPPQSAGSQAPAQLNLSHQPDQGFDMDFSRYSSAEPDHGPLHRRPVP GQAQQPEAMQGYGQAPPQIRLPGVDGREEGGFWSQQEKNEKSEEDWTAEAMMHMNLAG DMKPPR FPSE_01613 MSNPYFRHSLQANLSESLNQPLVPLELGNSFPNASMATGSNTTA GQQDWSGLDFVAELGLDLGLPHDGTSAQPELSMDSFKDEAIDPTLEYNGSFATPKLPA QAEQTFPTFGTNPPFVSPRNLASTPAQSQLLYQSPSRRLLPPKQSQQAGQAHPRLSAT PQQYGPWPQYMQPYAHIANGSYQPQSHYPDAGINGHQGALSFPPNPPAYGQVVGYPAH MAVQPAIGTCLQCGRMAHYPPCAPLLAQALKPRLAKPALKSRWMDEEKEKEESDECPE DPAKKSSKNASRLKSRAARAKAARRKRNIQQVVDPSNLPYRAFEQLLAWNTKDGCEIA YLPAGQLDEEVLFTAKELRDYLQQCPRKPKIWLQNTPSKCKGRHIDADVKCRYSECPA KNGTILHGWHRVAFDEFPEMTSEGAKDPFKMAGVMHLWCFEQCIDPLEPIEQEVLFPD ERELPKEKLNRMAITRDDYKDVIDEAIKPWIKERRRVGVVQVPYSKHEDTLSWALCNF HVKKQNRARERMREQRNQNRLDDEKKTVELIMGDLSEYHRRLELSRARKRAILLMQQN TLYEQQHNLLYGSIVARPMFKPEPPVGEEITVHVPECAAQDEEEIPPLNPDLISDAEI AAFIKECAAPADAADRPRTPQSLGAGVQVLESPSSVLPHPHAEIQDSIAIPDSPVSMD SLFGSPTKTQESVAIPDSITVQEGSPAGSVSSTGSRKRSRTEEDAAAPEAKKRRQTPS PTLRSPKVSSPLRRSSRFSLGSRSSPRRNNGSVEKRVSM FPSE_01612 MGGDTKPALQVIILGSGGGPQESNTTAFLVRSVGAKWHRGSIIA VDAGVHLSSITRIMEESIPSPPPTPPFTLTTGPFAGLELPYSSPAANAAHITRTLVDT YLITHPHLDHISGFVVNTAGFPGTRPKKLAALPSTIKAFKNHIFNNVIWPNLSDENNG AGLVTYMRLVEGGSPSLGDGETRGYIEVADGLLVKIWSVSHGHCLEKHSHRGSTSSTS TRFSSHDASMPHGPPARSNSYYPGSLHRSSVLLSQGSFGSISLPPTPDQERMCVYDSS AYFIQDPITNREVLIFGDVEPDSISLSPRNLQIWQEAAPKIASGNLRAIFIECSYDDS QSNDRLFGHLKPVFVMEELRALATEVNTVRSMRSADTKKRKRMGSTVDEGPRRNPSLA NFTSEDPVSPKTLKASTPDFVYPGPQTPPTPHLATPTAELTLNPSDSITSVPATRKPL EGLQIVIIHVKDRLDDGPDVGNTILEQLNDHESEAQFGCEFIISKPGKSFYL FPSE_01611 MGDNIKRNPHPDFKSVEASRPEFETTSEFRYTKTADADWAFGEG ANELGKDDTDKKHVAIDPHEEGRPAGFNYKFLISSIVPRPIAFLSSQAPDGTHKNLAP FSYFNMMAHDPPMFVVGFSCSLEAAKDSLRNVVDSGECVINIISEHFVEAANSCSVNA PYGVSEWDISGLTPSYDCETVKCARVREAIVSIECKLDMIKEFDSKARPGNKSGVMAV FEGTRFWVREDALNEERNLVDPGVLRPISRLGGITYGRVTEAFELVRTDYEKDVGGEA GVEKIQKEHKN FPSE_01610 MSTTVEIASAPTVDSPGTCLLVHTDRRAYIFGRPEEGTQRAFQS SKIRMGPTEQVFLSGTVSWQQVGGLFGYVLTVGGVLDASKEQEAITNQRRRERGLAAF KESPPQALHIHGGENLNHTLAACRPVILRQPIVVKTHEHREDPRINSIKLLRPDWEDD SIRVWKIPIQQGRPSSSKKRRRSSAGSGGTPDSEPQFKEPATLSNYGLAASLVENLMF NGTVRGNGTVIPIKLGNVKPDDILFWRSNGDFQPYNGPRPGHANFEDKDEFVWKLPTT EDSEELRLNYPNLAHRPLPPTVYSRTSMCYLVKCLPRRGKFDAIKAKELKVPVGDYKK LIAGQTIETEAGVTVTPEMVVGSDIPGHGFIVADIESHDLIDSFMGRLEWSSPLMDDV VAVYWILGPGLAGDARIQKFVDEHPTLKHFFCAKDTCPNMISLAGPAQLQTKLRVIDR DRFSLLDFDNHVRGVLPSGPQVQSGRTGNKINLMPRLRFDVGSVVPFPDLVQQFKSVN EDVEIMKLVEEASREISDPEFLRRLEEDEKDIPNRDTEIIPLGTGSSIPGKYRNVSST LIRVPGIGNYLLDAGEGTLGQIRRLFGEKETGDILRDLKCIVISHLHADHHLGTPMLI KAWYEHTINDSNAKLAISCVTRYQALLEEVSQVEDIGFHRLHFPHAGADTTAKRGHGR YELKDDTFGLKAITRIPVPHCWLAMATELELTSGLRIAYSGDCRPSTEFATTCQGAHL LVHECTFDDDMISHAKKKMHSTMGEALGIAKQMKARKTLLTHFSQRYVKADSLKEQKR DDNGDVLMAFDHMKVKLGDFRKAAAFQPAVAKLLVKTNTSE FPSE_01609 MSASLPGSRELPASQHDLGTYMGRVRHTMGITDPSTLLAGKTGL EAAKKLVTDYKTGKVEHMSPALWHAKKVVDSTLHPDTGEPIFFPFRMSCYVFTNLVVT AGMLQPGMGTVGIVGWQVFNQSLNVAFNTANSNKSSPMSTSVLVKSYLSAVGASCSVA LGLNAIVPRLNVTPSTRAILGRLIPFAAVATAAGLNTYLMRRDEIVKGIDVRPVLSED DKQKLVAEGKSERDVPSLGKSQSAAKRAVYQTAASRVFTASPIMVLPPMVLYYIESKQ AWYKNLMEKEWVRARPALVKGIPLGINLGLIAATSFAVLPFALAVFPQYQEVSAESLE PEFHGKGGKDGKVVFNRGL FPSE_01608 MSTPTTATATLPHYHHPHHYPQSYAHSHSHHQPYQPNPSASYRS TASNQNILPPPVSSAVSPSHSAILPPPYQLSPLAYSSTASNGIGLTHSSTSRSAHHAQ EPSPRHDAGYSTATMPETAPASHAEPSRKRRRSREPDWNTFYKNGLPKEIIVIDDTPE PEANTSRKITNSTVVAPNDTTNRLAAKKRRQNEETGTVQASGYHIKYLESKNNTPAQI TTPAGSSNSSSDRTNSGVNTTAPTSLSSNGQYEDAAAPLKRKRTRQQVANEAKRRDVD GLGDIFTYKPPPYPPKKAGEVNVQVIHDRHYNKNVKVDDDDGHYIVIPEAELTDKYQI VRLLGQGTFGKVVEARDRKHNKAVAVKIIRSVQKYRDASRIELRVLETLKRNDEENRN RCIHLRDCFDYRGHICIVMDLLDQSVFDFLKGNGFVPFPNSQIQSFARQLFTSVAFLH DLNLIHTDLKPENILLCDHAYQTFTYNRKIPSSSTTINRQATQRRVLLDTEIRLIDFG SATFQDEYHSSVVSTRHYRAPEIILGLGWSFPCDIWSIGCILVEFFTGDALFQTHDNL EHLAMMEAVVGSRIDSHLVQAVNKMSNRNGGNAASKYFKRLKLDYPTPDTTRGSRRFV KAMKHLDHIIPGNNPFLKNFVDLLQKIFVYDPAHRITAKQALQHPWLKETAQPDDGTE AAKIRQERIRSEQRNQYVRS FPSE_01607 MAHNHNPDEEFDDTPLGDISDLQLDQLTELLWMDQGVNIVMPDQ EWLQSQYDGIAQLDKSSRRSKNIWKRLSSGLENLALTPRHVNDGRSTACLCEFHRDLD PKLIRRLMDLIMLECTVRIHRYREWRRRNTLPVPITQWLDRIDAMTGLWMSRDAFNAA FGYERVSPNQNKVRSKCEACIMAVIGGRPHALAYLRASMIGRRDRHVEQRRQMPRLLR VVESWIGHFKADVRRAAMTESMEIREELDKLDAVIAAAKRERRRHSRRKGAPSRPSRP VDSRSFEVDETHSHQGRESHEDSHFQDSGHYSSQFDDDEQQWMDEDENCQASIYLDCQ MQRQGVTEAKRREMFEMDMHPAVRDYAQDAMAQVGDRLQRDVEPKPKSDADSLFSNAS AVPAPLNLASKHGEPTPPDSNSGGDVAESVWEDVSVFSPPQSMVAGQSRGRHTAAPNT GSDAESALTTLADNRAHLEFCQKWGFDPGSEFREASPTRVQTRRDADTASVAVASSVY SEHPGFRRSQQSLAPPTIPLRSPMRNSHRAPTGRSRLPAHDQRDKNLWDELRQDRDRL QEHEDEE FPSE_01606 MSWAPPSSSGAGKENTPSPNLSRSLMRVQGMRQALNVDTPRQQL FPSDVVKSRLQNARQASGESTDFLPKQPAPAKTTDKLPHQRTNLELVRVPQKQVPTEA APPSHHSQALPVAGERLSQQLSVSMGSQESLELENSSKGTWNSPREMARSSASTDVQG APLPAPLHIIEALRSVSGMNRRPSFSTASLVLSGFPVSPDEKENQPPNAEGTWGPSET LQDRDDKIDPHARIFSAAAINTWRSNMEDYPIVPLITKNRDPRTDECDINTETGGLIE PVRYIKLQMDGQAKYDWRRTQLTSQERIMREIARRDVIKKEIEQREQEERYANPTFKE EKVPDAACTIRPVETKDFQAIADIINLERQQGQSSQVYLPKIDHSHIATLYNACRQKR RPFILAIPSPGRIPDRTNWSKAEEDEYQEFLKFKKSREASQPTVLGFAVITDAQQGFL DSAFRGSRFSGNIKVIVHPQHRKKLIGTALLDKVLSCVNIYHRSEIEYNWDCAETQQT YEYVSAHNLRKYNKVYAEFFSTGKNDPEVEIIRHLLKKFDFEWAAHFKNAAKHGKEAG VWKDLNVWELEVRTPFEIDEIPEDV FPSE_01605 MLSILRKARLKDKEMRILMLGLDNAGKTTIVKKLMGEDVNTVSP TLGFIIKTIDYLGYKLNIWDVGGQKTLRSYWRNYFEKTDALIWVVDATDRLRIQDCRD ELQGLLLEERLAGASLLVFANKTDVEGCMTEAEILTELQLESIRTHRWNILPCSAMTG SNLEEGLSWVVEEAKKRLFLY FPSE_01604 MSDEVSQFLEQVERLRGQQIEEDEVRARELEDYLAAKRERQARR EERARSISPQKSSPANTPSPRSSRRSIHLTEALKLDSPRHQRDESASRSAESEAKADT SMDLSSSPTKENEAPSDVDTKSATTPTRYSTLSWQRRPNSRGGSTRPLSMLATQNATQ RSFIGSHDSTPAPASATEETFSKDQIAQSLGSKDPSWFRQTADRGASSAAYRKNQVED TDRLDMASVKAQLPGMTDEQQKPSPPVEKPVEKLIEKPIDTPAEKPTEEPATPTKMKL VNPPSLNPPAFDAGEDKSLSNTSPGRLSPTRSNSRSNSPTKGMGGFVQSAMMKRSDSV KRWSVTSPPTLNRANSIQSSQGPGLSLNTRPQSRSGPGATTPGSSRPTSRHGESETEV TPKASAPAPTVDTTLGDSALPLSPTKTMDPRRWSPTKSSWLESALNKPPESPNKAHHK SSSSQPAWMAELNKNKSGAPEGTRPRPGSISHKHQVSIGGLMRSTPMGTATKTNTTGL GGIYSPPPGGNRPLSMHGNSLGFPKGAPKLEPETPTEAKITQPDSEPVPDPELTEQEK KAPEPAKEETISPKETNPASTLPTTKSEVPSKIDFRKSLRPRPTGTDTPKSQEPEFKN VFGTLRRTKTQNYVAPDMLKDNILRGKASLNQTGGPQKTERVDEFKEAILKKKDDFKK VQSEGKGISRSNTPTNDSPIPEGLARRAEMARRKSVADVKSTPASSKPDSPKPTPGPK RMHSQTFSTPKSAPKPAPEPADEGLKRVVTDSNANPEAPRGLPGLHKETSSPARLQGG IGGKLAGRFNPALAGMLARGPPPMATNGGKGPEESDSKGSASATVTEPSTPGPQLTHM TKGRARGPRRKAPSKAAPSAASGASTEQKPAQEKPNVTTPKPIKPTKETPMKQAVPEK VESASAPLSIQQQVAARAAIQRKPVVTKPIAKPQETEPQRPPTREDRNSLPSKRSSKA EFTPTDYPSPLRIQKTGDSGPQPGSPKKLDTNRMSRFLDRSSNDTQEPVKEPVRLTHQ RTGSRSPVKMFQRQLPDPEPSSKDTEPVKEPARLTHQRTGSWSPVKMFQLQLPEPEPS SNDTEPAKEPVRLTHQRTGSRSPVKMFQRQLPDPEPSSPIKVDSDPVVSVKNARAMFG GAAPAPSHAAKPSLDRSEPAVLQTPPPKASPRPLPQSEHSSPLQINKTPSRVATRPLP TPPSKEPQSPKAPQTPKAQQTSSAAPPKTPSQGNDVAGLLSDFFGPKPDKAEYKVDAA ELLMNRPQTGPTKIQSLSFQVFQISAEGKKTPVPSHYERTLFDREMYIAPHSFTNEAG WKRLEFYFWVGDQVPESAADDALLFVQKEAKALSGKVVKMHQGKETAEFLQALGGVVL VRRGSSNKYDSLAPNMLCGRRYQGQVAFDECDLTTASLCAGFVYVITSQGKAYIWKGR GCDVTELSAAKLIAIELTLTGELIEVDDGDEPESFWGLFEGGSKPHSADHWRLKPNYA KYCSRLFCSDAETRQQVFEIAPFNQYDLSPQHIYVLDAFFEMYIIVGARAQSQYSSFR NALDFAQEYAILAAGMEDRPFVPISTVVLEGIPRDLKRVFRFWRDDLNPTVTNTSTSL KRGRSLRVVSLTQALQALRE FPSE_01603 MSISSVLTYFALGYAALTIFFYAMSLVVPQAAFAARGLASYVSL LVVAAYGVFASIFLTLVGKQGIAQWAVGRSFHYLMLYTTGVEFVIEDPDNVLGTTRPA VFVGNHQTELDVLMLGRMFPKYCSVTAKASLKKVPFLGWFMSLSGSIFIDRKNSKDAR DAMKGAASEIQSKRQSVYMFPEGTRSYAKEPMLLPFKKGAFHLAVEAGVPIVPCVVAN YSHVLYPKTFTFNSGKIPVKVLKPIPTKGLTAADVEELTRTTRELMLNELVTVTAKAR GQPMAVPASLSDSTAGKSSAIDTNGSAQ FPSE_01602 MATSRRGQPSLSVRMPSNQPTDRPPIDALFLIHFDVKAGYTILW KQNAPGIDLEGLVEYKSLPSGLHTVSEDLIYFVHDSVHAGLSAFVNIPCDEEEARNAR MFAVGVLVPLSYGRLGRAWRHAEGLKEMAAKLAGDRKNTSILDEYWVTNREKAGNGNR RLSGETPVSSPSLTSQPFHRKAHNRNRSASDGAALIPPGNKLSPYHPAWSLTSLLDKF GPLIFPIHRAALLRKRILISCHAPVHEVCNFVYNLSVLSNIPLSVIDILPGSTSTQRL RPLFSIGVHDIPFLMDDFEASKKKQSDDDVIDDESGSGWIACTTDSILAMKDTLWDML ITLPPDYSSNATERVWPLVECPRGQPIKATQRDLRRFTSLKNGLARLEAITQAPSMVP ESPEEERFARRVSTHSNHVVRDPRDDAVEKVVEPLTWAAFAYNGYMWWASAGEQLRSE QQEEAAHDSSLLADFGHSSMTMPRPGSRSEQLSDSLSSLNATRRTNDDSGEARFELAI IAYFHRLTTQILSVLADLADSQDEPYTDQDDDDGLSQISDDRTPLRPSDSDPEPNDAD AIRVDSHAVESMGLDVWSDADALFIRELSIKYFSRSARIEGKGVEVCGVRVC FPSE_01601 MSSDYSYDEQGQFFPFFILTLTGIVTLPLTYTLLRPSRSDDALA PRIKTDYKPEHAATVDSLKTARKRSQWRVKRAIFVVIGWALMAGMVYLIVVTQRTVPK LYNPYDILGISESLNEKQIKSHYKRLSLKFHPDKVRPDPAKNETVESLNDFYVELTKA YQSLTDEEVRNNYIQYGHPDGKQSFSMGIALPQFMVADGSGKYVVLLYTLLLGVLLPW VVGSWWYGTMRMSKEGVLMESANNLFRQYDDEIEEGGIITALSVGKEFEAVVKGDKIE TALSKIESRITADSDCQPTASGMSVKDKDALQDLDNGARRKALALLWAYLGRVELDDP TLTKAKLQTGPIARALNQSFNAITLAYGNISPIANSFYTSQNLVQAVAPHASPLLQLP HITPKIASAIEGETKTHMPVHRFMDRPDAQRRQMAIGEGLLTEEQYETAIGVAKQIPF FRVCRAFFKVTGERFITPSSLVSLVIKGRFIPPGTDDVPAINELDLEDIDPAEDDIEA INGRKKKTIKGPDGKLIPIEEESILPPLTHAPYYARDHSPQWHAFLSDSKQGKMAVPP FHFAKFDQPIIDDEGKPTFNMQTLKAQFAAPPQAGHYTFVLHVICDAYVGFDTKMEVT LVVEEASKAAEMVQEDEISEPEEDSLAGQMHVLKTGQTPKTRRKDSDDSDDESGTDEE EDDDTSATNTDTEDES FPSE_01600 MSSSSYSSLLPRDPVYGFPPEAFDTSLLPDVDTEFVSAQDLEAF EKALQAPDPLQSPTDETGARSPRSPSSFSVTKRASQVESGLADDVAAVAAAADATSGG FPQPQSQGTFITAQNDWAPVNEKVYRHRRGSKRNKRKRRNGKGAVEGLLGTRTKDETR EGYLYQLSKWPLLIFVFAWLGGLAAMYMSTRLYIWVYEHFFTWRGQRERLRRNLRHAS KYEDWVSAAKELDTYLGRQTWREENDFAYYDSKTARRVWEQMKKTRLRAEEQENKSEK DDGGKAVGELRALIEACVKNNFVGIENARLYSQTYFGTKNLVQNFLDEEEKCIKFLAS TKQLEMEQKRILFKHVYANYGRTALCLSGGAAFAYYHIGVVRALLDANLLPDVITGTS GGALVAALVATRTNEELDQLLVPALSERINACRESITTWLPRWWKTGARFDSVDWARR CGWWTYGSLTFKEAFERTGRILNVTCVPADPHSPTILCNYLTSPDCVIWSAVLASAAV PGILNPVVLMMKTRDGSLEPYSFGHKWKDGSLRTDIPIKALNTHFNVNFTIVSQVNPH INLFFFSSRGSVGHPVTHRKGRGWRGGYLMSAFEHYLKLDMNKWLKFIRHAELLPRPL GQDWSQLWLQQFSGTITIWPKSRVSDFWHILNDPDPHRLSHMIHEGKQSAFPKLKFIE NRLKIERLVERGRTDSRPWVRRGSIQTILSEEDLRSILVEEMENSVTEEETDGDDLSA LGLGMTVADGDVLYEEPKEKSDSEGTD FPSE_01599 MWIVNWFYDVLSSLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTLHPTSEELAIGNVRFTTFDLGGHQQARRIWRDYFPEVNGVVFLIDAKDHER FGEAKAELDALLSMEELSKVPFVILGNKIDHPDAVSEDEMRHQLGLYQTTGKGKVQLE GIRPIELFMCSVVMRQGYGDGIRWLSQYV FPSE_01598 MNTMTNNLDTPWVAHGSEEIEEADEPPEINFKKQQFTSTDFQRT TIRPSIEKKSSLLTKAINGNSDDDLYIPQNTTSTRQRRRSLVSNISFASTAELTSDTG FTSPSRPSTPSPTLPDMAMLRLHDERAHVPTHVSLLGAAVKLQQGKTEEPKKRSIQFA CGGKPTAPVQPPRSQPMEKAPSAQEAPRKSCIKFACPARPASTQNTPPRSIGINTNRK DATPKAESPSTPKRNIALSAPRQITPRRHSMSRPKFLRADSSDLATDSSQFHEFASGI TREDDWIRRDSTVVVAVKLTINDTLVKENNIRRLATEAEQEAEEEDELDNDDDADVDD DDDEEDDDEDELDIDLDGDDDEDEDEDEDSDGYHTDEETGFADSDDDDEDDDMRLWTP GAKSVVHIGSGATLMRRPSIHEMQSDSSIATVNSQRVSRRTKRVRYAEEAPDLPDSTD FVCGTLDEDRPLEEAYLSCLAARRNEKLRVIPQDIDPSFPASEPEEENDGEIFNPVHH ESDADEFFGSMEDLHQDRDRSRRRRKSEHASPKRFRSPPPKRLHSPPPKARARSPKPL FSRQSPRRAKSPAPMRKPITTPLGSPRCGQVNFNLAGRPGLTHTKSLPRGGAMCHQRK HPRNKMTHDNDTHIRGAIDIVKGLESKRQRRKEKFHQKYCNRARRGKIPEPKPVPGRG TERMRELGLLMAGKKDQTNYVLSI FPSE_01597 MENIYHIVDMCIEETIIDTDLSTKAQLAWAQTSRRFYATIYPLI RPTIVCKAAEAGRLDVIKKAYQDGVDLNMIGSTKHGQKGTPLHYAIMNGHRDIVEFLV EVGVDPHIPSTRLCLDCYEPGAFYALHMAISHSKIKGAAQLLIEKLGAYKTLKWRYAL TDEELPRNKKRRGKIIDLLINLPGPGPAGAALSYAVQTPEFGLEERMLARHDLDASVR GSYGCAPLFDAVSCGRLKSVKSLLQHPEADASIAGKNGRTPLHGAVEISNLPIVEVLL RRPEVDATTADDDKCTPLHRAAFHAAVNITKLLLEQPGVDVASSDSEGMTPLHQAISG RTHIFWLCERRDTEVGISDLIGESLENIKILLAHPEMNAGSHGKKGLTPLHHACLITD CDFDGCTILHYIGGSLPKAWETTPIVETVLREGVPIDKISTNGLTSLHQAINAGNYPF ANFLLSLGADPMVSKRFKHNNSLLHLCFRSHPFYDQHRDEVVEKLIAEGIETNTYTDS PLTDFDADWGEPEIDEGMRHESVGGLQSMILANGIDCTPLMLTLTESMKIESMKMLLN AGADPNAHVTIRQRKHGKIRDHRAAFLSGVLYQAWKDGPSDDSIDLLDEYLKLLLEHG SRIDFDGPEDSPLQEACKASEDRYEAFLETLLDHSTARNVNQKHIRELICEYEKKPEH EKDVFAICQFEHSYQMIVEYPSYDLESSCIHIKLSLALPHQSIYKSHDVVQVNIPAES GEMGVLANHVPSIEQLKPGLVEVVEESAGSKQFFLSGGFATVQPNSVLSINAVEGYPL EDFSAEAIRAQIAEAQKVANGSGSEQDIAEAKIELEKIQPRGQRATNGRKSRASRDSD PRKTLSNLPQKERQRSSVATGSNGRDPLSRPSVTGFQPQISHGQKVSTRPSQLPRYST SKEILPPESMLTSYLASSDPSRTVSTTEKCQDAMDILEQYGIPRPAGWFSDGGASTPD RIIQNRLIISQICHSCAKPLAFERYCSHCGHDSCIKCTGEVPGNIPKHIIPTSSAPYK EHNHTDRAPELPTQEHPISEDGQPTRVTLGMARRRKTPRLVSEPETSKTPRPTRQKSR LIPKIDQELLKPVRKKTIAVPTEVSSSVKNNPFFMADRGTVKKPSEPTITARSVQVER KPKHSDCVPDRLLSKSPRASHVQKECSDPSCRATHAGHHPTRHSISCATQRSLGALVV EGNDLDMIDEDKDRHEVKHAPAVEKSPSRHKLQMKIDQLYHHGQDMHHSQHIMEHLAA GVNTLPSSATEEKSPRGPDGRSRMDNYKLSAHSQPTSDHSLSQDETVTIGTETTKHSL SRDTAVPGEIESKPLNLNEHKGDRHPRPNSHEPHVNTHNDAHWIKSALGTHGQACDVQ EHHSRSPTSRPTGAKPTPAPQTPNKGRGTSHEENGKNTPQAQNTPLPDKTHSVSPGSC KRPKELDEPVPGVHMLRKTIPRDDPEKSNAETTNISSWRTQLRKVDKSPEQPSNQRLT PSHVENWRSELSSVNQRTPVSEKDKKEDCINCNPNQYISPQAENSSQSFAEVGLQKKP ELELSTAFKSPIPRLKVTDVELSLARQGDEELMAKRQELHDKEAEEQNSPQPPGKKDD PERKDSLMSTVIHDPTPMMPYKHMCAWRARYMDLKSQVDQLGDETGCPLSDGRAGTLC SHTHTDIDIEGLTVVMHFKGKDDLVVNTDLTEGLQEHHKQQGTRD FPSE_01596 MGADIQQWTIEKPWLETHCLLGEGPFYEKSVDTLRFVDIRNKRL HYVKVAEGPSSLKTVQLDVCPTVTANIAGVDPQERIALGVKYGLAIFDVKKETYELIQ PFEEPANERLRSNDGGVDPLGRFWLGTMTDFGLGPFKPEGAVYLMDSKSREVKLPDMT IPNTPGWSPDGKTLYITHSSAREVFAFDFDTESGEISNKRVFYTHNGSGEPDGFRVDV DGFIWHAVYGEGRVIRIDPKTAEIVGEVKVPTKNTTCVQFVGTELVITTAADDEGEET SGEYGGHVFKVDVGIQGLELHEFKL FPSE_01595 MLLGSGSFAFLFNLLFQLEIAVNYAKLDGVVLAFAVASRHLHQW HYNPTLERGLAYLLGVKVTCVDKGVHKDDQRAVALWPTKFGSASTVGPRLVVMIMGLD GSRDHERSGKAPKGLSQVKHGRPPNQRASVTMACIASCN FPSE_01594 MSHTEPASQHAPGRSSRSPMGEALVSPTSNRHAHLGDRDLRHDG GNTPYSNGEERMQQVPQKTLGVHNILNPLEPRPSASGGNGPMHPAARPSDSAMHPQTP GSIHSPFHTTRSFPHGQNASISLPGTPVGSMTPIGAPTSGRNSPITGYPFPSVGNTRQ RASPTQHPRAISVSHVPPRESDGRQPSSLHGKRPYEEMAAEDTRSHYPNIHHLSGMPA GPPSAMSDSGRLHSQGPMSSPGLQAPHSGLPPNHMTGRQQPPVHHQTSYSPNMQGGRP FPSPGPQNENNTLWSETLRRQGTGGSLFGVEGQQAFMTLPGNETPIPVHMDFSHASKK ADEKRQRNAVASTRHRRKKKIMQEENSKQLQELRDERRLMEIRIEELTQQRDFYRDDR NRLRDIVGQTPSISSLAAGPPSPTFSVSNSYAETGSMVSGHMGYGGEGMSNERPSQRR RTDDHPEYSLPPYSPASVTSGHPSASPSQLPPMPMPGYGGPSRPSSAASSANSERLPP LRAMDGRPLGPPPGSGVQEQDMRTGQWVPVQPRAQETGWATRDTHRRP FPSE_01593 MEDRLQVTPHFTDATCGGALLTNQEAPSSTSGLERHLNTIPTPR DQHHVNPAHYDVESIAVAFLFRTYSKSRRERHRLIAIMASSFPRSSIQLDYPLYAIDF DPEDATRVVVGGGGGAGRSGVGNKITVLETVSQQELRSAGEIALSRDEDSVMSLAVGP HRGKSTYLYAGVNSSPESIAKGKNEHLRALSVDPSKLRTSVGAKTPDTNITEASRTAL FQDPEVDTYQRLLRIAGSTGVAATAMSKEPQLAIFDVAGAAVKLLSVLKIEKEAEDLD IVQTGENEFQIAYCYKYELWLVNVSGKEISEPRRVYVMMDDHGERPAFRALRYLSPNF LVAATNLPKRSGVVIQAFRLPKLEQAEARLCLNARIPRKISATAFAVANLSPPSSPFG ALGETQFVIAVAGHDCSITLYTLEHTVGGSIELLSKLWHLHTLKEVHGNANITAMAFS RFVTPKNNLRQQYIKLASTSLQKTVVVNSIALKKHVEKAPRNKKGPPRAARYVTAVKS RGVSSRGLGITMAIFALLMALVAQAVLELSGQNTPILGVERIFPAAWHGSLRAPDHPP AAFLADEFLAKLGGRDIVKTVTGETLVVYEGDLPPATAGGDDQVPELKLDVHEPSVHG PGMTWEELGEEQKEAWKARLKEAGAWTQTMGEAVFKGILFGEIAGAVGRAVAG FPSE_01592 MQHMAGAGQMMQQQPMRKPTPNQLQQIVYQNLVQHTQPFTGICW QANVAISDRMGKTMNLITNINLAMNGGDYMKGAEWGCNFEREAFHKSPTKEAYDQEMG QKIMEFFKRRQANEPNLQNSINVNAQAQAQAQAQAMMNMQMGRGMGQGLQQGFQPMQH PTQGGQMGQGQQQQQLSMGAGMNMGMGMANQAGRGMGPGQQMAGIPAGQGRPQQTQMP QEMARLSPQDRHKVTELATKMFNTASEQQKAHTRIQLQTRISPAQLQEMTSQGRDPLM WFYQNQAFQVLKANMNRIQQQAGQGQPQQGMPPNGNNQQATMMQQQASQQSLRQQQSQ LQQQQQQGQQQHGTANNNQGNNDFSSFPPNMESIQAEQRNGLLAQQQGQMVVPANTAQ NRNPTPQPGGQNGANQQGPRPSQQQQPHQNMNVQQRMAQAAQQTQAQLQAQQMKQMQN QPGMGGNMQTSQSPGLNTLNTPVSRPGNNMNQAAGQGGVQFGDQRFNQGAQRPNNQTF QNMLANLPQEQRAMVSGLSPERLNEVMQRWQSKRQGMGNNGGQNTPGQMPNRPPGQMG QMGGQVPVGMQQSQGGVPMNGNQQQGQMPRFNPSQQLAMMDSMDLPPQVLTQLQNQIP PEIKKWGPLKVWLQQNNIPPHMRNQLAAIQQKQFQLILQRRATMMPQQPQQQQPQGQQ PGQQFSPQQQAQQPQQLGQNAVNPGMQPGAGPGPNPLQAARQQIQFPPQILQATAGEI QQVRASKSTFANMSDEQLRNMVVQMKKQSWMNQQQQRAQQAQHAAAQAVAQAQAAARN EGTGQPGMQNLGVPQAHMQLQQPPQPNQAPQTQNVQASAVMGQNATPQQAGQKQPTVT PEQMRNARPQPANNRAQPPNPSPAAPAKNLKRPSNDDALDVPEATKQVPTRPASQSNQ QQQPQAPRPMNPQDLSSLTPEQRNQLLKAQINRAGQMQQQQQQQQGGETMARLKALGQ EEQRMSMQEVMNDIPMSQEEHDEMAAKLTKIVVDMTKIGRGLTKWYNITRDDTRAKLF FRMRWRILKQFADGEKMSILKNSFSIDSAEIAEARAMLEGMAKDLAANMIRARGLAQQ QVTPQAQNTQLAQQPVPQQQQPQPRQQPVPVPENARRGSQQNSIPKGGNKGSQAPPAP TTTQAPFNFEIGASSPRGNPTYVGRPKELNLQLPASRKKQKTDPKTGQTPVGATPSPQ AGKKTSPDVRRVSETQVAHRPVLTCKEPECEFSTTGYSTEQALQQHILEEHTKPREDP LKFVQENLALALGLEPDGSAKKAEAASMSITNSKQGQTPVTTAATPVFTDGNMKRSAS SMGKPQDTKAGVKAGATPKQADAKLADPMATDPWANANIDPEMLVSNLGVDRGLGVYD NPFYDYNALSYLTPRDTPESAKDTGSSEPNSDIPENTNLEVNFDWQNVDSDLLFNMGN TSGGNINDMLAADAALLFDQPSLQEPDWDDVKMDFSKPFQFDTSQHYYMATS FPSE_01591 MEPSTTSSSTSSPAKRRALAPLDANALAAPKNLFKPTLGHSPVK MAIEGRKRLLDIEGSAPAAKKACLGRDEDASVRSASPDVSSVFDTSANDASWVTTTSD HDTAPPAATTQQPTILTRQQIRQRAETLRLRLSLANYKVRTGQTTVPLSELQQRPLPR ESPRVVRVQSPVSPAAPAPVQEEVEAAAETRSRRDSIDSQATEIVPSDDEEQI FPSE_01590 MAPPQEALDFVDFVNASPTPYHAVQSASARFEKAGFKLIRERDS WASTLRPGGKYYLTRNASTIVAFTIGRKWRPGNPVAIVGAHTDSPCLRLKPVSKKTNV GFLQIGVETYGGGIWTSWFDRDLSIAGRVLVKEGDNFVQKLVKVDKPLVRIPTLAIHL HRQTNFDPNKETELFPIAGLVAAELNKDVKEKSEEKKDDGEEDEEFKPLKVITERHHP QVLDVIAAEAGVEVSDIVDFELVLYDTQKSCIGGLADEFIFSPRLDNLGMTYCSVEGL IESVKNESSLEEDGTIRLTVCFDHEEIGSTSAQGANSNLLPSVIRRLSVLPGNRDASS EGSYEAVHHEGEDATAYEQTLSRSFLVSADMAHSVHPNYAGKYESSHQPAMNGGTVVK INANQRYATNSPGIVLIEECARTAGVPLQLFVVRNDSPCGSTIGPGLAAALGMRTLDL GNPQLSMHSIRETGGTADVGYGIRLFKEFFEKYGSLEPKILID FPSE_01589 MPYNYSINPQDAFGSDSPCDLDTCPIDWSLYGYRPSLAANIAFV VFFSLIGIVHLYLGIRWKSWGFMTGMLLGCMSEVIGYVGRIMMWYNPFSFNAFMIQIV CLTIAPVFYTASIYVTLSKAINYFSPELSRFKPQLFIWIFIPFDIVCLILQAAGGAMS TESSSTAGVDISMAGLALQVIVLVAFIAAFSDYMIRYWRSGKAQAFGWRMTAFFVGLS AAIILILARCIYRVAELREGYDGELIKHEIPFIILEGVVIVLAAVALFFGHPGLVFNK SEVNNSVSQTEKGVTSGSDNNY FPSE_01588 MSFVAVPPQEKKTAIDENSSSLDKSPSQPAESSDVIEDAVFGRV TGEGPNYRSLGWIATIALMTKTQIGLGVLSIPSSFDTLGIIPGIICLCTIGVMTTWSS YMVGVFKANHPAVYTIDDAGYEMFGKIGRNFLAVAFLLNWIFISGSAMLGISIGFNAV SKHAACTAVFVAIAAVLTFAFASIRTLSKIGWLAWIGLVCIMTAIFTVTIAVTLEERP AAAVGVDEWEPDWKLFNTPTVQQAFAAIGCHVFAFAGTPAFFSIVAEMREPKYYNRAL VWSQGIVFVVYIVIGSIVYVYCGSYVASPALGSAGPMIKKVCYGFALPGLLVTAMIVT HIPAKYIFLRILRGSEHLHRNSLIHWGTWLGCTGGITVTAYIIASAIPIFGSLVALIG STFGTLLCFQPYGFMWLFDNFNRKDRDKRWKFMVGWSVFVILAGTLIMILGTWGTALE IRDASKLSTGTPWSCEDNSNAH FPSE_01587 MRYSTAAAAFLATGAMAHYDEVSKVYETKHVTITSCGPEVTNCP ARSTVVSTTSYPVPPKVTSAQQGADTTEAYEVPEVPATTEAPEAPTTEAPMTTSTIYS TNIKTITSCGPEVPNCPATGGTPVVVTETIAVSTTICPVEPTGGSEKPGKPETPGQPE KPEQPETPETPGKPEQPPAPPAQTQPEQPPAPPAQTKPVVPEQPGHPGNGTVPQPPPV YPNPPATETGAKPIVPPTPVPGQPEQCVPSQSVTAITKEYTTVLTSVEYSTVQVPCAT GTNPTTPETPETPEKPQNPGEPEVPAPTGPAAGVPPPATTPIGGGNQTMPTPPAVTAG ASTFAGSAFFAAVAGIAAFIMI FPSE_01586 MEAQIENAVGILSNPTSDRSINAQAFEYLNQLRTDPSGWQACTT LFARTPRTLEVVRLVCLEVVNYAVHTQGLDQASLGFLKDTLLQYCRQTYGPNAQQEAD PAHLQNKLTQTLTYLFVFLYQNGWESFLDDHLELTGLSTNTDNVQGVIFYLRILGSIH DEIADMLLSRQNNEAKRNTELKDQLRAQDMHKVCESWKQLLTRYSTNDTVVELVLKVI GKWVSWMDIALVISQDMLGLLLPVVGRPSTSGEDKVRDTAIDTLNEICGKKMRSSDKM EMISFINLQEIVEQLVASPPLREFKGTSRYDTDLAEAVAKLVNTVLSDIVRALEDSQI SDDTRARANQHLHGFLPFLLRFFSDEYDEVCSSVIPALTDLLTFLRKLTVVNQDYGGM LAPILDAIIRKMRYDETTTWGDEDEVTDEAEFQDLRRKLQNLQKSIAAIDQPLYMDML SNLVATTFSTLDQQGSRMDWRDLDLALYEMYLFGELALPNQGLGTKNQPSTEASERLV VMMQKMVESGIANFSHPAILLQYMEICVRYCVVFENHLSQYIPQVLENFVRLVHHDHV RIKTRSWYLFHRFIKQLRAQVGNVAETVIQSIGDLLPIKAEVPGDDADDDMSSDESDH SADALFTSQLYLFEAIGCISSTQSTPADKQAMYARSVMDPLFTDMEGHLPRAKSGDAQ ATLQVHHIVMALGTLAHGFSDWTPGLTSNSHGPPDKAVSDEFSRAAEAILIALNQLNS SAEIRTACRSSFSKLLGVLGAAVLPQLPQWIEGLLSQSSSKDEMAMFLRLLDQVVFGF KSEIYEVLNMLLTPLLQRIFAGLTEPIAGTDDEIQLGELRREYLSFLQIILNNGLDGV LVSESNQGFFEPMISSIIELAKTLEGNVTSSRLAFTLMMRMASIWGGPDVATIAQNPT APSGSPNPTFPGFEQFMIDRFHSTCWDVIKNPNFRPFQDAQTKQVLTEIAGLEQIIYT KTGDVFIQELQNNLFPNLGVSGDDFLRSLTTSTDKRQFASYLQNLLKSRQ FPSE_01585 MGPKAVQYINQLDHARCDGNWDLVPELVRKVRKHAPERACLALT AETECAIAKTTGAAAHTNSESRPTTAVNTGEINAADQLPKLEAAIDEEAAHENDRFQA EVCAGWLHWTLGDYNQCVSRLPENNLVEGEINPADKNAEWSSVCALKAAYLRANCLAR SGESKQALISYRSGLPSLGRVWAEHGIGRQLRYWSELFLTEYCMLAGNAFRNNEILQL DSDSLAGYRAWARFWDTMSTPVTGGYGFKGSVPRRTVWKEYYTAISQIVESDQPYPTG FVSNTAGDLSPRSQLRVELKHAEATYQALLLGETSFPRAEDEREEVEWFVNQALRNWT VLCGRGWSEKDLGQGGRSSLSRGVLDTLYNAATKTYHSTSILRALFLVHLSIAEFDLA FKAFDSYLEIVKKGKARVDKTGHMEPSLDDDATVLETIAQCIQALCRYGQRSASEKAY QLGLELEDWLAKLPQITSQDTPTPVIEEHGELHSPHPPVPPHTIALAWQAIGLSQANW SRITYEASARTEIQQKAIRCLRKSLSADLGRSKDIRSFYALGLLLAERRELTAAIETV RTALTTSKDQEENYDLVYGPYWQERSLIPVWHLLALLLSARQDYSMAARACEGALEQF KDNTVLFGKADQGFRSEHLNEAEKGTAAEDRRGLVDDMDDAEKESILEVKMTQLALVE LLEGPDVAVNASFELLTLFSRLFGNVAAQTTLNTPKAVEPPKTSGTLRSIRGTIFGGN DRSRPPTRQVYAAPSDRNPRPQTAVTVRSSAPTIQVTGDAGAMAESRPRTSTSSVRRN RSATGRSNSLQKRERSHSRQRSSSIGAIVSSHGPTVVDGDVFFTPANVEDQEKEQQPD FFTYSSKRQSAARSSSFRARPVGHLNSYLSTQSKSTDYSELSVDNAYASTCILPLVHF PKDKERSQRVVLLIRVWLMIAGFYRRAGMQEDSKGAITEAQRLIQSLESDLARDPSGS GSLKAPSWAEKKSVEDLWSDLYAELGQLSLARGAPYTARNDFETALTHTPNHPSAIVG LSNILLDIYSEDLLPPPPIPALDDHFDMNAGNDPVSTSSSYAVSSLPSTPLGLGPANA QFTPKPPMDADELPIAYKATRLPLVDRLASRDRAYALLSTLTKLGSSWNSSEAWFALG RAYEESGQPEKAKEVLWWCVELEEGTGVRDWRCLTGGGYII FPSE_01584 MATSSPPDLRVLLRKLNAIKEPQLLLHALPSLINHVLHCKEALS TPVDIKAKNGSSEAATLIPKLKLKINSLLIGRTTRESRFVAIALIKTMVDVGGWEVVR ECKDWASGLLDVIEKNDPMASKELAIVTLARIYIFVQPYQTLSREIATPSIPRYVTAC LKLVSAPKSGEKLQTPLSIIETICDTLSALVPLYPTTVRPSSSKLKIAVKPYLAPTQS DEIVVPHTLQRASRKLVISLHHVAAKSGGSDEWAKLIDTLLNELHSTADQVLRSVQES WEGSNGYTRSQVGVDGAPNGGGSSANELPPWSGLEAGGERLIGLFQYLSDCLKYPTKV PVTIPASALIDAASRLLLIARLSPKSQTWEQALQTNAAISRDEKDNLWAALPDIHISA LYLIKALFQRLGQDSVAIAPEALDHIVRVFKSGINLPTVRTTSYTVLKEILTLSGPTL SKSSVSSLDPVLGACCRDLQQDAGYLKDIEKQAASGTDSKKNSIAANADLFLNPQANA VAVNTSLELEHKAAAVALLPIILSRLPQRHLKAALRGLVDQTAILTSNRDAMVASVLN PYKDQRGRVYPSILPHLTQQFPEDTSLEILRTNIRAGAQSLAEGEEPLEALPVEDEED EEDEDEEMKDGDVDEEEMVPEQKGDLFKPGTLPTAPHAEKNLPIQSNPFAPIEKANAS ESQNAFARGSSPPKRKHEGSDSAPPKRQVLEKSSSPDRVLPAPIQSVPVVAKEVEEEE DDDDDDDDESVHLNMELEDDDDDEEE FPSE_01583 MSSPKPAAGVEEPVTQSPTPESPKRDGASEPAEETSDRESGEAS EASESPAGHNNDADEDAPPLPNEKTPDGPPLPSEPLPSGPPLPAQPAPQQEDDGWDCQ WDPNTQAWFFVNRFTGQSQWDNPRVATSAAAPVAPGVPQPPASEKPAAGGYNPAIHGD YDPNAWYAQGNNPDDQTATTSATTLDPAEVYASTATFNRFTGQFQTADAGPERHSDEA KSKRQMNAFFDVEAAANSHDGRSLKAERSGKKPSKAELKQFKEKRRARKEEKRRAWLR D FPSE_01582 MSWSFRFCFIQLIALAAVSRASPTDNDECNCYLTNGSNSAYYSH HKFYDFRSLVKYAQVPSPIRNFSRKTGVTSDYFKSDTWDNTWSIQDWNNRGKGGVSLS GDATVYMANSPSNIYIQKNGDDDAASDTFLTMRTMRMPGFQSAAEFESVSTYHYVSVR MLARVTGGAGACMALFTYLEGEDLADVQEADIEILTRDPKTRIQYTNQPSFTDDGDDI PKATRNGTLPKGFGWDDWVVHRLDWTPKRSVWYVQGEEVASIEFQKPKDPAQIILNAW SDGGSWSGNMSLGDAAYMQIQWIDMVYNTTKDSEDKRSLDVDMLKRSNGRESSLFRRG DNDDGCRVVCSIDDVDKAGEAKVLSKSAASHLLVMEHWTKAVVVGCVILMAV FPSE_01581 MSIDKADPAQHHNNNNHLNGLNGHPRDETDSLVGSSPEGDHHPA ITSANATQEPQQPKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIKQLEETIRVH ESNLHNLQAAHRTAADECLMLRYKNSLLERILLEKGIDVQAELRAKTGSPNLGPTHMP QNIVQPPPIQRAIMNRHHQSRRSNSNIAPKAEPVPVLPPPLQPHSNAASPKNRPTPSS HSNSPSNTGSAFSPAASDNMSMRGSMTSMSRQMPQQPHQPQQQQQQQQMPQNTQAPRP SLMQNGSRGQAGSGASYYPTPAFQNHIEQLEQEYDAQADMIDEPEIETPAGHGGYPSG YSGENQQPMMMSPASSGPGHQMTPSHEPVQQQQQQPQTSHSQYPSMTQLLDQNGLDWD PFGLSASMAFPNGQQFQFEQANMR FPSE_01580 MSPPRRRSARLASSTKTRPVAPTLEAVTEDAESPQRLPEPKPKK SRSKNKSNAIPSSPAPAPSTPASSAMKPPHDEMHPSKAHQTMGEPSSAMRLGFTDIKD NKEPDTPSKIGVPASDFTFRVTRDTTDTSLSGDAQRMMVELRQQAAKIKADLIAQRDA DAPEDGRKIAKPTGKTSRYSAAHMAEFKKMDSIENHPSAWRAQNGRFQPVVQSLKRTP SKANLETTPTSSSKSGLKRSPSKAELDVPTPSTPRVTQSLKRKSSRANLEEYQQSPSP KKATMPPSGIPMALPPSTKKPQLLPNAHERERVTSKRIKQREDDDASTNRPVSRDDSS IPRPKSSGNGSTKLPASKPNFSRLLSPTKASLAHSGKPTISLIKSPSKVDLKSGLPKS TSTPGLLAPSEAATPRARVLSSGRLDKVKSILRGHRTPSGNAQTAIPQPKISQTPAPP RAEKDKALPPVPLTTPRRRVTKHVAFTPEATRIAFQQNSPSPLKSCLKAQTTRSLEDE QFQGIDATLANADSGDVVYPDLSGFRPLPELPVKEKEALPVAPAFVPGKFTFRSDHTI EFGEAPVLGFGGSPGQSSIRQVRQSIKPDTKMPGSFPGPTSPISPNKENKLPDAEKVI FGAPHGMSNKKRHRTSWDEEEAEEKQAERSNKRRKAEHVPEGEALLAPRLVGGTPSAK KARTGAITRTPATASPVKKRSVLSMSRLNMLARPKNRA FPSE_01579 MSIPNHANIFTCTFCFHVSQGPPQIIGRSARLACTACHAALLKL AICWVCGELVFRGDECVSFGWCFWHRACYGCLLYGSRAIYQGVTVQELFRDEEDSEKD GCGGKEVDKVPLCAAYVVELELDGVKEETAVIKRGLRRVEKVDGGLTRKRWEANNNEM SAKSASVWQPSTYHTGDGVMGDEGASSNPLSNPRDISKSVIWVDIFDPINGPSFKPSP LKPMPLFMQRSASPIHEPYRRVTTVDTHLQAPPYLRKPRSAPGSAYPTRSREQSTISD HQSMRRHSRSPSVLDASRSPMPPRDRSSPEPLNKYIRDELSEVRHRQAVSWVREEPLK RPSSRLAPSRHSDINRSEANTSTYRTPPEYPDQVLRTPYPLPLSTVRTARPLPSQLTS HSQRTANTAPQSSEYLDRYQPMMARPAQNPEVRRLRRVAASLSDEGLARTRSEQYEGN NDWGTVGTELRRFFTGRRENRCCCDGFNFRFTALKGFYDRSNKVAWLRGTCDDDGTNE REREVVTLEANWRFPPAKLWRGRIKWMAGLVPSPCSLLFVLAWLGSSFPCPN FPSE_01578 MSVVTKRSHSPSNLYTLLLSAVTSLSSASALSSAITSPSPAPHS FLLLVIVHQVVCPNLPRQHALHDKPANTTLQPPAAYTEMLTFSPEPFCLPRDYRTGND SDGEEKPVVPVKTVDKNTARTTKRNVEPQAPVKAAGAGGNRRGPGGNEGAFQDRATGS RRNQGRSTEETPRDGPRGGAGARVRGGRGARRAHERDDRHTSKFGGHGGSDKQAAQSW GANEGQAELKDEQAGEAIAKDEQKDAAAEEAAAAEAAAEEEAEKQVSYEEYLTQQAEK KAALESGLKVREANEGSKLDKKWANAKPLENEEEEYFAASGGKAQRQRERKVKQTVDF DPRFVEPERTRGGARGGRGGRGGRGGERGGDRGRGGNRGGRGGAPQGAAKGNAAINTN DQSAFPSLGGN FPSE_01577 MSTQGSQNRRNQPVYHFDGTEDFKEVVGKNVKYHLDNCLKDMGQ KAKDTINNLVNLLPWKKKEEAEKKEKGIKEFLLGLWDEYPLSLYGLES FPSE_01576 MLLRYLPFAPSTTPLQALTYLLGISLFSISFLVFLNSSISFVIT DLIGQKEGVGDVVGTLGFADELVALVACPGWGLVSDRLGVRWVAVIGYAIIGLSLALF VQATNVYPQLLLARVLFAVGASAAATMVTAILPSLTDDSNSEEDEARARIKALQNATA RSSIAFSVESEATITQERYTQSVSDRSMSDSADSAAGRPSKLAGFVGLFTGCGALVAL TLFLPLPAKFSEDKDTTPAEAVSYSFYVVAVVALAVSLFVAIGLRNIKGEEGKGFRVL FGLKDENESRSDGNGPQRKLAPYLHLMKDSVFLGFVDSRIGLGYVGGFVARASSVAIS LFIPLYVNTYYISNGFCKGSPHDSSPELKEECRAAYVLSSILTGVAQFMGLICAPVFG YLASRTGRINYPVVVSTVFGIVGYTILPQLASPEIKDVEGRGGSPIIFLVVSLLGISQ IGAIVCSLGSLGRGVLAVELPRNTRPESLLQPEDYESANDENRPLISITTDQESVSRI RLKGSIAGVYSLYGGFAILLLTKLGGYLFDTLSNGAPFYMMAIFNAVLLAFSLGMDAS RTFSHQT FPSE_01575 MVGKVSERVLLREGLERTDNGMKLTTWPDVTPINQKNYYTDYMK RDDQVLALRLQSDATRDRLVQNARDRDRVLSKSANGELPLPVADLPDEDGAATPSAGI DPSKIIVIHPGSQNLRIGFASDALPKTIPMTLATKFPQTESEMYEALPRRQFEAKTAD QQYGEEWSKKYNKMCNDLKVEMRANKRKVLPNSKELVQTFNRRTEPEVIQKHNDPLEI EWTDIESLDDPNSLASCFIGHQAERVPDDSNPKFKLWWPIQHGQWNEDGYTSQEHMFD DLETLLDKALRHDLGLKKNSEWQQYSCVFVIPDLYDKKYVEQILRSCMTWFEFSRVCF VQEGMAATFGAGYTQACVVDVGAQKTSVTCVEDGLVMEDSRINLKYGGYDITDTFLKM MLYDNFPYQDMNLRRRYDFLLAEELKIKHCTMSQADISVQLYQFHVRAPNEPTRKYQF KTYDEVILAPMGVYDPAIFDNSAKLKGRRKLVDRSYNAYDVDIPDDPTSAAQLAILAL VQPSITSNVNGFAQSQPDVSTPMKEKGPFLFGKEGTANGTPMGSHAGSPAPERATTPV PPFVFGAKDKDGVNRGSPAPNGGTPAPGTTQPPAGMFVDAAARTAKSVAIEQDAVLPV APLDIAILTSIQNAAKNDEKKLKDLLGSIMVIGGGAKVPHFTVVLEEKIKARRPDLND RILVSRSARDMDEQVVTWKGASVFAKLSTNDSWISPFEFEKLGARTLHHKVLWAWLME FWQGMESGFTCVQWINRACSKF FPSE_01574 MPPIPIHTSSPIVAAKPDGVTPKTAESGDPGASSNVPASNEVPT STYPAAQPGARPSMPAPTGAPQAFAPVQPAPTRTTMNYSPPAPQPGAVPVPPNGYQLP PPPKTGETLRDTQMQPTQMPPQMSYAPVSNGSEFTVPRSSTVTAAGPSPMAGLGPTAV LGGTGGSDFSHPPGYHQNVHASEFTSSQRAAHEASVSQERRPSLIGDDEGEGVWSAAK KWASAAGESLAAAENEVWKRINKD FPSE_01573 MNHNEFTESESMMGSDEMWSTPVTQSKPRPMPIYQGLSFCGAED FSSVPSWDTSSVSFQQTPSETMSRPVSMHQDFYPPTMDNASWMDKPTEDHFDLHGMDY DMTMGMGNSFTADEAIPVLDLKHGALVEEDLMAIDGGSKQRRMSGSSFSMSTSGAFSD MHHDDFSAALSEAPSFTSDYPHRSNRTSMMSSTQLSPVASPRMTPQSRTDLVRTQSRG RGASPSPRPVNVRSAPYSVEGPRIKRWSTGTYGAPASRKPAQYAYHPCQDVYNNHQQM FSGHSSPAIGASPLPLNYGNLQAMQQAPFVVPSTPVFQRNSMLLPTQLPSQMAQQQQW QTDVNHYAPPQPLLSHGLFRMLQSNGDASCLSGHYTDLSDPPDLYAALHEEQIPPPAE DMNPEDPDMIPREQELRFEGDLYTPRWVRGHGNKREGWCGICKPGRWLVLKNSAFWYD KSFSHGISAATGSSFQEPQQKRRMDGNPDVWEGLCGSCNEWIALVSSKKKGTTWFRHA YKCHTHLKVKDTPKRRRESSNARALAMSSMAKPKTDQRPITPQMPGSSMESATTPRPS VMPHITSHPQSHHHLLTPQEPYTIDPMSGNVLVTTEPFPNMI FPSE_01572 MSSFANPNHRPPTSEGTPTSAVFPSPVFDTPKPGQGAFAEAGGW TPHYAEDYSVFNSTPGNLRGPQQYPFVDYTATTIASGHKRLLSAESFATGIATHVNHF STNNRLPLPPVEPSRRLASSPNSVNVPQEYITDPTQLPSPDPSVQFQFSKKVRKVGVK GAELAQTATPPPTGRREEHKLADKLTMQNDQVFGQPDFNGTSQQQQHDLAAFMATSGD MFGYPMTAPTATPTTFWDPSMSMDFDFSASPSNAFQTTPVQHGGGHGHRHTGSFDWNS EVPLFQDPTAPFAPTGSDPMQSIHRDRALAPKPMGSASATTAASAAMSAALSAPLDNS FGFGQPMQGVNPGLLFEPPPTSVMDNPAIIPVTQAGSAEGAIFQTRSRTPLGDNIRQS SSMKDLRVTKVPDRALAPSPVKSNPRPGMGRSFSENRGKRAQTQNRQVLPKLAPARPA SQASNGPTNDSAPVGRPIAKPTGRLSPMKNQNRQSGLASIPEGPSLQHPPTRTSVKFT IDSRGRARAETTIVPNEWDWEPSMGQRKVSRDRSRTRELGPSDDDESSSDDEPIIIPS RTNSFNTSFALPDPVRPVGSIFHSSRRSFSDRSTSSIHDMMAGSPHDGESESETVMYE RKDKIGDATSELRKVMEDRKKRSNPMGQGGQHRPFQGSSLGPFRGDSNSPSSLTESSL ITDRQVKCVCGRKGADGGDGFMIQCESCEMWLHGKCVNVNLRSQPRVYICAFCANTPN MRGGRLRDTGRGNLAGGGVPVASPLKSKSFRAFR FPSE_01571 MAEHNIVVLGGDHCGPEVVAEGIRVLKALEENKPSVGKFNFKDH LMGGCSIDANGSPLTDETLAAAKGADAVLLGAIGGPKWGTGAVRPEQGLLKLRKEMGT YGNLRPCFFASDSLVDASPLKAEICRGTDIVIVRELTGGIYFGERKEDDGSGSAWDTE PYSREEIERIARLAGFLARGRGDKKVWSLDKANVLATSRLWRKVMNETFEKEFPDLKV EHQLIDSAAMILIKNPTGLNGVVVTSNLFGDIISDEASVIPGSIGLLPSASLSGIPDG QGKCNGIYEPIHGSAPDISGKGIVNPVGTILSVAMMLRYSLNLPEEAKIVEAAVRNAL DGGLRTKDMGGNAGTKEVGDKVVEELKKLLKA FPSE_01570 MKFGAALLVLATSALASNVRRGDEYENPDYSGGEYEAPEAPADD YGYGDKHGSGYKHEVTQVEVTYTTTTVCPVTYTHHEQGYTYYETKLTTSTVTVVEAKT VDVTVKEPDVYKHYTDVEYVTRTSLCPVTVTKTVAGEVITEVYTTTSYIYDVVKTTDY EHVKQPDVTKHETDVVYKTRTTVCPVTVTKTIAGEVVTETYTTTSVIEEVVQSTDYEH VKQPDVTKHETDVVYTTRTTVCPVTVTKTIAGEVVTQTYTTTSVIEEVVKTTDYEHVK QPDVTKYETDVVYKTKTHVYPVTVTKTIAGEVITNVYTSTDYEVVKVHSTVYDKVELP DVTKHETDIVYHTKTEVSPVTVTKTIEGEVVTNVYTSTSLVVEEVVTTIPAYETIVKT LGKGVVVTQYSKIVQTVGGGTVYQTVAPQPTTVEIPETEVVTQPEVTVPVPATPTAEP EPVVNGAAVAANKAPVFAFMAGILGAVALL FPSE_01569 MAPVDRVDHNALEQQLKDIIQDLYQIMVQVSTYDSAGRSSREVL INEIKTLSESLRTLHASASPPHNLPSVPPELLEYVEHGRNPDIYTREFVELVRRGNQL MRGKLNAFGTFRDVLAENMTTAMPELRDDVAQVVEATGGVPPGRRNGEHSQPQQNGAT SNNHASSSAA FPSE_01568 MKNFFGTGKKPTPSPSPSSSTPPPSSSRKSATFEERDREHDSSE PPPYSSEPSSPAREKSPSKSSRKSSRPPSTTEPTKSSRSSRLSRQSTDPGHSHSHSSR RPKYEPETHPLNLPPEERKRLSALAAAMNGNSMDVDSEPVSGARSTTPPNPKANAQAN FSVPIPNGSSHDDGAPPPPPHKSNPGSPTITPEEDAESYKNAGNRFFKEKNYYKAIEQ YSKAVDVFPFSATYLGNRAAAYMSNGQFEHALDDCSRAADYDPHNAKILLRLARIYTG LGRPEEALTTFSRIDPPPSAKDMVPAKEMLHHIQSARDILQQGNGSGMSMVLHALDLA ERGLGHRVSKPRKWQLMRGEAYLLMGRENSLGEAQNIAMNLLRNNNQDPEALVLRGRV LYGQGENDKAIQCFRMAINCDPDFRDAVKWLRIVQRLDRMKEEGNADFKAGRLQPAIE KYTNALEIDPSNKSMNSKLLQNRAQCKIKLKQYDDAIADCEKAINLDPGYTKARKTKA NALGGAERWDDAVKEWKAIQELEPEDRNIAKEIRRAELELKKAQRKDYYKIVGVEKTA TDVEIKKAYRKMAVKLHPDKNPGDAQAEEKFKDLQEAYETLSDPQKRAAYDNGDDLMD PNDMFGGGGMGGGMGGIDPEILFSMMGQQGFGGGGGGGFRSAGGFPGGGGGAHFNFGG DPRQQRGGYPGGFNFS FPSE_01567 MNSPSPSRLIAPRTPVSKSLGSGNALSQLQATQVRTLRDAFQIM DRDCDGVVNREDVTDMLNQLGLPSTPADVCLFFPTSAPQTISLAVFLNSLADALAALS DSADLLSAFSAFDNDDSGQVDVVELRNALLQTAPEPGEKALSANEIDKIMNGFTGRRA FNRNMNAHLGAKRGEVFKYQEFVGSIMGSNNGSDNPDENEV FPSE_01566 MASGFQATLPFFSKTTNSHGHKHSASNFSNASLPNRRTSLASFH SSRPESQMSQASPPPSRSPLPPPGPEADRMSDPNSRSKTPSTGISSQHSSLSAPRRTN PHARPSRKLRSQYPRSSTENHVEYILVASFDIDRGPVMEHQYPVAITGDENMLAELML PDQAHARNQDWTIFFLHKDTSEEDEDKERKNKESRKKRRMRRRDKAAGVINEEEGGNE DDLPEEEWDDDEEDDESSDSEPEGGEGPPLIYVLNLVNTKHDKSVKRGAIPLLLLALE EYFKAPVPETLAMLYDSVNAMDLSLMPRLSLLERHLLQASDNRDLFVEKFEQMIQMRI AEDQREEAADASLEATRSPTRMTGISRAGTKAHVEGGQSIYSVPRDTHEFESKVMYKG IPIPIKVPVAVMPETVGDFSLIKLIQNFSDTHQKSPQPFPLHPHLTTNGANTHPIIVL ANALLTQKRIIFMGHNMPSGDVAEAVLAACALASGGILRGFTRHAFPYTDLTKVDDLL NVPGFIAGVTNPTFELHPEWWDVLCDLPSGRVKISAKIDPAPVTEGLLYFQQQNAAFA TIASGTTNTSQDLTGDNVFMADVLRSIAARHGERVIRAKWRDWVAKFTQIAAKFEESV YGASALYIGGEDQDLMPQGANGHGYVWPDDTAKHKELAGNVTRIEGWRNTRSYYSFIQ DLAQIYTVRPLKGLDLAHLHDRLRMQRLTPAQSRDIYLTVSKHVHTYDEICLLLSVAP ESHAGLFYLGLGLFHKDREVRLRTADLLERIADHEAGQHWWRSLSRFEKLAYMRLRRE VDAEMQAKLEKEGISPEMERVIS FPSE_01565 MAFTTNATINSFGGRFLKLSHKSAVTGTPMNATLYLPGSASSSK PAPLLVYLSGLTCTPDNVTEKGFLHAHASKLGLALLYPDTSPRETDLPGEHDSYDFGS GAGFYIDAKKDPYSQHYKMETYITKELPDLIFQEFKEVDSSRVSISGHSMGGHGALTL FLKNPGMYKSVSAWAPISNPSQCPWGEKAFKGYLGEDKEEWKKHDATELIKSWKGNFP ALIDVGTGDNFYKQNQLLPENLEKAVKDAGIDGMTLRYQDGYDHSYFFISTFGEDHVK HAAKALGLL FPSE_01564 MKFSIAITTLASAMSISASPLPFLHKRELGGVLLCTGANSTGTC SYEVYELDKCHQLKEPFYHNTTTFSPDGEEFYCYPRTTNCTDSCRSPTGCTFGSVDYD YENKNNLTAIGWSDIISSFDCTRR FPSE_01563 MSDFDEGDVAGAGGDDAMYEDEEINDYYDPEPAEDGENQQENQD DNIINSGDPSAIANAVKGGDRLLKDKKIPESERTTTPYMTKYERARILGTRALQISMN APVLVDLEGETDPLQIAIKEMREKKIPLIVRRYMPDGYYEDWTCEELLHEAVDEDGEK PLAVLAHRQALSRHIQPSLDLLCGSARGNDKRRWAVWGVMV FPSE_01562 MSKRDAAEAAPEAPLAKRANCGGPDDLYVDDVEDDVTSAHTPYS AQGPDSPQTTNTAATTPRPKFPSDLKTLACTWPGCPKTFNRPARLRDHLNSHTNSRPF KCTYDGCDKDYIEDKHLKQHIKAVHTLERKHVCTKEGCGKSFVTGTRLKRHQAVHEGE ERFRCQDCGQSFRKKETLSKHVRKDHQGLPALQCSEQGCQQAFDSKAALKRHREREHG EAKFWCGECSLQKMSDGTEQRVGFTTEVLLQAHVRQEHQNCIFCDFKPVSRWDLEKHV EMHHSGQSVEDRKNIPCPFDECNKKFTKKSNLNAHIRTAHEKFRFVCGEVDLSGAELP GWTNDQGCGDKFSTKGRLEDHIRYSSKHLGEERPKLSKPEPSQEVNLIDELSGAATQA DRTISCPHCYEAFVRYHDRDTHVDLFHPPNPDSSLVLSGDYLDPALCFDSSFPNTPSW PGIMSEDEIFAASMDYGTPHDDWPEDESNILLLARESTIQDPLVDPALGAI FPSE_01561 MAISPASSGLDNDTRGWIMCVVSGIACVVGASIVCVDVIVRLFP GKKNFRIQDSNVFLACSLSLSFGVMLFSALYSMLPESKEYFEQAKWSKQAAGLTMMAC FVGGFIGIQGVSRLLHQFMPTHVVECDHSHDENATKDTSCQHDRRQSRARHSSSLPPS SQAPIDKSLPKLVTSQSPNTATESTPLLDSSLDSPTSTLKRQASTRDELRRPASPIRS RTATDAFPERRKSIREVHKRVMSFVQDTKCNCDESNSCYGFSDPCGQECFKHLNNRVS LPSRPGRQTQVLRTTTGSFLRGNEHSHAHHDHPHGEECDESIEPFVRPTYRISRAKSR EPIEPIVPEEVPDHDSSCSSAADDEDVEAQHHHHVPTNAFLSIGLQTSIAIALHKFPE GFITYATNHVNPSLGFNVFMALFVHNITEGFAMCLPLYMALGSRWRAMAWSAFLGGLS QPLGAGIAALWFKVADGTNMQPSAVAYACLFAVTSGIMVSVALQLFVESLSFNHSRNL CIFFGFLGMALLGFSNALVGSH FPSE_01560 MSKTTLATMACLGAGGGAAITAAVYSIRGGKRVEETNTLATPPP TTNSFASLPAPTASPSIPPTQVFGPPVGAPPSSTPVDINAIVNPAGLFEYGFPGPVAD VANRSGLVSSYDRRLRNPHWVVEHITPQSLAIREGDRKHSNFVEDDSVPQKFRAGLKD YYRSGFDRGHQVPAADCKWSQKAMDDTFYLSNMCPQVGEGFNRDYWAHFEDFCRRLTV KYPSVRIVTGPLYLPKKEADGKWYVKYEMIGSPPSVAVPTHFYKVIFAEDGRAGGNVA LGAFVLPNAPIPNSKPIGDFEVPLEAVERASGLEFATKLPPQRRRRLCADHTCALVIK EYAERQKAFPKK FPSE_01559 MAYEPRGDHGGGGGGQGQDGAFVKVRGRRPVTDYGATITHWQHD RAPGYKGGYTGEAERPSASYIVDMLPPAARVTKAADSIPIKHLHSSLNKIKHPINVVR WTPEGRRLLTASTSGEFTLWNGTGFNFETIMQAHDSAIRALEYSHSDDWLISADHDGA VKYWQPNFNNVQSINAHTDPIRDLAFSPSDSKFVTASDDSTLKIFDFALGQMESKLEG HGWDAKSVDWHPTKGLLVSGSKDHLVKLWDPRTSRCLTTLHGHKSTITKVLFEKVRGA CLATSARDQTARVFDLRMMRDICLLKGHEKDISTLTWHPVHPNLLSTGGMDGSLFHYL LDSPNPPPGQSFTVAPYDSPDPTTVPAQSVWPTHKVPYAHDYAIWSLDWHPLGHILAS GSNDRITRFWSRARPGDAEVFQDRYHIGEAAAEAQGTWDRRGGRRQRQEEEQQEMEDE MDALVDQDAPKAGVPGLPGIPGLPLGGLPGLGSAVPPPPIPGVGAGGPPPPLPFPLPG LNGGLPPPPLPGLDPNNPPDPAQLLELMKKAGVPLPPPGALPPGLLPPGGIPPPPGGF GMPIPPPPMSALEAEKAENVRRRAPLPSQEDSLRHEQRQGKYTRAR FPSE_01558 MNSATTTSTETSNGSTSVSKRNGHDVTRTNGNGTATTSSPKKAG QKYRHVAAIHKKTRPSCLSHDSDAAPSFIGFRNLMVIVLVVGNLRLMIENIQKYGVLI CVRCHDYSRQDIFLGLLLYFLIPCHLLAAYLIELAAAQQARGSLKRYNDSASGGPSDQ ERKKFHKTWVIVAWAHLFNITLALVLTTWVVYFKIHHPLIGTLTEMHAIAVWLKTASY AFTNRDLRHAYLHPVEGERELVPELYTQCPYPQNITFSNLAYFWWAPTLVYQPVYPRT DKIRWGFVAKRVGEIFGLSVFIWVASAQYAAPVLRNSLDKIASLDLMSILERLLKLST ISLAIWLAGFFALFQSFLNALAEVLRFGDRSFYDDWWNSESLGAYWRTWNKPVYTYFK RHLYMPMIGRGWSPQAASFFVFLVSAILHEILVGVPTHNIIGVAFLGMFLQLPLIHLT KPLENMKLGHTGKIVGNTIFWVSFTIFGQPFAALMYFYAWQAKYGSVSKQMTVVSA FPSE_01557 MAETFQPAGARPVNFSPSAAHHQAAPSHHDSFPGNSRSLDELMI LKPYFAGQPKSLSGIAMRAFCLGIALASSVIAMTTILVMTSSPAWRVPFFLFSLSAFH FLEFWTTAEKNTLVASIGSFLLTANWPGYAIAHSAAFLECAIVNIIFPERNWAPFGIG SLLLVAGLLMVTVGQYVRSVAMLQAGASFNHHVQTRKKDSHELVTTGIYSIFRHPSYF GFFYWGLGTQLVMGNVLCFFAYAFVLWKFFNIRIKHEEGKLIEFFKDDYVQYRKRVGT KIPFIG FPSE_01556 MFTHGWKKSSWRYLWAVPVIYLVVAGLEAVMAGSIVGLVLGAVY SAGYYEMNTWIPCTWGFINVLVLIISSFSIQGGL FPSE_01555 MSTDRLLRTVLQLYQDVHDAAKTEQIIGSTTHLLTELTNPLNLG LLTSQLLTAPAVWFQPGGIRTSVRVISIYNTAAARIHNYEVANRDRKEPHEGSGIHCE EWARAVVKGADERSKRWQHLLVLTGVLMGMESSNRQSLSRGMRNTLEEAVVMAANMAL ETREEDGPVAGASIVMALNFAFPLLSEYHRSLINCNALLPLIVWTVTAEEGLAHGQFL TPISAETIESPERLLAWSPNTPSFRFIQELDKRPTLANMGPLAKLASYAVQRATDTQA VIAAQDALVAFSNNVLDIWRLNRLSDIDPALEGNVLTQETLTSTWPVLWNLLRKLMFG TVAILQAIVSRSLLDPRMLNDIAAPIIAAKSLRILRNIFFISSRNGNNAFQVYNFTYL TSIDSISRSAPACHMFLQEFRPSEDASTSTTYLQRSLDLFYLNLSEHLPLTLPTDACD NLIIKPAIAYISHEGPTTQNMVEIFESAHSAILSTISCPQHSPLTIELTPFYIALLFN AFPRHISSRQFRVAFKTVMQIVSPPFPIAELEPFLSETLLEMLRASISTASTELLPPT ADIASQAAMEETQEVRYSQQSSLSLALVDSLPHIPLPLLEEWFTIAAQAMNEIKDPAL REPVKERFLEILVSGELDVERAATGVAWWGTRGGRELILGASAEPPMMSGALPGPERT SRL FPSE_01554 MSDSYGSDSYGSNRRDNDDNSYGSSRRDNDNNDSYGSSGRDNND SYGSSRRDNDNDNDNSYGSSRRDNNESSYGSSNTGVGGNTSYGSSDRDNKDTFGSSNK DSYGSSRNDSDTFGSSNDNSYGSSRKDNDSSNTYGSGLSGGNDSYGSSRDNDNDNSYG SNRRDDDNNDSYGSSRKDNDTYGSSNTDTFGSSNNDSYGSGRKDNDSYGTGNTYGSSN NDSYGSSNTYGDDNDNNKKSGGFLDKVKDKVEEKVKGHKNRDNDNEY FPSE_01553 MAQELKAGGGASEVTRSIPKLDPVVTGHLVKLFGSLTDRADNTW SKERIASFIKDTQKDGPSPAVDDLLARDSLDLDGFITWMTSSHAAATTPPKPQDLTYP LASYFISSSHNTYLTGNQLSSDSSTKPYTETLLRGGRCIEIDVWDGDESEPEGTSSPS SSDEERGVKKVVRKKRSTFGKLKDKLKKTSITDKKDSASASTTDPVTREPGAVTNAEP ESTEPEVAIVEPRVLHGYTLTKEISFRAVCEAIRDSAFVVSDLPVIVSLEVHCNAEQQ LAMVRIMKQVWEGLLVAEPENDPENLPSPDELRHKLIVKVKYAPPDTDPTQEESEEDD RAPHPGAESGDPPKKKPSKVIQELSKMGFHCRGVSFKSLSQPEAGMPTHIFSLSEKKV IDVHEEQADALFNHNRHFLMRTYPWGLRIGSSNLDPSVFWRKGIQVVALNWQRWDEGM MLNEGMFAGTGGYVLKPTGYRPNRNDEVVSNTIPRQTLHLTITVIAAQNIPLPPGDKS ASGFEPYVKVELHVEGPDEFHGGPIPNDGHEREGEYKARTRTQKGDVVDFGSEPLEFP PIPHVVDELSWVRFTIRDDEIGRDDMAAWACMRVDRLGHGYRFVHLMDCEGRLTDGAI LIKVDKRLE FPSE_01552 MSNKDEDSKLTVPKFSSFKSKPEKSELKAPKFASFKPKDKEKAT TESSSHKETDRERERERDSRRKRSHHQSDSYRDHHHHHSKRHRAESHHRHRERSRRSS HPEKPEHRALTKISRDSNDGASRLYIIDTKGDPLIIRYGSLDRSQVPAYYRDGYGKVL GTRGRLVIHRDGPRDQFSLRMPGEGSYAFKDKDGLRSKVWRVRSTPLRVRLQDSSARD EDDDFLAVGSSKKRKQDDASESSDDKQPSYRSIEGKAKPRQYDDSDLESETDAPAEHV DLGQNNPLKWKSIQLSRRVKDQPDDIDSWLELANHQDALLRAGEDVDHKALEAEVHSF AEIKLHMLESALSNVTNPQDRIRVLIPLMREGVKVWNSKATTKKWNDLREDEDRSFTL WKTHLDFDMSNISAFHFDTVKQMHLDRLHHAISRSQPSQDSEGYMEAIYVFLRLTRLM HDCGYKELAVAAWQAFMELNFFRPSQLEEQSAALESLRDFWESEVPRIGEPEAQGWAK FVEDDGMGDAPEPLPDNKLPEDQSRDDYKHWASLESSQAEKARVPARTMDEGTEEDPF RVVMFSDIVPLLFMVPKQILPVVQEQLLDAFLIFFGAPPVFRSNSWTEEAYHDQFLAR PTLKLQFSAPTPPSGDEDPTEIQRKLPAFNQKPLCVSESAEVLFSKQDWFSYLPSKSK DNDVELGLLANATKHLVHNANLEYLAEYHLALCAVQDRSAVKKAAKALLKRYPTNLGL YKVYALAEYANGNREVAQKVVSSATELAPNSSAADSFSLWRTWTWMELEGGNQDLAVQ RLCSAVDEVLRKTAGTVDVSPTHILKAYQIFTSTMNDLISGANIDQAAVLAECLILLS YLTAEGSTEPMSASQGSISAAMDTVNKVSLELKSRNYHTSQAHERILQFASMLLYMHA TRGPFRRVYLLEQLKHFLLYFPRNTMFLSLFEWADSSLRIIDETRTLLHETVLTPAQD CLSSRIFAIHHETERGNVNTTKAAFEHAVSSDACKSNTALWINFIKFCSSQRELRPKA KDILFRALRHCPWSKDVMMEAFLTLNRDMDSSELKGIFDTMASKGLRLHVDLEEFLDE RRVERRAEKNRR FPSE_01551 MDFSLKAIAAYVAISIATLIVGQLIMGFFGSNKFPVEGKTVLIT GASEGMGLSAATQLSAKGANIVLVSRSIAKLEAALSTVKAAAKNPETQRFYYIAADVS AESYAKPLLEQVISWNNGNAPDIVWTCAGLSFPELFVDMEMESMRHHMDVNYWGTAEM AHAIMREWLDVSKPVEQEAKHLIMTGSICASYSPPGYTPYTPSKYAMRGLAECIQQEV LLYPQNVQVHLLLPGTILSPGNIRENVNKPEITKTIEKDDPKQTPDEVAALSIKGLEA GQHNITVNFLGHLMKWSSFGGAPKNSFLDILGAFLATFVWIFVRPILDSQIRGHGKKH GHPSLQKKARDA FPSE_01550 MDVDMTDSPSQGTTSTLEKMDLIKTVTGLDQSGPGEDGKNLEKL WKHLTVSADAQFHAAEESSLRWLLKSMNGSSKDAETLRRWPLTWTILECVFQRIPFFS LAKSLADRRFIAVLQQALKDVSQPTTETLSTPSKRKRSTTKSFSLDALRDTEGCLMAG DALFSALKSLLDRLEFTATQSSHDRIGAEHIRSLFCTSAADAAALTSLSLKLCDIALA NGEEQEGRESWIETITSIWDLHLQGNDDTLEVAAHLFPPSTAILDKLEGISGTHKIVA SEHLKAKWTADLEKLMHRNLILPARAAFINRQDLEPITRALHAASNNRDVAAPALYFL ASGVTDSLSEGKLRKGNIDWTKQIFKIVEGSLRDREDRDKLVQVVLERAAEKSMSIDT DDLRSVCREYALQEQSTNWRLIAVIATCDPDIFLQRSEEGARLLETVCERSTSQELSQ DDVESVSKIIKAIAQVFRTGRVFGDFLKLWFQQLCKVEKQKSKVASPWLQVGQVQQGH DSFETLIEKEMSPLQLLEVLQWLENEKLHSRALCLFLDSIAQGIRSEAYLDAMGSKLF DLVSQVKKSSSALTALKWGVVSKTISWVTPEARTEIWTAVQGQLTKILSESPVESRET YEAFKCCCQAWVSMSPDGAHIAEAAGLVDAFTTRLSSELLSSKSLKGKDMSLFLQQDP APAFLDEAAAEHYLAWFLRGCSRLTRFVYGTKATLPQALEKALSLPSSEATQLRDIWA CLLENENSMNSAKVAGNLIDRLINSLEEDGKEKRWPAEGSQTWIKSLNSAHTDAFSRP QRERVMTLLHAHRSKTTKRISIEGWRYILALSTKLMGRATFYEGMQFSHLAEVADAMS DLSSSTPTQDGTLTDLIDSYYAMAATTIQQMAEHVEERSVKYLSESRSFISECEDAGD LSPFRLTLLKALIDELSKSPNCSSHPELSSLPEVAKNALGKTVIAATGYFLTEKKAFE SHNVIADLRLFAAVDAAESLESLSGAAKLKQSDVRKAEKRSHAAMASGDLRGWKMETF LRTFFTPLLEEPRPTTFYSLSQVPQKLREPFFKSNVVSIIKPMDTSAKMDYLKDLIQG FVQGSNTDGQASAIHTVVDQLLESSDLPTKGSGFDLATAHSELTATLLTLKGQSVCTR VCRILRAILEKKPQSMTQWNIELVLTTVSGLSLSTKLDEQLPNERVPFASLCGLVEII IKKHRLRLEGHHHLLLSTMQSLLRNLVVNHSATDTLGQTLHESKAHLYARLITLICEP TAGAVARSQHQSSLDSATDAAKRSAGRHMYLIMMQYVKLQLEADVPRRVNEALEPAMN SIFDITPSEVRKILNDAMDKSGRAILKEMYKRYTKFGKWSGV FPSE_01549 MSDSTVARPPPSAISRPLSESLLNEKWDRCLSNLLVKSSLGLGF GVVFSVLLFKRRAWPAFVGVGFGAGRAYEECNFSLKQAARDLKKQTA FPSE_01548 MPDDIRSLELARMEIIFVAFVVFVLVLLLAIFILLGASFRTPRE AQDTENGQRQTPWTASYPTDQGDGAYYDNETSFIKSVRRRSHSLAEELRLELVNLRRN LSLLTIPSRSRTGYADTDDTRRLSIDQLLSSFPSTSSELVTDYESCYDYLESPVSATG REEDATGTQRRLVQSTGNTMRYDGVQQWDDPLP FPSE_01547 MAATNNPGADINLSKDDPKPKPPWPTDPSIAVAILFSVQNSRVS DRTWTNTSPQYRESNFRILCLTSRGLRRCTVLRSCGEARRRSVRKGERNKENRKAYTS GSNLENGRVA FPSE_01546 MASTRSRPSPSSSSPYDFDSIPDEESWQYIDYTGANSGPSSIGF ISDPASGSLGSFTMVGNVNGTTSPFMPANTHTSYATTPPYIPANNSSPFMLGNTPSPS APSPLILGDMDQTAFFTNNPSFQGPSDNTNSDMFATTTDGGFGQTQGFLTPQQYLFSQ QDTTQFQPQDLNGMPEKQGMASPSVQGADTATDMTLMQNFGTDMFSMDVNSQVQVPQL NFNMQPPMQSDPNVPPWNQTNMRGSESIFIMDDFNNSPSPASNYSQTSFPSSNASPNG SKSPSSLPIRKVKAGKVEKTKKKATTEQSGKFVIVTPNSISAHAGRPNPFECFEAMNR TSQRGRKGPLADATKENALQVRRHGACFCCHSRKVKCDLERPCKNCKKITVQVPQVVC WRFNDFLGILFPEYVRGHLAKDQMTKFFSENIAGFHVQGVEQACSVELFSGPLFSTVL PIQAKFFTPKTEEVTSHWQLQNVGGNRVELKSNRAANIGVELENTAERDTLRKRTKRY IQDLLAEPYFVDQVTDNFQSTCLPQKVLRIVKQYADETESLMVKRALSIYCMHYIMTR QLCLTRQTADVLRSTGLIDQGDNYVTPRVLARQIKSIVDELMQREMSQLFDLFTKSLK PKSRREWAPCTAAFLVLCLFMEAVETAADIFVISQNEINMRKSARPEYERSLALSTCA EVENMPFRQFAYQFHQIYQTHSKEANMKGFNPLLDNSFAERGELDGPTIKFSAQLREL LFGESWQELQFLAANDWLNNNASHPFPMDPQTLYTGRLVARFLVSFQDDRAIFGDAV FPSE_01545 MMSQYSMAQQDHAYKTTMSNSPWNPTRNSYTDPSVHGGFDNGFY SSQRYGDYRNNTSSESLVTSANSSVNYSASNYNLAGGLPIGANGQMSRAESTYPAAPS FGARPPSGSYGKPVGHSSYKAPTSQSWENRERYSPTDSVDDIIASPNLSDYSLENGMT SSAPGGKAKSTGKGRSQRRPSNRDEFDGPHQFLQRPPPDVIAMQERELPHLPTNLHVQ EQDNVLSQVNDRLSQCAYDFVAKYQFPIPLTQDMRPVERPQDREWTEWVYLLKRLATK RRIPARVLYNGQIKQFVTILENSLEMRHAAKHQSRPLKDDRNILQLISAGIQVAKILK DASAMDYLDRLYVSTDKQIQDRAATRYRA FPSE_01544 MARLEPPSPGSSNALNNYVGGSFDALPEPSKRLLRHFSQYTVWG QRPVVRELESSVIQKSFENPGYMHMCLMLSACQWAWVTGSMDEVRIPFLYHKAATYQF AREQIQNPELAQSGDTMLAISALALTEGAIGELDASSRHLKGIQSAVRQWNRAIDPVP TLPQRMLKMVGDGLRTGKAGQLVNVPEYQPTFMALLFASIWDITALPPREAPRYGWWE DLETPAARLWQNHTRDLNLNYEISRGFSAGQYVPRILNGDPKSSRTSFIATFFYLCSE LGDRYFDVTMIDWLLEQLIDDVNAGEEHLRMSEWTQSLWLFCVLFGASMAFTGRANNV IEQRQLARWRAVYGDKMKLASRELGITSWQSARAMLAAVVGEIDGELERGLEELWNEG ISGETSADNSTSPAVIELTESD FPSE_01543 MASNGLFSFSQTEPSTDLLTGSSWATTVEGPQNFHDFSDNGSTH SGEAEEFIFTSGHATPRGTRVQSQGNWSASRTAASVAQGGQAMSRVSSSRSSGSSLSQ SSHLSNMDFSGNASALQTGTQTGSIHGLDTCILDPVDGISQMYWPGYSLDAALNGDAT FSLPDSSPLHVVPSHMQLGPVAENSPPSPWDCFSSSISRSSSPNTIEDLWFPNQSPNS SPQIQCQSPSLDSNIPLITEDVNGKALSPLDEFPAASAFTGPRRQNSDGESARDHDLY KKAAPFEDGLYHCPWEGQPSCNHRAEKLKCNYDKFVDSHLKPYRCKAESCEGARFSST ACLLRHEREAHGLHGHGDKPFLCVYEGCERAVHGNGFPRQWNLRDHMKRVHNDHGSSS GSPTTGSAQPAKGRKRKTENSETQTSHSRKAAVKSMPPPPEPKENLTQPLIDQWMEHH KAVQSLMRNVVKPEDAQNLEHIGTIQNRLNSMIKLTTDLNAINNPGNTLTGTG FPSE_01542 MARKFFVGGNFKMNGSKSSIKEIVENLNNADLDKNAEVVVSPPA LYLPLVRETLRKEIEVAAQNVYDKPNGAFTGEISVSQLKDSEINWAILGHSERRTIIG ESDEVISSKTKYATENGLKVIWCCGESLETREAGKTIEFVSAQIESLKSQISDWSNIV IAYEPIWAIGTGKVATTEQAQEVHKAIRDLLRSISDKVADETRILYGGSVNEKNCGEL AKQADIDGFLVGGASLKPAFVDIINATKQ FPSE_01541 MTVKALRQIAKGQNGLGAFILQCKKLDFYYCDWAGSSKGMNGFI KSLLPKFAAANPQVEFAISPRPGKHPVIIGHYINGLHKPICVRNLSPYEILKKAELLR DASGEKLKKHNQAVTSTRPSVRGVWSPYHGKGTPV FPSE_01540 MTDKLPPNLLALFAARPPLRWVEAPDYAPQNRKTAPISGVAQFL PELQKYKETDVYHPTESWLQRRDRKKREKKEKLENLVTEAPEHYRPDKDTNIRGDAFK TLIVARLSYEADEKDLEKEFGRFGPIERIRVVTDTHADEKPNKKKKPHRGYAFVVFER EKDMRAALDSCDGMRIKDRRIKVDVERGRTVKGWRPRRLGGGLGGRGYTRAMASRPMG PGGFGGPGGGGYRGGPRGFDGGRGRGGHRGGFGGRGGGFRSGGGDFSRGGDRGGYGAP SDAPSGPGFDRRNGGGHGDRDRGDRGDRGDRGDRRGDRGPGGYDSRGGGRSYDDRQGG GHRDGGRYGGERENRRTGSNNEPIGRREGGYRERDRDFDRPRDDDGGRKRGYDGGYED PRKLRRY FPSE_01539 MPKSRRAKVVHLTQVDKKTRENKDKLFQNIRDTVPEYQNCFVFS VDNMRNNHLKDVRRELSDCRVFFGKTKLMAKALGQTPEEAIAPGIEHLSKYFTGTVGL ILTNRPAEEILTYFENLAPVDFARAGAVATRDFSIPTGVVYATAGEVPAEHDVPLAHT IEPELRRLGVPTRMVKGRVVLGDESGQGEEYTVCKEGDVLDSRQTRLLKLFDMCMSEF KVKVLAYWNAGSSEVTEVNTNAMDEN FPSE_01538 MASNGNFAQQDQYKEPADQQQTAPTTSAADGSTTAAASANSNLS KDEVGWYFVEQYYTTLSKSPEKLHLFYGKRSQFVYGREAELSTVSVGRQLIQERIKEL DFQDCKVRVSNVDSQASFENIVIQVIGETSNKGAEPRKFVQTFVLAQQPSGYFVLNDI LRYIDEEAEAEATTATEEAPEKAAEEIAVPAAEEAAPPAEPEAPQSEKEEAQEEAPVQ PLDPEVVDHKLEEVSTDKDSVTLNGSATETSADEVKAPEVKPEAPAETADEAAKELAE EDVKEAEKPKDPSPTPVSKPVAAPPAAPEKLAGPPKPMTWASRAAAALPRPVVPLSKT PTPPVNQSRAAAPAAAAPTSQPAAAPASTANAPAAEAAPKEAAGWQTAGSDSKRQNRP QSVSGPPPTEKEGTLGYVKYVTDKVQDADLKNALAAFGELTYFDINRQKNCAFVEYKT PEGYQAAAAANPHTVNGESIVVEARRPKANAYGGTSYGGGRGPAPGRGRGGAEGGRSG GQGSRGNFSGQNRGRGGAARGRGAAAQPQNA FPSE_01537 MEEDLHYQRVMTNQPRPSQPPPYQQERDPPSTTSDVSQHGDILG EEKELKTGGGGQTQPHPDTGNEKLPAYNNSISLEGVFDKKHEIENLIKRAEDRQWYPV YVCLNGTALNIYSTKKAWSWGRTRDDGPSVNPDNPPWVKKGKLEKTYSLLYADVGIAA DYKKRRYTIRVRAETDQFLLCCVELSTFNKWLEGLFAAIDIALPLEDRDFPRDMSIPR IQRIRWFHGQSPTATAIDLSELGIETGSEEISATTSEARPSLPLAPESQTRLEPEIEV EGDEEEVVEPPPRIDLARRLSTTSYNNMGIDPVDGKWIPEHQWSSAHDLLYAKLCYSN LLFRSPRKTPYIINKGKKFYVDWTTGRTVRVLPPAYGEIDYFGAWQTVHTENRLI FPSE_01536 MAPEPQPEPPAQAEAAPQEAEQQQTGQPPASPPLPQRHTAVSPG PRAARLQELYARSLKKTLGKAGWQNVAGCYPTIAKRAEGVLRQVQGQMVDKLSEKCEK EFENIMVSRQVVPKLNDLESLISDASRRRAESTTETPTPPHMLPPPTILAAHLTPTLT AHQSQLNARLQTTQSQNALLYDEVQRQREEIRALLDTLEAVVADVKGANDALRPVVGD VAAETRQGITAVEAANGGGQGQHGAAT FPSE_01535 MTAAARLTFLSPQVLRGIRVAPRSTAGFTRHRGTFARRRGKAIE PQLLKADPTLNLNTSHPLISDSAIRPETSTATTTATAQEQLQPTPDRSVSTNETQPQQ PNKENETTKEEQARMAREEAKQSGPLEAVLHMEPPEAKTKTTRPGPAMSPPPYVHHFD SYSLVKHLQGGGWSQEQATTSMKAIRTLLAENLEIAQSTLVSKSDVENETYLFTAACS ELSTEIKNNRRLEEEQLRQQRTHLQHEVDILAQSLNQELHTLNDNVRGSFNDRKMAVR EEQKVVESAVQQINYKISSVLSSDAKSEIEAVRWILIRRSVLGILFMAVLTLGTLRYA TYVSHERQREVNRIQKEEEEKKRNGGKQDRTIDADAAAILAANG FPSE_01534 MSAASQPPPSNLPYGGGSDTTSIWATNHNFLPSRDNVDRSNDLF NTRNASNNTGIWHTSSNSQSHSSSPNNRTNGTDEMRHLTHQLSQMGMPDSSTSTGYPR FNSTGNSEDMMNSTGFGYAAGSAGSVSRPEPSFGVSHNPSSSIHSQSGMRYQQSLMSQ QTYNHHAFGLNNAQGLDNQLSSVGRTQLNPASQAWNQNITNHASSSSLGGNSANIPAL YPTTSRMDQGNSYNDFLSSLGSRESISRPLENESERRMPSQHQYPPYHPRPNTTALQT AYLNAGLSMPSSNAPMQFFYQQSNFVNSGVNAHGNHNQSASTVDPWCRSFIASLKSDR KQHLTLDMVFGNVVLACGTQDISRFIQNKLQQAKSEDKQKMFDEIGSDMINLMKDLYG NYVCQKLIENGSMAQKRHVIQAVKGHIVQLSLNVYGCRVFQKIVDCCPPSHIVGILDE IHSYDVIKSLSQDECGNHVIQKLVQTMPPRDVKFITVACQEHARELSANSFSCRILQR VLEYAEEDDRKQLVESLILMMDKLVTDQWGNYVAGHIIEHRGPEDRDRFFEHVMSRLF ELCHHKLGSHVVEKCIKFGTPEQRTQIRKQLSPDDDTEDRLENTLKDQFGNYVVASLL KHLEWGSQERIQLKRAILTCVDSIKATSPNRTFPALDKVLVEDKRREATESRLQVEVD SAGQTPALTNETNSPQSDSLPSADTSAIEVHPTDGKNTEATPRVRDDDAWTLANGQR FPSE_01533 MPSILNLVTRSDMPADSNGSDDSMINLMLGLLGLVFLGLILVAI LFLFRRARLQKQAAAIKSEEDGLPSYYDSDTKRFPNHRGLTIETTHNGRSSVFVINQD GRPMLANPNSPPYSPDNVPEIHITFPDEQDEQGRQQNGRVLVVRVGDNSAVGLEPMND EQLPAYEKEAKGQFYSIDMDQIGGLKEKDRTQFQ FPSE_01532 MRFFTAAALLLSSVALAAPAQEQTKDCCCCDISQPAIVCEKNVK PEDCICAAVMCPVNAPTYWPATATATATVTSTPTPTATVVKRQEDKKPATTDPAKPPC CCCSVADQAIVCEVRPEGADNTCVCPKVMCPVGAPTLTKNVGAQKTGN FPSE_01531 MSPPVPEQKPQLQQSQQQQQTPPGESASGSASNDSKSVTPAPSS SSNTSQSSGAASTSNDDNLICRWNACNQKFPAPEALYEHICERHVGRKSTNNLNLTCQ WNSCRTTTVKRDHITSHIRVHVPLKPHKCEFCGKSFKRPQDLKKHVKTHADDSVLVRS NQDPQGGLNYRPQPPKGMSLQDSSPRLWASGYSSLEANSPPGPSSYYDHTGQMRTNPA AFGHHQAHPSGGYYAPQPSTNYGLYFNQPAINNARTEHLGYAAAAGGGYDRKRTYDMV DDFFGSAKRRQIDPSSYAQIGRSLMPLHGNLSVPNGPMAATEQYMPQHAPAPVHAGPS PGQNPLAQQYYLPMPSARTQKDLIHIDNILGQMQDTIYENANHATAGVHIHHSEGGYN GYRNTPSPPTTHRSPTGMHVATDGYHPVSAASMASPLTAISSTGTPAVTPPSSSMSYT SGHSPSPSSSAMSPDSRHGSTASVMYPTLPTSLPAVSQGFGHSATTTLGPSFDGSERR RYSGGMLQRARGPLPLPREDTSGATTPKASESALSVGSPSSESDVSDATREREEQYDR WLENMRVIETLREYVRGRLERKEFMDENESRRPSHADAMDVDPKSPQPQSRDLGTPRE GSSLYPILRMPGS FPSE_01530 MATMAAAARDISQASLSRDNLAVVAARGVPATVTRSQQPLPTPP NSISPNLPPHGLKAQLQKAKLEPIDSDLDLHEPSDRRRSISPALEASGAITGSLLAKY HLPEILLSHGPLAIRHIMGYLTTSVPGFSGIPPTKARRLVVGALEGRGGEGGGLDGEV EFEKVGWGRWDARKRGEPSRHRQGTPPSSYGAGIPITKNGGRGQGRYRQSTASSNGDS VQFSHEDHEIYMMEHEADKMSMDGSGSASCSEAPDDDVVMNDDPEDATDDEDWATVGA AALRAESYPNPAAAQVEQGFRSSSAYTPGALRSFSASSGMVRTPQTFNIDLSTAFAGP SGAQEREAVEALLQLGAV FPSE_01529 MKVLCVAEKPSISKAVATHLAGGRVETHNTRNKYIKNYSFDFDF GQQLGQCSTTMTCVTGHLTNVDFTPANKSWYSPPPESLFTAPIVTTISDDKKTIAQNL ESQARYAQVLVIWTDCDREGEHIGNEIVEAARKGKPGIRVLRARFSNIERAHVISAAR NLTTLDERQVNAVATRIELDLRIGYAFTRFLTNNLRKLGGPLEGLTLSYGSCQFPTLG FVVDRYFRVKNFVPEPFWSIKLTHNRDGKKVDFSWLRNRLFDRMSTVILYERCLAAKT ATVTKVQQKPTRKFKPLPLTTVELQKAATRLLHMSGQQAMTIAEGLYNKGFISYPRTE TDRFDRGMNLRALVDKHTNDQRWGPFAQNLVNGAFQQPREGRNDDKAHPPIHPITYAS PTVLNRDEGRLYEYVVRRFLACCSDDAKGMASDVEVEFGDERFNAHGVIVLERNYLDV FVYEKWNNTVELPRFTEGERFQPTEAMMTEGKTSAPGFLTEADLIALMDANGIGTDAT MAEHIQKIQDREYAATIGRSGPAPGDDEESDTGPPTRGRGRGRGGRGSRGGRGGASTS GGRGGIKVFVPTQLGVALILGFDRMNFETSLGKPFLRKEMEIKMKAICDGRANKEVVL RESLAQYRLVFDQSQEQLGVLRTADEARESYFASTTWLGTNG FPSE_01528 MALPYLKSIEDCGEYARAVEPYIPQLYALPRQFLDNIASPDGLR QLYVDTNPLISGFAISVALTIPFLVVSEINRNYSQVDRMWSILPNLYVVHLSIWARLA GVSSSRVDLVSLATTLWSCRLTYNYWRKGGYEKGSEDYRWAILQKYVPRPIWFIFNVT FISFYQSILLFSFSCVPAYAILLSTKFEENVTPADILFFLTMVGLIYSEWVSDGQQWD FHAAKHRYQAEAKVPREFSYTQADLDRGFNTSGLWAYSRHPNFAAEQLVWFVLYQWSC YATKNLYSYTLAGSAALIMLFQGSTWLTELITVGKYSEYPKYQKQVGMFLPKSLQPYK TPPPKVIRTSDLAKNESKKEA FPSE_01527 MASSPKYISNDVAAINEFIDKFDVFLLDCDGVLWSGDHVYEGVP ETINFLRSKGKRVVFVTNNSTKSRDEYLKKLTGLGIPSEKDDVFGSSYSAAIYIARIL KLPEGKRKVFIIGESGIEQELDSEGVPHIGGTEEAFRRDITNDDFKGIADGSLLDPEV GAVLCGLDYHVNYLKYAHAMHYVKRGATFLATNVDSTLPMHHNFFLGAGSCHIPVVHA TGQQPLALGKPSQAMMDAVEGKFQLDRARTCMVGDRLNTDIKFGIEGKLGGTLHVLTG VNKKEDWEKADAIAVPSYYADKFSDLRLAKE FPSE_01526 MTTAPTSVAAAPSNANHYFSEVHKTQSTATTPVSTPGLKAEEFE NNTRRPTALSTDFPKPNEEVDVETMLDRQPGRWTIKGQMEANQRRQQKTLSDEEAKAQ RQRDFEKAKEELRASLRI FPSE_01525 MSSTAKAVALSPAPYHIITYGTLLGTTFFHSFVNGIVAFRAVDR ASFSAIQQKLFPIYFGLQTVLPGVLALTFPGNSLIGLANGPAGLVSEFARWHSLLPIS VMGITGAINLLVLLPMTVDIIKKRRGQVKRDGKEYYAEGPHSDQMKALNKKFGMLHGI SSLLNLATFVAAIGYGFTLGGRVLSVADLA FPSE_01524 MAEKEATIFILDLGSTMAQTHSGRSQSDLDWSLQYVWDKITDIV AANRKTLCVGVLGLRTDDTYNKLQDDDGYENITVLQEMGSMTMSSLRDLQSVVKPSNT WAGDAVSAIVVAVDMMDTFTKKLKWNRKIFLITDGQGPMDGDDLSDISKKINDSNIQL TILGVDFDDPDYGFKEEDKPGTKEDNEKALKALADDCKDGVFANIAEAIDELDTPRIK AVKPYKTYDGALTLGDPETFPAAMSINVERYFKTHLARPLTASTVVVKSEDGEATQQT QDDEMEGIEFSAVKQARSYKVNDPDAPGGKRDVEFDDLAKGYEYGRTAVHISESEHNI TKIDAQKSFSIIGFIPCAKYEPFLNIGETCVTIARKFDAKSAIALSSLVWALSELESY AIARIVTKDGKDPLLVLLAPGVEPDMECLYDIPLPFAEDIRSYQFPPLDRVITVTGQT VSKHRLLPTDELNDAMSDYVDAMDLSTYGMDDDGNPSEYVPIDETFNPTVHRVNHAVK ARAVYPEKPVPDTPSILLRFAQPTQDLIEKVQSKTDALIQAADVKKVPPKVKGKRGRE TIKPISGLDVDALLGEEKKSEISSDNAVPEFKRALAVTEDVSEIEDATKQMGTIISTF VTESFGGDKYPRALECLGVMREELINLEEPGFYNTFLRGMKKKLLSEDMGGRRLDFWF KVRLGHLGLIDNKQSDVSDVTPDEAVEFYKPK FPSE_01523 MSDLQSRLEKLQELLGNGVKLAETPHEDNTADGLGNSFGMVMDD EYIRNEERLAAREQASQEQLRADADAARQGLLKSNKEKAFDNAAFRIGQPVHKDFTFC PFKVVVSYPERFIGKVNKPRAKPFFTEILKGRTWDFFYLHDPMEPARDPYLLIPSAQF EVFLEEINLKLNTFLRIPVGPMNKDKFYMKFGEGGTPRPRYLRRSLDATSLDIRPWPA VDPEDIRSFEAASTPQKLTWRSKMRIVKSGFAPKRTTDPDKAAKKKRHRDQMLRNTLG YLGLVGDPDGHDIVFICVDVEAIERKPNPISEVGISILDTRDIRGVHPKDAGRGWWPM IKTHHLRVHEYASLRNYQFVKGCPDSFDFGNSVFPYKNELQDVIMSIFNPYLSSQREI IVVGHDVRQDITYFNEIGIDLRALAGLREPVDTQDIHQAWSSSTNGRGLVTVLNDLSI PNKNLHNAGNDAHYTLCAMLGIAVAEVNGNEQQSNEMLNKVD FPSE_01522 MASVMAWGAGAAVAAFLGRAGLVAWRRSRGGVGAMGKAFYKGGF EAKMTKKEATLILSLNERAITKDKVRKAHRTLMLLNHPDRGGSPYLATKVNEAKEFLD KNG FPSE_01521 MRIETCYFCSRPAYPSKGITFVRNDARVFRFCRSKCHKNFKMKR NPRKLKWTKAFRKAAGKEMTVDSTLQFAARRNVPVRYDRELMGKTLKAMERVSEIRQR RERVFYKKRMAGKRERELATARKLVAENEHLLPRMRGSEKKRLRELGLTEEDIEEMEP ERQKSKAFGGEKKRVAIALEVDDDEDDDEEGESGMFDDEDEEDDDEDDDDEMGDVDME D FPSE_01520 MNEKTTSEAMPLLRDRLQQRPRKSNVSTVLGLLAAVCLIGFFHH RPHPVPNHIEEPTEKPWTWNDIKPRRDLLWESCYDKFECARLDVPMDWLNPSDRKRVV LGVIKLPAKSKNNKVSPLFINPGGPGGSGIAFVKREGHILQAIVGDNHDVVSFDPRGV GVSTPRMECWGSPQRRRLWSLQETPVADEHPGLIYDAYARATAYSGACESAMEDMGLM QFIGTASIARDMLEILDKTGNEKLRYWGFSYGTILGGVFAGLYPERVERLVSDGNVDY HDWFHLDHRNFVTDTDKIFDAFDTACHKVGPLKCAFHAESPQAIEKRRGDLLAHLKRS PVLLPAWSQDSGPELPFLISYSHLQRLIQTCIYAPIVKFPQLARVFAALEKGDGVPFY EMVLEMSDNKAQGGLCELGDTPATTPFETPMELDAFPAIMCSDSKAANESPEEIAEYF DKITNKSRWAGAANANFRVSCVGRKTRPKWEFTDDDFRGDTAHPILYIGNIADNVTPL QSAFNNSAKFPSSVVLTQNAYGHCSLAAPSTCSVRYVREYFQNGTLPANGTVCDSDFD LFELPVLGEEIMGLDELSSIALKLSREVEIPRTF FPSE_01519 MTADQDVYRKTGRGGAGNYVVQNKADDADKDLEAQSLATDEVPP PDRATANVPARAGRGGSGNYVNPSDLPDAAEQDEMARKTAAAVNASLMKNQAVRGGGL GGRGGAGNWKHAISFEEEERMREEEKSRGEALERKIRETVEKGLKMPEKVHHGPQHVK RNED FPSE_01518 MSSTSSALPGRQSHARTSSHSLLTGALNANHRVTRRKSVTNPNA NVAAIAAALRESERSNALPINSGRRMSKSAAARAAIAGSLPSPPASLPNHKSIPETKQ ELNGSAIDDEMNDGSADEGTAKFQKARQRRASDGQTLKEGKKSNRVEVRCDKCGKGYK HSSCLTKHLWEHTPEWALTSKLLISKHQQVQLLEAASVLVTMNGIEGNASTPPESTKD FQSEAGSSSPAASRYSDPEERQSSADTTPPPLTEGVSVNGTSYRNKRYGSNGYARSYQ SAASFGAGSVPFGSGFGHYRQPSNDHRPSSSGRNATGEADRDLAAAVELLSCSFGSNN GSRPAMTPADAPPVPPLPAQYLDQATSLSTASFINSFPRRQPESFTRGDMRRPSVDVR MDGSGDSVMDDDDFEMRSRGRSEDDDDGVFGRMEE FPSE_01517 MATRRSAAATSDETAMTTTPSSPKQVERPPPSFRDMVPHKAKYP LAIATSFAMASLGYSLLSELTNAELAGLSRTQDTWEEVALLAGWRIVELTIGWYAQLD GLDVAMLDLLSHGPHFYLLTTFYGLNWTTAASALAIDIFSVAVPFYLLRPLSPTHTSS AAAAAGLPNRELLDLPLQSYTAAMSTGIYTVVLVLALRFVLPRILVVNYSGVGTLEPA YNASYAAILPVTTLFGIAASTFIFAPFATTARAKEDDKINEFDPVAASLGETVQWNVW GYTVKTKVVIRRTVLVAFVAAVNTFLASYKTMYRVDAIGAAAYAGVWTFAAVCSGIGL GFVGGD FPSE_01516 MSSYYSQPAYKRSKSVKSEHEITLNGPLDVVGSVKSGSSINLNN DVIVREKLDAYGAIGLNGNITCEGKVQAYGTITVNGYTMVNDKIKGRGKLRVNGTLMG TDLEIYGNITITGHLRCRRLVAYGNITLIGSDSSYYVEEAEQVAGTVMIREAEPDWEY FPSE_01515 MAEAAAADPDGDTTMEVISPKNQTSFRAPTLERIDSLASMESRV DPDAQTTVTDFLDFTDYLPSDVVRSLILIGKLDERYANASLKIHDLTELWGSLPKINM EERPAPVQLRADISEHLDQAVSSRAFAHAEAIRMSDNISRHYSKAKILLSKLKSMRDN YPTEEQKSPVQTKSPQAARSKALKTAADGQKHRRPQGPRIIVPGEVLAPYDIDQDILT DESDVSSDDDSDTTAQNRRTPGPAPRIKLVSNKLPKTPSRLARPVTYATPALSEAAAA NNAALLQPPPDDAVVGSPHAPWLQLTQYELAKLRKRMKKNATWTPSETMIARELKALG RGPDAYHEAKKDAKEKGERFEPVVPQAIVDTKSGEKQLPAGALSPDTLSAEEVATSNR GMKLNEAKKLKREALAKMAAEEAEESARKMNEAAKLFLGNGNGNKNANNNNNTTTNNN NGSNGTPKTPTSETPVKETPKPTKTRAASRSTKRKREPEPEEPANTNGSAEKNESRPQ VKRTKTETPVPPPTQLTLSKGPSPENPVPTPAAAPVDQPVASHAETPVPIPIPAMATR GSAKSPTPNPFTTSNTGPTKPPGPPHETPVPLPFSEGRKSVTPVLPPVRENTKRETRG DAAKRTQQAQQQQTDPPAIQAIPEVSEEETLKSEPKTSPLLQTATVQIAVAPPQSPPP PPPQYAPEVASRQVPSRAPTPRITPGPEGLAIRRPSSRGKAASQEPQLSLAADRPRRA STARNTPAPEPRPPKRTKRPAPGIVSTTKSGGNSAVGKRKAPPKKKARPKKDRGSAEV ELEDVDDDGNPIDPDEPKYCLCNRVSFGTMIACENSECKQEWFHLECVGLTEVPARTT KWYCPECRVKLNIGEKGEVSARGVKK FPSE_01514 MPVDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQNQIHQERQH RKLQIETYKYERIVNDGLIKRISGLLASLRAHASEAATRNPAEVAFQAVMESAGNPKD DKPPVPPEGVHTHEKEQPSYTKMMATLLDQVNKALDEKKPENRYEAMIAEIQEHEDKV TNLQKELEIKLAELEKEEGRKITSEGIHTGFDSSHVAKSTPEDKKKETTQVELLNPGA AAGSSSSSGAEKQDVKIDDDSDIESSPAGKKFGTIKANDYQTSLQFLLQNPQLLVEKE TDGLLVQAFDAALEGKDDLSRQYIHQGLLLQYCRALGKDGVGLFFKRIMTKGHQAQEV FYKDVQETYMKIRTRSREILAERAKEGQTEGVEQIQLHAVEPGTVINIKVPAADSEDP EEQEAREIFEHFAPEMRKALETGNLDEVNKVLGEMPIDEAEELVGLFGEANILSLEEQ IIDATTEEGKKQLKDIEAAASSDKKEEYDDPE FPSE_01513 MLHSSLRSIVAGVLAATSAVYALPQSTGSGSGSSSSTACNNSPD LCDRNYNNITHMGAHGSSFLTDGNSGLTAAGNQNFNATDALDAGLRFLQAQVHKENNA LHLCHTSCDILDAGTLQDWLSKINVWMKANANANEVVTILLVNSDDATADEFGKVING SGIAELAYAQSSQNATTEWPTLKSMIDAKTRLVTFVTNIDASIQYPYLMPEFNYIFET AFEVPELTGFNCTVNRPSKIKDAASALSNGMMSLVNHFKYQSLATNSDLFIPDTENID TVNSDGTSQAGQLGKHLQECRQEWGVAPNFVLVDFFEKGQVLAATDKMNGVSDATGRE AVKSDESLAIANDRQVGMVALTAFVAAAVLLV FPSE_01512 MDYESLATPESCYVDFCLLPLGTGSVSVAEDIAEVQKVLKASGL KYTLHSAGTTVEGSWDEVMAAVGKAHAAVHKRGVVRIQSSMRVGSRTDKKQTAEEKVK RVENILANESK FPSE_01511 MDTQTTSGSRWVECLLDIDDSIIPGSTLQPIGPGLHVHAKLEMG LYAKPAMKLQFSVRHQSQTHLFAIAIIPLQMILGKPHIADVQTADQVSLHPLLAHQQM GRFEPPRTILTLRVGVSREDIITFKPPSFQQKRNNHPKAVQEIDLKHPNKPVPSTSLF LDGEQQTVPMIGGAIEEITLQNDLASKLWNTAQPDKAIEQENEAKQDTLQERLASVRD NVYTNIAAESLYSRITVPSPAAIEQHAEQPVNVQLAATALDLEAHLRQRHHAKVEMGF IVLNGANRMTESMSLIPMSKYLEASFLLIGDRKTSLFKRIEYSGAIQYRLKSNYRARG HAADFIWKEFYDGQMNIMKKKATPATAAITECLGDWVAPSLSPQLYRDGKLLNAKDAG DHQRGDDEINVRRGTVLIVIPYRAQRSDIGFFLSQEAPHCTTCNTPHATRYCPRFDEN AISDFAQDPVTADDGIVRDVFMSRGSGKQDGKRAAF FPSE_01510 FLFLFLSKLFGHYTLQQCLFNALGVITELKVIHSKFAEG FPSE_09077 EEEEEEEEEEEEEEEEEEEEEEEEEEEERQGFEEIEPALLSFSQ DSHEVVVYLDIQYGQSTTWSEILLSLCIGLPT FPSE_09078 MPDRSPSYDSRDGSSPEPERNRERPVERPVDRDKGREKEKEKEI RDRERDRARDRERDKERDQYRDMERDMDRDIDRDIDRDVDRDIERDKDRDKERDREKE KIRDRDRLLNDKKDKEREKPLDEKKDRIKPMDDIRDSRDNSPGDRRGDRNRPLNDRRD TRDKSPDDRGDRDRNKPLNNRRDSFDSSPDKKDRKPLNSRRDSYDSSPDKRDRKPLNN RKDSYDSLLDKKDRKPLNNRKDSYDSLLDKDRKPLDDRRDSRDRSPDDRRDRDRSKPL NNRRNSFDSLPDKKDRKPLDDRRDSRDRSPDDKRYHDRNRPLDDPRDSRDRSPEDKRD RDRNRPLDDRRDSRDRSLDDSRRDRDRIRQFDDRRDSRDRSLDGRRDSRDYGKDRDYD DPRDSRHDVGRDHANGRDRLKDRDVDRRRDSRIPPRVAREPREHPPSASKRNSITGRL LGSFRAARGGGDKEKEIPMPAAAASIQRRASTAVTKGSPVTRIREWLDACNAEHHHHC ALSSESDVPTWRPTWLVDVVDRRLVKATTKDRYLALSYVNGSHRNEPQEFMQLLKSNL AAFEDRLPNLDMPQTYLDAMWLAKKLGIRYIWIDRICITQDNREEMEDHTKHMAYVFA NAYLVIVAASGDIHTGLPSLDPKRSTRGIRTTGRTHQELVAASSWYTRGWTLVERIYS RRSVFFFEDSVTWECHCDTWQGSPNSVMKKLRGGRQECVGAIPDAVFAFQHPRWPDLD EYARYVMEYSARKLTLVDDTLPAFSGITHVLSRSFPGGFIYGMPIMFLDIALLWRPHA SIRRRALSRPPFLPSWSWMGWWFDNIPVDLTLWRAAADYVEEARTGKRGQESKRYRSA HAFRIRPTVSWSLTDRASTVPLMNTGLQYNNLRSRRVPNTELPMGWSRSGSHYVHDSD ETALFKYPVPVEDPHDSGGYEPAVGEQAYPGPLLSFRTTSGFFEIEFHTTLAHRERPN PPLAVGTIWNKAGRWAGQFRAHDAWLGIQSSNYDGEERLEFICVSIATERKGSHVFDT DKFEEHMDADGMVDFVNVLWIERIGDIAYRRGVGQILLKTWEAQAKDEVAILLG FPSE_09079 MVSSKLLVALGFASLTAAQCPYADPAKLAAGEEGNSRDYLKDYE VDDSKGYMSSDAGGPFEEQESLKAGERGPTLLEDFIFRQKITHFDHERVPERAVHARG AGAHGYFKSYSDWSNITAASFLSSKDKKTPVFVRFSTVAGSRGSADTVRDVHGFATRF YTDAGLFDIVGNNIPVFFIQDAIQFPDLIHAVKPSPDSEIPQAATAHDSAWDFFSQQT TTLHTLFWAMAGYGIPRSFRHVDGFGVHTFRLVTDEGDTKFVKWHWKSKQGKASLVWD EAQHLAGKNADYHRADLWNAIESGNGPEWELNAQIFDEDQALEFGFDVLDATKIIPEE LVPLQPIGVMKLDTNPVNYFAETEQVMFQPGHIVRGIDFTEDPLLQGRIFSYLDTQLN RHGGPNFEQLPINRPLSPIHNNNRDGAGQNFIHKNTAAYTPNTLNKGYPVQANQTQGK GFFTAPGRKVSGNLVRARASTFSDHWSQPRLFYNSLTKVEQQFLINAIRFETSQLKSE TVQKNVLYQLNKISHDIAVRVGRALGLQAPEADDKYYHDNTTAGISIFGTKLPSIATL SVGVLVSINSDNSIQQAKALKKALGEDKVTVSIIGEVLGDVVEVTYSAATAAQFDGIV VTSGSESLFNGTGNSTLFPPGRPTQIIVDGYHWGKPVGFIGGAKAAAQAARVGGGKGV YFSKDVNSLVKDLKDGLATFKFTDRFPLDH FPSE_09080 MDRVRKALGRQHSSTSTSEYEPLTGNEEATPLEGSTQLEGQHEL PFSWVEYSIFGLLGVAMLWAWNMFLAAAPYFTARFAGDAWIQANFQSAILTVSTVTNL GAMLVLTSIQYSASYPFRINLALVINVATFGLLTASTVLGLSASPTVYLVFLLATVAA AALAAGLIQNGAFAFAASFGRPEYMQAIMAGQGIAGVLPPLAQVFTVLAFPPDKDNAS KGASAEDGQTSAFVYFLTAVIVSVVALVSFIPLVRRHNHIIENRMVEQMNESMHSIEE AERAARKVTSLWRLFTKLHWLSIGVALTFTATMFMPVFTAKIHSVKETSGAIYQPAAF IPLGFFFWNLGDLGGRVATILPFSLRHRPFALFVLAVVRYGWLPLYLLCNIDNRGAIV SSDFFYLCIVQLVFGLTNGWLGSSFMMASGEWVDEGEREAAGGFMGLCLVAGLSVGSL LSFTVADI FPSE_09081 MSTPSLSDGQLTPTDTDPDLCFSSSEDDHIWPTAQDVVEMSIML NGSAVPLSWSRETLKRLPMGTRPVKMVGEGAANVVFELGIPEGDLRANDFKGWLLRVA KAPVSGSPARFNYLRQQEFYAKQITPFLKTHAIQQQLVVLRNTNIIPQLNAFLRSIDH QRKEKFRGTFVSESNWGLLVEDMRISDPNSRLIEFKPKWLNQSPSAPQGAIRCRQCAM ELFNYLRDPSPSRHTPEQKPCPLTLANPSAPSAISSPFRFAPKLASKCNDPMVRELLA KTADHQVIRDLRWLQNLSDTKGPLHAEKNDPMFSLAMTVRDCTCFVQMNLGPDVPPEQ RLRVRLGDFDLKDTDIKFKRWTSAEKDLIDSGCYTADWIMCNGQYYHPPTKCLLEWTR RRDQNVRIIGIKAKGSHPNKAVHFPTEVMTKQALVHWMTSSPVKLTGLLQPDRKDKPR TEVCPFRIEPPNLLKWLSKKP FPSE_09082 MAFNFNWSPLTADADFYARARDLLTKALNKSPKPPIIVDDILVS EFNLGTVPPDLEILEIGDLAEDRFRGIFKMTYSGDAFLTLKTRVQANPLNTYLYSKPT FTSPQPLAAASGLTIPLSITLSDFKLSAFIILVFSKQKGLTLVFRNDPLESLKVSSTF DSIQFVRDYLQRTIEQQLRNLMMDELPAIIHRLSLQLWCPDQANKGTETPTETEDEAG VDPLASPPLDPVDANGNLLDPNDISELTLTGSGEVQSLFSQKNLLRLAALTDSHRTLS LFTPGIRDVVFRAWTGYGDRSETSTPLASTPSLTKTMSFHAGNSTTYTFSDTASNTHG QLPSRPSLVSMNSGTNGRALGAGRSRAGRKKKTRVVNLRRTKSEAATPEEVSEASDSA SVNNALTDPVVEESIPEESESSIIAEAATPSKVRFRSAEEAGRPPVMVDLQNAQQMGS PLKQAVPPPQPQYSSIAAATSGFRSEKSKHPGLENQSVSSETSSVILEQAWIMKMAGE IAKRVYDEKNRQRSPWDEEREDAPPPAYEAATR FPSE_09083 MAPQNQLGKRVKLTQVRRPFIVGSTAKPFSDTNPRPAGIPDNHT HSWQVFVKGLDDTDITYWLRRVQFKLHESIPNYVRMVEGEPGKPFTVEETGWGEFDIT IKLYYVNDSGEKPQTLYHYLRLHPYGRNEEEKQAMISSNGEICSWSYEEQLFNEPYEV FYNLLTQGAIPKGWKPSGGKGKGKTRAPPPFPTDNNEVWEHSAMIPAHNRPGQPFSLE TEAAEIRKLAEAQGQAEDMAKKILAELKAKEEQLAALKGENQAAAAAAKS FPSE_09084 MSASKKLIVCGGTGFLGSRICKYAVARGWDVTSISRSGDPRWDT ISASPSPPPWAHKVSWERGDILRPATYAPLLNGADFVVHSMGILLEADYKGVLSGKES PVAGLQKAFAPIRDRGVDPLAKGQGEDINPPNPKDQFTYEIMNRDSAVTLAKHAVAAK VNSFCYISAAAGAPVLPQRYITTKREAEITIANKFPELRSLFVRPSFLFDSSRPMTMP LAAMVGVGTAFNGLTGNYFKNFIGAAGVKPLKVEAVAEAVVEALGDESVKGAIEVPQI EELASKAWRKTML FPSE_09085 MAPGTPTKDSPVEKTDDTKQESAPDNASKAQDRMARFKALQARA KTSSEKNLQEATLESQRLATDPSQLTAIHRKHAIASHKLLKADVEESGGDFERKRAWD WTVDESEKWDKRLKKKAARRDNNAFADFTQEANKIYKRQLKNIDMNMEKYEKDKQALI EKAAASGGLDIVETEDGELIAVDKDGSFYSTADSTTFAQNKPDKTAVDRLVADLQRAE EQRLKKRKERMAQNGDDGDVTYINEKNKQFNQKLSRFYNQYTAEIRDSFERGTMI FPSE_09086 MLRQVASEASKFVGQRKMFIKQTDQRSGEIYYVLNKWPTAPKRP AETEYFEASELLLDLDDMFSKHVRPVTEKDDSQALKLWSRNPKENSIKKKIGDLERTL GESRSGAFEARSRPLHAWRLGSYDVFSAALRVSRAPLIDFSQGAISTSTAETGPSMIH LLCSKNGIEQQAPKNDSQLLQRFQSRLSSFKSDQGPRVSASQLSEALRSQNSLISFRR LVSQYLSCNPNGIAFHQAHDTQQQLKPDLSSSVRDACESVWKKNLKQNTRDMLTFIGN LGQRLSAREEHIGGPLCGFGMKLSAEICVPTISRQYLNTGSEINHWSSSDQGIGDIVQ VLATYTRHLITDSKRNKLDVKGREALLKLLVGDVDQEDPPTVRSLILDMLQDKSREGM AHRALDAYRAYIVLLGHLGAAALLEHEMQLFVSREIEGSRDREGLENPGHKPDATVAE AFKVAIDNLVVPPNKTALPANLDFAGCVMLDLRAIGN FPSE_09087 MMWISISPASQSRQNLMVLSLLLCFVHFTVQQRDPISNFCRRWG HHSAVVDEKLYIGGGLVTYDGSSGTPPNVSNPYFLYHDLRTAAGTGMPQPYANLSKNS TIPDVSGGIFWPDTINKKIYLFGGEYNDVTPWDFDLYAYDIINDEWDNLGVSRTDNIV GLSYGAGVSIPDRGEAYYYGGWMNNATVADWGDAPQVPTSYLVRYEMDTNSWSNDTGP DDIGRAEGVMVHIPAGDGGMLVYLGGIRATDDGGWEGQPMEQIILYDVLSGKSYFQNA TGDVPEPRRRFCAGATWVEDQSSYNIYLYGGAGQEEGSAGFDDIYILTLPTFEWIKMY PNENGTGSYPHHSMTCNVVNEAQMFVHGGFFPLNDDCDVPDQWGLHDVSLGRQNKNKS PWMLYDPELTKYAVPTDVVSVVGGNPKGGATKTAPAGGFDHQDLNALMTRTASAGTRT ATRNVSGPTATGNPESGSKLPTGAIVGIAVGGAVVLIGVVLASFCLIRKRRARPERIG SQQPMDQDYNYHHPTHPSIISNQCSSGPWSPQSSSFNPSSPFASPQAARSYTGPPVEL PSGNMEDTTRGSPLTQTVTTTLEPKYDAHGNLWVPQVSTVQIPDQLHSPGSPPYYDGT MGSNWTNSSKNGTGYFASDTPQELAAERHTSSGSGQPTHQTYYHP FPSE_09088 MHHSRRKSGHGLPTTTSTDVRKTVTASDPTKYKRPVMTRRHTPQ KLGRGQREREREQKESWEDERESFPQFCMTCEKQFIPHDDMFLYCSDNCRSVDQTASP QPASSVNHYASANYPFYSAGHPEPKDIIPRASPSRPSSILLGSPPTTPGTGAPTYQHT SAISALRSLNVRPPSPPSPTGSSSNLWPFSRSAATSPYSSYSRPSAPYLSSTYDGGYY GAGGGAYNYEVTSGGMDRPLPSRHPSTYSRPKSIELVTPLFGR FPSE_09089 MAGRPPGGGFNTGHSNNRDDLLLDLDNDQPVYGGGQRSNLNDDD LMRFHEQNQDPPPGRTSVSYDDFVGARDANHPPASHPPGALGVPGSGPGSNPYLNRQY SQTSELGNYQRYADDFDDYPAEGDSYYHNDGGVRVDERTPGLGMNSARNRNSVLTMGG GFIGKVKNRLGMGQGYSEMDLPLTQPGHDRGDSVGGQSQMPPQQGQKGRFDMGNFKFG FGRSKPDPSTLGPRIIYLNNPPANAANKYVDNHISTAKYNVASFLPKFLYEQFSKFAN IFFLFTAALQQIPNLSPTNPYTTIAPLTVVLIISAGKELVEDYRRKQADNALNTSKAR VLRGSNFEETKWINVAIGDIIRVESEEPFPADLVLLASSEPEGLCYIETANLDGETNL KIKQAIPETSAMVSPNELSRLGGRIKSEQPNSSLYTYEATLTMQMGGGEKEYALNPEQ LLLRGATLRNTPWVHGVVVFTGHETKLMRNATAAPIKRTKVERKLNWLVLLLVGILLI LSIVCTVGDLIQRKVEGNALSYLYLDPTNTAGQITQTFLKDMVTYWVLFSALVPISLF VTVEMVKYWHAILINDDLDMYYDKNDTPATCRTSSLVEELGMVEYVFSDKTGTLTCNQ MEFKQCSIAGIQYSEDVPEDRRPTMIDGVEVGLFDYKALKSNLANGHETAPAIDHFLS LLSTCHTVIPEMDEKGGIKYQAASPDEGALVAGALDLGYKFTARKPKSVIIDANGREL EYELLAVCEFNSTRKRMSTIYRCPDGKIRCYCKGADTVILERLNEHNPHVEITLRHLE EYASEGLRTLCLAMREVPENEFQEWYKIYDAAQMTVGGNRADEVDKASEIIEKDFFLL GATAIEDRLQDGVPETIHTLQQANIKVWVLTGDRQETAINIGMSCKLLSEDMMLLIIN EETAAATRDNIQKKTDAIRTQGDGTIETETLALIIDGKSLTYALEKDLEKMFLDLAIM CKAVICCRVSPLQKALVVKLVKKYQKESILLAIGDGANDVSMIQAAHIGIGISGEEGL QAARSADVAIAQFRFLRKLLLVHGAWSYQRVTKTILFSFYKNIALYMTQFWYTFQNVF SGQVIYESWTLSFYNVFYTVLPPLALGILDQFISARLLDRYPQLYMMGQQNYFFRLKV FLEWIANAIYHSIVLYIWGELFWHGDLIQGDGKIAGHWVWGTALYGATLLTVLGKAAL VTNNWTKYHVIAIPGSMAIWYVMTAVYGIVAPMAGVSMEYHGTIPRIYESPVFWLQTV CLAIMCLLRDFVWKYVKRMYRPQTYHHIQEIQKYNIQDYRPRMEQFQKAIRKVRQVQR MRKQRGYAFSQADESQTRVLQAYDTTKHRGRYGEMASSRPAGR FPSE_09090 MAPDKLVPNDPRVKHETAQIRGKTYKYIVGEPEGTPLETMVLVH GFPDLGFGWRYQVPYFISLGFRVIVPDMVGYAGTDAPESLEEYTYKSLSADINELARK FVGKDGQIVLGGHDWGGMIVWKVSTWYPELIKCVFSVCTPYMQPRETFLPLEAIIASG HLLNFSYQLQFKGPDVESHIQGKEKVRQFLNGMYGGRAPNGELGFSSKDGILFDNLAE LGPSPLISKEDLDYYVEQYCLHPAPEMRGPLNYYRTQELNYRDDVEIAKKGGNKFKMP AMMITASNDSALPPAMSKGMDSSFDNLSRAEVQASHWALWQASDAVNQHLTKWLDGVL GGALEAKASL FPSE_09091 MTTENEFRSVDDIILSPRNNDNSVSQSVSDREPPKRKASIDIDS SSPKRMRHDDHFREATSERPRRGSSQERRSSYGGSKGVDVDRRKVATQEEKKRGKRLF GGLLSTLSQTSGGSTQKRRLEIERRQQERMRKQSVEDDKLRAEKRARAMEARKGDQIV FDEEVMRNKHTKMLAVAQYLRTKSQPQIYYLPWKLTEAQEDTIEEQTRHANATVEREV EAFNKRKERQADTGKRSSVRTETTVHLNEETVHGSKTTDGLEHPGITQKTEHEADQHG HHHDEAVGVLEEADEDMVIY FPSE_09092 MSRHHPDLVMCRKQAGIAIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDVSNSN SFLSTDNMLTLAPSSYSTKRKRIGQRNTSRAEAWAGPWIEVFRVWKCIFKSGIMGVLG AN FPSE_09093 MSFNGQHSPYGPTAMSNAPVGLSNNLLTTSMSSLSASPSTRHPS QISKSYRQASTLFLTRRLPEALTTLLPLITPPDHVDDGEPAPVARASRTTRIKVWSLY LTILNGIVELEPEEGKDAFGNQEWRALCTKVRDGEIWDEIVANGYHGVEGDVDSDVVI NLATILLAHARDQKLNQHKLEAYLAASNTPNLDVAGRLAESASPITNRYRSPAKGASG ANTPRDLNARVKILELYTLHVLLRNNEWDYAREFISVSSVLDEERREAFLQALQSLHD EQQEQEKLENEERQRQEDELQKEIEAAKKLRAENEERERKRIEEERAKREEEEKEKAK AKQAEEESAKREGGEVDYGVDDTASHNGSTRPRKARTLSSSSKQSRVSKTQSTSQAGS KANGKAVSRPPTLASRASMVISHLGVVIEKLRASLNANPMLLFKFMAFIMGLLLMFGN ANLRARVKRILSVFWAKVKGTAGMGVKVSYI FPSE_09094 MSRTTTVRKYGKQAKRSKAERLFAELPQSPIRLPPKGDPDTKED SISLITEKVSKINIEEKTTTKRKARSLKKITEVVPEAPIEITEVKEDKNATALDKEHT PEPEKDEPELEEPALSNSPTEEDDEFELSRLVQAQIAEEEAVHNPQLRTLTWDDVCLP GDKIEKIAEASYAEVYRVTNDRGTSIIKVIRLPSPIKPQTKAQVKSGLVDEEPHPEED IKGELQISEWLADIPGFVVYKERYVVQGKTTKQLLETHQSFQKKMKRQDPGRAQFYPS PSRYLDDTRFLVVELGDAGTSLEDWKLTTESQLWDIFFLQAIALARAEDLVMFEHRDL HEGNLCIRQVKPSRKMGPPSAGFFGYSGLDITILDYGLSRGEDLSVDDSAPVAFDLER DLSLFTSTHADQCKVYRQMRSFLLRADRTCLPPEAHDTPYAKGIDGPLSWDAYAPYTN VLWLAYLYEYITTHFKGDKTELARFKDETEELWEYLNPDAGEDVPCFGCAADVVCFAV EAGWIRQDQLNGADESILQHEDSIIAPREETKVVSQEKTPIRRSTRSTRRK FPSE_09095 MASFFKNAFGGEKAPEAASPDSDFADFAEAPSPAPEVGTQDATV GSPAAAATQAPYTKWYNVHERHSISEFRMEGIILLISSFIFLFHMVGARRNRSRAKGW MRAHAPIMQKEYALVGFGGVPTVNNENLNTDTLIKEKSLFEFATYATGRQNTAFTDVK LTLTKKFNPIVNCFEHLAGFFVESVAAPKDAVEVLTYPFDGKESLTVPSIPGAPETRK DGKSTYDGFVWGIVHKDVMRRVRDERYDVSLTFTKDNPKLPVWLTVMSESAEITDTLL TPELIAAVKAAGDNFEYLIISDQPVDKPLTLEETTPRKRLFLKYSLPSGENYDTLLPL FSHYLQLPDVLVKVGHFRPEVTKKVRTIREQAINEIKKSAESQRQEELLLEKEKARKA KRDAELKGLDAKAQKRYLEKEREKEMRKNQKRQTQRA FPSE_09096 MDKQEQNLQKNRQRQAERERKRALRACDGCRRQKEKCDGGVPCR RCTRLRRQCEFLGPTARDGEGGDSSGKNRNGANNAELLQRISCMEKVISHYAGNLALD TESLKAMAAAIDNKTFDASQFQRQVPIMDSPSSEYLGADDENYTVQPLDNNTTHYSGE FSHWNFSMRIKQWIEQCVPERHDAPGPLSFKEYYRAEELQSANNTQASLSALPPRYVA DFLVQAFYKHAETNYFYVERGWLTEQIDLIYQNPGAFSRREVGTLCMIWIIFAIGTQY AYLDSITGRDAHGKSNDSSPFSEDTIGVMFYQQACKLVPDAITVASLESVQAILLIGI YTLPLDASGLSYIYLNLAVKLAIQNGMHRKWPAEGLDPYVRETRNRVWWTAYTTEKRV GIYHGRPLSIQSKDVDADMPVDRPDIMPSNATSIAHMLATLRLNQALGRIAHEISVLR TSQKHGMNEGLQRVIDMKEELRKWWDSLPETAYRDEVNPQNPISRADTHLKLEYCLLR MYAGRPFILPREVVRGNGSTSSSPADSNSQRPNTPHKSNPRSILVADCVEAALTIVDT CHLLQNTIGLARASYTEFSSCRAALLVIITQCLQRKTDRLRDALRTGMAMIKEMSAGG ESARSEASLIEVFERAISRLDATLDSSGRETDYSRFKKWELLWKNDGPVPDFRPEESP ESSMALPQNPNTFWRGSMGTTARPGMPAMHAASPFVGMDANFPSVPQVMDEFSTLFGY GFGPSPENMGGTANGGMWMGP FPSE_09097 MPSFTENAVRTEIPGPVSKASSKRLDAIFDARAVHFVVDYDKSH DNYIVDVDGNKYLDVYAQIASIPVGYNNNTLIEAAKSPEMISALVNRPAIGNFPSDQW VDILRNGLMKVAPKGLSYIFTAQSGSEANELAYKAAFMLYSRRKRGDADWNEEEINSC MENSKPGSPELAIMSFKNSFHGRGFGSLSTTRSKAVHKLDIPSFNWPQAPFPALKYPL KDHAEENAAEEKRCLEEVERIMTTWHCPVAGLIVEPIQSEGGDNHASPSFFQGLRDIT KKHGCVLIADEVQTGFGATGSFWGHDHWNLSSPPDMVTFSKKAQTAGYFFGNEMLIPD KAYRQFNTWIGDPARVIMCKAVIQEILDKKLVEQTARVGGKLYAELESLAAKYPEYIQ NLRGKDQGTFIAFDTKDPASLVRSMRHIGVNIGTCGKNTVRLRPMLIFNEEHIPALVG AFDKVIGAM FPSE_09098 MTSPKVCSIPTTSCSSSASRHSTPESLACYPFVQLSDPSLIKNA CYVNGQWVAAKSGKDFSVENPASLEKLGSCPEFDANDTEAAIAAADAAYKTYRKTPAR QRARYLRRWYDLMMENADDIARLITLENGKVWSDAKTEAVYAANFFEWFSEEAPRIYG ETIEASNPSCRLSTIKQPVGVCGLIAPWNFPAAMITRKAGPALAAGCTVVIKAPAEAP LTALALAELAHRAGIPAGVVNIITALDNTAEVGKVLTTHPKVKKVSFTGSTGVGRLLM NQSSSTVKKLSFELGGNAPFIVFEDADLEKAVKGIIASKFRNSGQTCVCANRIFVHRS IYDKFVQMVLDVVKTFVIGDGFGEKTTHGPLIHGRAVVKTAEHVEDAISKGAKLIHGG ERLPDLGPNFFGLTMLTDMTPDMKIFSEETFGPVAAFFAFDTEEEVIELANDSEVGLG GYFFSENVNRCYRVAEALEVGMIGVNCGVLSDPAAPFGGIKQSGFGREGSKYGIDEFT VTKMVMTNIDP FPSE_09099 MCELRRVSCNLKYITTSKVSVFDMTYPTSGLTDGQNSAAKGIGP RTVRYMPLSRISVADYKSDALAITPHGLIYVLVEIISLDIKLIFLLITVPAEL FPSE_09100 MTSETATAMSAVASSQSGDVGKDTSGPSRRPNISPGGTIGPANT RDVGTQTDDFTLASFLVYRRGLEAVPPSPLRGTNGRHSSDPTPPSPISISSDEEEESN DTGGLHANPQDVNANALEDNDSDSSDDTDSFAGMDNDYERQKFVIERLQMMQDDYYKR GNDTWHH FPSE_09101 MASRLDRLVTILETGSTRLIRDTAVNQLADWQKQHPDELFNLLS RVVPYLRHKEWETRRTAASAIGKIAEYAPIYDPNSGDAPTEPKKEEDAPENGHVKKEE EDEAKTIPHDDGLFKLESLDVEMILEYGRELLRGRGIEYGLAALDPQARLAHQKKTLA GRLGLLGRKYEDEEIAYTGGDNLAAPGTPIDATNGHGHNRTDGAGGQAHAPEESQLSS RQLNVLKRKRKREAMKASQGKGGFGDLSVRRSMTSGSENIGDDAPMPDGEAKKNSKVN DYFNLERPADVDEETKVVSEFKGPVIPIKSELEAEETMEGAEWPYERLCDFLKVDLFD YSWETRHGAAMGLREVIRVHGGGAGRCRNKSREENDELNRKWLDDMACRLCCVLMLDR FTDYSSDTSVAPIRETIGQSLGSVLKHLPSSSVYSTFTILYRMVMQQDREHRTWAVCH GGMIGLRYVVAVRKDLLLQDSDMIDGIIKTVMKGLGDIDDDVRSVSAATLIPMAKEFV TLRPEKLDGLVNIIWESLSNLGDDLSASTGRIMDLLATLCGFPEVLEAMKASAAQDEE RSFTLLVPRLYPFLRHTITSVRVAVLKALSTFAKLDAETSQGWLNGRILRLIFQNILV ERDREALNMSLDLWVSLVESLATKPAVLADEFAAHIDPMMQLTLHPIGVSRNPIPMNA SLFQKPSGGTYTMPGAIQHTPRKPSSPDGSDRAPKRRRKSTKVDETPTTSLTHDVDGH MMQGDVDLVGMDVLIRSRASAAQAMGFIMSRVPSASLDDYDALLIPGLGSAFSSSQMT ACVVIDEYAKNSQALGASPRYLENLQRIIDSERPAAYRDLVNFIQRVRTQCQQLIHLF RDHGKVSHSKLPTLPVVVQGEAEAGPNAFSITIAEKCVGDDYERLNKAMPPGQRMIAS QQLSEARNITMLAIEEAKTAKAARDIRVKAAAACAIVGMKVLPKKPSPLIKGIMDSVK TEENQQLQVRSADTIARLVQLFTEKGRKGPADKVVSNLVKFSCVEVAETPEFPVHARK TDCVLSMQKEEDRVDHPDAAKWAREAKAARVTRRGAKEALEILSRTYGASLLETVPSL RTFMEEPLVRAFSDALPAEARDPEQTFGQEIVDALSVIRTMTPTLDKALQPFIMEMMP LVIKALHSELSVFRYMAAKCMATICSVMTVEGMTALVEKVLPSINNPVDLNFRQGAIE AIYHLIAVMGDAILPYVIFLIVPVLGRMSDSDNEIRLIATTSFATLVKLVPLEAGIPD PPGLSEELLKGRDRERTFIAQLLDPKKVEQFQIPVAIKAELRSYQQEGVNWLNFLNKY HLHGILCDDMGLGKTLQTLCIVASDHHQRAEEFAKTQAPDVRRMPSLIVCPPTLSGHW QQEIKTYAPFLSVTAYVGPPAERKALKDRLGETDVVVTSYDVCRNDSEILGKHSWNYV VLDEGHLIKNPKAKITQAVKRLASNHRLILTGTPIQNNVLELWSLFDFLMPGFLGAEK VFLDRFAKPIAASRFSKASSKEQEAGALAIEALHKQVLPFLLRRLKEEVLNDLPPKIL QNYYCDLSDLQQKLFEDFTRKQGKKIQAEAGREDKEAKQHIFQALQYMRKLCNSPAMV MKPGSSLYDDTQKILAKQGTSIEDAQHAPKLTALRDLLVDCGIGVEGNDSNDPLYQPI KPHRALIFCQMKEMLDMVQNKVLKELLPSVSHLRLDGSVEANKRQDIVNKFNSDPSYD VLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDLQAMDRAHRIGQKKVVNVYRLITRG TLEEKILNLQRFKIDVASTVVNQQNAGLSTMDTDQILDLFNVGDAAPNLLADKEKNNI DGREEDMVDIETGDVRAPGKKAWLDDLGELWDNKQYEESFDLDDFMKTMS FPSE_09102 MYIKQIIIQGFKSYKDQTVIEPFSSKTNVIVGRNGSGKSNFFAA IRFVLSDAYTQMSREERQGLLHEGSGSAVMSAYVEIIFDNSDDRFPTGNKEVILRRTI GLKKDEYSVDRKVVTKADVMNLLEAAGFSRSNPYYIVPQGRVTALTNMKESDRLNLLK EVAGTQVYETRRAESLKIMNETNNKREKIDELLVYIKERLNELEEEKEELRGFQDKDR ERRCLEYAYYHNIQLNVQSALEELDNARQDGIDSSDTNRAEFSQGEKAISRLDSEIHK LEREMELLQIDRRQLEEDRRDSAKSMAKAEMKTKNLKEGQSAQEQARAQHAADLESVQ NEVASKEQQLSAILPAYNEKKQEEDNVRRQLDHAESTRNRLFAKQSRGSQFRNKSERD AWLKKEIQELELNISTQKANKIDADEEVQRVHESIAQAEQEVAELRNRLANFSAERGA LEEEATKAGDVIDRLNDERKLVRREDDKLNSVIANARQEKEAAERELSHTMDGATARG LATIRRLKQERDIPGAYGTLAELLQVSDAYRLPVEQIAGASLFHYIVDNADTATYLAD TLYRQQGGRVTFMPLAQLRPKSINLPRSNDAVPLLSKISYDEAYEKAFQQVFGKVVVC PNLTVASQYARSHGVDGITAEGDTTNKRGAMTGGYIDPRKSRLQAVQAVNKWRGEYER LLAQSRDIRQQTELKDQEITAAMSELQKARERLRQAVDGFEPLRHELMNKSTHLENER GHLDAAVKRRDAVESNMNSFLEDLAGHETELKSDFKKNLTSAEERQLEELGNSIQELQ KEWNELSRARRDLGRQKQLLEVDLRQNLQMKLDQLNSQAFENSTSGSSAGGLKEAQRE LKKAQKAQKTVEASLQEVEAKLDDSQARLEQLENDRAQREQAQQEISARIEKQQKRMD KSLRRKAVLTTQAAECAQTIRDLGVSSKIKRVNDALKKYKHVNKKAFEQYNNFTTQQD QLMKRRKELDESQESIEELVEHLDRRKDEAIERTFKQVSREFTTIFGKLVPAGHGRLL IQRRADRRQEPADESDGEARGAVENYTGVGISVSFNSKHLDEQQKIQQLSGGQKSLCA LCLIFALQATESSPMVIFDEVDANLDAQYRTAVAALLDSISNEIGTQFICTTFRPEIV HVADRCYGVTFRNKTSSIDCVSTEQALDFVEGQAKPA FPSE_09103 MTASSRGGRAVKAVLSTSPNVCARCASSSSSALPRIPARLYSSS IAAAVAKTPTDATPPSTAPNSPPYDVRSGVILTRPPLVTRTLHPFENAFFFYQKRLEE RLNTPFITGIYFKPDTARRLDWNIKVQERQGTVAKELGVYHGKSSKAWDDELKVGDEL SNQETIVKSLLKDAESRVSDDAEVIPSEDVIPVDPPADRVTEADRKGDVQRLDRQLDR TLYLVVKGKDGWGFPADVIPKDENLHESAKRVLDQAAGVNMNTWLVSRIPVAHVVSRP KLSNEGVVEKKGEKTFFIKGRIMAGQADLKDNPFGYTEFKWLTREELEKELPKTYFKG VRNMMTDR FPSE_09104 MAEAEQCIICLDPLPRPSAPLQAPAALTIAASDAAPTGAGPVAA GVSAASASASTFTSESAPIPATTTTATEVLEDDSNYLNIVAELDGCDHIIHDACIRSW AKKTNTCPICRCPFHSVRVYNGVDGTAISKYDVQDKKQVAEFDVRQWLGENPEEEEEE QGNPCPICNSSEREDVLLLCDSCDAAYHTHCIGLEVIPDGDWYCMECAHLFHMVDEPE ATEAGESSPRPSYVRRPNPRNVRGYHVRTRERLRRARRQARNVEWQGAWGQFSGRFYE MSDLDLDNHDDEDEDLEQYRRFQELGRRELERWQQRMDIARRLGARDVFVNNIPPAIS ERLQPAPEPVQETAEERQAWSAFERARQTEEPTTTNSRKRKGRSVTASPREPAQEPER KLKRPRTRRLPTQNGEGSSSVSSPAPGPSTARINTNGASSRNGIADHGSIDPPLVSSL LKELEPSIMSDDDTSITNNGWRHVPDASSPALSPVMSPTSSAFGSPRALSLTPPPMPN FNARPGSPTLSLSSTIQPRYAPANYSPTRTNHERTNPDHSDSEIRFPRNAPLNFSPTR SRRERVSRERASRERASRDQTSRDNSDSEVRPATLPEGRPLEIRQPRPRRPDEVPVQR KEEPPTDLNLTQEDKVSINEIVKGALRPHWRSRKLTTEQYSVINRDISRKLYDEVKGA ASLSDEARRQWENRATKEVTQAVAKLSA FPSE_09105 MTKRTKKVGVTGKYGTRYGASLRKQVKKMEITQHAKYTCTFCGK VTVKRQATGIWDCKSCKRTVAGGAYTVATPAAAAMRSTLRRLREIAEV FPSE_09106 MPLKDHGRYTGKLTAEYITTHLPTDLKWAVAGRNESKLNALVED CKKLNSDRLQPAIEIANLNDADLSALAKKTRVLLTTVGPYSLYGEHAYKACAEEGTHY VDVTGEAAWVHKMIKKYEATAKKTGAILIPQAGIESAPADLITWALAKTLRTELGSQT KDVTVSLHDVKSAPSGGTLATALNIWDVFTLKEMKDASSPYAQSPIPHKEPTRPKSTI LEMILGVRSVSNLGLLTTSIAGTTDVAVVERTWGLLSETPSRKEEFYGPNFVWQERMK ARNWLHGIFIHWLLIIGGVLLVSVAPLREFLKKRVVQPGQGASSEDTAKDYVEYRGIA YPDSEKQATKAAFGRMWHHGGMYLLTAVLLSEIAATILEDDVELDGGSYTPACLGQGL IDRLDKSGLKLDVKTIDV FPSE_09107 MDSHTTSSDAAPNESSPLLPTTEHRPKGTRSVTFSDNPVTKTFE PGRQQQFQRAPGHHAVGSASGVAAGPPMLAALNNKLRRRNSQGSVPAVAHLAFGPKIG PQRSTKKTEKLKLLPNPDLDDPEDEESGRDVYSQYTRIKDPAARRDAAKLGKADRDRL PRVTAYCTANRYEMEALMRFLKGRGKTRGAVPKLIDECIYTPYNYASKSVRGRDPVQN YERRHSTGGDAIDVAQQRADMQSEETDSEAHEHNGHAHGHDSANGNGNGSRIESSDLI GSAVEDHDSISETNPDFDIQVHTPEVFLFDYGVVVIWGMSEAQEARFLKEIAKFETEK LAPDDVETELFNFYYTKDYQARIYNDFITLRDKNNYMTKLAISHALAQSVKTSLFEEL IASTVDTCKDIPTQIATTGKIALSRSQINMQIGELFILRINIHLNGSVLDTPELFWVE PQLEPVYQAVRSYLEMDQRVGLLTERLDVIADLLAVLKGELSHGHGEKLEWIVIVLIA AEILVAAVNIVVDLYVGE FPSE_09108 MTITIKRKAPSADPPPRSTAIPSTLPPSVEEAYRRKCVQLKNRT NEVEEANDAARLRLARIKRQVEKMRVERAFLLEQLAKRTSANVEDSDGSPSPPPTPKD KPLRTKRGHRKSMMPDADAKTSAGASFANAAGSPTSDTFSHPPESQSKQEHANGVSAN DDKKPASAFWLFCEDKRPDVENKDKDGDGNMNVDDELAQLWKELPDNDKEEYEARFEE IKSAEAKKTPVPDKKEDKPTEDKPAAVDEDVEMGDDTEDQDTQAGEKAEE FPSE_09109 MSLNIPNAPNAGLFKQGYNNYDSEDGAVLRNIDACRAIASTVQT SLGPYGRNKVVINHLQKMILTSDAATILRELEVVHPAAKLLVMASQQQEAEMGDATNL VIVLAGELLRKAEDLLRMGLKTSDIVIGYEKAQKFALETLEELSVDKVEDMRDQEELS KAISTVIASKQNGNEAFLADLVAEAVLTVLPKNPANFNVDNIRVVKIMGGSLEQSKVV KGMVFPKEPDGSIKKASHAKVGVFTCPIDAGQTETKGTVLLHNAKEMMDFTKGEESQL ETSIKELYDSGLRVVICGERVGDLAMHYLNRFGILCIRILSKFELRRVCRVVGATPLA RLGAPMPDEMGSIDVVETLEIGGDRVTVFRQEDEVTRTATLVLRGATQNHLDDIERAV DDGVNVVKAITRDPRLVPGAGATEVELVERIQAYGEKTPGLSQYAIKKFGEAFEVVPR TIAESAGLDATEVLSRLYAAHANSDRWDTGIDIENDDNTGLLDAKDEGILDLLISKQW AIKLATEAARTVLSVDQIIVARQAGGPKPPAPNPNWDED FPSE_09110 MFRRLRRNQNHDTASVKTRDEDRALAVNLREFGLSGSRASKSSS RRPQTGSDSRPFTETQSINSKSLRGQDSVSSTGSVHSGLSNNSRRVGGIDNNVMSSNA DRSRSRRERTFVGSECAVCEEPLEHTLRGERILQFSCTHVSHEACFYEFIREFESQYC PTCDAPLHLDTSRGGNVIDIGRPAILGQLDSTLTTSQEKISNMVRSVGTDSRSAMTPT PTPWESHTARAPSVDSNQRRPSQQQQQQQQQQHAGGRDSVPRGSMRDSREAPPSDRYG PSRHARSDSEATGVASSGGYPETTQSGPARRHDYDVQAMETTPTSPRPITRNPIPAPI VTVRSEFPTLSRSRQQQTLTCLVTVEVPDNKWRPDPEDLGAPAPQPPVPNRAEEAYGR PPSPARSAPRFYPYESTDALEEMTDSLRNRVDNWHGLDFSRFGKLRLYGTLRVGKDKV SWQELECFLFAEMLICVKEKKIPQSQQWDENGQPRKTTRCTLKGSILIKKHLNEVSET GNIDENILTLSLSVVELPQFHLRFENRNQLKLWQQALLDLNAVETSPVRSPEYDRGES ETDEELDWQRSRPQRVSSVASSWNGARSTTTAPTDYTNFPRSPAMSKSVHVPIDVVVV VPISSSMQGVKINLVRDALKFMVNTLGERDRMGLVTFGSGGGGVPIVGMTTKAWPGWS NILTSIKPVGQKSHRADVVEGANVAMDLLMQRKYNNPIASIMLISDASTSDADSVDFV VSRAEAAKITIHSFGLGMTHKPDTMIELSTRTKASYTYVKDWMMLRECLAGCLGSMQT LSHQNVKLKLRLPEGSPAKFHKISGALQITKRATGRDAEASLGDLRFGDKRDVLVQLV ILPDNTSQEQLPQDPWDNIVSGLEALGGPMDNDNERTLSVEEVPLIQADLSWGDILRE GTVTHMPRPSLLAITMLPVSGSSKKPWQNSPPIPPHPSIVQRRMELLTSDMLTRALTL VSRGQHDRAHTLLNETRSILKGLGKGGLPPIPPPGKSNPSAPSTPHPGNDASPGLSPT PDRRRSPSPPASAISGTNGPPSSQLARSRSTDGLGLSAGIDANTVAALDAELESSLEW INHPAVFSRDSRKAVLQAIGVISSQRAFTYRTPIEGLWACRVSGVKNLTEKSREWRED GGGEGGITEES FPSE_09111 MAPLTRKRKAEKKQKPEEAPAQTITSDKKQKLPVRAKDGESSDM SPEQPRATKVVFGDDDDMSVPVALSKPITPAPAKEEESDEDSDDEAPEAVSTSKVASE IKKSNQAAQKAAQEQAAAEKRKRRERDDRFKQQAEERKKLEEQEKEKAKEEGSADEEE PAQSLIQRSKTQKAPNLLPAEFLTDSSSEDEAEDEDQEERPRKRRVATVEKELARQSR GPKDERVGSTVFRVAQKTDERMVPKTKRQTKNHMNDLMKRNRTAAKPRSGFFVK FPSE_09112 MSLMSRHVNTGIMRQMLRAISSTPAVTTTAIHTTNSSTTTSINS NSFQSRSYAVSKGPRAPLRKPPRAPQQTQRLVPSQSQPYPDININAISTINQILTPDV FEKGIQQWGNPFNTLTPEEYYKYAMSYLEAVVKGDSPNSANLAAIGISPQTAYEMACL TMLHSSVHIGNLGYSLFASASAANYNPATISFARTLFRLGYWGRIPEFKPAENRFMKL VYEGKDCNALTVYGENLFMTRKYAAAVPILNKAISVDDGFFEFKKTCLLCLAKSYAHL GKTKEAEDVLEQLGEPDAWIELGPLLDRGGFDDKRQWLYRKGCDGDLEAFRELAEMDF AKASKETDKALKHEYNLWGMEWSRLADSSARN FPSE_09113 MVKPRVIYWFRTDLRLHDSPALKAALDLDPAVFWPIFTWDPHYV YRARGGLNRWQFLLDCQNDLSKSITKVNPNSKLFVLREAPQTLFPKLFKAWEVTHIVF EKDTDSYARERDSIVTQAAKDAGVEVIIRSGRTLWDSDQIVEKHGGKPTMSITQLQAA GSKLGQVKKPIPAPKNLPDPGDMPVNFDQNEPDTKPDFNSEIRTEGDKAYTKISGPKG DFAIETMEELGFPPAATPHRGGETLALKALDEIIADKKYTATFQKPKTSPAQFEPQAT TLLSPHLHFGSLSVREFYWRVKDVVDSYKGASSPPESLIGQLLFRDMYFAAQAALGYV FSQTANNPYCRFIPWHLPSKRDPETGLVTGEYHVDSEEAEIWFKRWRAGMTGFPWIDA LMRQLKDEGWIHHLGRHAVACFLTRGGCYIDWERGCEVFEEWLIDHEPACNAGNWQWL SCSAFFSQYFRCYSPVAFGQKWDKKGELIRRYVPELKNMDAKYIYEPWKAPLPDQKKA GVRVKGDGLNNVEEGTYPKPMFDFAKRRDVCISSMKAAYQVGLHGNDGQALDGTWRRL FPTDRGEVQGDVESDYGEHADYADDGEGKSDNEAKEEGEGTSSVKKEDDATKGKRSAK LHSTEKVPKKKKV FPSE_09114 MRRTLSLSLGALALLGTAAVMAVLVVLTVHVPKESSGRTLSQVS VALEAVTLVIIGWFISTYPSTSFRSWSTRCLGVDFGAAVLTILFATVSTVATLIQFSK STLEKEDELLNANKNTLLIGLSLALGLSFIFQAGFVSVHFFLTRRSDQHAFSLHSLED GRGLPSYRSKSSLKTLRYSHTADPLTQKRNSIDFHTPASSIRGRSRSGTASSIKAQFS NAIRSATVRSHVSSSEIRRPITADSVNYQSSEDAFDTWDTSSVDTHAREVVMEATTPP QKTQGHFLETIPGSPTPSRTSTSNTLEMPLEPPRIRRRSRSYSPVSIRREQLADLPEP ALGESNIHPLFRSDSATPPPMATPGTVVVASPNAGQVITHRQSVRSLRSTSVSVHPSP LGREERESIAEEDEEETDSLSSAERPITPPIPTWVMGAGSRSSWSDYNNRKSKLDNES THETTTHNV FPSE_09115 MKVTFKDLKQQKFTLDVEPSELISAVKEKISAEKGWQPQLQKLI YSGKILKDDETVGSYNIEEKGFVVCMVNKPKPTKPAESSAAPPATPAAPPTRTPAAPA APAQSASQQAAVPATPTPQRSADAGSEEPSGLAMGSQRTEAIANMEAMGFERSQIEAA MRAAFNNPDRAVEYLLNGIPDNIRQEQQQREAAPAAPAAQPSQPAAAAPQGGEEGGVN LFDLAAQHGGTNARGGSGGNEAHV FPSE_10799 FQQLRQIVQQQPQMLEPILQQLGAGNPQLAELIASNPDQFLQLL GEYADDDVPLPPGAQAISVTEEERDAIERLCRLGFDRDAAIQAYFACDKNEELAANFL FDQPEDDEPAPNN FPSE_10800 MSSMFKKKGGPAFKPKIPAARRPAAPVPSQPPKPSPVSVPPPVS EVPETQPTTQESSASSSPNETVTAPIEPKPQDSHRNDGQPPTPPSTEPEPAKPDDVAV TQEISQEKSPGESQHGANTEDVSVHTEETAAPVATAKAQESATTQTQPTSEQQDQSPG SEEPSLPPNETQSSDIEAAASPVLQTPTPDDSEGPSGTEPSTTPSHLTDGPDESQPKP SEEVQKATKSTRKPRARKQPTQAAQDEAGAEEGARPKKRQRKTTEEGTTPKQPRKRKA ATESGTNTPKTRRARSMTPEDSETQLVDLQKLKMADLTKDLHIGKKFSRHDELRERER RARMKNKIGTDVERDSSATPETSGQVEKSGSPAASPAPSAPAPAAPSGPQFRIVDGQI VIDQSSLSVDRHARAAAAAGDMETVEENDFTRLITSNSFMNTSKLKGPNIWTEDETEL FYRGLCMFGTDFEMISKMFPGKQRRNVKLKFNREERHCPRRINAALIGEKTVKMNIDE YKAFTGSEFEPVEAIEAEQRKIQEEYEAEEKRRADEQAEAMRKKREELFKDDEDGDAK KKKKKKKQTIIYGLNGEPIVQEE FPSE_10801 MEDRKRPAISSADEIAPPSKRVAVNGSKAKDDPLDMKEESWVEA YTKGAIYRQMQEYSRKASTYESRLEELHKRSVHHDDHLRIIDAWWRQVLDEMELLLTE SGVTSQTPSEPPYLSSVSFKDLHDFQKHLSEKGKGIKSRAEAILARLASSRGSIKPDA AKLESKVAGLLAAQKEYFAKLDRLKTEKDQLSEQLNAATLRYFKAEKKLDRAKSSQVQ KMEQQAFANATRPSASGDVNTDSGETNGNSGELLLKYEEATAAATKQKEQLDVFLAEI KALQDENSTLKAKRDTLTDEDFIRTDVFKQFKNQNEDLIKRINTLEATNKQLREEAER LQAERTTFRTMLEADANQVTQELESEIILRDQDLARVRSARDELLAETTQHKARLDQE RASVEQVKALASAKEDRITALESQLSRLQQSETQQAVNPDIEVLTVEELRLKYTKLQQ DFDSINMELPAIEKAYKKMKELAHRKAMDFSATEERMSILIAEKSKADQKYFAARKDA DTRNNEIRSLRHQNSKSSEIIAQLKDLESQNRVLLGNLEKQMTDLKQSNASLMTENKK MEVTSLDAVRRTESLNKQVSDLSNLVKSKDAASAVVRERNVMQETEVEKMKVRLEHAQ KDRDNWKNKALSNSSEEEEMLRTFALCTICRNNFKNTALKTCGHLFCNQCVDDRISNR MRKCPTCSRAFDKMDVMPVHH FPSE_10802 MIPISLAYLLALPALTLAARPKDAIKLSDVKSLTLRGNGAMTNH RRVGAIPQLRCVSKKALCEIYDMDVMRCTNDGAGWGDEDVQWSCTASLPEELKLTTTD VICEGYNSPDDPYVLKGSCGVEYRVALTRKGERRYPNIANGGWFSDGRGGTDWGALLF TIIFVGVLGWIIYSACYRAQEAGTNPNRPRRRGDGWSSGGWGPGWGPGGDDPPPPYPG TKPSSQSSNSWRPGFWSGAAGGAAAGYLAGNRNQNRYNNHNDGYGSFGRGGSGMGGGW GGRSGSSSNESNRHESTGFGSTSRR FPSE_11895 MADLSEKTAAATSSSNPSRPSSSSRSGSTEQDAVRQDKPNSSDP ENEKLDLKKADSAIVVPPKADSIQDQYKHLPSDEAEVLRRQVVSPEVKQGVAVLYRYA SRNDIIIIVISSICAIAGGAALPLMTVVFGNLQGVFQDYFVNRSLSSGAFNDKLVQFV LYFVYLGIGEFIVVYISTCGFIYTGEHISAKIREHYLESCLRQNIGFFDKLGAGEVTT RITSDTNLIQDGISEKVSLTLAAVATFVSAFVIGFIKYWKLTLILFSTVIALLLNMGG GSTFILKYNKQSLEAYAHGGSLADEVISSIRNAVAFGTQERLARQYDAHLKNAEYFGF RVKSAIACMIAGMMLVLYLNYGLAFWQGSKMLVEGETSLSNILTILMATMIGAFNLGN VAPNVQAFTNAVAAAAKIFNTIDRVSPLDSSSDAGEKLQQIEGSIRLSNIKHIYPSRP EVTVMQDVSLDIPAGKVTALVGASGSGKSTIVGLVERFYDPVQGNVYLDGHDISKLNL RWLRQQMALVSQEPTLFGTTIFNNIRHGLIGTAHEDASEEKQRELVIEAAKKANAHDF VSALPEGYETNVGERGFLLSGGQKQRIAIARAVVSDPKILLLDEATSALDTKSEGVVQ AALENAAEGRTTITIAHRLSTIRDAHNIVVMSEGRIVEQGTHNELLEKKTAYYKLVSA QNIAAAEEMTAEEQAAIDEEEVELMRKMTSEKATATLADPNDDIAAKLNRSTTSKSAS SLALQGHKAEDEREYGMWTLIKLVASFNTTEWKLMVVGLVFSAVCGGGNPTQAVFFAK QIVTLSQPITDTNRHSVKKDSDFWSAMYLMLAIVQFLAFVIQGVLFARCSERLVHRVR DRAFRTMLRQDVAFFDRDENTSGALTSFLSTETTHVAGLSGVTLGTLLMVITTLVSAM VVSLAIGWKLSLVCISTIPVLLGCGFFRFYMLAHFQRRSKAAYDSSASFASEAISAIR TVAALTREEDVLNQYKNSLAIQQRKSLISVLKSSLLYAASQSLLFACFALGFWYGGTL IGKLEYTMFQFFLCFMSIIFGAQSAGTIFSFAPDMGKAHHSAGELKKLFDRQPIVDTW SDKGERLPEVQGTLEFRDVHFRYPTRPEQPVLRGLNLTVRPGQYIALVGASGCGKSTT IALLERFYDPLSGGVYIDNHEISTLNINDYRSHIALVSQEPTLYQGTIKENILLGTPR EDVTDADLEFACREANIFDFIVSLPEGFNTIVGSKGALLSGGQKQRIAIARALIRDPK ILLLDEATSALDSESEHVVQAALDKAAKGRTTIAVAHRLSTIQKADIIYVFDQGRIVE QGTHTELMKKNGRYAELVNLQSLEKQR FPSE_11894 MASTSPPASTAQIRPRLSNSPAPRLRIALAFFLLLACLTSTVLA RPETPSSSLRHRHRIPPVKRIDLHRRKESSKDEEDDDEIVHSIIPSKTASGSKPTTVS IQRAAKTAASTSTPLPEAFDGNLAAELSVTSDNSNCPAFLNSVLSDPSYETCYPLSMM IQTSRGFFEAQRQLLSIVRVLDATCAANVTYCTDFFDSAARNLTTSENCKSEIESGNS VVKQFLTGLKSYEVMYKATCLQTPQDDMYCYANAVTNTTTAANAYFYYLPYNLTLPGS TNPSCGFCTQETMNIYHAASEDRSQPVALTYEAAARQVNTLCGPDFVNGTLPPEETNV AHAFAPSWVGLTLTLASVALFNAVL FPSE_11893 MSEAMPLPYTTVTSWPPGTYHSPRGPTHPQSILQYEHQLHHPAS YNGSAPENRRPSQLQTSQHPRTSHVHQLADMSSSIPQHPYPPQPLGVHPHYSVSNMAG YHERFGSRQGHHSTHPSHSTPGEQMPSLANAQPVATNDVQPTHRTGYQITGYQFDPRA PPTASGPHDLVSPMMSPAELRPDHMRISDLVVSGRSNAGAMYGSTQPHGISYSLKIRQ QPVAARSCGFGERDRRVIDPPPIVQLIIEGPNLTKDELSKHLRYPHYVMSCSIVDETG AHDASFMPEEYRQQRRLMGSLVNAPFVGKDEHGEEGTFFCFADLSCRTPGSFRLKFSM AKIDPLRGKEVGRFPNLAQAQTEVFTVYTAKDFPGMQASTKLTKRLKEQGCIISIKKG NDRSKNARSHDDSSEGDQDDGEIAAQSKRRRRSTRQ FPSE_11892 MKSTFIAAAALLAGAASAAKDEGTFAVLRFTNKQLTRGRMDPIL FPGETSTHVHNIMGGSGFSTSATGKDLMESKCSNAMIKGDNSAYWFPSLYFQDPKSGK FEDVEFDYFNAYYFFEKTHDEIKPFPAGLQIVAGDAMTRTMPKAGAKPNLDPSKGPVN AARMTCPRANNDYTVPSWDAKSDGTTAGVGDPITKNEGVGFPDRTCDGTFSPLRADVH FPSCYNPEAGLTDFKNNMAYPEDNDGYLDCPKGWIHVPHLFYEAYWNTNKFQGRWTEG EGKQPFVFSNGDVTGYSSHADFMAGWDEKLLKHIIDTCNAGTLGMDNCPGLFYGLNKG DCTIKSEIDEKTTGKLDKLPGNNPLSGFSYGDAPSMPNKGDDDEKPSKPSAEPSVKPS ATNSAPEDKSSAVEAPQYTKPADKTEEPTVAIPKPTVPIVSGVVSDAAAEATSALTNA PKPTEIFGKPDQPKKCKSTRVHTVWNTVTVTQTASVPAQTEDAAAAPAYKRDHVRRHA HQHGSGYSHVRRRSHRH FPSE_11891 MSLYHEAAEIVTGSSSEGGSLKSRVFKKKGLKSAPNQVYALVLE SCKWSPILKEVIEKSELLKLERKLTPTLSLLLVHDLLLAKKGIALPQSHGLRASIERH KARISSEFKLARLRRKMPTLEALKEQVERECAGEEANYPRWVRVNAVKSTLEEQLETT FSKYTRARSINEVVTNAGRLIYIDSHVPNLVAITAGIDLTKTEAYTSGKIILQDKASC FPAYLLDPRSEDGDLIDACSAPGNKTTHLAAIVKQHTPEFDTPAQTIYAFEKDSRRSQ VLHKMVKIAGSDYMTKIGFGQDFMQVKPTADNYKSVGALLLDPSCSGSGIVGRDSMPD LHLPEPYSGNGKTPPAKPNNRKRKHEKVEPAPENVMIDDDGNETAIKSEKDLETRLEA LSSFQLVLLLHAFRFPSAKKITYSTCSVHVQENERVVMRALESDIAKRRGWRILQRKD QVSGMREWPVRGLPEACEGDEEIAEGCIRSYKDDGQGVMGFFVAGFVRSGEQGDAPAD DEGPYMRDDSGAIIRDVVGMPVLKSTGEAVSSIPRDEKSDDNDQGSSEEEESASESDS SDEDDEWGGFDD FPSE_11890 MDRGSVYSAHVYEPSFGENGDTRLQLQTQLETFILDFRLDNNFV YRDQLRENALLKRYFCDVNINDLISFNEELAHRLASEPAEIIPLFENALKKCTHRIVF PHEPKIEIPEHQLLLHSNADDVSIRHLDSETISRLVRVPGIVIGASVMSSKATELHIQ CRNCGHTQNIPVLGGFTGVTLPRQCSRSRVPNDPTPKCPMDPYFVAHEKSRFVDQQII KLQEAPDQVPVGELPRHVLISADRYLTNRVVPGSRCTVMGIFSIYQNKASKNSSTGGA VAIRTPYLRAVGIQSDIDQAAKGNATFSEEEEQEFLELSRRPDIYDVMTDCIAPSIYG NRDIKRSILCLLLGGSKKILPDGMRLRGDINVLLLGDPGTAKSQLLKFVEKAAPISIY TSGKGSSAAGLTASVQRDQSTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEA MEQQTISIAKAGITTILNARTSVLAAANPIFGRYDDMKTPGENIDFQTTILSRFDMIY IVKDEHSREKDETMAKHVLGIQMNGRGAEDMTESEIPIDKMRRYITYCKTRCAPRLSP EAAEKLSSHFVSIRRQVHAAEIEANSRSSIPITVRQLEAIVRITESLAKLTLSPIATE VHVDEAIRLFLCSTMDAVNQGSNQGSRELNDEVNRLEAELKRRLPIGWSTSLSTLKKE MVEGKGYSEQALNRALMVLQRRDTIMFRNQGAQVYRNGA FPSE_11889 MPPIVRTGLQPHTSAPASTAHKPPTARDIPPVSLTNIKKVDVQE FSPYLTKVGALYEQLQRLKESDEEANKEQRSDRPDTPTESSGDGYLRPGPKPRPTRKG STASLASMNSNDGRDPARRPSAGFIRRSTQGPPPLSTIPTVYFDEEFHLENPRTFDIV SERSEVIRPANTEDGKGALNGSAAAPRKALATNAILQEKLSWYMDTIEVHLINSISTA SSTFFTALGSLKELHSEAAESVERIKTLRKELEALDEEIATKGLQIVHQRRRQENLRQ LNDAVLQLKQIADGVATCETLVDEGAIDKALASIDSLEDLIAGERDVTADDQPTIQLL DLRSASALQGVNADLALLRTRIGKAYETNFQDILVRDLRRHAESVSYQEILLRWDASA NRSKAGHTRSPSVFPTYLTNTDGLKTELLPNMVGLHRAKHIAAATVAYRESVLREIRN LIRRPLPSSTDDDNESMMSVSTASGGRHLSNQEKSSILARNLRALDPEDGEDLLVKIY IGVTETLRRLTTQVKVLLEITSSLTDNAQADALKSPPVRSPISSPRPDRFPNNPMDNA MFEVQEELHKSLDITNLLGQAVDVAIEKIVKVLKVRAEQTVQLPLTLFLRYFTLNLYF ANECESISGRSGTSLKNVVNGHIKDFVQKNRDTEMQKLAQGMESDQWSAKDFNEKNTE LLNIILGSSTHDPHEWTDSTKIWIPHSELEQHNEEDGIETNGTSKEKVRTATIDSETF MLPKSAILCLDGIAKFSHLINGIPSMTIDIATSLVAYLQLFNSRCTQLILGAGATRSA GLKNITTKHLALASQALSFTATLIPHVREFVRRHAGSGASLSNLMGEFDKIRRLLQEH QDSIYQKLVEIMSGRAAIHSRTMKTIDWEADGGKGVHPYMETLAKETTTLHRVLTKHL PETSIQMIMLPVFSSYRDQLGTSLRDADPKTEVGLKSMNNDIEFLTTKLGKLDGFGDT GEYLQKIIKSKQVKTVEPATEPPTEKKDDEPKENEAAPAAESVEPIEKKE FPSE_11888 MKFATAFIGLVASATYAAAATVTFKTLDDKERTIIFTPDPGFSG PESVTVGSGKDVTVTFPDKYIGNFYAVQKGQEDKPGMLGEVTFGGWNGKTYFDVSAIV DPNDKDNVKQMWPAAGNTPMSGCETFPCDNCYWLPDDVQTKVTEEVDLITTLGSGASP YQV FPSE_11887 MKTIQTLPMAMMLSGIIAGEFPSMPGMGMKGGEGSAPAEPPAPT GMAGGNSNNCPPAVPVTVTEPVYITVTECPPVAPEAPPPPGAPVPPPQATGPVPEAPP APPAPEGPPQPPPPGGESGPPPPEEPVPVPPPGGETGPSGPQETGPSTSPEKPIVPPP SGPSQTPVNPPAVPVAAGNRATWSLGALVVAGVVALAL FPSE_11886 MALSAHEISQLRAALQDAVVKCSERCLYQSSKWAAELLNALPET DDDEENINPADPSHVSPIFAANNDPEEAILEARELSKYLLAKSLFDCREYDRCAAVFL PDSLLSSVLASRVDHASASSAAGKGKSKAPEASGVVPLPKLSQKSLFLALYAKFMSGE KRRNEDSEMVMGPQDLGTVTNKQLLVIGHFLATWFEERTTEDDEVLGSQGWLEYLYGM VLAKEKNDDKALEYFIRSVHKYTMNWGCWLEMTSLISRVEDLNRISRHCPQNIVSYMF HLHTSLELYQQGPGLANSLEQLLSIFPTSSFLLTCNALLAYHAKDLMAAEQHFTRLLA LHPHRLDSLDHYSNILYVLNLRPKLAFLAHLCSSVDKFRPESCVVIGNYYSLLSMHEK AVQYFRRALTLDRSCLSAWTLMGHEYVELKNTHAAIESYRRAVDVNRRDYRAWYGLGQ TYEMLEMHTYSLWYYKKAAGLRPWDGKMWMAVGSCLQKMGRERDGIKALKRALLADAY YDVGSSFGSGDLLGSRNATGHMDPDILLQIAVMYDQLGEEEEARSYMELCVAQEDGSG GAAGAEVDPGESIAIHNDSPAGSENGAENDENSNNEGTGVTAATSKARMWLAKFSMRT GDYMTASRLAGELCQDGVEVEEAKALVREVRSRMEATGMLDPLS FPSE_11885 MYPNRAVRIFPCLMCWTPDVKCPNYVFLKRSICVDCERMRRQFG ENEQIASQVHETLVRYLLDKYSEKEGITYERINAYIRSAREVNVDNILTMALDYIFRN FGELYHTVCDAVEMARDRGPARWTPDNLAERLERVKKEQEWEQRGGYMDER FPSE_11884 MSQESSNSVSDISIPILAEEVEKKQSPQKIMRWPCNHHWGSRKC NEYVDSLGAKCLDCTNRKDMDSTPTHTRFAHMYDVEQFVEGFRNAGLNAACVVEMMKD GASEKSLREALCVVQENDAAWGLVGTKQRKQKALQ FPSE_11883 MAKQTTLDEFNAVFPKLEEVLLDHARSYKLPQEQLDWYKKSLEA NPLGGKCNRGMSVPDSVSLLLEKPLTEEQYFQAATLGWMTELLQAFFLVSDDIMDSSI TRRGQPCWYRQEGVGMIAINDAFMLEMAIYTLLKKFFRTHPAYVDLIELFHETTFQTE LGQLCDLLTAPEDNVNLDNFSLEKYSFIVIYKTAYYSFYLPVALALHQLNLATPSNLK QAEDILIPLGEYFQIQDDYLDNFGKPEHIGKIGTDIKDNKCSWLVNQALAVATPEQRQ ILEENYGRKDDAKELVVKKLYDDLKLEQLYLDYEEKVVGQIRERIANIDESGGLKKTV FEAFLAKIYKRSK FPSE_11882 MASSVAVAAAPVQFHDYLLYPVDDEKPPIPDLSKYRTLHEKSLP SVSQRTLEVYTTPSPETKHLADGDCYPRLDSPTLSAKVIRRRSKSVTSLLTLRRERRR IVSPPLPTSPLPVSVSVGTRGAEFFKGLAESLTGVIVSLSPRLAVGAVDTDTGSTRHR QGSLSSGPSVVRSPAPSVLVSPYAQSLARASSNLNLAPDSQTAPSPAVPPGPLASPSY VLTPKTKSIPPSLSSLASKPLPLRSPTLNHDYIQNLAHLTSRDRSPSRSPSRSRSRPQ GRDSFRHFPTTSVFDIPAPESPILRTVPSASDIARYKHRNLLALRINTEAAENAAKRE REEAERVADEVARLDAETDRILAEQKKRDLARVHAQPPTPSPRFPRFFVLDKLPFFYL ARRSNAVASQPSTPSPGAPTISSLDFSRSNSPEESSLPEKMSFIEQGGKGIVPGTDAP TSASNGGERRVCVRCLSSVINLPVNTDTSPVDIIYSTANLTSHDIDPKASVLIECYVE LGLERRLRRYERIRDVMNSWDRDQQNSLLVVTNDISQSDDPDLDIKSVPRSPTPPPGF TLQMYHSSRPGKWNKRWITLLESGQMFASKKPDSKTSDKDSTVLCHLSDFDIYTPRES EARRHLKAPRRFCYAVKSQQKTFVFPNGENFVHFFCAEDGQLARRFLELVQRWRSWYL VNKQVDFEKKDKKDKAPQISMGFGNSTSPKAMSRSKSAAAKGHSTQVSVDETPYTIGA FQPLLDMDRFDKPLEEFGKDLDNKNEPDSDPEFKTGLVRKATCKQPNALSKSKSVHNK YRSPPVDNNQFSPAGLLGQGYEEKLKVAERAPVASPVTTNSAFTKGASLLGRGYEQKR KVHEKTPVDNSYISTNAAFTEGPSLLNGGMLSPATPKETSTDSKSWFPVDTNQSARPS YRSKSLRDTNRRLMVEKVPDMPQPLVNLTNSFPEPPRWREKNKGHGIKAPTGGPLISL ATGGQNNNMFNTRSTSGLINQPRVPQSSSTMSMGSRPRSRSTAGMQPPPSHAPPVPPV PIRSLRREMTAPMDQSRGRDPRPRGPLIDRAGTVGQPSRI FPSE_11881 MTDRTVLVTGATGLLGREVSAAFGLKNWEVKGTGFSRADGISTF KVDLGNENEVSTFLDETKPQVIVHCAAQRFPDKVDKDPEGARALNVAASKALAKLAAE RDIFVIYISTDYVFPGVPGDAPYEADAEPQPTNLYGQTKLDGERAVLETFKEAGKEGL GVVLRVPVLYGNAETPSESAVNVLMDALWKAQTQGAQISMDHWAIRYPTNTEDIGRVC HDISVKYLDTSSNDRASLPSILQFSSEDRMTKYEIVALFGEIMGLSTEGITPNTEGND PNASVQRPYDCHLSTKALKDLGIDLSTCDFKGWWRREVRAFRK FPSE_11880 MDDPLHLNQRGFIVPHPPPSPPPEEEKDKVKTKREFAPVVKLEF KSVSSTA FPSE_11879 MGMQGPLTAQALGRSPYDDVVEWEDDHDDQQNGDGSTQQFDHRG RPINPETKKINRDIIRSHNEVMLVIGVAEQENPTSSPEAESDKRHALYEDDVGRNLAF SAFRCVDAAGAFGLDGFRQRILIYKRYSHIPFWDLYAKSQNPFSVTKYILPGASLNLL SHYADRQVASLWRDRPDRQFSRRLVHEVWSYVRVHLELFVALQRLGLVSSTSWLPSLS FFVPFTQDSPIPAPPPLGGLSFQSILQWAGGFFISSTPFLIWVITQRLIRDWWPQIMG RIYRRLPNTGSPLGMTSTWTSADRPRERPEHRRNRSTVEDVSPIRPIDGQIPSDTGPV EAVRRPSTFSVRGDDYASDEEDNEAVSATLISFDVEATAETSEAPPGLWSAELRPSVD ARGAVMPTTTYCDTMLTQIPPLIASHVFADAVLRLAIAPWEATALRLVAGTFRQRFGL PTNDICGINLLGESNLVFAINFLGSQVLHLAVCGEIWATFTAIATIFHTTPEEWREAE EEEQENRQDWPDERV FPSE_11878 MANKQPLKTTFDVEHVIRPIFTGGSVSIDNDARILATVLGEDVV LTDPTNGKHLAQIEGDGELISTLTLTPSGSHLIICSRSLSMRIYYLKRSEDDETIEAT ATRSVKAHSTPVNVLTVDRTSTLLATGGTDGAVKVWDIVGGYVTHTFRGSSVLVSSLR FFEAASRSNETQSRKGKKSKRQEESDEEEESSTTINFRLACGQQDGKVRVWDLHKRNC VANLDSHVSDVQGLDYSPEQHALVTAGRDKTITWWDAKSWKIRKVVPCLELVEAAGFI DDGNLTYSAGANGSLRIWDTDTGKEVTPQQPAKSEEEGIVSAIYRPGLPFILLVQVDH TLALYKPPQKADAASLSAPEPFRRISGTHDDIIDLGYLLPDRSMVALATNSEDVRIVS VVETQDQDDGGNSGSSPYFGQDVALLKGHDEIVISLDIDWSGHWIATGAKDNTARLWR IDPANNSYTCWAVFSGHAESLGAVALPKSVPPESSAARTDPLSHPPAFLITGSQDQTV KKWEIPRTAQQQGHKNSARAIFTRKAHEKDINAINVHHSNQLFASASQDKTVKIWSAE EGEVQGILRGHKRGVWSVQFSPAQMPAIQGEDGPVTGKGVILTGSGDKTIKLWNLASY TCVRTFEGHSNSILKVAWLNMPTSQEQSKKRVHFASAGGDGLVKVWDANSGETECTLD NHEDRVWVVAVHPENNTIVSGSGDSTVTFWKDTSSETQAAASQAALKVIEQEQELENH IHAGSFREAITLALQLNHPGRLLSLFNSVMTTEKPDKGSLSGLRAVDEVLGSLSDEQI FLLLLRLRDWNTNARTAPVAQRILWTLIRSYPASKFSNLSVKGAKGQKSLKDVLHAIQ VYTERHYKRTEELVDESYLVEYTLQEMDDLAPMLEDENGLLQDGSEDVVMAG FPSE_11877 MSKRQASEALEDIASPASKRSRLDFDTPEPGTEELINETNTNGN AQEVDDDDDFDDQETIPAAPIRQSAPTEGYDDLYLDTIDRNVLDFDFEKLCSVSLSNI NVYACLVCGKYFQGRGPKSHAYFHSLDEDHHVYINLESQRVYVLPEGYEVKSRALEDI KYVADPRYTKKEVIEMDRTRRTSQTLDAKEYIPGFVGMNNIKENDYFNVVVQALAHVA PLRNYLLLEDFSSKTELVKRASILVRKIWNPRAFKAHVSPHELLQEISLRSNKRFNLT SQSDPVDFLSWFLNNLHLGLGGSKTKPGSSMIQRTFQGKMKIESQAITARADATDRLR FEDADVKVDIVRFLLLTLDLPSTPLFQDELEKNIIPQVPLTTILTKYDGQRAQEHHAQ RKRYRLMHPLPPYLAFHVKRFSQNKFVSERNPTIVTFDARNLDMSPYVEPNPKEWPPG EPIWYDLVANVVHEAVRTREDVVDSGEERKTWKVQLKNKATGEWVVCQDLYVDKVQSE LLYLGETYLQIWERRREPNKGKGRAS FPSE_11876 MDTSNLWARRTNPGKLSLATPGQGSSGDTFSRNASFSKRQGGET PSSMKPNPFNTTPGGLVSPTSGASSAFGLGSGAFASFGSAKTPKTAGNPFEMAMASAA PKKDSAKAVGKASGMAAISESNQSGAPTAPLSHPLIDSWTFWYRPPISKAHGYIEYEK TLHEIATVRTAEEFWEMYAYLKRPSVLPVVSDFHLFKKGVRPIWEDDINKKGGKWVVR MKKGVSDRYWEDLLLNLIGDQFGEAGEDVCGAVLSVRNGEDILSIWTRTDGGRVIKIR ETMKHVLNFPPNTRVEFKSHDSSIQQRTAIEESRREKAGQLHHHDKRHAGGPSKQAAE QSQS FPSE_11875 MGVTRTKTVKNKHAAAPGGAKSSKHSAADGFAKSKKPKGTPPSK QVKDKGREALLQKFKNPKKKKYTDEQLGIPALNTVTPVGVVKPKGKKKGKVFADDPES MSTIMALVQAEKDGQIESKMIKQRKMEEIREARKVEAEKKEQERNSKLEDTKNSLRKS RKRKSTGDEDNIKEFSTAGTKAAKGKGKKQVSFA FPSE_11874 MEWLGRAAIDFTHAPTPRKVTEKDGNETDLLSITEKATPPCNLN PLLFNGHLQTMWTATKPAGPKIYYKRKIFDADHKIYHGTFAVDFAVEPFEAPDDPTLS RRTAYLTDEEFENFGSDDTKPMLVILHGLSGGSHEIYLRHTIAPMIGEGGWEACVVNS RGCARSKITSGVLYNARATWDIRQTVKWLQKKFPNRPLFGLGFSLGANMLTNYCGEEG PNCVLKGAVVCSNPFNLDVSSKILQNSYIGKEVYLRVMGSALKELAATHRKELEQYST IDVDAVMKITYLYEFDRLIQCPSWGYPTETAYYRDASSVDSILSIEIPFLAIHATDDP IAVKEAIPYEEFKQNPNTILLTSSLGGHLCWFESGGSRWFPKPVANFLNHLAFKTDLD SLKPQANPNGGAFTAGHGYSPMRRKLMISED FPSE_11873 MTQKLLSVYQRKMRDAADIVSCLWRTGSSVMSRPPVEINEFHFG HEKSVSAQHHMSDHFEVQACQSRVGVGHSPEYLSSVEEIDRF FPSE_11872 MEHSPKPPSRPRYATMRDDQERDDQGERALDEQGVKFSQRSKPP RQVRDSHRASEPRRDRPSTRPDPRSSRRRRHSRDRSRDRAPRPSPDPLRRGPEVNDLI PRYRERRREIDDKSRRRSRSRSGSRDPTTRASPAASKRHQSRSPSLTGSSRKKSRRDR SPRPRTRDYSPESASRKHGRHPSRGRRQTSPRHHIRTSKSPMRSRLRSPAESESGSRR ADREGGPGQQSQSRSPMSEYRTSSARRVQPLPIEGQREPDYEQQSSRRQHLPRSPHVS KERRSREGSPGWRHSSRSDIDDDMTSRGNPRGSYNHMYPSKPHIGNDPYGQSPQNSYH NSPSRSPYGSSRGGRNGQQSHPQGSNYGPPSGPSSQYHHNPSRSPPHSAPTGPMQQYP QGGSYRGGYRGGGFRGGSFGNRGRGGFKNSHWNQGPPQRGHHDDLGNGRFSNVDEPSA MDIDRMDDGDESYQTHDRNAEDGADGLATPNRPPPTGPGSQSQSGGSGGKFSFAFKPS SKPALTAPKPEISQKLNAAPRRDAQKDSRDNDRDRSRDHDRERERDRDREREREKERE KGRERDRDREPPRSAPTEPASSRSRHDRRQPPEGPRVTPRMRKVKKMMKRPKPRPTLP GDLVDSESVFFRKPGNESVVGSGTYGKVFKGLNVYTKGMVALKKIRMEGERDGFPVTA VREIKLLQSLRHVNIVNLQEVMVEKNDCFMVFEYLSHDLTGLLNHPTFKLEAAQKKDL AKQMFEGLDYLHTRGVLHRDIKAANILVSNEGVLKIADFGLARFYAKRHQLDYTNRVI TIWYRSPELLLGETKYTAAVDVWSAACVMVEIFDRNAIFPGDGTEFNQLEKVYNVMGT PNLKDWPGLVEMPWFELMRPTVKKKNIFEEKYREKMSPAAFELLSAMFHYDPVKRPSA SEVLQHTYFTEEEPPARQATELSTHNDWHEFESKALRKENDRREREARKLAKEGASRD ADRDKDKKRINEGIDQPDPKRLQVDKNGGNKPTPAATSSKPTEA FPSE_11871 MLPPATQESSQPQAHPHKPSRHHAAATTSSAPALSKPHRHSLQF SFPPLFQSNTSSTSLVPSTAEGRPIPVDNSTAEHRTSALRELSSNFPTRHRYAQSTGA QSSTYSQPVIVRSYYAPVPAQPAADRGVIVVNGRGHRPALSENSGPSALVRRVLPFGS GVAQSRNGMLGTMARNRTKKRLENEPEEPKLPSVDAFRFKSFMANLEDQNGVTDINAD LDRIAEICAKSRYSLSNQYEVHYAPHGSGSAFVAGGVESQEAQGPTLQVVSSDDETNI KQSRKRPMGVRRNSRAMGTLETIMSSSRSSDEDKSKKKSAAEIADEVRGRTAQKGSSR HGSLSPSEDGAIESVVPDVEITQRTPRPKRSGSLALIDGTRLSMNLNDLDTNRGSAVG LVSEPALPQTSSSQLEIRTAPEAKTKEQVPTVPKKRLAVAQGIDRPVAQGAMSSIDAP NTHNNFISTLSGWMSWRTPRSSPMPQGRAEGSLRELLKSRDIKGKGVEAYQ FPSE_11870 MSGISAVLLILITLFFPPIGVWAISGCGMDLFINICLTLLGYIP GHIHAFYLEYIYFDRREQAREGRFATGPAPGVYSDNVQTGGQGYGTIGRTG FPSE_11869 MASQQLPQLNIDRYVVIHVATTCDEHGVYVTKDSAEVIELGWIL MDANTLEEITHESVLVKPVNTPITPLCTSLTTLTWEHVRNAGTFRDAITRFDAFATEH LTSKNLDFVFVTLDAWDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQQHHPE SLPFGPSMLSNICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEEAITLARVLR GLIRKSQPPQEHPDVLTRPMDARADVRAFLSERSKVLHMSGLPHDTTQSELESWFTQF GGRPIAFWTLRTPEQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAQDILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAVGAGPSNDMGGNN NNYGGGFGYGPPAMMPPPPHGGHHGPMGHGGGRMGGSGVVPFRAGDWKCGNEVCGYHN FAKNVCCLRCGASRAGAAVVADSGYPSPMDNASQYGMNQGSMGGGPGPGPFGSGSSFG GSGGGYNQQQHFGGPPSHYLPSGLGGGAAAYPSSLNTHGSFGSGPASHSAGPFDSRAA EAAFQSATNGPASGGPSNNFYNNNANNNGGNGENDPFAFLSSGIGGLSVSGGDGRQNG GSAPPNKSPA FPSE_11868 MSSNPPPPGGGPPLTNNAPPGAMNAQAIVGNPAQPIPHGTNVQA AAGNSSQAAAATQTTSRARPSAGGELITAPERELQMLTDGLRQRLEEAVQREARTDQE LEDMGIDPQDCVEDEIMNGVPDVAFVEHEDLSGPHKRLKLSRAYIDGFFGGVDPYEAS KKKDEMNDLTLSLKHASLDMISALCINLELAIEIGKHLRPQDIVNLYIASRSFREAIK GHILSCIRMWVDNRSPEAGKIFHWKLYGRMLIRDPLERTSAANDAQHLEARWNSAKRN PKSVRMIPGFRYLKMVMSRDRCCREILAMMARMGFLMPDTMYDTLLRLWVLMEISTTR QRQLLLRNEKYWTDQHLYNLQFFLVKLAMAFNHPFFHPIQIDMVQLMMGQKGLHPLWQ LLMKQRFTNISEVMELKTRYDLRFPRDVWTNILIHDHPKAYNVPLNLVGLGHMEGWGR GFRHLSRPDELLPIESVYRGLDLDKHISQMMVWGYIDFDTGENTVPTEDDMYISDEEE KLGRTDTSSFWQRKHALKKRWNELSPEVQQQIKDDDNDERLRGMAWSSVDQHNSRGKW ESESESESDDERGYDINEEIERGYRLPALFGKHPKKAEADKVDSTRMENPLLSFGENP EDWNTKITELFLNANPQVSLKHWQEADGWTLYMNHGNTGPYPGGPGFESDTTVTPQTI FALERPFFVNGRRIIDDSQNDGDENENENEDEDEDE FPSE_11867 MAPAASADHDMDVDMIHDEEDDQGERLINEEYKTWKKNSPFLTA LTWPTLTVQWFPDVKEPEGKNYKIHRLLLGTHTSDESPNYLQIADVQIPKVVAPNPDD YDEERGEIGGYGKSGDVAAIKCDIVQRIEHPGEVNKARYQPQNPDILATLCVDGKILI FDRTKHPLDPTSTGKVNAQIELVGHEAEGFGLNWNPHEEGCLASGSEDTTMRLWDLKT LKADSRILNPSRTYRHHTQIVNDVQYHPISKNFIGSVSDDQTLQIVDIRHSETNKAAV VAKRGHLDAINALAFNPNSEVLVATASADKTIGIWDLRNVKEKVHTLEGHNDAVTSLA WHPTEAGILGSASYDRRIIFWDLSRVGEEQLPDDQDDGPPELLFMHGGHTNHLADFSW NPNEPWLVASAAEDNLLQIWKVAESIVGKDDGDLPVDELDR FPSE_11866 MGVPKFFRWLSERYPAISQLIAENRIPEFDCLYLDMNGIIHNCT HKDAGEDVSFRLSEEEMFIRIFNYIEHLFGKIKPKQLFFMAIDGVAPRAKMNQQRSRR FRTALDAEKARDKAISEGVEIPKEEPFDSNCITPGTEFMAKLSQQLRYFVNKKVSEDT DWQGCEIVLSGHEVPGEGEHKIMEYIRNAKAQPNYNHNVRHCLYGLDADLIMLGLLSH DPHFCLLREEVTFGRASKSKSKELEHQNFYLLHLCIVREYLEMEFQELGEEGTLSFPF DLERVIDDFILMAFFVGNDFLPNLPSLHINEGALANMFRIYKSILPKCDGYINENGVI NMGRLQRLLGELSNTEIDAFENDVSDEKWYASKQMEKRLENKHAETKPPKGGQLVLTS AQRDLWKQKIRPYISKRSDDPLDLGTSLKADDRKFVQDLADSMHLQWSTKEDDEGHRH LIVSFPPKNNDDDDDEDDEEEEGNLAAYRVMKTYDKALVIDLTAEDAQKQYDQLYQDK YQGWKTKYYLQKFEEWAPDSYDKELTALCENYVQGLQWVLYYYYRDVVKGVGADLNFK KGQPFLPYEQLMGVLPDRSKKIVPKVYHDLMTNPDSPIVDFYPRDFELDMNGKKMDWE AVIKIPFIDEKRLLDAMRPKNELLEPDEKARNGFGVPLKFTYSPEVDFVYPSPLPGVF PEIQNCRCIENIFDLPDVEGLEYLSGLTSGALLKVDALAGFPTLHTLPYTAQLVEGYG VNVFQADSRNPSVCVTLTGTENRTKIETWKAKLGQRCYVGYPFLQEAKVIKVQDELFS YELADNGDIMTRDHNNREAADWAKEADYIENWHAKRLAITIGQVECLVYVHMLKGLIR TEEGALTKEYAENPSLRSTYAAQTIVDEVVNEDERFIEKEALPIEEEFPRGTRAFFLG DYSYGRPLEVAAHAGNKAEVVVSVLKNKEQDFAKQIIHQAERSNPYTPSFAVAKQLGI HPLVLSKITSSYQIINSAGLRLNLGLNLKFEGRKLKVLGYSRKSHTGWEFSGMAIKLI ADYMVAFPDFFAAIQREPQKSEVLETDLWKDPAVASQRVKEIVAWLKKQETSKFERVP LEAEQLDSEIVKALAHVGEQVHAASQEIEIKKLRSVPRSALLKPSDAEMVLGSQNFTL GDRVTYVSAAGKVPIATRGTVVGISRTATALLLDVVWDTSFMSGTTLNERAPVFRGQT VASSSVLNTSNKQVVSTSSKSQQRKPVIAAHGGYANVGVTQYRDAPAPGPLRGGWSGA LNGNNSQGRNSPGRGRGNGARGGAPNLMHSTLVYRNGPPNGAAQGHDANGNGTRGRGG RGRGRGSHHGSPAPDGAPQEQMYGNVPPPASLDQPRGRGRGRGRGGRGRGGPNRGRGN GGAGGNGSPVAPS FPSE_11865 MYNSLTRIQNTFGFFTTVAFVVAAFIAASDFIAPRAPDAGIFGV TNSQVVKGRPHYYSTKKEEYAIIRFNLDADLRSLFTWNTKQVFVYVTAEWPGPNNSTN EAVIWDKIITNPSADHLQNIGPVAMKKLKRSAEGKTIDPDRGFLGLKNQKPKYQITHP TGKVAATEDVKLKLHYNVQPWVGFLTWDQTRDLGHWKALGNGESPKFNLPAIKTKKKD TKKSY FPSE_11864 MMHARMRAINDQKPRSSMKIGQSAEASRDERSTKRIKRSGPESS CAGASRYFTNTRPSPLEAIDIDSEPSAKSSRQRHLTRESSYVETAGGSSVISSGNLTT AAGSGVAEYRSVQRRTSIKRSRRRRRDPRKHTASPGGTDDDAIAVSHRNLVPQHSPKH TSPDCLSMSIHDDDDDGPPPANISSDLPLQAKRPATEYIYGTPKRYRLHTSDSEDELA KPGTSASREATTKLNSVTKLVPQSPYSRTQRGDIKPTNFKSAMGRRNSTRTGPKGDGL ILAAAACGKYMYSAGKTDEPIKLYTDSDIARPSHQGAQACPWLELSKRSILIAKHSNS QSPIITIRRSTSTQVPSTNLVLKFRDLKDASVFISWLHGVEHLDETSPTELESTFSKA IADTKQFGSKSKNSPVPISPITFTANTPRPLSQSSHRDPFQGEPISPRRLKLKDRMNG LPLLQEEVKTKPEDVEDPFQELGRKRKPETRQTVRSSPQTHREKSPVSWTAQNPGWDK DWHRSLVYPPTGKNRATVDKEDITRLDEGEFLNDNLISFYLRYLQVQLEKDRPELLEK VYIFNTFFFEKLRSNRAKINYEGVKAWTARVDILSYDYIVVPVNENAHWYLAIIYNAP RLLPKEVKAETPKKDEFSSLQDAIIIKDNDPSIGDAENVSVKKASPVVSLDLEVPRST RSQAATADGVVLLDDETVKNSEAPPKPSKRKSVGGNQKYSTDEPRIITLDSLGAAHTP TCKCLRDYLVEEAKDKKGIDITERPGGMTARGIPEQDNYCDCGVYVLGYMENFLRDPD EAVRRLLQKEPSQWVVKPQQIRAKVRNLLFDFQKEQHLRLEKEKELKRQRRATKGPVS SPQVAPSSPQVPQRDPETPQAKKYGPKSTLANSIIRAPSEEPSQTGTTSSYFELASPE KPVQPQTPTRNEDLSFAQPLRDGSSNDSKISSSGEIFHSARSSPVDTSQQVLPDLATE VHSSRTERNGTNVPSTPNFVQKLSESPEEVGPASTSSTVKRNSSPSVALTTRQKASSP GRGSQSVFDEEPDIMKSIEGHSPRGTQFGVERTIDLTG FPSE_11863 MSSFFTVPGAQKKRKRPEASEQPKKRVAASKSAGKAPSKNAGPT KKRVERDDESISGSDSDDDGSLDSASVASDVEMEDSESEDEEDETFADKRRQLAERYL ENLKEQEHVDQAGFDAADIDRDYLAERLQEDVAEGKGRVYRQLASEFAFDNVSRTFFR SNTNAVTAIAVCDPYIYTTTKDLYLHKWKAQGLPQYQYPQTTRRKPKKPPAPPKKQPS LEAWVKGDTRKSKDKNYQRHTDRILAVAASPDGKYVATGGADNKLIIYDAHTLKPIKI WTHHRDSVTGLVFRRGTNQLYSCSKDRTVKVWSLDEMAYVESLFGHQDEILDVDALAQ ERCVSVGARDRTARLWKVAEETQLVFRGGAVDKKSRPSGVSARSMLHEGSMDRVAMID DDLFVTGSDNGALALWSVQKKKPVYIEPIAHGIDPALDPKEASAEQNPDPAIVPAPTP RWITAIRTIPYSDVILTGSWDGHIRVWQLSEDKRKIEFRGVLSKPEDQEQESTEENAQ GPINGVVNDIDLFERGDRGKDGLCVVVAVGKEHRLGRWNPPAKVGRNGGVVFEIPRIQ RQMVMNGTNESSDGEQDE FPSE_11862 MDPYHTIANMADILLGITGKDFTIIAASKAAMRGATILKTTDDK TRALNKHTLLAFSGEAGDTVQFAEYIQRNAQLYSMRNESDLSPSALAHFVRGELATSL RSRKPYNVNLLMGGVDPITGKPSLYWLDYLASLAEVPYAAHGYAQYYCLSILDKHHHP DITLHQGIKILTMCIDELKRRLPIDFKGMVVKAVKADGIVDIEFDDDKVVKCA FPSE_11861 MADQINMGGLSLNEGGHQGPPQGRSYIPPHMRGRGGPPPAGPQA GPQAGPPAMNGGPPPAAPGPNGIGNSAWANQNYGARQNNWSNDVPTFQGNNNRRGGGG WGGRGGGYSGGGNFDGHSGGGGGGAPTARGSGDGQWRDGKHIPGPANPRVERELFGVT AEDPSKQHTGINFEKYDDIPVEASGTDVPEPVHQFTTPPLDEHLCRNIELAHYKVPTP VQKYSIPIVSGGRDLMACAQTGSGKTGGFLFPILSQAFLNGPSAVPANAAGQFGRQRK AYPTSLILAPTRELVSQIFDESRKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLLVA TPGRLVDLIERGRISLQNIKYLVLDEADRMLDMGFEPQIRRIVEGEDMPQVQDRQTLM FSATFPRDIQMLARDFLKDYIFLSVGRVGSTSENITQKVEYVEDVDKRSVLLDILHSH ANGLTLIFVETKRMADSLSDFLINQNFPATSIHGDRTQRERERALEFFRNGRCPILVA TAVAARGLDIPHVTHVINYDLPTDVDDYVHRIGRTGRAGNTGIATAFFNRGNRGIVRE LMDLLKEANQEVPAFLETIARESSFGGGGRGGRSRGGGGRGGGATRDFRKFGGGGFGG NNNGGGGGFNNAGSQGAGFSAGGGGGAGYGGGAGYGGGAGYGGGGYGNPSGPGAQSSW W FPSE_11860 MGVFDSLDAAITGMLGQWNVYSTGLVTLLLVVFSYRIISNREPD VHPMLLARQAVPSSVRNEGESPIYRSQASPHGMPLNTGLNVKEAGASKWSRGRNGDLR DVWRKAAEGGENGVKGRILTVLGSQNVVDHKLDDITRQINLIGQHIAEAGGIRVAIYL SNSIELLVALFACSFYPNLTTVLIPFNVSNEELVSMLRRSAADTMITAPGAFPFDAVI NAYPSLRQLIWVTDEGSNHMDWNEVPEGTGGNINVATWQDILRDSPVHAGSELPVVDP EKTPSDLVTFWQAKPGEVEEMVRFSQANLVSAISAQLAAIPTKERINPSDLFLPADSL TNVYTLVVTLGALYSNASIALNSVAGKSSDLVLATQGVAPTVLVASPETLLKTHEEST SRLGSALANVSHVMSTRSLALEGVHSTSNLLSGFSSASTSLGTTPGKLRLVLAAERAG SDTPLLSANVLSDLRIFTGARVVYALTAAKVAGAISQTAFYDYRLPTDVKTHFGPPLT SVEVYLKDTDVHKTTDDKIEGQIVVKGPSVAGGEVNIGVPGKIRHDNTVAYA FPSE_11859 MRDGDAPLVIPGLDRPGNRAFSPPSCLPATRPTPFGDAVTHLDP SSPAHSLALNSPIHCPLARVTSLFAFTRLGRGRPESPHAHIQTEDSASTRESSPDVHQ LTSRRRRGAGPELRRPARNGLTRLVADEENQACAICATIDFPALLNWRPGQPRPWIPL AHTLAELSACPYCIFFQALVGGEPDTTRKFTPYLRIRQAFERLGVREKHELGGAVLFE VSTKSKVLPWGYIVRLIEGEDDMAGYKEATPAIRGRVIPPKLDVALPRIWIDYCMSNH KDKPCASQGAPMRGLKLVDCRNNEVVFVDDLNAETIEYVTLSYVRGTPTDDEWDHKGL PEELPPLLTDAISVTKSLGFRYLWIDRFCFPQFSAVERRRQLDKMGEIYARSALTIIV AAGQGVQDGIPGISVPREEQLSLQTETGCFTTSLTRPDVEVANSKWASRGWTYQEGLM ARRRLVFTPSQVYFQCQTLHCHESISFPLRTHPTLNLGRVFPDNAIGSRANTYKNIAS GYFSREFTIPEDRLDAFRGIMDLYAQLDDPLDSILGLPLYHTKDFKNLPNPNRTDRLA VGLGWYYDPPGDVDLSTHPMQRQGPFPSWTWLGWKLRPEYTGHGPNMNFYQVGDNTPL IDNVSAIPNMTVSLGFKDDQILDWETQGEEIAARSTPISFLRLTTYCFEIRLTVPPPP PDNSTSKSSDDPTITLASPDLLDALHSPIAALLLRAAQSSPDSPETASEPPPGEHELI GCFISGRDWKIDESYQSANVLICGRRNWDADDVLVRLGTLELGVGGLLGVDEDTAVVK GAMNNDRRLDVQLKELDIY FPSE_11858 MSPSSSPPPAYSEMPPVPPRKPVNSAVPATYQSPPSLPSSSGPY MPMPSSTSASGQWLGPDPRSSSTQSLLPDTSLDNHTTPHGDFLGRRKLLIIYIHGFLG NDQSFRSFPAHVHSLLANLLADSHVIHSKIYPRYKTYRSVDVARDNFSEWLRPHESPT TDVILVGHSMGGILAADVVLMPNPDPYGTHPLKHRIIGHVSLDCPFIGLHPGIVVSGI SSLFKPAPTPPQDDNQETAVPGSPETSSLADPSISSLYLTNTESSGLGSSTSLPSVAS SSRLPRDQFQNQPFFNQPFFNDSGLQERPFVQRMFHFANKYRNEGLIQAAARHMFSYL EFGSCLADPAGLEARYRNLRALEDVDEFQSGDIKPGSSQHMARVRFVNYYTLCTGRPK TPKGTPKVGSPRTENPTSADASLNLSHDSASSSSVELDFSPNRVDAEDSSDLYARQES PMPSITLEHRDTMGNAKNHQTLLDAETKTLNPRASNTTIKGTSIAEDESVTSGMNRLS IHSIDPTPIDEDIASPPPQDTVPAGPHDQDPIASIESNDAEPPKIDADLPPLPDPPEA PELPDPSQYTEKDERKQVEREVKRLRRAYENAVKDHAKAIREREKLVEKRQKRAQKES EKALKEAARREKESLKESQKKEKDAEKEQQRQASEREKQAQTELDDDEDYQQFKAEEE ESQREAEAEAVTEARGLKSEKPKKLRKFCNLPTKGGRVEDPTWVDIYMADVDEVGAHC GLFFSGPHYEKLVGDVGNRIVGWVQDDLTKRTIQDMGM FPSE_11857 MAPGLEGLPIETVQQIASFLSHRDIRILSHSCRSLRSKLYRLLW SRVLIHGGENDLARTLKTFDIESEHGRATLAFIKKATIHKDMVRPFCHTDPWDTLKYH IDPTFSTSIPQAIMQTLQAMTSLKSLHLNILAFSEQQELAFLDLLQETPDIKLQHLTI RAGCQVAKPFILKCSHDLRSLYTYDCKMSAGIFKSKKLEKLRIQSQYTTMLGLHHQQS FFILGDLLEMPSLRNISNHFPALKTLILHKTNRYNVDTATADPTTTITIIVNTLKKRY GRLQRLAFNCNWIDSYNIVLDANFFHNLVTRIAREHRSLQELCVLFEPPQFYHWKRDQ GITIREYSKVPNPKGFPFGVED FPSE_11856 MTYSSSGPSLAQVLDMEMALDMDQDSPSRNRDRDTIHVDQSPST LSRSKSPSFSPSDLSELQSFQHHSRSASNHRHDYDHSRSTKSEKSITHNLLHRAHLQL HRLETVDDKTSDEPADHSHSQLHKTGHHSHHSHQRLHRSISPANLHQRAATPDNAQAQ AHAQAHADPNVLKPRAEQDLFTQVVQTVSVIQVVDAAGSPIEVQTHFAPPATVVVDSA SGITVAAISDPEPKLSAAAAASDPAQAPAAAESYNAPSSPAAAPTAVETPGLTNPLPS AQIPDATVSDSVPEPVNDTTVLPTADLPSDVVPPTNPVTSTDPDLASQETTSPAAPTT DVDTTVTDASGSETLVTTDDLSATTTEEPTTQIETTTSDLTSETTQTTEETSEATAEA TTTDVTQSEPNRSTTTIPTISNTATRARSSSTVIVVSSTFVTSSINPTLSTQSYSDSV YSSISAQETWSSGWWGADDSEGSIGGAAGDATADNPPPAPTNTSTTNETDTGTLSPQQ KQVIGGVVGGVTGVAFLAFFVLFALRHKKKHPEGGLLGGNLSATRTISGPTSGPDGGS MAERSSASTAVTAVLAGLVGKKQQQPAEPAPASERGFYRVSGKKLPSVLQAGGDGYSD PRTDPPSSSGGRANRESVMSGTSDYWRGSMAWDPNQQESHHLALGTPMRPISGVPVIR SGPARTPVTESNPFTDPPKPKPEGDGLGGSTGAGSVTSRDGSFGSPSRFQERI FPSE_11855 MDHMAFQVPGMAPPIMNHPPPQVFGSYDGIPQLHPEIAAQMFND SAMMLEDANDPKRRRIARACDMCRKKKIKCDGKMPSCTHCINYKTECVFTQVEKKRAP PKGAKYIEGLENRLNRMEHLLRLSGLLDEDDDDLGALEKRLMERQHKSRQASMAVGSG PNSPTHSTSAPSAIDASAMTPQSSLTSPNSIVKEEKRKSATPAPSTAPSTAPAPNANG EEVKTEEPEEVEALSEMMCSLVTNNYGETRYIGSSSGFSIFSPKGVSWVNSKTGDDSF QRTISDISVDDHKWTNWKPEVFSDLFQRPIFRPLPPKTEALSLLQDYFDNFNCIFPLF HQPTFMHLVQMQYSSEPYQGSGWWASLNCALAIAHRLRVMSNLVPQDEDEKAWGYLKN AIGVFPELTMRNTDLLSVQALLGMALFLQGTPNPQPTFLLVSAAMRLAQSIGLHKRGT GFNLNPIEIEQRKRVFWIAYMLDKDLCLRAGRPPAQDDDDMNVELPDADPADNIGNIP LADGKGKMNLFRVMCEFATIESEVYKRLYSVQATKQSDGELLNTIGELDQKLEEWKDS IPIDYRPEHDINASHTPLILHVVMLHLTYYNCLTTIHRMSVHHGYWTSRLANYAIQGL NARPLNPRVFSSAALCTAAARASVSLLKYVPQGDFACVWMILYFPVSALVTLFGNILQ NPLDPRAKSDTRLMNIVVTFLSMLGQEAEQGGVHRMLGICAEFERIAKAVIDKAEKEQ SSRRKRKNQDSANKSSANAPAARQNAAKESVRSASVSSTAHRRSSQAQLSPPSNGDSM GAFSVGTPMNDLSPSAMSAGWPQEFPVPQPHQNGDYDSAMSYGEGSMHSPGMPVSAFQ QPLLPQDLFSLPMTLDWSWAEMTTGAYPTVENGNFGGD FPSE_11854 MSFHPQTPQSPSQFSSGTSEPVLSVATSMTTTTTPTTLPTPAHS VTGSAHHDLAMADDSPHKRKRSIDDNGDRDQKKFHVEESKLGIEDLHLDVGKKYLLCQ TPHPETLPRVSEDLYDLFNLNELAAEVAREKPNGEKNALRSSYTGHMKRLGVAGHWKV QRTDKDSNEKPDFFDILHMPDEDWDNTIVKGQNIKHGLSQASLSALGRSVTMTKGPLG KKDWDTSVLGLQSPGGDSKLPSSARPTAPNTPLNVPGAVGRLKAQGASSNDPNRPKRN VKKRTYGDSSFEGYGEGYPDDDTAMEGGYSTGEGEGGQKRRKKNPGNASPYPSAMRQQ SYGPGMVGA FPSE_11853 MLVTRQYDTEHSRWPFSRYEPSRNASSHRMSNSYDVNVDLPAPS SWQGHNSGSSHVSGGFSQPYTSRSEASQLPHGRQPVEDKDNSLGIHMRSGTTQIYSSH FQTADHSVIPLSGPKQPVLQHDGYGMKPSTSTTEEAGHASQAATTGNFAPLGRSASEL SRVNSPPDEEDDLDEDDMLDGDENSSQTAAERAAARRKMKRFRLTHQQTRFLMSEFAK QPHPDAAHRERLSREIPGLSSRQVQVWFQNRRAKIKRLNVDDRDRMIKMRAVPEGFDN VQALHSPYGAVHGMNSSMPSPGQFNTQSYAQHMMRPMIVDARRNDTHDHSSPTGLTPG FGGMAYSPAGSIASSSLASPLSPAPSDRYQYGGHFSAPLAGSPRTSHPFGQQHGLGTP IEIHRSSPHPIPPPLLRESLSRARSESSQSPLRSTMAWKADVVDYTYRGASSTSPSLS DRQPPLYQPTPITHPSSGIGGYATTSIPNTRTPSGIQASRAGI FPSE_11852 MAASILRMRSLAASRQVRCFSSSPRQYAAAEVKKLGVIGAGQMG LGIALVAAQRAQVPVTLIDTTDKALEKGIAFAEKLLAKDISKSRITQEQADRARSLLS ISTKMEDLSSADMVIEAVPELPKLKFDIFSKLAQICPKHAILATNTSSISITRIAAAT TTDPTDTSASSRVVSTHFMNPVPVQKGVEIISGLQTSQGTLDTAIEFCKRMGKITSVS ADSPGFLANRILMPYINEAIICLETNVGDRDSIDAIMKNGTNVPMGPLQLADFIGLDT CLSIMKVLYEETADSKYRPSVLLKNMVSAGWYGKKSGKGFYDY FPSE_11851 MELEDGSTDIVHPEVRAHIISLVSALGGLSVDDGHYELGDDAFE VLRDLKRWIRFYDEKTNRMDVARCIYDGNLIAGDLLPILATWPENATDSKFKARMALA CFELMVPLTWPMEKDREQMTVNHHRHMPVLQMAQVKYKRAIINFDGARILHTAIRVAL PSMAVPIGDRTQRDSGIIKLILFFLRNVAMIELPPHVKNQTDGFLVSRSATIDAFSYQ DIFHVLLTLASNMGDDFRTEDTTVMEIIYHLVKQVDTERLFMNEQQLSKASTGELASM MSKEESMLKAYNRKGPTRHNRFGTMIWVKREDGKMSSLSGQDALADASLRNQKMDSSK THRPPRRPRKATKDDKDFGLPPKLDSRAYGQLRSFVEDFLDSGFNPLFQHVRKTIDRE ASHVMPYHRRQFFYVVSWFLEAERMRRKANKQSGKNISEDVSSFNLIAGVLDQEMFIT LTKALHESIEMKDWNELTAIMRCFTQILLTVHEMSASGNEDDEEIAENVLSRLFYEEA THDAIANIIRNYKDQGFQYLDAATELVHHFLRILESYSKQNIDMQVRSRQRTRRKKKA AQDAAGVENDNEENDGSDNDAESAEKSTKERKFDFSRFANRFTPQSVVDTFVAFTKYY RDLSDAQLKRAHRYFYRIAFKSEASIMLFRVDIIHLFYNMIQGQAALDKSSSMFKEWE ELVKQILRKCFKKLEKRPELLVEMLFSKAGSTAFFLEYGYERQTVSTVNKAKPGAELA FKNTEELDRQIAIVVGAMLDKNQADHIAWIKKTLSEAESERRAFAAAEEAMASIEPPQ EDPEEDSEQQPVESGPKLPPIFFVRPDDKDRRTAMFKNSHMRLLMNLTGITLLGSAAD ETLESVWIISSEVSADVLQDALHYINQAEFSPPTFEEGVLAEHQLKRKTVPRQKAVFD DDGESSDDGGMFEAGGPTVRKVIDDDNQPKKSSKKRKPRKKLTEEEKKARRKEMRSNK KEKALSYKSSKYVSREDDETDSEADEAFFAQEREQAKRAPVKLLAEGIAAKQKPAKKI PRKRTAAESESSGEDDSTASTDEEEDEDEDEDNDNNSENDAGIDFIRRAMNGTLVEDE DEEIEQTLPDGSDGESRKRRRISEKKTQPGEDQQMGGMDNNKAGEDEDEDEVPVVSRR PRPQRRGPFEDDSDDE FPSE_11850 MASPQPKRESWWKIHLFRGMIKDIRRRLPYYVSDWTDAWDYRVV PATIYMYFANILPALAFSLDMFQKTGSNYGVNEVLLSSVLGSVIFSLFAAQPLVIVGV TGPITVFNYTVYDIMKPTGVNYIGFMCWIGIWSLILHWILAITNSCNWLRWVTRFPCD IFGFYVAFIYLQKGIQVLEHLGRGQEFYLSIVAALLVFMVAYICGELGGSSLFRHPLR VFLKDYGTPLTIIFFTGFVHFGRMQEVQLQVLPTGIAFEPTSGRDWLVNFWDLSVGEI FLALPFAVLLTILFWFDHNVSSLIAQGSEFPLRKPAGFHWDIFLLGLTTGVAGILGLP FPNGLIPQAPFHTESLCVTKAVKEDDSDGNPRDGGYSFEATHVVEQRVSNIAQGLLTL GTMTGPLLVVLHLIPHGVLAGLFFIMGVQALEGNGITAKLIFLARDRALTPASSPLLR IRRRAAIWYFVLIELVSFGATFAITQTVAAVGFPVFIFALIPIRAIILPYMFSAEELS LLDEPTASDFIMEGIGGSWGGKNEEKPASQQSDSHGRLEYHDSVLAHPGAPRKSQEDL AELGRVQSGRSTGTRRRSLERRPQRHSDV FPSE_11849 MSRLLRQSSQFARVTRLSSQPALRLCARPSIIARSNPAKTSAAF AIRARTYSSQPPPSGQDPRSNPDRDNEHGSKPLNQKKADDGVEGGKSEAPKTPPLPEG WIHLSKEEIAHLEEFTSRLPEAQRPVAKDILQKLQVVGAPSETRDLLQKLRQNGNLSI LDKGRLMRCVYQVTERIIDLEENQGQDGQFSSFRMDQESTSQDGKDGADKSKQQQQKG GKQPKNERSGWLEAVQTGIAIGVTVWIAELFANPFSEKEITWQEFRKAFLDKGLVQKL VVVNGSQVRVELHPSAGATGENGQPGKRSYVFTIGSVESFERKLENAQDELGIPSSER IPVSYEAGGSTVGNLLLAFGPTLLFIGLILWTQRSMGGRGGAGGGMFNFGKSKAKKFN AESAVKVKFADVAGLEEAKTEIMEFVSFLKQPEKFEKLGAKIPRGAILAGPPGTGKTL LAKATAGESGVPFFSVSGSEFVEMFVGVGPSRVRDLFAEGRKNAPCIIFIDEIDAIGR ARQESGKGFGGNDEREATLNQILTEMDGFNTREQVVVLAGTNRADMLDKALMRPGRFD RHIFIDRPTMKGRQEIFKVYLNKIVTNEDQEYLVGRLATLTPGFSGADIANVVNEAAL IAARGNADDVKMDHFERAIERVIGGLERKSLVLKPEEKKTVAYHEAGHAICGWFLEHA DPLLKVSIIPRGQGALGYAQYLPQDAYLMNTNQLMDRMAMTMGGRVSEELHFPTVTTG ASDDFKKVSQMARNMVTQWGMSEIVGPVHFENDPNRMQKPFAESTAQQIDLEVSRIVE EAYKRCKDLLTEKKTEVGLIAQELLKKEVLVRDDMVRILGKRPFGDNEDFEKYFGGSK PESTPPPFPEETDSPNEPPTPSPAFKKVE FPSE_11848 MWMKHGRGENRGIWMTFVKKEGAACLFKTEAYTFHLANLVKSGQ LLGQQVRENGHGVNLIDFCTGTGCIPLGFFSSLQHSVKNMTVRGVDISPVALRLAQEN IARNVELQTLIKPTRNKRLDITTANVFSDSDMQQLAVTRWDILVSNPPYISEDVWHHG RGQLGYSVRKYEPRLALVPANNLPCPSGCNAADVFYARLLDISELLKPTAVLLEIGDE DQALRVLQLYFAHPIAQSSRVEVWRDWPDLEGTEDPEFIVVEESKGEKHQVPVKGDGR IRSVLICRLDEV FPSE_11847 MAGINPKHFNLQDDPFELFLLGEGEKKIEEKVFSGMSNTSDFVL MKEDHTLGNLLSEHLKMHPNVHMAGYKIAHPNVPELFIRVQTDGTITPRDVFTSVCEK LINQLEMLHQEFTREWELRRITTAGEQGNMQNGH FPSE_11846 MASQLLPLELIDKCVGSRIWVIMKGDKEFSGTLVGFDDYVNMVL EDVTEFDYSGNHTKLPKILLNGNNICMLIPGGEGPEGAA FPSE_11845 MSPTIKNRLWNPAFGIRFSPEADHQPPYPFLDINRFVHTPASSS EAVRNEEVEIDEFSEDEEFERDAAEWFDQQDRLEFPMVESISEGTQVATDTDGNLVDA SKQDAVTTETITVAPPLTSLDYALDVDQWEAARKCKQGSQESFWSYRMYRRIQEDGEE QRVKVHYCTSKQTMESVCRRYFADEKVIGFDLEWLIRHRNTDPRVNVSLIQIASPSRV ALFHVALYPKDDFVAPTFKKIMEDESVTKVGVCIKGDCTRLKNNLGIESRGVLELSHL FKLVKYSKSGELKRINKIMVNLAAQTQEVLGLPLFKGNDVRSSNWMLPLSEQQISYSA SDAYVGLQLYHVLEQERLKLQPTPPRPEFVEKGLPIKFLAADDADESDDTSESGDSEV ISDVEAELDELEAEFAHTPTKSTTRKMTKATKATPEEKKEVHTRDHRVIVAEDHAMQY RNQEHVVRVTMSSLRAYFLWHDNEGLTPESIAVLLRTPPLKTNTVVTYILDVITSEKL PYEKTRLREEVLVHLSPQTRYSNKFRALVEATQESEEEL FPSE_11844 MGDATQVKVVFTTTEQDLVLPESKQQLLVPADIKRYGLSRILNS ESMLDTSSPVPLDFLANGTFLRTSIEEYLATHGLSSESTLTLQYVRSLLPPVYEASFE HDDWVSGVDVLSATSRAGLLAGGANVPERVASSSYDGLVRIWNPSGDAIAVSPAGRAG GHTQRANAVKWISQKQLASAGLDRKVIVWDYSESDDGFSGSLKPSMELWGHEKDINSI DVNGATKRILTASSDGKVGLWTSSKRTAPQADPESLPSAHSTKRAKLASAANTAQRGP LALISVHDEPVTAAIFHPNDATVAYSASKDHTVRTIDLTTQREVSRLTTMHPLLCATA LPGSSLIAAGSSARHITLLDPRESASTTSAMTLRGHVNMVVSLSASPENDYSLVSGSH DSTCRVWDLRSTRMGNSEEGGGSVSEPVYTIGREWLKGKKLPPAGDGAKVLGVSWDKT WGIVSGGEDKKVQINRGRDLFGSGS FPSE_11843 MSSGGEQTRDIKNHLLFEIATEVAHRVGGIYSVIKSKAPVTTAE YGDRYTLIGPLNHQSAAVEVEEMEPTNPEIAATIQSMRDRGVHIVYGRWLIEGAPRVI LIDTKTAYGRMDEWKADLWEAASIPSPPGDDETNEAIVFGYLVAWFLGEFVCHEKKKA VIAHFHEWLAGVALPLCKRRRIDVTTIFTTHATLLGRYLCAGSVDFYNNLQWFDVDAE AGKRGIYHRYCIERAAAHACDVFTTVSHITAFESEHLLKRKPDGVLPNGLNVTKFSAV HEFQNLHQQAKEKIHDFVRGHFYGHYDFDVDNTLYLFTAGRYEFRNKGVDMFIESLAR LNHRLKAAGSKITVVAFIIMPAQTTSLTVEALKGQAVIKSLRDTTHVIEQSIGRRLFE RSLKWHEGEPLPDEKELISAQDRVLLRRRLFAMKRHGLPPIVTHNMLNDHEDPVLNQI RRVQLFNHPTDRVKVIFHPEFLNSANPVLPLDYDDFVRGCHLGVFSSYYEPWGYTPAE CTVMGVPSITTNLSGFGCYMEELIENSSDYGIYIVDRRTKGVDDSVNQLTSFMFDFCG KSRRQRINQRNRTERLSDLLDWKRMGMEYVKARQLALRRAYPNSFVGDEEEEDFIPGV EQKISRPFSVPGSPRDRTGMMTPGDFASLQEGREGLNTEDYVAWKLPEEEDPEEYPFP LTLRKQPAPPSPSETVPVNGTQ FPSE_11842 MPSATSTTTSTFPSRSTTPKLRPKSSSHALRRSHNIVSTPNLKS AYTTHSRGAPPLPPLPRKASFAQLTQSSLASIPDVSESYAVDTVLSDSSVNMMPPTTP GRSQAAGVSLGDIVDVPGGMFGVVRFVGPVQGKKGVFAGVELVEEFANRGKNDGDVDG VSYFQTDIPGAGIFLPAAKALPRSNEPMPSFPITPSASTYGGLRAGNQNSLNCTSPTP TLPKFSASVGPGARAPSPQGKRTRASLPRPESPVRRMQMTPGPRPSMTTPGRTPSRYG TPGPPQNPFAQSVRGTSGDPSKRPNRLDRKPSLAPRSASAMGPIVGMEDDVPPMGLQR TGTNGSAGSVSSFAMKVRPASRMNMNDEEIERLKLQIEDRDRQLREQSATLADMESSL VELQSLMESADMPDAHRNSWDNKDTAQLRQLLREKNDKIAMLTAEFDAHRADFRSTID TLELASTETERVYEKRIEELMADVRELESRNLDVDSVATQLKQLEELVQELEEGLEDA RRGEAEARGEVEFLRGEVERTRTELRREREKVSTPPANGDGGVSSKELEQKDDEIRGL KAIIHSLSRDSVPGEPRPPQQRPGSMIAEDVVAIKIARDNLERQVAELQSILDKKNSR EDELEKEVESLRQNGTAVNRSSFRDSRDTVVMSQAFENRPVENIKRFSAPTHKRVSTL DTMPESDDFSNATETSTLWCEICETNGHDILTCTNMFGPDGAKTNGDNKSVKDINNGF IPYTPPPTGEDAPAPLTPLSTKKDEPPSPVVKIMPNPMESGPVAGKESGVMDPDKWCA LCERDGHDSVDCPFEDAF FPSE_11841 MTETAKIKKGNTSAKRNSEVRREQNRLASRNYREKRKQKLALLN ELLEPSNIDTNVIDNAQINPVQGLDGPSDLHATQPQNQAFPEPLQPELTSVSQGISSF GATVPPNVPLMMGYLSQENIPTSLFHQGNPDALPLTNWDGVFSHTNQDLWGYNMFGLP FQFPDISKTTQVDPRLVEEVFEEIPESELSTSQTSSSNDSKDEALNNVLSGVEKLTIE QKRTLLRRLQQDTRSQATETHIPWHQTRPPTPGQLRAVEFAKALYKTAHARPELLPTQ YTMDAGIFGAMFANCYALGMAGVDDILCEEGCSVFSVTQDEGHHMSQLPAVKSKFRAL SPDLRPTDKQLIVGHHPYVDVIPFKTFRENLIKALEDDPPIIDEDVLCHDILGGGFTC WGAGRNPHGMGAGVPWDSRSWEPSVWFLIKYRQLAGDWDGELWKSARWWHSARGERLQ TAHVANNMSPSGGTARR FPSE_11840 MVKETKYYDTLGVAPTATEQELKKAYKVGALKYHPDKNAHNPDA EEKFKEVSHAYEILSDPQKRQVYDQYGEAGLEGGAGGGGMAAEDLFAQFFGGGGFGGM GGMFGGGGMNRGPPKARTIHHTHKVSLEDIYRGKISKLALQRSIICPKCEGLGGKEGA VKRCTGCDGHGMKTMMRQMGPMIQRFQTVCPDCNGEGEIIKEKDRCKQCNGKKTTVDR KVLHVHVDKGVRSGTKVEFRGEGDQAPGVQAGDVVFEIEQKPHARFTRREDDLLYNCD IELVTALAGGTIYIEHLDDRWLAVDILPGEAISQDAVKMIRGQGMPSPRHHDFGNMYL KFNVKFPEKNWTDDAETFETLRKVLPAPSVQNIPPGDAMSEPASLEDLDNSAQSRVFG GSDGMMDDDDEDGHPGGERVQCASQ FPSE_11839 MKIPYNVVHVSGDVLYAARGGKIHSFSLQDGSHLSTWKHPDVDK VDAAVKAISGEASSETIANQDTPAVEGEGDDGPPAKRQKTEEPKDETITTEANVQDDA KNAEEAKPEGKKRGGKKSKDRRNQQKPKEHNISRVPDRPVITHMISSPDSSHILAITG HDKAIWVFENGEKGVLNQLSKRTLPKRPSDVIIGPDSQIVVADKFGDVYSLPLIYDPT AQNATRSSTPAVAKPAYKPSANTTTVHSKRNLRALQEQQRQMELTTRNKNEKNSKSEG PDFEITLLLGHVSMLTGVAIGESEGRRYILTADRDEHIRVSRYIPQAHVIEGFCFGHT EFISSMTIPSSRGNVLVTGGGDEDLFVWDWKANSLLSQISVLSLAQKILPETTKVAIT GLYSLVYPHEVSDLIYILVICQDIPAIFSWQLTEDNTLHRPAIIQLPGNPLSLAIKPA TGEESPKIVTALDPSDLTQAKSLAIYSLTMTDEKLATSTTALVSDSDIESAELDVDEK VVRGLLYNTESLRKQPTEREEERGEEQVPEDQAMGESEVVEE FPSE_11838 MGAKSNKSLYFDKLKGLLEKYTSIFIVEIDNVSSQQMHEIRHAL RSKGVVLMGKNTMVRRALKTFVTDSPEYERLLPHVKGNVGFVFTNEDLKEVRDVILAN KVAAPARAGALAPADVWVPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVEA GSKVGPSEATLLNMLNISPFTYGMGISQVYDQGQTFPPSVLDVGEEQLLKTLSQAIAT IATISLALNFPTLPSVLHSLVNSYKKVLAVAVVTEVSWPEIEQLKDRIANPDAYASAA PTAAAAGGDAPAAEEAKKDESEEEEDDEGFGGLFD FPSE_11837 MPISYLCSGRMVPPVFGIRHLRPLASPSASAASRPVSASTSVSA SHTVTALARADDAALAASKAHTAITATATATTLHRYRHVGHPLHHGFSIRPFLPPSSP HRPLQTRGKKTSTTIQLSDLPQGLIQPKPVSPKSTSSETSSPHDETIESQLQPLPPLS QDPPAYPTVVLQARQNMLKFDNCVLLTRVGGFYELYFEHADEYGPLLNIKVANKKTNA GLVSMAGFPFFQLDRFLKILVQDLNRHVAIAEEFPNSPTAKVKSGGLMHDRQVTRIVT PGTLIDENFMDPYANNYVMAIHIDGNVTSTETTTETPKDIGRVSAPAGELVDQDSSPE LASAHLTPSAVDVGRDGGPSQGFQDSIPLGLAWLDLSTGHFYTQQANLASLPAILSRL SPRELLLDQDLQAFPDHGIFALLAEDRHIISYAPRPHDSSLLNPAEWTPMLESALSED EASAFSSAEVHAAGFLLGYVKDQLQGASMKLQPPLRTENVQIMSIDKNSLRALEVKQT IRDGAFRGSLLHAIRRTVTKSGARLLNEWLSAPSTSLELITGRQDLAALFIDDPNLSD SVVLLLRRSYDSQRLVQKFTLGRGDADDLLALASTIDATKEIVDLLKKANTASRKAIS PCLTSMISRINMTRPLKLAQRIRDAIDEEGIELQHEAQDSETSQMLALAQDVASNEGS QDDIASLPKGKRKRPVSIRDHYAEDNETWIMKPAASPTLKQLHAGLAALIQEKAELNE TLCERLKAPSLTLRWTPGLGHIAHIRGRDARNLANVQALSSSRSTRSFHITEWTHLGQ RLDQVRTQIRAEEQAVFHSLREHVVKNLVKLRRNANVLDELDIATSFAKLAQEQSLVR PVLNNTTSHTIMGGRHPTVEGGLFEQGRSFVRNDCLVGSPKDGRVWFITGPNMAGKST FLRQNALITILAQIGCYVPASYAELGIVDAIFSRVGSADNLYQDQSTFMVEMLETAAI LKQATPRSFVIMDEIGRGTTPEDGTAVAFACLHHLATVNQCRTLFATHFHSVADLAAA EGLCSTETDEGGIVQTYCTDVVEDGQGGFFYNHRLQKGVNRQSHALKVAKLAGMPDRA IDMARRVLQNGGLEDSIKS FPSE_11836 MSSVNPFTPVTPPPESLSFPKLPTQRPLQNNLKRKASSQDPLSG LAGLNAPTMMAPPPPPTSQPRTSSSPNLSSGPSSSSTPQSSGATTPEIPNIPGANLDP KYLAMVSRIAAYYQQRCQAVANYQQQRCQAWANMHRQKCQDMMQASMLVVAWYVRDRI QRRRRREKHQFRSGLKRRADKNKIAKTDVVRRWVKQIPEGQESPNNPIDTQLVDREEA EFSMDREMQPDKDTKLFDMADNLIKSQYKKIEVPIMGVLNFDESDNESESDLDEPEQY EEMDEAEDLAEDDEYYEVEDDELYDDEDEIEYTGDGASEVVHHGTGTGTGSRNNNHSE SS FPSE_11835 MGGGTEPKGNDSSYPLFAERPVGVPKTSILKGRIEPFYKSGQYY KVNLQANMDNARYSGKPHVQLSVWDAPDLSRPTFEDAVSHEFRETHTGTSFGPSWSTH WFKVILRIPEDIKHEELIELHWDASNEGTIWTEDGVPIQGLTGSGERIEWIIPDSFRD GEEHTIYIEMACNGMFGNAPNGTTTIAPPDPNRRFNLSKADIVAVNVPARKLHIDIWE IGDAARELPENSAEQNHALAVAMKIINTFEVNNQDSILKCREIAREILGPDVDSHKVY EVGKDPVVFGIGHCHIDSCWLWPWAETKRKVVRSWMNQCDLMERYPEANFACSQAQQY KWLKTYYPAAYKRVKQKVKEGQFHPIGGSWVEHDTNLPSGESLVRQFFYGQRFFEAEF GSRCRTFWLPDTFGYSSQLPQLCRLADMDRFLTQKLSWNNINNFPHTTFMWRSIEKHK TMRVDSSSLLVFGKGDGGGGPTWQHFEKLRRCAGISNTIGGIPKIKMGLTVDDYFDRL NQKATEFPTWYGELYFELHRGTYTTQANNKYYNRKAEVMLRDIEQLATFASIKNKKYK YPTKDLDDMWESVLLCQFHDCLPGSSIEMCYDDSDKVYAEVFETGKRLLNDLYDSLNV ASQFSSSLNESVAINTLPWHRKELVELSDSEVGVACGDGQLLALRSFKMQEEKPAVTV MEQSTDVYVLQNDQLRVVVDNGVITSIYDIENDREVVEKGGEANKFVIFDDIPLYWQA WDVEVYHLDARRNVEYGKTKIFEQKPHRVTLVTEIKISENSSIKSYTTLSAALKGQPP QIDVKANVNWHEDSKFLKVEFPVNVVNNEASYETAFSITKRPTHYNTSWDMAKFEVCC HKFADLSENNYGVSILNDCKYGFATAGKMMRLSLLRSPKAPDANADMGRHTIRWAILP HKGGLSSTTVKAAYAFNNPLKPVTASKVALESLSSAPIKLVNTDESDSLVLDTIKRGQ DDEDVTRKEGLRVNKGQSIILRVYESLGGRSRGTIKTSFDVKRVTKTNILEDDLEEIE HEDGKIPITLRPFEVATFKLQL FPSE_11834 MAQVLLDLFYSFGNCLNCFPGNPNLKINNRSFKILRLLGEGGFS YVYLVEDTSTHELFALKKIRCPFGAESVQQAMREVDAYRLFSHVPTIISAIDHSVATE RGADEATKTVYVLLPYYKRGNLQDMINANLVNHDRFPERRLMLLFLGVCKALRAMHDY KPAVERMNMGREEDELNNGERNNTRGKRTEEEEEGEQERGLLEGESQVNGGRSIQHYS HRDIKPGNIMIDDTGSTPILMDLGSVAPSPIPVTSQSLALQIQDTAAEHSTMPYRAPE LFDVQTGMVIDTKVDIWSLGCTLYACLVGKSPFEMRSDETGGTLSLCVLGGDWRFPDE GPAGVKRNNSMRPQQGQPQQQQQAPAVEISEPIREVVRKCLCVEPAERPDINELIQMV QTVIEELPDDSN FPSE_11833 MAQQNDSKVSDPDAQSASDPMTTQSGLDSAAKDASADAASGYGT RSRNRNSSSRINYAEDRDIDADVYDYYHDKKDGDSKKTSRQSSAAVNSDAPPRGGASS RKAVIDEPSKPAQPANVPKEQQSGSSNSSSTTTQPPAASSQPTRKRKVASSSAGSTNQ ATSTTTSNTTKKAMTSGTAAGISWPETNMLTFENSKGQPVDGQMIADDGTVLEPNDHV YLVCEPPGEPYYLGRIMEFLHVQNDNSRPVEAIRINWYYRPKDIGRKSTDTRMVFATM HSDISPLTALRGKCQIHHKAEIPNMDAYRKTSDSFWYDKLYDRYIQKNYDLIPTRSIV NVPANVKKVLDERWKYVLVEQGRGKELTSAVKLCKRCTGYCASNDSVDCAVCQNTYHM NCVNPPLLKKPSRGFAWSCAACSRAQERKLEARNTPNVNDPTLDADDDEPMDEEDDEM QGVDTSRTSPEEGDHAPHEGTAEQIYQASLWPYRYLGMHCKPEDALDYDDRIHPRAAT RIGPRNQATVLPWPGQPVEYVKPLEFKKNGKKDTKSKEALAAIEAEKISRGNRPKWVQ DMPPGYTVRGEDYDEDDPNCTAKPMWIPPSEDVIKEKDINQYMEKAKAMAKDLGLPER STNLQDVAADTLFHTGFDTKRALKALPEKEKVEFREPELTPAEQKKFEEAVIKYGSEL YLVRKHVKSMYYGMVTRYYYAWKKSERGMQVLENMAGRKGKKEAKRAEAAANKMADDV ADNDDDSAFDAEKANQKKRGFVCQFCSTTSSRQWRRAPNPTSGLVNDGGSKNSNKDKG QERVVALCRRCAELWRRYAIRWEDMEEVAKKVAQSGGRAWKRKQDEELLKELQAAKEM GMMTPERAPTPSAVPAAVANVQEPPRKKLKSVPLDKDVDNGHSDTASAAGSTTSKKKD KDKSVERVTVPEMPKPRVLPCAVCGELEPRGDQHLSCRECRLTVHRNCYGIMDNRNPG KWTCDMCVNDKNPQVSIHYKCILCPHEYTEHDFVEQPKLTHHKKKMSEKDRERERLEV QQARKAADFYRKKQEDMNRPVNPREPLKRTADNNWVHVTCAVWTPEVKFGNAKALEPS EGIPSIPRARYNETCKICDKVEGACVSCHQCRTPLHVECARQQGHILGFEISPVKGSR RDQVNVVTVNGESGTMSATVWCKEHAPTKTTIHHMHDIVDDSGLNALQLYVQNFKQAD LTLTGTARKANLMTNAAKLTGTATQPGLRRSSTTTLPTSHQHNGDTGAAALDPRQPGG KVCITCGIDVSPKWYPIDNSQERELTNGHYGTLGSEAQKFVEQRRFQCHQCRKDQLAA KAHPPPRQSPAMPDAPPPLAQEAAISATAAPPLRSPPQMIAQREAHAAAYAWPPHGHT QTGTPAMQAPALVADHALGARPPAPHAYAAPPPHRPAYSDWGRPASQQSSPSRHINGG PPPPPPPPIHNAPPMSNLSSLRPPPVVGPVPPPPPPANHHGGHPSSPYTNGLPLSPRQ LNGPAPPSRYAHPYQHHGRPVPPAHLPPNMANGAPPPPPPPPRGEGYPHEINPQRPPY TPQTSPPGSRNGHPPPQNRPASGASASPSLRNLLS FPSE_11832 MKSMRGLMHTTSVQTTHENKTTFATTSVAGHKRSLSIAGEEHRT APPASRTTVQFQRASSLPSTAPRISASRIVRLDERVGPSEYSQRVAIAATPTSSRDPE LDLSHPSYDLPRQMVDNFASLGIKQIYPWQKACLKGPGLLTGEKNLVYCAPTGGGKSL VADVLMLKRILQEKGTKALLVLPYVALVQEKVRWLRNVVQGLRFAPETAIDDDKRIWR RRADENAVRVVGFFGGGKVRATWADFDIGVCTLEKANALVNTAIDDCSISKLRAVVLD ELHMIDDDHRGYLLELMATKLLSLEQSVQIVGMSATLPNMDMMAKWLGGHCYETRYRP VPIEEHLVYDGNIYPAGSTSSLIKTAAQLNSRTTQTQAQTKPIRRIEPSTHKELRDPV LNAVVTLACETAVAGFGALVFAGSRGMCESDARWISRAMPAPHELRIDVVDRRMDLLG ELRSLNTGLDPVLEETVLYGVAFHRKRNSLLTVSKFKANHNRPEERDLVAAAPARRVI LHNCRMGRDFVGPSMLRQMRGRAGRQGKAPIGETYLCCRENDLEQVVELMNAELPPVA SCLSTENRQVVSIRLATSHEAISDYFSKSLLSHTHDSQFVKKSITSSLEEIESMGFIT QDSLSMFTATQLGKAIVASAIDPDDGVFVHDELSRALQAFVMDGEMHVLYTFTPVQES GVMVNWQVFRNEMERLDESGLRVLRLLGIKPTTILKLAQGATLRETTQEEKQIARVHR RFYLALQLRDLCNEVPIHIVARKYDVPRGMVQNLSQTCQGFAAGMIKFCEQMSWGVMA AALDHFSDRLVAGARADLLALAKIPFIKSRTARVFWENGFRTVATIANADPTELLPVL MQAQPNKIRLKGKDEEKYEEKLLIKAKVIADAASKIWKVQMQAEIDEE FPSE_11831 MAENAENAENAESAGSAITEHTPADATSVPPPIVIQTHYQDETE GKVPSTTEDLWVSSADGRYSRPPIPLRVGPINNIQTFYVHRDILLQAGWFQKALCGNF REASDQIIDLPEEDPAVFHFLVAFLYEGTFEPIRPAASVLEAGVDKGKAIEVASEQAE ASDSSSSSSSSSSGTSNSSWRRRRRRQARATELDEGQDKQPGRHRPGCPCPRCLARRD FTRCWQCGANRSRDVNSHVPLPYVRAGPRRGMPPPGAMIPPAAMATLASLQEQPERIT GEDLRTWFLAYELHLDVYICANRFLMDDFRKTVMRSCVDMLETAGWDAAQPDMMHLCR KLYHSVPEVDELLKMVMARVGFLQPLLWKRYPEETSEFLVSNPELAATILRETVMRHS QPMADLPSMEATHTSAYEDELQHLGRRPPAHSRPNRHH FPSE_11830 MSTPDILSIPSAEIISSKSHILPGQLHVTEFFFKVPLDYTDADS GICTKLFARRVRKHDVPIFPPDDDDNEKKPKYENGEAPKPYMVYLEGGPGFGNREPQD HPLTSHALARGYQLLLLDHRGVGLSSPVSAEVLKQFSPDVQTRANFLGLMRQDNTVRD CEAVRKCLTASWPASKQAWSIFGQSYGGFVSLSYLSMHPEGLREVFLTGGLAPVGKKP DTVYEATFRRVMERNEQYYEKFPEDVENVRQIAGFIESQGGKVPLPSGGVLTVPRLLT MGISFGGHGGFDSVHSTILTLKTSLDFFGFFNRASLVPLEGGIPFDTNIIYAILHEAI YCDGPGVASRWSANGVGKSLEAFSWLNSDFSTASTSGPLYFSGEMVFPFHFETYPELQ MLREEAELIANREDWPALYDQGKLRKNKVPVYAASYVEDMYVDYDFARDTAKLVKGTK TFETNVMYHSALRAKADEVLHQLFSLRDDVID FPSE_11829 MAYLHLAHTLADAFNALADEVQTLTDRKTVLEHKLRFAHEQFQY LADKYAPAAPEIADTLAKLQIPPNTPLDNSLPVPLPLPSSYSSGSQHQLALVIRDGRR VANQLASLGEASKTTASSRETESQLSHVATSMSTALEQDFTVQGKKGHLQCPFSKPAT ASGSGAHDDDAQDTTPHHSADPICAAMYEESASQQARTNVSSAIKCPIRYMDKHSAQE IAHYVETHKHELPRSHEVCLRRYQRDEDQKKIDSKYGDIVSMIEGLGQLHAPMLPEAE DDPNRPSDVAQPSNERVENWAQAVSVNSDPDHTQVPTQEDGDRQSHFDRPLKEVRVGE SPSRPWGISIPVYELSGQGDDQPMSPPPAPVCMPVSAQTTPSAQESTKNMPGKCPFDH TKLAAMGISMPSNHDASSVHISHQGPEHPQSLSPTKEENGPPPPLHQHTTPPQPTFIN PDMIKGTSNGPQMIFTGPVFIGYPMEQAMQFMNQYRGNQ FPSE_11828 MPADYNSAARALALSPDRDSSPSPTRPPWSATSSSRRLSNPLMG RRRASSGYAGGSRTSFVRRIWGSVNLLGEQVFKVYLRLSPLQRILALAGVVAVGVLGI LAIVYSHVFFKWLEPKAEAWRALPGGWILAFLLVFVTSFPPIVGYSTASTIAGFVYGF PLGWPIVASGCIAGALCAFLASRTVLSKYVDRMVGQDHRFVALGQVLRQEGIWYLTGI RFCPLPFSLSNGFLATIPSITPLAFTISTALSSPKLLVHVFIGSRLALLAEKGDKMSA GSKAINYLSMLLGGAVGLIVGLVIYRRTMARAEELAQQEGLDPAGASVEAGEAGYVDS DNSPLMDPEDAAVLMSDDDISLWERDDTEGRYHDEDEDEDDEDEDTNKRGDQRA FPSE_11827 MVSDEHFEMCLPVLQDATLEDEDKTDRLEELFRKETNLTGTSLD NAILDALWRYRDSGGSSASPPPIRPAILRRPSPASWRGSPTPMSGSPRLAVSPLAPPG FVPSTFGRTISSTVSPFSSPRASPRMALATPVIPHSPNLNAYEFASDPTPATEILGEY QTENVEWLVSDDAASVTSSVSTPSALNAAAPEFSSMTAQSADMSPYDMLRSILGQTKT DDEIEAALAQNGYDLSATIVSFMESQTGDNQPGGVSLEEPKNVLIGKSVDRPSTPLGQ QKSGVICKFYMSTGQCLRADCRFSHDLSNHLCKYWVMGNCLAGETCIFSHDPTKLMNK LALDGASTPPSKQGGLQLGDLNSFPSLRPGTPDHLSGFAGSNSFPGVGITPPPGLKHF HGTSSPRARSRPGSRHQTREPDISAPALDDNDAFPSLGSASMKQGKKHHGKRGGHGHG HKENMAPNSLADIVKMSPSPTPVRPELKKMGRNGSSTVARNGENSPAAQAIPSPKHVP WLETGERANKAYLKARQEALKHGGLRNKFLQSAAQAWNRNDARAAKALSLRGQSENDL MRKAHREAARELYEERNKDNSQGLELYVDLHGLHPEEAVEYLEKILMENSRESQPIYA ITGSGHHSKNGKDKVGRAVRNFLNEWRYAYREFSVPGDRNNMGGILGIDARSWDKSLA KDGEGEDDKQEVDILSQGVEIGDGKVRLLVRDPPKGPSGRR FPSE_11826 MPSAIPDNGTRQYNSLCDRTNDHSESTLQSDSIPPHPLGLKPLG NQYLFTGRNARRSIGAWRLLPDEVVSLVFEQFDAEALLKLGHTCKFFYAFCHSDEFWK PIFLQSPPKDSKDIRWQGSWRSTVLGLPSDQQISIDCSNVFSDVLHRPFACSHVILSQ FTSNIPKANQIRRLENLTYDQYAEKWTEQPFILTKCIQEWPVFSGWTIDSLLEKYANI DFRAEAVDWPFATYYNYMKNNRDESPLYLFDRRFVEKMGLRLAEKENSAYWKPECFGP DLFEVLGDERPAHRWLIVGPERSGSTFHKDPNATSAWNAVIQGSKYWIMFPPTTQVPG VYVSEDSSEVTSPLSIAEWLLTFHEEARQLPDCIEGICEAGEILHVPSGWWHLVVNLE SGIALTQNFVPQSLNLLSEVVSFLRDKADQVSGFDDKVTNPSGLFMERMKSSYPEVLE KALDLADKKSGKKRKWDAAVGAADDEQQSGGGGFSFGFGGDDDDEIP FPSE_11825 MADKGLEDVPETQIETNYDETVDSFDDMNLKSELLRGIYAYGFE RPSAIQQRAIMPVIKGHDVIAQAQSGTGKTATFSISVLQKIDTNLKACQALILAPTRE LAQQIQKVVVAIGDFMNIECHACIGGTSVRDDMKALADGPQVVVGTPGRVQDMIQRRF LKTDSMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTKFM RDPVRILVKKDELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKVD WLTDKLTARDFTVSAMHGDMDQAQRDLIMKEFRSGSSRVLIATDLLARGIDVQQVSLV INYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMLREIESFYSTQVDEMPMNV ADLI FPSE_11824 MAGPENKRRPAALNLTSARPGTSESSSSDGSLKPRTPRFAEATS VHSPVEARSPFADPEKSHVAQPQPADIGFGYIAHRESIPVPMTPKTPLKSAMKVPGTP AHLRNPMSPTFREEDILEKRELSTEKEQARDVKIKARVRLAKFALRGVNFSCSLIILA MLSASFSIFNATRSLPSQSKMPSWAQNTKAWPQKLVLAMACVSLLACILVFIAYCRGG HKRAEKVGTYYTMFAIGWFIASLILWVITAIIFQNSKNNSNNKDMWGWSCVNNHRSDV FSEKVDYALVCRLQNWAMICIIIEIVVEVLAILLYSVVFYRYYTKRRLFKSMDMRDRA RSDLYLAQLRSQSAPNTPGFGPKSPALSAYAMSPRHPPAAYRNLSDISENPNPNPFTP GTQFAEPASQFAPQDTGFKLQAPPVKAPSATPKLNQSAFTPTDTTPPSLPMVPTVHVS EHGPVGSDEPTYDAVPIPGAYTGQAIKSPPPAQTSFGQGYY FPSE_11823 MSTNDDPKYDDIGSESDSGESVGHNEAADEKPLKSALKKSNPAI AEPAAQRPPLPPQTEPKDLDVASLTPLTPEIIARQATINIGTIGHVAHGKSTVVKAIS GVQTVRFKNELIRNITIKLGYANAKIYKCDNQACPRPGCYRSYKSDKEVDPPCERDGC GGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNESCPQPQTSEHLAAIE IMKLDKIIILQNKVDLMREEAAQQHYQSILKFIRGTVAGKSPVIPISAQLKFNIDAVN EAIVNTIPVPPRDFSIDPHMIVIRSFDVNKPGSEIDELKGGVAGGSILHGVIKLGDEI EIRPGIVARDDNGALKCTPIFSRVVSLNSEANDLKYAVPGGLIGVGTRIDPTLCRADR LVGFVLGLKGRLPEIYSEIEVNFYLLRRLLGVRTADGKQAKVAKLAKNEVIMVNIGST STGAKVAAIKNDAAKLVLTSPACTNIGEKVALSRRIEKHWRLIGWATIAAGVTLEPST S FPSE_11822 MTDAEKLEPPKGSPVEDPGAHELAEESESDDQFTDAASGSGSPR ISSPIPITRVEKVDDQPSHGEVPGTQAYELRGQDAQPDEIAIAPETAADAPPTPKVSP PTTVVEEAPELPGARSRSYSIQYEERRRADASPDILVASDGQIKEIQGESTNVESNSD NSTPSPDSANTKLQDEPSEEPSVLASPLQNGDGDHEDDDKEENDDDNNEDEDNGDDEF GDDFDDFEEGNEDDDFDDFEDGFQEAETPAPVAAAQPTPQSTLPFPIPDFDGVDAEGV LNALEPFLATLFPPEELDLPQFPPLSKDSVFFTPRSASLWSQLVAPPPLAPPDWIRSR TRRLFLVSLGVPVDLDEILPASKQKKLVLPSLNVATLSPRNSTDSRSVSRLRQGEGNN SSTSVDTQGKPSAPSRKRRGPPPQPELDLVAARHLCQTTDEALDGMTDDELKAHIAKL QGLEEAAKEALEYWKKRTDEKIGDREAFEGVIENLVKHARKVRK FPSE_11821 MSFSFGNTSSSGASNNNTTSTAPASGNLFGAATGSPGFSFGSIG GSAAGNNSTPASNSSSNLFGGQQSGATTQKPAGGLFGGGATASNTTTTPNSSGIFGGG GSTTPATGGLFGGGASTTPAATTAPSSGGMFGGGGAASSTAPASGGLFGNAGSNASKP AAGLFGGGGSSTPASSGGGLFGQNNANQASTATSSAAPASGLFGNATTGAATPAKPTF SLPSTTPAGAPPIDAAKPAGGLFGNTGAQASSGASGLFGNGAKPAATSASSTQPSTTA SATPGGLFGGGAQSGSSAPAGGLFGAKPASAPAPAPAPTSGGLFGAKPEGAAPAATQG GSSTPAATTPSLFGAKPAAAAASTPSSAPSGGLFGGGGATTSAAPANSTTATAGGLFG AKPATTTASATTPAATTTTTAGGLFGGAPKPSTETSTPKPAGGLFGAAASSQATSSTA TTTAATGASTTTSAPASSSAPASASSLFGAKPAADASKDAAKPATTSTTPGPLGASTT GPTSQMARLKNKTMEDIVTRWASDLSKYQKEFKEQATTVSKWDRSLVDNGEKIQKLYL DTFEAERASHEIERQLAAVESQQDELEAWLDRYESEVQDMFAKQLGPGEQLAGPDQER ERTYKLAEKLTQQLDEKSRDLSKMVKEINDISGTLNKGAKPEDPLSQIVRVLNGHLTQ LQWIDANASSLQAKVAAAQKSSSNLGSHYTGSDNDAAESFYRSYMGRR FPSE_11820 MLRTSFRQAAAFRPIRCFSTTPRVMTEGATGAPRPSGGSGDAFQ RREKASEDYAIRQREKEKLIELKKKLQEQQQHLDRLSKHIDEITKEQGGEQH FPSE_11819 MGHEDAVYLAKLAEQAERYEEMVENMKIVAGEDRDLTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNSSQVTLIKEYRQKIEAELAKICEDILEV LDQHLIPSAKSGESKVFYHKMKGDYHRYLAEFAIGDRRKDSADKSLEAYKAATEVAQT ELPPTHPIRLGLALNFSVFYYEILNAPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAETSAAGQAEAPKEDAPAAATAEDAPAAPAAAEEPKAE FPSE_11818 MTSSQSISSSAGTATHYQVLNITSALLDTQHDSTPLIKRAYHRA LLRNHPDKVANSDPSSVFFTVDQITTALNVLSSPSARAAYDAALRVSRPTGAAGRDGS YQTGVENVDLDDLAFDENQECWYRPCRCGNEHSYEFREADLEEVSEEGELVVGCLDCS LWLRVHFAVLDENEDDAQPSSTASKDKI FPSE_11817 MASASQLVGLAKSLPAPLQRFFARYPPAAILPENAPKTRYQEDR PNPFRFYKHPVTGKWQDPIYSNRRQAELVQMARDNGVEDLLPDTRKATEYQLAHRVEH GLRVKGTGVGQKVKGHIHERHMIAKMEKRRKAMLDMPSLIKRWKRVGKYGWTKFPK FPSE_11816 MPLDIEELLRKKKAADTAAAKPRFIPKAERERLAAEKAKKEEDD KKRKASEEEQKRKEEEQKWRSNGSSRPNESNGSGRVPTGPRSMNDGRDDRERDRDRDQ GRGRGRGKDRKGDKQGVGADKQSAEDIEATLLRSRYLGPQVNQQSNFSAKKKRMRTTE KKFNFEWDADEDTSRDNDPLYDRQTAVSHNGSFAGIGGEFDDGAEERARKRAKMIAQR DPENGKERAEGIMEDFFRARDKARQRADRRGLGKHWSEKSLDDMRERDWRIFKEDFGI ATKGGMIPNPMRSWQESNLPQRLLNIVDDVGYKDPSPIQRAAIPIALQARDLIGVAVT GSGKTAAFLLPLLVYISDLPPLDEINKHDGPYALIMAPTRELVQQIETEARKFAGPLG FRVVSIVGGHQIEEQAYNLRDGAEIVVATPGRLLDCIERRLLVLSQCCYVIMDEADRM IDLGFEESVNKILDALPVTNEKPDTDEAENAQIMQRYLGGRDRYRQTMMYTATMPPLV ERIAKKYLRRPAIVTIGNAGEAVDTVEQRVEFVSGEDRRKKRLQEILSSGNFGPPIIV FVNIKRNCDAVARDIKQMGWSAVTLHGSKTQEQREAALGSVRAGHTQVLVATDLAGRG IDVPDVSLVVNFNMATNIESYTHRIGRTGRAGKSGVAITFLGPEDNETMYDLKQILSK SSISKVPEELRRHEAAQSKPVRGAKKDKDEGSGKGNWQH FPSE_11815 MATNSGRGNPIGASEGIQDATSTPPAVPAQEKKEIAQSTTETHA SGQDAGAAAAAGGSPKVKTEKELEKERKKAEKQAKFEAKKQKAAVAAPKAPKEKKPKE KKAEEEPIPEYVEDTPKGEKKRIRSFDDPNFKAYDPIAVESAWYDWWEKEGFFKPEFK PDGNIKDEGKFVIVHPPPNVTGALHMGHALGESLQDLMIRWSRMHGKTTLWVPGCDHA GISTQSVVENMLWRKHGQTRHDLGREKFVNTVWEWKEDYHKRINNTLCKMGGSYDWSR EAFTMDKNLSAAVTETWVRLYEEGTIYRANRLVNWCTKLNTTLSNLEVVNKELTGRTL LDVPGYDKKVEFGVIVHFKYPVEGSDELIEVATTRIETMLGDTGIAVHPKDDRYKHLI GKTAIHPFIEGRKLPIVADEYVDREFGTGAVKLTPAHDPNDFTLGQKHGLEFINILTD DGLMNENAGAYKGQKRFDVRYTIQDDLKAKGLYVDKKDNAMKVPLCDKSKDIIEPIMK PQWWVRMKELAEPAIAAVRDGSIKIRPESAEKSYYRWLEDIQDWCISRQLWWGHRCPV YFAKIEGGAEDIPEEKLWFAGRTRQEAEEKAKAALPGKTYTLEQDEDVLDTWFSSGLW PFSTLGWPNQTHDLEKLYSTEVLETGWDILFFWIARMIFFGIKLTGKVPFKEVYCHVL VRDSEGRKMSKSLGNVIDPLDVISGVGLQTLHDKLTQGNLHPNEVAKATKYQKTAFPD GIPQCGADAVRFTMINATTGGTDINLDVKVIHGYRKFCNKIFQATKYVLGSLPKNFTP AKSGIARGETLAERWILHKMNSAAKEINRAIEDREFSKSTLIVYRYWYNELCDVYIEN SKAIIRDGTEKERESAIQTLYTALETALTMIHPFMPFITEEMWQRMPRRPEDQTKSIV VAKYPTYNEKLDDPESERAYELVLGCSKAARSLMAEYALKDEAEVIIQAYNDTSLTTV KEQASSIKSLSGKGIKGVEILDSDASRPAGCVAYPVSTEASVFLHVKGRVDIDAEIAK AQKKLDKAKSSIQKQEKILNDPGYLEKVSDAIRETDEKRLSDAKQEMNSFEETIKQFE QLKLE FPSE_11814 MALRLALRAPRSCVLNSFSHIARRNYSTSKESNYFTVELSPKSK DLSELLQTVKSQIKIANPSRHDAAVILATPQYAQWLEKDSFMAEFVDLLSGSENPEQF HVLGAVVDHVAPPVPSNKPIQGLSILRGKLDSILPGLWTPEPPKVREDADKVAAITMD LGNPHITIPLTNTTFLNHKTSTLITRRYDLSQSSPKLVEKNEKYSQQISLPLEKKLPS INDLGIWAPLVPLTHPRVVTESFGNIVRRVSVEDESVPASNELEPAVDELHVRKSDLV QSPMGIWAIITPPTFSQSGDNSSSVDPDPEATFRDGKNIAELVASTSGHLVTLYKQGG RLYQILSGGGGWGAKKGLLSLDPQRTHFSLSEEEEMQRFIQAMDGGNFVPVGSKIQFF ASTETSATESPRAKEMGVVFGTASKVESETDSESSQSEAQLLEDHFGALSNDGIFVTS SDAAAVTTPGFNNDWRLNVPNSRIYISI FPSE_11813 MSSKVDAKSNIGLLSIGDMGVGVAKLLIAHGFLVATNISGRSQD TIERARDAQVELLESDAELIQQSSVILSIVPPKDAEATAARIVKAVKESGSKKERYLV DLNAVAPSTIKSIAASVKQGNVPIRFVDGSILGRPPKLTASSDAEVKSSSSTDFSNWY RPSIPISGPDSLTSLPHGKRLISVLNMKYISPDIGAASGLKMCFASLTKGFTAIATQS FTTAHNLGVLDALKEALGTLYPSVLATAENGVPGMPPKAYRWVREMEEIALTFNEEAG WDKGMFEGAASVYRNIAEDEVLGQEKVGERKRGTTVDDVAAAAAEGLQKKKKKSEQ FPSE_11812 MHREKQYIRKVTVNWKLRKNTKKEEWEQASALVLKRKAEGKPTE LTIHGKIIPDKKRKKEIRRYAPKNLPGSPAFLPLETFSARTPPTANRNITYFITPWLN LQAQFTSTDGVNPISNGTIESIHRPVRFTSLSSQNHAAIVDFKRSLLRSIRDTGTPDS MLDATPPNVLMLLEEQMPFSHRHQTELQTQQTPISGPWSRLFLSLAFLSSNDHLHVDI MADFLKLAISCGFLHDLKQALSVQGPTMKLFSVALLSAALDIPGEEGFQLVSNLLQKG VNPNSAYSFQTPLQKAVRFQRTVLHEDSATVQLLLDHGAHPLASFPSLRLCSPWQMES YDNESLAKALIGMRHKIFETPNPNRYIFLPPPIFLAVEAGNNSRVRSLLQAGTDPNIS NPDRMSLLHMAVERGNSAMINLLIEFGADPDLFCRTETMEAIILANPETFDLRSCDLS RAVTPLQLAAKSRKLDIVRQLLQAGANPDGTVSLYFLSDSEKGYHEYDFVSTPLQIAS RLGSYEMIKMLLEAGAGVDTRQAMQPTALQYTLQNTLCFDPNRSARMSRVKLLLEWGA DVNASPSQESEKSSLEAAAGTGDIDVAKLLLQKCATCDNSAPILQAAVRSGSMELVNF LLDHFSKAGLSAVDKADNWSQYLEIAAKSRNTQLVDMILSFCVDKSTYLFEKHVIDAM EVAVGHGDSRMLWFLLVLNINPNADGRACRILSKSIMNPKHDKHAECFTLLMVKFTAL GLDLDKPLPGDETPLCTAIGRDNRLAAQCLISSGVDVNKPSLHFVPFYNKTDDERRND TPEPPILQAIRGSIYCQNKNVCLVDLLIANGANYGHKTALLLALRSQKYEVAKTLLER GADPNAKDPETGMDALDSIFWQKFGWPPFSIFKSLINHGFQVKAESNTGILARVIAET CENDTTIRWDALTRVVSLLLDASARVNTIPTEKYPMTALQYAINANHKELITILSNAG ADIYAHAFWKRGRTALQVACYGGNLELVQSLVAQGVDINAQPALHYGATALQFAAMQG HLKIAIFLLENGALINAPAASVEGRTALQGAAEHGRLDMIYLLLENDQDDGLEERCQE AAIFALDESRFEIAQFLREYRKV FPSE_11811 MAPLDTSATSSKKTNQSSSKTSKSSQAKKNKKESQSASTSTPRQ PDEIEEADTTVPVKTFTPKQKQKQKQKQKQVESSEDNDTDDAESVYLSSDEETSSEED SSDYDSDSDGSVEDLPPRQLARRSTDRGVKSTPGSPFTITQTRSETTRWITTENNGGM TRGTRSYSEQTTMQGHNTNGPQRAPINRNSVSFNMSINVDMSFNGLVTGRNLGFSGGS LSYAWNRRSTQGG FPSE_11810 MADKMDRGLDEIIADTRSNRPRNSRGQGRRREPRNDFPRDGVRK VDFPRSPMMPTRPVQPSSQLILSMLGALSVRDDSRNIDSEWVHDRFEENNNRRAPAPR RRRESPEQDSKGTKIRVDNIHYDLTDDDLSELFQRIGPISRLQLRYDRAGRSEGTAYV TYERNEDAKAAVLEFDRANANGQPIYLTLLPSRNPFDTAVMPGRSLAERISSPGDRRS HSPHRQRDDDDAARRGIDRYVPGQGSRRSPMPRGRGQGQGQGQGRGQGGRRPGARRDG REGGREGGREGGRDQDGGRGGRGNRPSKKTQEELDAEMADYFGGDSAPAEPANQQDAP PAQAPAAAQPQVHAAADDIDMIE FPSE_11809 MARPMGAVRLKKTNPITLALGAILCIFIIVFLVSPSGKSVTTGR FESVTAEHHLSPPTSPYRKSKSRSGVPLKPPPVVHYNLNNVNTTTTPIENRENVLILT PMSRFYPGYWENLLRLNYPHELITLGFILPKTKEGNQATAELQESIHKTQKHGREAER FKSIIILRQDFEPAIISQDESERHKLKNQKARRAVMSKARNSLLFTTLGPDTSWVLWL DADIIETPPTLIQDLAFFDKPLIVPNCFQRYYDEEHKQMAERPYDFNSWQDSETAQAL AAKMGPDDILLEGYAEMPTYRMLMAYLATEGGDRNMVLPLDGVGGTALLVKADVHRDG AMFPPFSFYHLIETEGFAKMAKRLGWQPWGLPNYKIYHYNE FPSE_11808 MPPSSSNTAAASRSASKRLIKELETWSREQKEEKGIERLGPVNE GDLMEWEAVINGRGIGQGYDEGRWLVNISIPSTYPLAPPKMAFVTPIVHPNIALQNGE ICLDLLKDAWTPAYSVLECVRAVRMLLGCPETDSPLNVDVAALLRSGDVLGTRKLVEL WCQDSDSRYEGP FPSE_11807 MSRIQIPLDVITSRLNFGDRFQSLRSGPLSGRFSNLRPVNEFLD FKRLSKPNNFVEMQSRVNYNLSHYSSNYAVVFVMLSIYALLTNWLLLFDIILVVVGMW FIGKLDGHDLEIGTFRASCSQLYTALVCVAVPLGLIASPFSTLLWLIGASGVTILGGL SGRPQSPEFAPQWGRPSRRRQRRTEGDAASRGRHGRDVRVEELDSGDDARGVGASSGS RFASDPLRFTGTDVGNSERGLVRRGHYEDSEDSEDDSDSSEDEEFEQYLAELASHDPE EALVQSAMHRIERAKARGRTDVDLNDEEIAALERRRKRDEAAKKKKSSKRRKDSSRVA IPLTQLESSSSRKKDSFTSQSRQPSRSNSNLTEGQDRPSRPSLGSLPPTSSTGRPRSG TTTSSQSRVRAPSTSRQPSDSSAVVRRGRNSSQAPADPFQFQVPGAPRGSGSRKSSRP VHDDGSSESDDSDAEYVGREDSIETTSSDGSGAQIVVEESSPEPAKPETSRRNPTRSS TSSSTSKRKPTTTTSSSARRKK FPSE_11806 MDQADIPALLARMASDEDASRKMAVFKLQNSINDPAFADVFISS GGLVVLRRLVMTSAGNTLAYSLQSLTRLLEVDMGWDIFEGPTSSDLVERVVELIVTNP LVNILRGAMSILVALVGHSQSTHQSGTTDRAPVTFGFRALKPAVAVYPQFFELVIQQL QSADHALCANALMLINALIRDAVSDDSTMNGGKANAGSGEDWAKFIKRLHDLGLIKAV HRLMQSSALQDLAHPMLEFQTLTKILLRKWREVDVDLERPEHRRALKGLHLASAPERV PVNGHSPGQDSHEAPTKKGSRRHNPEKWRRLGFETESPAQEFDMTGFLGMMDLTDYVR KNEDGFQKMLLEQAGKPACGRCPVARASFAVTMILYDHFDVDKTDLDDVRSYQLLESK DHDRLFKPLLLQWSRLHTAGLHAFFRVWKLTGAEQGDFEKVAELVRILVDSVVGASRR TKDVAEVEEEMQEYDVPRLRDQQMGLLEMSFENTWGQHLQQVREELKQEALQFVKEQR IRCLLQGSWFSKPTPKRDNNSQVKHRLFTPTPWRYAKLSHNRRYLHYADFEERTVSGP GLDALAEKVDLSTISSVVSNVSAPTEDTRSTFSDMMGKDTAPKTTTKITIYSFVNPAE ASRGAEAKEQPILTLWPLSHSLASEWLDGLLMLLNQTPITAETNKLVSLVSEYGLKIR LLNVRMDSAFEGPEPGAGVIPSREGLDDDYFFEV FPSE_11805 MANFLASIFGTELDKVNCSFYFKIGACRHGDRCSRKHVKPSYSQ TILMPNLYQNPAYDPKNRMNPSQLQNHFDAFYEDIWCELCKYGELEELVVCDNNNDHL IGNVYARFKYEESAQKACDELNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKNPSEELDRDLTLSTKKWLKQRGRDERSASRSPTPEPTRRRY FPSE_11804 MLTNWFSQPAGARSSTDDPRGPDAEDDTLVMEPDQGNVLSHIIS QLRPGADLSRVVLPTFILEPRSMLERITNFMCHPEMLLPIPTIDDPVERFVSVVKFYL SGWHIRPPGVKKPLNPILGEIFTCYWDFEDGKRAYYISEQTSHHPPKSSYFYMAPDHH IRIDGTLKPRSRFLGNSAASLMEGIAFLNLLNRGQDPAKGEQYILTQPNMYARGILFG KMKYELGDHSFVRCPELDLVADVDFKTKGWVGGTYNAIGGVIKRESTGEVLFELSGLW SEEMYIKDMTTGHREMFFNAQRSKPSSPSVRPIEEQEERESQRLWDKTARAVKERNHE LATDEKTKIEDRQREEAAQRAQENIEWHPRLFRRAQGGPGGLEEGEEDLEWIINANID HSASPEKQAEQIMAIYPIINGQKHNQQNVIPPHSPSAKPAQAAVVPPVQNNIDDNLID FDDTPAPVKTEQPQPTEQTPKESEIQGMLNSTGKPANGPLLDFTSDMKKDLPPTTQQ FPSE_11803 MENDIPTIQAPDGRSSRRDRLMGKLFGAKDRKGDEARSAANVES FLHSSSDNLTIINPPPPPPPSSLPKLAKLDTTSISRYPQALAVNHAAQQNRPLVASPA RPDTNKSPGRSPRPNKKGLVVRFDDTAPDVIGEGGDESEIPTQEISKRKASRPKPQQA PQPPQPPAHRRAPMPPARPPRPDSTGPASPVEDFRPKSLSRTQTGFSSIYTPGSDDES DAGANNNLKPDELVSPISAQQTLSPARARFLGPAGRQDDNRKSYIEIHSAQQREAEGR VFAEAARVASATSASSHNWDDPHSATPLSTSPVESRRPGPPSPEVQSAKPSLEYSPAA SVHSNSSAYSPPVPSAAIPASAPGASPAPIPSGSPAVPSPVPTPASAISMASTTTSEV NQLSRQPSIAPQRAPPMMASRALSVRVADGTSAAAEQALEIFTTRTSHLFELFRLHAE SIKPLSTCSLMDFGRGALWWFLKGRMGLEVAIRERPSSPQGQMQNDRDRQQAYANLAK AFWLSEQVVPEVAQSQGVEIDSEITDVSASLVSALKKLAMSMKRNGFLPPEDAFLPQS IDKSIWVEYPPLSQDMIALLSGNWASGLTAMTSPMSKLRLLDALPVGDTTDNFNYGRV QADAYLMEQGHEDQKLYFPCLLSMVRPQKSTGLVFILASQNGHVQLVIQENKNVGPVW DDVRWRKETFTVDIRLRRGFMITIQLVQQDFRTLWNMYDFGSKVNQSLYPRNDESMVF RSTLRSFQVMDADPNYRQFPKEPTPYCEISLFEKIHKENGPAATRTWHLGFRIAVVTG PKTRTLSGVQHVYSPAHPVQFGFFRGDGDAPSLAIKYENGKSKGRMVMVFSDDKARIK FHSILTGTALDHDEKIYADVPLKNFSVAQSLREPLGMAPFSRMPWKAVRVVNDEFGGD MPPTVLADHLKVVIDYQNGNVADRINVAPGELRVRLEVSNAKVLRVLRQPQKDMTISV SEAQVPKELPRNMSDALQLLRHNQTIRTFEFNNLKDLHDFQLAITGFEVIFDALAVTF AIQRRRMVVPIHKKWEAGYTRIQVIRNEDGQLQLLAFFEDFHHGHCMNFQLKGTDVYE GVQRSSKSGIKFIDAKFPLPRLPADKDGDYDDMAFVCLDLPDLPGEHDDISILFENES DREALAQCLPAPIKVSLKGASGMRVVKETEDSPWRNDEKAV FPSE_11802 MATEVKRVPIPRRGVDYRGKVVLAPMVRSGELPSRLLALKYGAD LVWGPETVDYSMIGTSRRFDEESKTVEWFRTSSHGQKDPPPDAKESIIYRLHPELEKD KLIFQIGTADPDRAVEAARLVAADVAGIDVNAGCPKPFSTSGGMGAALLQTPDKLCAI LEALVKNITPEFEIGISVKIRLLDTAAETEALVRRLCATGITGLTIHCRTTPMRPRER AIRGQLRMIAEVCHEFGVACLVNGDVEGRDHGLQLAEEFGADGAMIAVAAEKNPSCFR SKADGGMAPWEEVVKQYVKTSIEVDNRFGNTKFLLSNMIPGKSKFYQPVNQSKTYKSI CEALCLDDYLEAAIAVDKRRGLGQPLQGKAAKKAAAKALAAANAQSPKSEQGKEQKRK RKMSDSRPAKQVKASEPTPVAATL FPSE_11801 MASRVVFSRRSLAPLATMALGGLALTPATVFAEGPSDLKRKPIY DDFEIPASKPAPVTPPPAATTPVAEPVEEEEERQYSPTPTDRLAVYVGRGRLSLYKYA VAAENKINETMDSAFNLEQSFTSTIASLAPSRESGEQLMPGAIYVLVAAMAGSIITRN RSIILRASVPLALGIGAGWTVIPVTMRNVSDLTWKYEQRFPVVAQSHIRLRESILNSA SFAKAHSQVGVKYVDEKVTDAREAVEGWVQKGK FPSE_11800 MLKSRGNRLWICNRCIQHTTKPPQRRWQSIASAVAQAVSPSNPV DHAASSSHDDAVLRNLFHAPAGRSFPKFSLKKSQGLFKNRYLTSPNGFHHFAQKNLER ATKIVRKVLSASSIEEYQAVVRDLDRLSDLLCRVLDLSDFVRMTHPDARFQEAAADAW GMVYQYMNQLNTMTGLSDQLSQALSMPEVTKVWSEEERTVAEQLKLDFTKSAVNLPKA SRDRFVDLSSRISEIGSAFAQGMQPAKKSLTLPAYKFYGLYPGIAATLKVRQNIVLPT LSSDAIGALQSVHDEDTRREIYLAQRTASKDTIMYLEAMLKLRGELAELAGFESYGHM ALKDRMMAKTPSSVMEFLLALRDNNTPIIQAELQELIAKKRDRLNLPDVQLQAWDKDF YMEKTRVDLRSRQRREDQLNAFFSVGTVIQGLSRLFDRLYGIRLVLRETLSGETWHPE VKRLDVVTDKGELIAVLYCDLFHRPQKSGNPAHFTVRCSREILPDEVAEIAADQGNGP SFESPELAANDGMEVSTKDGVLKQLPTIALVCDFLPSENSKEPSLLSYQSVETLFHEM GHAIHSILARTSFQNVSGTRCATDFAELPSTLMEHFAADPTVLSLFARHWKTDRALPY ELVAERIGLTKRFEGMETENQIILAMVDQAYHSSSIENAGFDTTSIFHDIKARFAHGP RDPPSTCWQGFFGHLHSYGSTYYSYLFDRVLAERVWRIVFKAGENGGAINRENGERLK ENLLKWGGGRDPWTCLSDTLQDERLAPGDEKSMALVGSWGIKDDHHP FPSE_11799 MKKPWKSGAAAAPSAQPVDSQPSAIRGRISGPVPIPTSMDDEFP IRNPGTSIATPVQGEFQESQPEPTPSRSDFRSPTFPEPRHNEASSFREEPRYSVHARH PGTTSRLQKAEPSNRHSFVSTDRSQTKERPQRKQSTLRGALGKLFNRKKKVSDNESTG NTDSEAGSVPVRPGPYRRSTAEDGRPQDSVPSLNHKDRSAPRTRSRPFPGAESKRSAS LPITEFDRALRSHSIRPEDVRAIESARNSLSADFGLTHAAKLREYSLAGLSPRPASSH GRESRQDQHDENADEIGRAITSDLGVRRRSRSLSGLPEDGHGLARRRSDEIKYWRESY DPGFTHPISSNVADAEDGVTAEVEHTQHTQEEDQQQQQAEPFNFGSFADMKQVGSMKI THAANMETRINNLESRVYQLEQVLDRMCDGGWNRQSHFEPPERSAPPAPVEQRSSAKV MDDQADWAREDNKKTLGWLNGHSKGSHRGSMFLDPSSPAILSDGNKDRPASTATVRGT TSLPNLLQDTPGTFTMDHYTTLVALIHTERSAREALETQVKTLGHQLSILSNSAAMNR GYDPPPTAKSFGDRSAFDHDDDNENMASLAVITRSHSRHVDPEDSGIGTGHGDDSEYS DTFETPREEGGHMFGAFGEDLDREDQAQTKAARTLSLSQLTMGRGTQKSSQHLRRVI FPSE_11798 MASKLKPVDIPTFATTQLALLDQELQTEINETSDLISNHSPTAL QRAGIALTNLVVSGQRTGLGGRTVVELSPDAATGSADELPEHGLRTGDIVLVAEQPAG SAKKREVKDLEKKGARGVVTKVRREWVSVAIDEGKEEVAFTGRVWAVKLADEVTYKRM NWTMEKLNKMNESEYSSLTRVLFGLSSPSPVAADLTKDESVGNLDWFDPTLNESQKDA IRFALASLEVALIHGPPGTGKTHTLIELILQMIRLEQRILVCGPSNISVDNVVERLAP HKVPILRLGHPARLLPSVVDHSLDVLTQTSEAGAIVKDIRTEMDTKQASIKKTKSGKE RKAIYNDLKELRKEFRERERRCVSTLIGGSKVVLATLHGAGGYQLRNEEFDVVIIDEA SQALEAQCWVPLVSAKKVVCAGDHLQLPPTIKSTNTKVKAPVKEGVEITKGATLEVTL FDRLLALHGPSIKRMLTTQYRMHESIMRFPSDELYDSKLIAADAVKHRLLKDLEYEVE DNEDTNEPVIFIDTQGGDFPEKNEEDDKDTPKKGKASLHGDSKSNEMEAALVQQHVKK LVAAGVRPEDIAVVTPYNAQLAVLAPLKDKFPGIELGSVDGFQGREKEAVIVSLVRSN SEGEVGFLGERRRLNGKVTSRGFPFWIG FPSE_11797 MVETAPEADANTHNNAPARSSSIGFNMGRHSSSNTRINEILENA RERAQSMAPTASPTNLSPMPAGSPILPPNSALKANPANDDSSADEATGFISHTPPLNY SSLNVTSAATSGPRARKTSTRSSRQIPPSRPNSNGAVPSSQANQQDEPDDEDQPWWKA QAEKFHSIELENKGSVARDHLAIERTFLAWLRTSLSFASIGIAVTQLFRLNTSLESGN DGDKISDRNAATLRHMGKPLGTTFLGISILVLFLGYKRYFQSQQYVIKGKFPASRGTI MIVAFVALAIMIASLVVVIAIHPSEHEL FPSE_11796 MSHLILGKQVGPIGYGLMGLTARPLPDETTFTAIRTALDAGCNW LNGGEFYGPPDANSLALIRRYLEKYPEDADRIVLTIKGGLGPNYVPVGSKENIRRSID DCIKTLGPAGRIASFEIGRKDPNADYKDDTLATIDEYVKDGKIDGISCSEINANTLRS AAKKFKITAVELELSLFTTEPLTNGLLEACGELGIAVLAYSPLGRGLLGGQIKSAKDL PEDDPRAKMHPRWQGDNLLKNVELVNSIESLAKKKGCTVSQIAINWLLSLSRRPGMPK IIPIPGSSKPDRIRENATIVDLTDEDLHDIDNLLASFTPAGERYPPQHMKYVDA FPSE_11795 MASEQLNVIALISGGKDSFFNLIHCIEHGHKIVALANLFPGSGT LASDSSSGANGIPHGQSLFRQEDATKAGPKTNEYTPTDILSPEGLQHIHPETWVPQPS ERHGHAGQDSGEPSDTDLNSFMYQTVGHEVLPLYAAATGLPLYRLPITGHAVRHERDY DATVEAQGKDKGDSGDETESMLPLLQAIVTRHPEANAVCAGAILSTYQRTRVESIALR LGLVPLAYLWQYPILPPPPGAIADDTQLLIDMANAGLEARIIKVASAGLDEDHLWERV SSETGSSRVKSALRKFGSTQGAAALGEGGEFETLVLDGPSSVFRKRISILEQGRKIVR EGGGCSWLLLAGARVEDKQDHAHKPTVRVPDLLDLRFKAVFDELPLPLDQLRHTDASA KPMSLTRDPVSSTEDVETQRWSVIADATTSERSIQEETIQVVEKIRALASKAGIQLSH VTNTIIVLRRMSDFPKVNGEYGKLFPKPNPPSRVTISCGDLLPEGVNIVIYLSAPTPE AKHDRNGLHVQSRSYWAPANIGPYSQAIDVPVTAHHQATSLRCISIAGQIPLIPATML LPNPSDKWHELQIVLSLQHLWRVGHEMKVQWWTSSVAYFPRASSSAEIQRSAQLAGHV WRKAHGSPDEDEEVDGGPDLWDLKFNPAYMSLGNDDKTARKAIPDWDALTLRQQNEPE TCIPPMFAAEVEELPRQAAVEWHAHNGLSRAEEGSLVLVSRPEANVPGWNTWHSVVTT ETATVVYSTVSYTCAEGAQSLTLDDLKTDMQKAIHESFRRLLPHGPEAVHSKLSLIYA DALQVKSIWNNTGNSCEAALIPCRSIWSSEGRNVTVVGLFETTLIRGT FPSE_11794 MMSQAIGQGPFYFYNTESKHDVRQHYPQQQMHMYPMVPTLPSTP VYSRPSSASNSQPPTLYSNGPAVMTPTASPQPMSHKPAILLENELYENNPYFPSTPPL STSGSTIGSPSACEVLQTPMNPMFSGLDGLDGFKEALEPVETAVLDWSNCGSPPMTPV YLQSQLSNTASDILSTPSLSPSPAPYARSVSTPDLDVDFCDPRNLTVGTGAINTTLAP EFCADEIKVEHKIASQSFDFTPAAHGLPTFEDFSDFDSEDDFVNSLVNLAEVPANASA DITRPRACTGSSVVSLGHGSFIGDEDLSFDENEAFQFPSHPSPSSTCASTCASEDCHQ DKRVKKSQSEERHTAPIMNVAASAADSGNTQESSNQAAEGSDSGASSGSEGSPAPLPA PANRRGRKQSLTEDPSKTFVCDLCNRRFRRQEHLKRHYRSLHTQEKPFECNECGKKFS RSDNLAQHARTHGSGAIVMNLIDDDSHAYDASMVSADDYSNYGKVLFQIASEVPGSAS ELSSDEGSNQDKKKRKRSD FPSE_11793 MPSATLASTGDGATVPIHTTMAQPLLFSNSGRNVEPIRRRMARR GMRVASEAYTVPMQQPMPRLNKEFGHKVVDKPRRAMVRCYSLPVASLSLGGTQTANRV TRAA FPSE_11792 MELSMPSMFRAYAILWYLRDGGVDSFRARSQSLTRWRELARPVK RIGGVDDQSQTARRPNGLELPTPPVRPELLGLPTGSLPPQRRAKLERRLSVVPATS FPSE_11791 MRWPSRFSVAATALLLSSVSAQTWSSCNPLHSTNCPNNDALGMS IDIDFSKGAVNSFAASGSPKYDNEGVSFTVSRANDAPQLASLFYIMFGRVEITMKAAP GAGIVSSLVLQSDDLDEIDIEWLGSDNDEIQSNFFGKGQTTSYNRGEFHDITNTQGEW ITYTIDWNKDRILWMVGGTVIRTLNAGDAPDNQYPQTPMQVKFGSWAGGDPNTNPPGT VKWARGPTDFSKGPFTMKVRSVIITDYSTGDEYKYKDQSGSWQSIESIGGEINGNEHG DAVTVTATAQGTIATQNGNVPVGGIGEDGSPATATQTGWPWTGSRPSGGAIPEGWRLN AEGKIIPAENGAMSSSQPFHSLIAVVPFFAGIMAFAGRFV FPSE_11790 MSSSDDDRPLAKANGHRLSAAKISRADDNAMAQSVPKEAAKMAG LSVRNGPIEDTSMDIDEPATNGTAKRKSRNSITKVNYRDDESSDEGVPLAKRQKKQIN KVAESDSDDEPIMKARGKKLPPSYKETALPESSDDDDEPLGVKLAQKKANIEKKAEKE AKAIRSKERAKKPAPKKAIKDESDDDVPLARSTATKRQTNGTAAKRKSNGVKKEESDS DAPISKKARPAAAKKGAKAESKKASESDGEEEFEWWNAPKKEDDSIKWTTLEHNGVLF PPDYEPLPKNVKMLYDGQPVTLAPEVEEVATFWVAMMTAASIHHLENPVFRKNFFEDF KVYCDKYGVTDAQGKKVAIKSLDKCNFDKINDYWTKRVEQNKTKNMTKEEKEAAKAKK DALEAPFTHCTWDGRKEKVGNFRVEPPSLFRGRGEHPKTGKVKQRVQPEQITINIGKG AKIPEPPKGHQWKAVQHDQKATWLAMWQENINQNYKYVMLGADSAIKGQSDFKKFEKA RELKKHIDKIRKDYTKELKSEIMADRQRATAMYFIDKLALRAGNEKDTENEADTVGCC SLKYEHVTLEPPNKVTFDFLGKDSIPYRETAVVDAQVFKNLKLFKKAPKTTGDDLFDR LTTSQLNKHLTSYMKGLTAKVFRTYNASFTMSTLLNKLGSDPRSRGTVAEKVKLYNDC NREVAILCNHKRTVGASHEQQMAKLGDRIKGLRYQQWRTKMMVLDVDSSYKKKKGAAW FEKDEELNDEWIKEHQQFLLEEQRTKIQKKFEKDNEKRKADKERPLPEKELKERLQVV KEMEAKFKKENKSKKVEAEGRGATVDKFLKAVDKFDERIKTLELQAQDRDGNKEVALG TSKINYIDPRLTVVFSKKFDVPIEKFFSKTLRDKFRWAIKSVEDEDDWTF FPSE_11789 MLSRAARPALRAAVAANARATAVPSTAATYATLREIEDRLKSIR NIEKITNTMKIVASTKLNRAQRAMNDSRTYGQTSNEVYESAETKALETEEKKTLIIVC SSDKGLCGGIHSGLSRYVRRLSANGEKFDLVLVGEKAKAQLSRTNAKSIQLTFAGIGK GVPTFADAQAIADQVIQLPTEYTDVKILYNAFVNAQTYEASLIEAFSEEAIQQSPNFS AFEVDDEVLGSLREYGLANSLYWALAEGHACEQSARRNAMDNASKNAGEMINKYQILF NRTRQAVITGELVEIITGATASADM FPSE_11788 MAPRIEFSIEQIRNKARKDLLYLLEGVRGKKNVILDQSLVGPIG TIVKVATLQEYGVDKFFILENDNADTSQRNVVFISRGECGRHAEAIAAQIKRIQRESQ TGHEFHIFWIPRRTLVSDKLLEDAGVLGDVNISELPLSFFPLEKDVLSLELDGSFRDL YLSKDVTPNFLMAKALMEIQQNHGLFPRVIGKGDNAKRVADLLSRMRQELLAGEGTAE ANKIGLTPSTTNESVIIIDREVDFVTPLLTQLTYEGLIDEVFEIHNNQTKVDTTVVGA PAQASAATSQSRKRTIQLDSTDKLYEQLRDANFAIVGSLLNKVARRLQKVQSDYESKH KTKTIAELKDFVSQLPGYQQEQQSVKTHTGLAEEIMKHTRTDEFKGLLEVQQNLAAGA DPSSQFDGIEELISRDAPIAQTLRLLCIYSCISGGIRPKEFDQFKRLILQGYGYQHLL TLNNLEKLQLFLSKSSPLAGMIPIPGTNVGAVTTGSKTNYTYLRKQLRLIVDEVQEDD PNDVSYVYSGYAPLSIRLVQCILQKQYLLSVTKGNSASAAGAPPGVGTQGWQGFDEAV KHVRGQTFYEHQKGEDKAVKARALLSGSGNKQTVFVVFVGGITFTEIAALRFIAKQEE DQYLKKPRTNAAMGTDFGQSFRKGIGIRKRDVDEGNVIDSVPPSDTSPISGVPGRISP PITPDVVSVPQSRQTSMEIASEIAPEIVVWTEDMDIANASPVESDDELSSLKTDFEEE PLQVDADPTPMQTSANGGAVGSFPSSLSNLTELSDLSSIPSTASSKATTPTPVEAEGS QDQEQPIDEQPSSHQQSPSHEAERYNFNIRPKASIPTDISPYRYASECISAAESSRLN PYALHPEEYHLLRHHISYAQVTTYLNVRNGILRLWLKRPWVGVTRLEAVGCANARWFD AASVCYDWLVRRGYINYGCVQVPETETEDSIAPVRRQKTIAVIGAGISGLACGRQLEG LFKQFADRFHERGEPPPRVVVLEGRSRVGGRVYSREFRTKPKEPVSAFKGKRHTAEMG GMIITGFDRGNPINILLRGQLSLPYHALTADTTIYDSSGRAVDPVRDQLVEKLYNDCL DRVSEYKHKNRPAKLIEGRRDLIEEGRDSPGDGSKTMFQEEEAAAAQPYAAPVAQQNV PEKVNLIPVSSDKLTGRVHMESGTPATIKASDKAKLMGWTIRDSAEGENIDLVPAVNE GGATLGSVLDSAISQYKQIVGLNAQDHRLINWHVANLEYSNATSLHNLSLPLWDIDAG NEWEGSHTMVVGGYQSVARGLAQCPSPLDLKTKFPVKSVSYHTGEGMASAAIECEDGS VVDADAVVCTVPLGVLKQNNIVFNPPLPSWKTDVVGRLGFGILNKVVLVYDEIFWEQD RHIFGVLRESANRHSTSQKDYATSRGRFFQWFNVSNTTGLPCLIALMAGEAGFETEHS SNDSLVAEATEVLRRVFGKDVPYPVEAMVTRWGSDRFARGSYSSAAPGMQPEDYDVMA RPVGNLFFAGEHTIGTHPATVHGAYLSGLRAASEVLEALIGPVEVPTPLILPRDSVLL RKRKEPAKDLKPARLQAYEQDIQAHIQAKIGDRPSRPAKVASNPYILYSKDLFDVARK RCEENRKPGRGGRAVPNEVRIMTSKMWKEATPEERKPYEDQATEMKRGYAEAVQSWNQ ATEKWDRESAALRAAYEKENPFDAYKMQMEDPREASYKQRRTRNISYAEDGDSDVGF FPSE_11787 MAGATRDRRLVKELGKMHKDGMPPGITLIERNDSVAGDWFVDIQ VLDENPLYKDQIYRLKFHFPKMYPIEPPEVTFNKQTDRPIPMHPHIYSNGIICLDLLG QQGWSPVQSVSSVCMSIQSMLTSNDKDERPPGDEDFVRGNRQRPRDIEFLYHDNTV FPSE_11786 MAASLVAGMLNRLHGQPESYDKKAKYKFGRTLGAGTYGVVREAD GPTGKVAVKIILKRNVKGNEQMVYDELEMLQKLKHPHIVKFVDWFESRDKFYIVTQLA TGGELFDRICEQGKFTEKDAAETIKQILLAVDFLHKNNIVHRDLKPENLLYLNRDPES DLVLADFGIAKMLDGKGESLKTMAGSFGYAAPEVMRKEGHGKPVDMWSMGVITYTLLC GYSPFRSENLQDLIRECTDNSVVFHERYWKDVSNDAKDFILKLIDPDADKRWTSEEAL GHIWLTGENATDYNLLPEIKSFRARSRFRRIIEKIKLQARIEKLKAMEEDPDNSDLSA IFSEVARAKLADEKEEREVLRVTQEVEKEVKRRSFQA FPSE_11785 MNALPFTSTEWSNVITDVKKEFINRRYRPCATRCSDILNKLEDS SIVEPAYLIYLHFYAANSLEMLARALDQDVPARTTLLYQARDQYQRASTLINAADSTV GPALLRRPSGTTMTLPCLHSADSSVSSYVSSTWTSSHSLSPASSISSIQSAPTYKRKK KRVTFSDVPMELLERPDSPTLGLGSFLGPARSSSPEFSGNETSIPPALRTSSSQTPRS ILTPRSAQNEAAPHMQSELDPFRHARSVHRYSALLSGLQRQVFRHLNFIEAELDQQQS IVREEEKPLSPPRRVVVPAASATPNADKARSPELQARIERLRAKGWERKRFDVRRYAE LRESASADME FPSE_11784 MAPKTKFELKTPKGTKDWEGKDMVIRDHIFNTITQVFKRHGGVT IDTPVFELREILAGKYGEDSKLIYDLADQGGEICSLRYDLTVPFARFLAMNKQIQNIK RYHIAKVYRRDQPAMTKGRMREFYQCDFDIAGTYDPMLPDAEVLRIITEVFEGLGWNG GYTIKLNHRKILDGIFQVCGVPEDKIRTISSAVDKLDKLPWADVRKEMTEEKGLDGEV ADRIGEWVVLKGKQDLLKKLQSTESLAANESMKKGMEDLELLFEYLEAFNCLDRVSFD LSLARGLDYYTGLIYEVVTQGSAPEVTPGQENTDSKPSKKKGKKGGEDDDRSDDPTVG VGSVAAGGRYDNLVGMFSGKSQIPCVGISFGVDRIFSITKAKLAAEKSAAVRSNDVDV YVMAFGKGFLKERMSVCAKLWEAGIKAEFLYKVKPKLPAQFKAAEANGVPFAIFLGDD EVASGKVKIKEMGLQEGHPEKEGILVSQEDMAKEIKVRLQRKRELDAMTTQAEGLRVV HGIKGDAKDAEKKEEGAKPETTPAAAEPAPETEEQSPANPSA FPSE_11783 MATNHEPINEKPTDSSPGPQNQSAQHTKEDEVHATAKTRPERTA NFKDYIRIFSYATKWDFFAYAAGFIASIGAGITLPLMNVVFGQFVGNFTDYFLPGSTT SQSDFNSSVDKLALYMFFLFLGRFVLNAINKFVFRMIGIRLSSAIRLHYLKSLFGQSI HVLDSMPPGYATGTITSTANVLQLGISEKLGIFVEYNSTIVAALIVAFVKNWSLTLVT SSIILYLFLVLGVFLPMILKVNALITKSEGRAGAVASEALASVRMVAACGAESRISKK YAAFVEETRQHGKLLSPLVGGQLGFIFFGLYSGFALCFWYGAKSYSEGRLDNVGDVLV VLLSVMIVVLSLERTSTPLLAVGKATVAACEFFVVIDAPQPQKGHLREPEVSSTQDIV LEGVTFAYPSRPHVKVLDDLNLRIEAGKINAIVGPSGSGKSTIVGLIQRWYTLQDQHV LAKVIEKEKKGGKKNKKEESEEDEDLANPIETDPEETGPPVEVRGRITTSGQLLDDIE LKWWRSQIGLVQQEPFLFNDTIYKNVAYGLIGTPWADESEDKKMELVRDACREAFADE FIDRLPDGYKTLVGDSGAKLSGGQRQRLAIARSIVRKPSILILDEATSAIDVRGERIV QAALDRVAKNRTTITIAHRLSTIKKADRIIVLKKGKVVESGTHDSLIAVDGGVYSGLV HAQSLSLGEPTEAGYESVEPEDKPELANVQSVAASEVDTIVDVIKAKKGSPFASFSRL FLESKNIYPAFALTLFAAACVGSGVPLQAWIFGKIIVSFNTIGTDANLSESKFWSLMF VVLAVGIGVSYFVVIFVATRMSATIRAKYQQQYFDAVMFQKTSFFDNEDHSHGTMTSR LGTDPKQLEEMMGLNMASVFVALFNVTGAVTIAFAHTWKLAVVSCCVVLPVMIVSAYW RFKYELAFEKMNNDVFAESSKFASESIGAFRTVTSLTLEDSICLRYQNLLEEHVVSAY KKARWVSILFGFSDSASMACQALNFWWGGRLLSRYEIGLVAFFVCFMAITNGSEAAGQ ALGFGPNAALSSAAADRILKMRESRPRDKVSTSQEIPDTDGGMSIELDNIYFKYPTRS TPVFKGLSLKVEKGQFAALVGASGCGKTSIVSLMERFYDLDKGRILLNGKDSTDINVF EYRKYFSLVAQEATLFQGTLRENILLGVDPSSITDEQLHQACRDASIHEFIVSLPEGY NTGIGSRGVSLSGGQKQRVAIARALIRDPKVLLLDEATSSLDSESEKLVQAAFERAKE GRTMLVVAHRLATVQNADVIFVLGDGQLLEQGSHVELLKKRGVYWHMCQSQALDR FPSE_11782 MASQDTSIALVATTTVVSTVLFLVVARALLYPVQPKTIRNPLKL GVYDKANADKVKNLVYQPDQFPGARDVDTPYGSTRVYEFGPEDGEKVLFVHGISTPCI TLAPIALALSKRGYRVMLFDLFGRGFSDGVADIPHDARLYVSQMLLVLASSPLAWTGT NAFRLVGYSLGGGIAIHFANAFPNLVRDLVLLAPAGLIRPASFGRVSRFLFVSGLVPE RILAVATRHRLQKPIAASARPSPVAPVESVTTPPLNVVEAEVNPASGKAVTPLEKRVM EYVRWAVTHHQGFVPAFMSSIRFAPLMEQHDAWAKLTQRAPGTTAILLARTDEIINPD DYRRDALSLVGGEQHVRWRVLPGSHDFVMTNPQDILREIQDMWETKA FPSE_11781 MSGPSSSTNPPTDPSSIETPAPNPEKGHQLYACGHCGRRYSRPE HLQRHVQTHTLGRRFACGICGKTFARADLKKRHETNHENDDSKKRRRTTTALNSGRVT HACKACAAARVKCQEEKPCQRCTRRGLTCVSSDAGSAAAMNLVHLSTSARSHDDEYES GPSTGPAPTSFPLDQISLYGQTVQLQSQPQSRSGTPNTGDLTRSEASSSQQPDADQLP FCDFLRDVLYEQQYDQPARMPDNTGLDVLDFCNDTNMELSDMDFGLLDHWNAGGTGTN NPNAIPVQADTPRSDNSADVAHAHIRQNLVTAWDVSPWRWDPVAKDNAFGEQGNLPVS KKDVASLQAEAAQGGLRRVVDQRLDFAARDGVLALVLGACQPDAMSSRISGSFPSADL LDTMLHVFLNSHTNQVSEYIHFPTFKLNEQEPEWIAGAAAAGAVLTPIPTLRKFGYAL QEAIRIVMPKRFEENNESILNIGLIHAIVIGQDTGLWSGNRRKMEIAECHVNIPTTMM RYRGKFQRSTYPMLSVTAADEGANLEQKWRTWVDMEKWKRFVFHLFLRDSQQSMTALT NPVMSYAELTLPLPASKELWYAKTAGDWKHAYLRREDGHVKRAPSVGDLFRDLNLLAE NRHRLDVQLSAAIYLQAYWLLILEYRNMCSSYRIRSYTQDPYGNTNSLLNARRHQLLQ DLQTFKVTTMNWPEITAQERLLLNQLMMALHISIDDLQLFAGKEGDEQAHRAFHVLQQ WVESVDSRAAIWHAGQVLRFAKLFPSAQLKNFYAVGVHHAALAIWTYGVIKKAGSKQD VSSQHEKIYIDGPESMGIQRYINTGQGLPVFRGPASRNGVSEATIENPKAGMEAIHEI LQANFGGANRMAPAIVENLCVLIRQLGNVAWAVGLG FPSE_11780 MADQQLPAPKRFITGHDYDGKAIFDTRLSDEMPTKILTNYSFRL GYVTRGIPVDLTGDSDIKTYQSYITEPPGLALLGGSILRFVDFPPGGGDMHRTLSIDY GVVLEGEMKLVLDSGESRVLRRGDVAVQRGTMHQWVNTDQEKWARMMFVLQESKPITV ASSGVELKEELGGLGDQVRPST FPSE_11779 MASPSGASGHLGPESAGDSSAPMSSNPQSPPRSMNSDDSQNEND QHDQEQDQDHDQNNDQEPTCPWQTSRFNHQECSRYLDCPSHAIERSLSESGEQDRESI HSSHSPEIPPPDNDREQTDQQTSRFSDQELAQGVNNENSAQSTPADEPDDDGDATSAT TDTATSAEEDAGTAVAQEPGQSSDSQQNIEMDDSESRSRNRRSDQQDSQNTPNTPFEH PEHTQRPSRVTSATNTQRAQRTYATPSRERMDAPLPSLRPLEEALPPLPRSARQSVSS DSQRPRWQPDNEVTYCPICHTQFSFFVRKHHCRKCGRVVCNSCSPHRIIIPHQYIVRP PGSEAAMHQSLLVDGLGAGYFDVNDMSGGERVRLCNPCVPDPNTAPPQSPGPQSTLSP RVPHSRSRSSIGDAYGTLQSSNRHGAVFAPGTSGDPYRYLSPRIRSVTMGSASSGSSP SNSHRRRSGAHQTPIERFLASAQAASPSSYPERYSSLGESSSRQRALPPTPQIAEEDE CPICHRELPSSSLPNAEALRESHITTCIQTHSTYGTPRGGEGGAPVAPRRTGMYTYVA TEKDCIDDAECTICLEEFTVGVPMARLECLCRFHRACISSWFVKHPGRCPVHQHDGFG Y FPSE_11778 MPLWLSACSRPLPVRLVLQLKTLRPLTTSCRLLRSAGRKSTFVP SPPQLEIAELCSSHNVVVSARPGSGKTATIEAIAAKHPDKRIGSILFSKRLQSETSRR LERYHNSDTFTFHGMAGKLLGRVVQNDTELLKLLRAVDRSGKLPLWTLPPFDIVVLDE FQDCNPETFWLATCFLRSNNVAKGGQPARIVVLGDERQSIYRFRDADPRYLTLAPVLL GPISPYPFAEVQLGNSFRLPMLTVDFINRCFLGGEQYITSSKHGPKPIVLKCNTMEAF ALANMLSPLIKYYGPKNCAIIAPSVRKTESLKLLTNELAEVHGIPISVPTDDEAPLND QVIHGKMCISTIHQFKGRERELVILLGMDSYLEFYGRDLPDDKCPNEVFVALTRALKQ LVFLHDDKDKMMPCVSVDAVYDTAEVINISSNEADISLPDPPGRPPKLGLLLPFTNAY VTEIARYVQSDALDKAVKRFLRVERILPRSLGRARINIPTMVASDTQKGFFESVSDIN GLVIAAALELATSRTLRSLGVKQGQAVEKMPRDPQQLVPWLCRRACKYEAEVSGYKPR MIQMEHHKFDWMRHEDLELARRRLSEELVDPARRLEFEVEMMKEFEADGQTTMLVGRA DIVTSTPGNEDGEDNETIWEIKFVSRLTNEHIFQACVYGYLLGSSNKDGKLPRIILYN VRDGDKREIISRNGLSGLERLIEKVVRLRYSATEEASYEEFIQRCTETMQKVMNLSDG RSEE FPSE_11777 MSTAREAEELKQQGVVEAAANPQSNVTADDAQNSIVQASRNAGV AAFTFDPDASPEQKKAQAKAAIPRELQQSRRPKGAAIITDVDDGTGPTEDLPEASKDG VLDVARDEQGKPLADGADPESEEVPYSRTGWAPQLGWPEDDATAQDSLLDHATWVESQ LPDTLYGDWYHNTGVIIFACVTSWLVAVFGGGLGWVLIVMCFCSTYYRTSLRRVRRNF RDDISREMALKRLENDNESLEWINSFMVKFWPIYQPVLAQTIINSVDQVLSSATPAFL DSLKLKTFTLGSKPPRMEHVKTYPKTEDDVVMMDWKFSFTPNDTDDMTSRQLKNKINP KVVLEIRIGKAMISKGLDVIVEDMAFSGIMRLKIKLQIPFPHIDRIEMCFLERPTIDY VCKPLGGDNFGFDINFIPGLEGFILEQIHGNLAPMMYAPKVFPIEVAKMLAGSPVDQA IGVVAVTLHGAHGLKNSDNFGGTVDPYASLSLSRRQELARTKVVPDNPNPRWNETHYI IITSFSDTLDMQIFDHNDFRKSKELGVATFQLESIEELNVHENQRLEVISDGKARGIV SCDVRFFPVLETVKNAEGQDEPPPPSNQGILRFTVEQAKDLDGTKSLVGSLNPYAVMF LNGKEVHQTKKLKRTNNPIWDNGSKEILITDRKKAKLGLTVKDDRDLAGDQVLGKYQI KLDEMLECMEQGKEWYNLHGAHTGRVKMMAQWRPVAISGVASTGGYVTPIGVIRLHFK KATDLRNFEAFGKSDPYTRILLSGIEKARTVTFRNDLNPEWDEVLYVPIHSARDRLAL EVMDTEKVGKDRSLGMIELFAADYVAQDETGEYLVHDRKQLREDGLRLHGKGIAKGTL HYTVAFYPCLNVADPEDEEEEEREREAEREAEKDKDSSSGEEQSPTPQRSAEAGKFNA SLDKPKENHLEPPTPTTVGRPSIEMPKGPRKVRLSPEELLKYESGLLIFRLMEAEMPE SHSHLEVLVDDMAYPSYISSTARSKHHKFEEIGDCFIRELDFSRLTLRARKKGDDDDD LLASLAGNTLETLKQCLNNPTTLKLKGEDGRPASVKVSLKYIPVRMQLDPSESINNMG TLRVDVLDAAELPSADRNGKSDPYCKFELNGQEIHKTKVQKKTLNPTWNEYFEVNVPS RTSAQFKLTVWDYDFADKPDFLGAADINLESLDPFRPSETRYILDGKSGSVRIRLLFR PAYVQRARQGTSTFGGTFSSAPGRIVTGVAGAPIKGGAAVAGVVGHGVGRGASFLRRG IFNKKDNNNNDVIEEDSETVEPQGLSPNGSAGANGGLRRSPAINEDGSSNGSRPPTSN GHVRSKSIGQSSVHSANPGAAPSGTASFTVVDASGFPPASDLYIVITQLNPKEKTVGK TKHFKSNSGQWTFGETFKVPCSPDTQFKIEAKGQHTFSSDDDLGEHIYFVDESGSSVA KELSVGSGTVTLKSSFQSNEANLVPDSPKAHMRRSFMSKRDGRTSREVTPNP FPSE_11776 MNSLVSQYSRSSYEQNEPLDEQDELLNSMGGDLSIKFAMPPVAQ PSSWLRAATDDRSNPNCPIKIAHGTTTLAFRFQGGIIVATDSRATAGNWIASQTVKKV IEINSVLLGTMAGGAADCQYWLAWLGMQCRLHELRHKRRISVAAASKILANLVYSYKG MGLSMGTMCAGVTKEEGPALYYVDSDGTRLAGNLFCVGSGQTFAYGVLDAEYKYELSD EEALELGKRSILAATHRDAYSGGYINLYHVKEEGWVKHGFNDTNPIFWKTKLEKGEFT NVTSKLT FPSE_11775 MPRKMRSLLLSGHKRILLGGILRQRRLLTTLAIETSCDDTGVAV LRHTSQSTELLFNERISSDNRAFKGIHPIVAAKGHSVALAPLVRRALDALPAAEDGDN KSICYASGVRKQVPDFVSVTRGPGMRSNLGIGLDMAKGLAVAWDVPLVGVHHMQAHAL TPRLARALGMSMGEAEESRKGPEFPFLSLLVSGGHTQLVHSTGLTDHSIIATSGDIAI GNLLDQTARDILPPEIFDASEHVMYGRLLEAFAFPPGADKTSAYEAVFTPPASRSEEM TPLSTGYDWNIPTPFRQSRKLAFSFSSIYTHVHDIATARPSMSIPERRALAQHTMMAA FVHLAGRLCIALDDKPELQAAKTLVVAGGVASNKFLIHVLRSMLAIRGYEGMEIVAPP VELCTDNAAMIAWTGIEMFQAGYESELSITGIGKWPMDPEIGEGILGVDGWIKRD FPSE_11774 MNNATQPREPAHPPPYSETQPRRQEEWEDWEDDEPITPIDAGEQ VFPPPLNVGARNSKLAARRSSRASATRVNRVKSRQRQKAQNEKAGIKLITDMSSFRRQ NYMPTPVERTGKFVDAAALKALEGEPTSASVGNWNWFKKSKDQSPATASSQSSARPAQ SARTPDNNKLSPDDRPIVIGLAFSSEEASIASRYDATPTPIEPPSQQYLPRNPVNTSV SPLVPAQQKSVWSPDTPDTVSSFSTIRYTSSIYSQFPSPGTTTAGNVPPVPAVPANFK KSAHQRLISLELGGRTPDESDGGTPCTLFEEDGTPSPNKQVKAKTSVLTPDSAASKSR GWWDHHVVTPFMENRLTFTTSPKAYTESPRTIRGDEWWNKPETKSPEGYYLTPKLNPA SFQTPIVKAPTPRRTPSPRIEHTQECESGPSTARASPVPEATTFSEKPQILVTEEESV SEQLPAYSPPEKTGQTAPIRYRAVFPPGHPLQSQFPPSPSPAPASPGPVSPGLSSTMS SQRADQMTDIPLTPAPRDNLRLSQMPLPTRPLGTAVPREHPHSDAGFVTKTERQRRRN EKEESVARRLGGFWRGRGCVPKRGCFGRTGREGRQRRRVWMIVLGILLVIIILAITLG VVLTRPKHSEEVPSIWVNLTDFPPMPTGDLSIVGPDNTAERSGCTEPSTMWSCSLPKE QHDSVKPYNANQPTIMMQIQWDNSTRKNWDTPNGDAPSAKARRSMGSAVNAGNIIRAR QTPDFNPSPDPPQFKEMWFLGNTTDGIVSDEKGGEATPFYISLIDPAEEDARKELTKR QTAADLNVSIPDLLPSPDLTQDGAPKPAVLLPQPVQQPVRLYDRGLETEHYGFYTYFK RTIFLKSISALNDTKEGDIPLDEDGGCKQTEANFIVTWAETRLLVQIWTRTLAANQSK LIDSNGKGGIDSSAELIRPGTMPYPVTVTMDTHGGDPAKKFVWDWPIDDRQKIDAEKP ELLANDIGVGGSWINKRAGGDEKFGGFDGGTGGCQCMWKNWI FPSE_11773 MPLSRSPSPVPGGGWSSPGLSLDSGKSTPAAVSGGPVVWESAKM RPHTSSTFSSFSTQNKGFFSRHMRRLSSSLPQFQGQRDGWKEKRGRGPRWIRKVPFAG RIRSIYGRMGRRLKMILLFILVATLCYTIFYTTPLAYYWRRSFGGGNKFVIILGANVG GGVMEWKGAREWAIERDSVRNKRKYVNRWGYDLEIVDMSTKKKYAHEWRESWEKVDFV RSTLRKYPKAEWVWWLDLNTFVMEPSVSLQDHIFNQLEQVAERDINYFNPRNISHPLT ESYLDEISRSPVGDGNANSINMLMTQDCAGFNLGSFFMRRSEWTDRLLDVWWDPVTYE QRHMQWEHKEQDALDQLYQTQPWVRKHIGFLHQRKINSFPPGACNPDGGPKNPHIHYD ENQRDFLVNMAGCEWGRDCWGEMYYYREFSYWLNRNPWERFKEDLIAVIWFKLTGQKV KL FPSE_11772 MHTSILTLGLVAFLRGATASKNSSRSGYSITHTYDSTNFFEAFE FFNEKDPTNGFVEYIDADSANSEGLAGFVDGQVYMGVDYKTKNPSNGRKSVRVTSHDS FTHGLFIADIAHMPGSIPGVWPAYWMFSNKGTWPDDGEIDVLEGVSTQTENKITLHTG PGCTITNDGSDQSTTLVNDNCNAGGASEGCGQCTADNQNYGDGFNDIGGGVYATEWTS DHIAVWFFHRGRIPQDIQSGNPDPASWGTPTAKFNGGQGCNIDDHFRNNNIVFDTTFC GDWAGSPDVWNKNPETASLGECNDYVANNPSAFKNAYWLVNSIKVYSQGESNGGGNNG GNNGGNSGGGNTGEGNSGSGNSGNGNSGNNNSGNGNSGNGNTGDNNSGNNNSGDGNSG NGNSGNGQSGNGDAYNGGNGGGNNGDGQTYSPPENQQSQNGGNVWYVPTGDKQQNTNQ NGDGSSSGSEQSQSYDNGQYHGPEAYSKSRVHSHGGSHRGSTSWDGKGWRVSSRRSMP KRHLA FPSE_11771 MESFLGLDLTRNYSFFTVPAAFFIIALPHAYTITAAKGTYDNAS PRTHKNNIEKCENFTKSDKQFFYRAKAATENGFETLGLYAAGLVAANFAGVPTPTINA LGFSYVASRVAYNIVYLWLQADRRLAPVRSLVWTASIGFIITLWIKAGNKMLPL FPSE_11770 MLPVKYLIILFAPAALALPGDSAPFFASAPTVTAAPALEQALDC DYSYCDENHISWCFHFIPFTTIDPTLGPMPGETRVSVGVCGPNTEVPVPTY FPSE_11769 MGVPSEREAGDGPPASSASNPRRTPGNQSYARVAAQGTDEQSPL LRHDADTDNAHGEHDDSQTTKSIWYLLLLTISIGGLQIAWSVELSNGSPYLLSLGLSK SLMALVWIAGPLTGTLVQPYVGMLSDNCRMPMGKRKPFMIGGSVATILSLLFLAWAKE IVACASNILGFDPESQGVKTTTIVVAVVGVYVLDFAINTVQASIRAFIVDCAPAHQQE SANAMASRITGFGNIVGYIAGYVDLTRHLGFLGKTQFQILCAIACVALALTVFVSTAL IKERDPRLDGPAKKQEHGVISFFFTIFKSIKRLPPQIKRVCEVQFCAWVGFFPLLFYT SSYIGEIYVEPYLEANPHMPPEQLNKLYEQATRIGTFALLINSVVSLLTNVFLPFFIA PTYDSQPVTNVPGETPAAGYYDEDEDEKPSWLDKLAIPGLTLKRAWFLSLLLFAGCMF ATLFVRTVKAATVLIGLVGITWAMTLWAPWAIISAEISRRDALIRAQRMRQATARANN GGIGGDEEEEEIDQAGVILGIHNMAIAAPQIIATVGSSVIFKLWQKPRGTPGDHSISI VFALGGICVLVSSFFVAKIKDDAAMPADVMIEAEEGQSGAAEAVERRPLSRRKSTRDQ LPRATQARANLTRNKSFGGAEMH FPSE_11768 MAIREHFRRAMRSDASTSNIIDANTSGKITATMTKSSQNSDKSD VSSKSSLVNKLSRTWTWGSKDKDATKERKSKKGKKITHPSEKPLTAQNLQHQEMLSQF SFTFGASSPEQIEDDDFLGVSPCCTRAPSVVNFSLEGDSESDDQTSSSSSSIKSS FPSE_11767 MSGKSWYSLKSKAVHTRYGLTKNIQVLLQGLESFHAGIIDAREL GSMVRLSPKRRESVAATIAKCARMINKDPQESKTCVDIIEMCTEILEIADRPPPIDSF PFMRLPAEIREHIVDLMVDTVYKGKAIKPGARKVSCNCPKIEREYDGFQSPQMKALPS ILGPALNQEFFRIFFRKKTVRFRCCCELLHHLDNNPLLVHNVRDIKVHWCGPTSATAF KKLGECDRLESLTISISKSTLAYLSPRTDLMKTFFPLTYRHVRITDILGLDELLTVRG LKEVAVIHAQSKSTNLTVETDRANLSELLTHQLKKDKGYDPLDDF FPSE_11766 MSLVSGEKSNFQFILRLLNTNVDGKQKVMYALTKIKGVGRRYSN LVCKKADVDLNKRAGELTSEELERIVTILQNPTQYKIPTWFINRQRDIVDGKDSHILA NGVDSKLREDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKGG FPSE_11765 MSRQIQQPSNQIKLTNVSLVRLKKGKKRFELACYKNKVLEWRSG IETDLDNVLQIPNVFLNVSKGQTAPREDLDKAFGKGKSTDDIILEILKKGEMQVGEKE RAAQTERVHNEVVSIVASKLVDPRTKRVYTSGMIEKALDMLSSQAHNATPDKSAAGSA TGTPATGEAGEAKPRPQHNWTGVSTTKSAKSQALEAMKALIAHQPIPVARARMRLRIA CTTNVLKQAIKAPKGANKEEEGEQKAVGTVKDKILSYVEQVESQDVVGSEWEVVGFVE PGAFKPLSDFIGNETKGQGRVEVLDMAVTHED FPSE_11764 MRLSTSALVLGAASSAMGLEQQILGGDSKPLIDLDVNAWTKPLE KFFGEISSEAKAVWDEVTLLAPDAVEAFKKQVLTEPKKVNRRPDSHWDHVVKGADVQS LWTEKDGEKHREVGGKLENYNLRAKKVDPSKLGVDKVKQYSGYLDDEEEDKHLFYWFF ESRNDPKNDPVVLWLNGGPGCSSLTGLFLELGPASVNKKIEIVNNPESWNNNASVIFL DQPVNVGYSYSGGSVSNTVAAGKDIYALLTLFFHQFPEYAKQDFHIAGESYAGHYIPV FANEILSHDDRNINLKSVLIGNGLTDGYTQYAYYRPMACGDGGYPSVLSESECQSMDN ALPRCQSLIKGCYESGSAWSCVPASIYCNNAMMGPYQRTGQNVYDIRGKCEDGNNLCY SGLGYIADYLNREEVKEALGAEVSSYDSCNMDINRNFLFAGDWMQPYHQLVPNVLDKI PVLIYAGDADFICNWLGNQAWTDKLQWSGQKDFSHADLKPLKHAGKEYGKVKSSGNFT FMQIYGAGHMVPMDQPEASSDFFNRWLSESVTAYICRVIPVEFECMHACNRSTAFLLT QLEKNGLLAYRRRLLRVERVEASHRDEVKVSTRYERDESRVREMKGKYKDKTGWIRVG EDVEQRRRRREEGKDARAKRRKTGSLAAWL FPSE_11763 MAEHFKLLGSMPDSSLFSFPPANAPAPIAPLDVPTSIMRPFNIP EQWFTAALDAKVPLTIAILYAVTVKSLNIYNKSNGKKPWAISKTRPFFAFVVLHNIFL CVYSAWTFWGMLSGMRRSIQNPTGPQGLAGTADSFCRLHGASGLGNSVYYNETSNSFV SATEHAAIINGLPSGTVGGRMWNEGLAFYGWIFYLSKFYEVLDTFIILAKGKLSSTLQ TYHHAGAMLCMWAGMRYMSAPIWQFVLINSFIHSLMYFYYTLTAFSIRVPTPVKRSLT TMQITQFIVGASNTIAYSFISYKVPVAIIQKLSVPAYATTAAPDASQETIADGAVESI KKFIWGAAEGAAAPGAAPVASQAVTSESFTAETQYITQPCIMTTGETFAIWLNVLYLA PLTYLFVSFFIASYVKRSNAASKKSHDVNANIALAEKAGWDAAKGIEKEIYDGANTAN GSSADEASRASTPKKANGKSRRKA FPSE_11762 MAADLIMPTLPGDQHSRHFFPQQQRPTHQRNHSYQISVGPQISP INTQSSSPDSASSNPTSPRSHHARQTRPMYMPAALRPNLFPSKTSKMPIDDGASTSST ESENTLRRTNSSLINIPGMSVFGNKLARVSTGDSSQSSIDGDFDPEMFPEVKSQPTRK HWKPDSESTVCDDPTCKRTFSYFTRRHHCRKCGHIFCDSHSTFAAPLDHDAKFNPRAP LSRTCRHCCEEFKSWYTRNNSRASSAASSDAPNPTSTPIAAGFGDASDLPRGPELAAS VPRDWNWSTF FPSE_11761 MNKLTRLSLQLQYVVDHDQGPSQSTGGFSKKRRSVLVDT FPSE_11760 MSARTLSSRASALGLASGRRVSLRPLALTSRTVATTTAAVAPQE RPRDPSSSTPEIDLLQPQRRRIKDPKPFSDFLTDNFNRQHDYLRISVSERCNLRCVYC MPEEGVPLSPDRELLTTPEIVLLSSVFVSQGVSKIRLTGGEPTVRQDILALMRQIGEL RQHGLKELCITTNGISLHRKLDSMVESGLTGVNLSLDTLDPWQFQIMTRRKGYDAVQK SIDRILEMNRLGAGIKLKINCVVMRGVNDREVLPFVDLTQEKDIEVRFIEYMPFDGNK WSKNKMFSYQEMLDLIRTKYPTLQKVQDHKNDTSKTWHVPGFAGRIGFITSMTHNFCG SCNRLRITGDGNLKVCLFGNAEVSLRDILRKSNSGEPVDEQAFEAMKQIEMARRRDLL ASDRTPLGLAPNEMELLEVIGAAVKRKKAKHAGIGELEHMKNRPMILIDSTSQSPSSR NMPPRVQSLLRNGHFFTHGPSSFSQQRLFSQTRHVKSQDGSNKAKLTHVSSSGEARMV SISEKTVTSRIAKAVCTVRFSNGTAIGLIRDNQMKKGDVLGVARIAGIMASKRTPDLI PLCHPIALSKATVDLDVRGDDRIEIAATVTCDGKTGVEMEALTAASTAALTVYDMCKA VDKGMIIEGLRVVLKDGGKSGRWEME FPSE_11759 MQTSRVFKASPHCTASPDGRFIATISSQSIIVRSAPSLSIVQTI KLPPDFSTPVSTLAWAPSSARILVANADQIHVVSIVDSSFRAAIRNPAAGGGKQTLVQ FGAYDDEVFACAAFGLKFSIFDLPASKAIEINNPKFYLPSSASRGGRDAVSIHEPRTR RVLRSWFPETVDAHGLAWTPDGKWLLLWEASAHGHKLLLYTPDGQFFRSVGASTIAQV QDADLETGIKCCGLSHDASFCAIGDGGRTVSALHTKTWRDGLKLTHPATVVPKDTLQV WQEQLNTSSQGGASYTFLRANQMVSPPSRSVDGKPSPEVKTGCSSLTFDASSTLLVTR LDDAPTTLWIWDVTAAELRAVLMFHSAVEYQWHPTARELLLVTCQDDKYRGVSFVWDP LSEGPKPVSLGSHLPNGKLTGKPQAAWINGEPEFPVLLLSDAQNGVLVSCADAAQCPT PWHEGAAGDRTLGSVHDIADTVDVSALMADDTSTLDDTFSFKHN FPSE_11758 MPTEATVQADVGPGNETGIYYITICNLPFGTSWQQLKDWTRTAC VVDRIEVFQSSTSGWVRVRGRENFEKAWGLLNGGIFNGRSIIASDKNRNHPIKIRKLA IPQQRTQIQTQRYQHAPPIHHVLSAPMATAAPYSVAPEQYYMTGYSPANGPRFTSHSI PQGYAHQTPIIVTTAAPVRPATYQTAGPGSYYAYDMGTSPNTVTYVPQYRPERMQFSQ SYSCPDEHSDSYPKCGFPIEEPSEYVATEPRKLHVSPFPQQTQAYEVKAWIRWKVDKT NICSIEIPKNSNSRYLRGYVLVEFDSASAANTAIQQLNKARFQGRRVIARPTQEGAEI RQPGVSRETTAWSDTKLSGPNISTVPSYERDEDNRRDDKPQKQKNREARPTGVEKKRT TEKKLPSTSRKTAGSQTDKKSPSKSPSSNSERGRKDGGPVVVDGTCHRRDKQ FPSE_11757 MSSTQEPEAPAHNSESQAPLDTATVNPELDLPKLQSLPAEQQDL FLLTFVSSLAKHVLSLEADDCTAQQFYLKKEIFQIINLSSPHPSRVIRNNLGKCLAHI FEIGDRKLLFETINDLIALLSSGKSKTDAELRTKHAAVVCLGDVFASAGDSAIGLHQL ACSTLLKLLKASSNHAGLRAACLTALGKIVGMIEGSMDEVIARDIWKQGRNHASSDKG HLVVASACRTLKVLFKHTPYFLNSGDFDKLESAISKAFDSPSVQVRQAAAECFAEALF QGHSEGGLPEVASPKGKKTKKALKRQSTIPNIADDELEIPSRSASPAPSGKRSQVLAL SLSDILKNLASQYTKSTASNRTRAAIGVCYGKLFRRLGEKVVETNYLKIVESLAIDVL GYPAIANNRYKLLVSRKIVDVVVQDVIGRKILGESGQISAAKSLINNIFKNYPQALAE RPEPSKHTLIATLSAVSSLIQSLGSAANTFAESCRDGLLQVLQHPSYTVQVFASHCMK TLVLACPQQLLPCLSVCMNSLSRELSQLGSGRNSPRRCIGFAHGLAATLSASPARPLH GSVEINSRVLTIATNMLKSSSQAQLRVASTQIQVAWILIGGLMSLGPNFVKIHLSQLL LLWKNALPKPLAKDNTSARSFLEVSFLTHVRECAMGSILAFLEFNSRLLTVDVSKRIA TMLQNTTAFLRTLPSKKNSDDVNQRLAPSLQLSDLEMMVQRRVLQCYVKLVNLSPAGG SESLLQSNLLTLAISLFADPENYAPNSLSASIANAAATFESIWDVGDNSGFGITGLVA GPRVRKLPGQQENSDDPRDEFDSPDDTINNLLRSPICGSLEHDASVLYIGSTDHSSTT PDPPATEVINAAIQLFAFAFPLTPGKVQESILEQIRTFASAGTLIRDPGRKAAMNVNL AVAILSTMRVAVKETKSPSGDVSNQAVEALLQDIVRDFVLDSDEHVRSLGYAATARLC NVYGNAFTNNEIKYLVDTIVGNREPSARAGCAMALGSIQTQVGGMAAGYHLKTILGIL MSLCNDPHPVVHFWALEALSLASDASGLSFAGYVPSTLGMLVQLYVSETHHAEISSAI TMNIEMDLSTTSAIARCVDSLINVLGPDLQDALKSRELIFTLVNRFREEDDLLVQRAA LGCLEHLSLYAPGHMHFADYVKILQGYLMSESTTLRDVSVDGIHNMMKRNPQDVLREA ETGFEEQLWLVLDTAPSHDGIRNIIRNWMHSTCLAETNAWLQRFQAVLKMTRARPKTE EAQSGSRGGGLPDLQDEEVAGFATAAGAAKDEKETKATSEVEPLRWQVTTFALSCIDE MFAIIAKDVTANGESKAQNDLQSRIADVVRMAFSASTSSVLELRIWGLKIIGDVLKMF GKTPDPDFEEAMLLEQYQAQISSALTPAFAADSSPELASEAVNVCASFISIGIVTDVD RMGRILKTLVGALENFSKDSENAAIGDLKSLSSNAQVMVKISVFSAWAELQVASSEQH YLLDVLRPHIGTLTPLWLESLREFARLRFEPDISMTLGPPSLSGSLDSIYAALNRETL LRFYQDAWLKLVDAIASLIEQDSEFVFDALDGKESDGPAASGKGKSSDINYRDEPVAF FFVLFGLAFEALATRSGQSDSLAAHDQTLAILQALKKILHPSVSGHAIYRPDVFSETM DLLDRLVLTESLDIQGVIVEIARSLCIAHPSARRHANEEGDLSDDIDQLFELTRIIVL VLTGLLPNLSEGNQPARHQMNEEAILLIQSSLNALVDAAEVFPAIIKTDLHACIVHIF ATILSTPGCQELIAPQSLPTLKRFVGSMSKSRRSHSNGEPSSTDIQLQGCLRRFLSIY LNAQKRESPQSLTCVKNCLMATTILFTGGQNHLPASEALVARYLDELMDCLTDRMTAK IAANCVRSILLQPSSTGADLSIARYLFPRLVAFVADTDPEDPENARALVAHTLCQYAG AVDKGRRLAAITVVVPTLMARATAEGEEVYQETSARLLELAATDQEVFRAVVGGMSDG QKGFLEEVIRFGRQNTSQVSKAAAGDSGQPTIALKMNFGG FPSE_11756 MASRQGLSSLLNTSRPITFIKQSNLTLCRQCQRSFATTPAHWAG HNKWSKTKHIKAVTDKKKMSERAAFTKTIAMYSRMYGENIQFNPQLATAITAATKASI PKNLIEAAVARGQGRSATGAQLESMSLEALMPPNVAMVIDAETDNKTRTLHDLRHVVK KAGGVVGSTTFYFTRRGRAVFEAKEGGPTLSEVLDEAIEHEGLEDVEELPEGDFLAWT QPNTLTAITEALSKKFELEILESDIVWAPNEDTKVSIDTADQIESLDALFNGIKEYPE VKAIYANVRQGAVADEEWDKVERNLDV FPSE_11755 MGGLNLEVFKFGTYLMFPIGIMFYFGTNLDNRFAVPGFWPRPDQ CNKIPKDRDEIKAEYERIVARQKLRQARKLEEERQRAAQHPGNENNES FPSE_11754 MDEASLVNSLSQRLSELEDKVHTFRHEMAADFMRYYHELLRDTQ PDIASNVAQSLAKSLPKHPDLSSVLNNLDLDLGLDSRTLTQRHLSPPATVATSGSGAA EPPGSPHDRHHDELLFFLPLLEGSPPRQPTSPPLTDNHTHPDMLLPEPSIQEEEKKGA ATGNEQKQPAQSGTDQLENAISTLPQSPGRPGHVRRSTNDTVSSSHSDRSESKTPRSA LRRSSSGSKPPQSPRRVRFDVMGAEVLPTASPQPTDSMIPAAAPVLSVDQARTDSMLD DDLEDLPPPRKISSSEALRALSREPLEAGVWTEVNSNPDQTAGGDSETPAPDSNSSPG RQTNPMILAPEPPSSRHVPESDRIERTLGSVSEEPDEDEESSDDDFLSMAKRKPLTGK APASPSLNRIPEEGHSTEPTARTVSSTEASSSRAGKELRAADDSEEDTEEEDEMFHFE DNDETSASTKPKPKPPMAEEEEEMEVDLPDVPESEQSLYATSPAVHITKRPSESAPTT PTIARFQAGSVGSYKGRPVIMPVVRNPEVHAQAASLGHFNTFVGGLDGRSGMDEADLS SFRASVGNTVFSGTPRSFTERLMMEEAQKARAGSSKLN FPSE_11753 MSTITPDALQSGQAPVIPLSFNGNQPEKVTLYPLSNYTFGVKET QPEEDPSVIARLKRLEEHYTEHGMRRTCEGILVCHEHNHPHILMLQIANAFFKLPGDY LRPEDDEIQGFKSRLDERLAPVGRLGEGEEAGDWQVGDCLAQWWRPNFETFMYPFIPA HVTRPKECKKLYFIQLPKQKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLSR YNFEFVDENGNVVAATPGSAAPDGYVPHVKVLAGDDTDMKEENGTS FPSE_11752 MSWFQKQFTLPAKSRGSYLITDQVVSSLPEIRDYKVGLLNLFVQ HTSCALSLNENWDSDVREDMSDALDRIAPAEGPKGEVLYRHDAEGPDDMPAHIKSALI GASVTIPIKDGKLATGTWQGIWYLEFRAARHQRRVVATIQGEKA FPSE_11751 MATSQLRVIGVLARSARVSQRAFTTSARQFEAVVSTKTNEASPA AADENKPAVINQAPNRVGVWSRSQKPRAQAMTGPRFEQTDFDLQPQPKAAIEMIHKQP VTWTHDRIVACNGGGGPEGHPRVFINTDKPEIAVCGYCGLPFAHEHHRTHLESLPETS YPLS FPSE_11750 MAPETPRAVSSRLLTMKFMQRAVASENSSPASETHSSKKRKTDH SSPAGRLDLNIDQATIQAALDAQETKRQEALEKHVGADTRWVLNNAFAGSKATSQAKT PMNVVYVGYGDFDTSNDSGDNEDALTKQEPQKSSDDDEDSEDENSDDADTPPQGLKRK PSTDSPSRSRSRSQSRPGHENSKAKEFRDKRKKKEVKLSRPTMISGGGISSGGGNQFS SAGGKTMTCYKCHQSGHKAVDCTRRG FPSE_11749 MPHSQHGEDASATAAGSQIPVTLIADSLEKPSLDDRSYRVIRLS NELEALLVHDPETDKASAALDVNVGNFSDESDIPGMAHAVEHLLFMGTKKFPIENEYG QYLSANSGSSNAYTGPTSTNYFFDISAKPDNDQDPSDINPSPLREALDRFAQFFIEPL FLPETLDRELKAVDSENKKNLQNDTWRLHQLEKSLSNPNHPFCHFSTGNFEVLKTLPE ARGINVRDKFIEFHAKHYSANRMKLVVLGREPLDVLQKWVAELFSPVVNKELPPNRWP GELPFRESDLGMQCFAKPVMDSRELNLYFPFIDEEFMFATQPSRYISHLIGHEGPGSI MSYIKSKGWANGLSAGAYPVCPGTPGIFDVQVRLTEEGLKNYPEIVKIFFQYVTLLRE NPPQEWIFQEQKGMADVDFKFKQKTPASRFTSRISSVMQKPLPREWLLSGHSRLREFA PDQIEKALSTIRPDNFRMVIVSRNHPGNWDQKEKWYGTEYRHEKIPDDLMEDIKKAAA VSPKERLSALHLPHKNQFIPNKLEVEKKDVDEPALNPRVLRNDNIARTWWKKDDTFWV PRANVIVSLKTPLIYASAENNVKARLFTDLVRDALEEYSYDAELAGLQYNVSLDSRGL FLDVSGYNDKLPVLLEQVVTTMRDLDIKEDRFEIVRERLTRGYNNWQLQSSYHQVGDY TNWLNAPERDFIVEELADELSSVTLEGVRLFQKQMLGQVFIEVYVHGNMYKEDALKAT DMVESILKPRVLPEAQWPILRSLILTEGSNHVFRKTLMDTANVNHCVETWFYVGSRED REVRTKTLLLDQMLHEPAFDQLRTKEQLGYIVFSGPRAFSTTYGFRFLIQSEMTPEFL DSRIEAFLMRYADTLEKMSDTEFEGHKRSLIVRRLEKLRNLDQESTRHWSQITNEYYD FELAQRDAAQIKLLTKPEVAEFFNKHLNPTSTRRARLSIHLQAQGKAEGVDKRQEEAQ KKADEEPSAGDAVKTAQEVTDVRLYKAGLTASSGARPVKDISEYADTDAKL FPSE_11748 MCTDTDKRFMPFSEFLFAVSQLKLALKLYVNSCITLARYGWNEP RPLILSAAPGALIHIYLRPKCLLNTGKQPCSPQVLVNASASQLQHPELPGQLAFKTSS SKKRKLLRKDEPVEPIDSPSPPPDSVLPSCEVDDDPAFSFSASVADTQPASSLPPRLQ NQNSSFASADYASSAVSSPCASAYADLSIESDRGGDETGPARTLAKSQSPLRLSHRAI MNGDADLPQRSSSPLKRRASSMDPENEADRTRDVDMGSSQVNESVEGPSQPSSAATLP RAMSVDVPEPAAPSADDTSLPQPVPSLQEQVKIIETLLKAFRDSQPKEGDKAYLVSNT WVEKALALRGDLKDAQENDSTSNPLGPVDNSDIIEEIVTEPTGNEFIRLKQGTNENEF TLFPEDAWKMVVDWYGVKDGQNAIVRAAINTAELGDVPVIQFEFHPPVFTVHRLWSTL SPLPIEQSLKARNPPPYKFARSRKYHAQTFIKELKTATGVPMDQKIRLFTVPEVQQIA GPSEPSRALTPPDSPGRGESSDETADSWSKLLLDIASFERVRDTKIQCTLEDKTIQPN FNGGSSLSLFNLVTDQTLVIDEAIEKVSWVSTYTGKSPPNGLAIPTRSGMASSNASGR SSPAPGGVLTRGRMGKKSGRSIGVVGLQNLGNTCYMNSALQCVRSVEELTKYFLTDEF VDEVNKTNPLGYNGKVATTYGHLLKEIYSENRGSVTPRDFKNTIGRCRSTFSGYGQQD SQEFLGFLLDALQEDLSRVKKKPYIEKPDSTDDMINNPEAIKEMADKVWDITRCRDDS VIADLFTGLYKSTLKCPECGKISITFDPFNNLTLPIPVEDVWTAKIKFLPLNDAPVMI EVELPKHSAIEQLKQFISIRTGIPPERLIGGEEYKDRFFKIYENTNDVSEEISKSDVA TFHELDATPTNWPHKTKKPRSMLDVGTSLETENWDDSRYEQMVVPVFHRISSTYGRGR EGVAPPSFICFTKEEASDIDLIRRKVLEKVATFSTWSKLRDVTEETSDNADGEVVISA SDADSSGDSKVIATSVESEDDIVDVHMKDDSDPLPHQPQILKRFNTARPKFVKPDSFL DPELENLFELCYFTDKPYTGHVPTGWSQVDHNQLLPKLADRIPQPTPEGEDTASRDDE SSTPSVNEDASSTDESIKAEVTQTRMAEDSSEEDAQGPTRKFNGRSSKMGPHGRRGKM KGQKYGKKANNKRRERIQNKKNKAASVKSQPQPPAVADGGPLIRLGEGLVVDWNDEAW EKAFGGSSKTSEEQGAPTYLTLETLNDTALKIVQRRRKHRRTQGITLDECLDEFERAE VLSEQDMWYCPRCKEHRRASKKFDLWKSPDILVAHLKRFSSSGYRRDKLDVMVDFPIE GLDLTSRVIQKEDGKDEIYDLIAVDDHYGGLGGGHYTAYAKNFVDGRWYNYNDSSASP VSDPASCITSAAYLLFYRRRSSTPLGGSRFGVISEKYRNSEESSEVEDAEAGEGQRLG EGPSLVGLSSVGIAAAATRLPTGGSDRVTVTSYPGPDDDEDELPPYGGAEDEGVDVKE GYRQLDSRQSMNLTQTWDFKGLIDSGAEDSTGADIGSDDVQLDSSADERGFSQSDEPD MAMTGHDLMDVDRATPASHTLPDIENATGDHKAVIDVPTAGSDRDSSEVAEIHLENEK GTKAE FPSE_11747 MSETRTLSNQSMGFASFINPRKSFVSENHHNSHTVKRFGLIQTG RKPKKSFNTPEEEAPPAITSRRPELDLQASLGRYW FPSE_11746 MERASCHILYVNRNVSEDGLIRRASGDAASDWATDEARQVVQPL LDAFGDVHVCATGGGCLTKLFELQEGSMLDLKPTLVLLDTPKDDSIPDRRRRLSSPSP SCNSSSENVDIHTPDEDLYGLGLLQKIITEAHLRGMSKLVVPIPVISNSEPEQPYTNG QMTDGTVEHIRLPLAPLDTNRQLIRRCLDLGAVDVIICPMSTKCITSLEICAYRAHRD AAKEQSTLLEIRQGRKRSWVGVNEEKPFAYLREAMVSGLMKGICRLGTSDDQINNAHV AVSSERQSAIAEAIGTWHFCAHSFTDDELLVAAMDMFRHALAMPELERWRIHADQLIS FLVACRAAYNNFVPYHNFRHVVDVLQATFNFLVHIGALAPYPSGGEPPSMAERSPMAS LITPFEALTLLITAIGHDVGHPGVNNGFLVTLNAPLAQLYNDRSVLESFHCAAYSQIL RRYWPSAFEDTKMRNLMISSILATDMGLHFDYMKKLGDVQERLQASNTTDGWNGRQIE ENKSLACSLLIKCADISNVARSHEIALQWTYILSEEFSRQASMESELSIQSSLMTPPK QDMASLAKGQLGFMNLFATPLFQGVADIMPTMQYTVDELEMNKSLFELKLQQEKEKQP LDDPVRRRLLKEGTFSPRTMSFAVPQEEEKEEKRDMAPLFEALDRASDTTPVGNGELP MPRSESDDLSPADSQRTMGPSPVNGAAVESKGSDGSVSTFDAVRELANSDPFQTQTRR DSAKQRSSETTDGSVSGACSGDWVSQATSATTGKMPMSPSTRGTSIVSRDSTEHATGI PKINVDTASLKESSGTLRHDYSPIDDETRSDASTTGGSIGKAEGKSLRKKTSRFRIKD FPFFRKHKGANSPSTADTT FPSE_11745 MQDSAENEETYETRNLADFIGQDLPKIGEISRSERLFFIGTEFS NLNYLVRHRALRMDQKDVMHFGTRRLARKIPSVPEEALKLPPKALADVLVRAYFDHVN RGFPIVDEGEFMEIYTGLDVPKLVSLPLLNAIFLVGAHVLSSTREDCRASAHVFFRRA KLLFDYRFEQHRETYLQVALLLTWQCDNLEDIVSNSWHLVGVASRVAFGMGMHRETRP STLNALDKRQWVRLWWCLFQFDVVVSASYGRPQAIHLEESDTPMLDESHFQGIPDGNA TFAIEHTKLCIIFSRAMKRRVTLKATEADRAAATKQADEELAEFITQLPQSLQLPPSE PNSWQATLHLSYNNFLILLHRPRPHQEPSQFSADAATNLSICNDAAVTINSIFESLRS RNTLCDLWMPSMHVLFTCLLHVATELNSPNPLVAAKSSRMFDSLLHTLREISQYWIYA KSLLRLFEERAMWTKRPRSRTLGPEQRTSPDTDGADTSGFPLQPNPLGDPSSIQNAMQ IPGGPAYGFNFDFGGGLGSQDAQQGLSYDAGFVGNDLDMTGDGDAEEMNLLPVPSVLE FLLAGVDNQYDF FPSE_11744 MGVNETQLFGQDATRDDTTTVDIENRHDSSDESAWSDTESWDPL KLSPMRKLHIVIAGFTCTFNGNFGSSMPSGALDVIAEKFNVTDPVYLILLNSLYMVGY VLGPLLFGPLSEYIGRKPVLIGTYLGYILFMFLSSASPNYAALLVFRLLCGISAAAPT TVLGGLYADILDNPSTRGNAMALYMTVTTIGPLIGPIVSGFSSTVSWRWPFWIAGMMA VAGLPIVVTIPETYAPVLHNKMVKAHIKRKDKQNKKNKVESTDEEPLELKPFNVRKIF FRPMKLLFTEPILASTSAYLTLAYSVFYLMFQAYPRVFQGFYDLSPGMAGLAYIPFCV GVLLSLGVFAIYTRYHDQQTAAGAEWTKNHIYRRLPLACIASPCMVVSLFWLGWTVWP SVSPIVPSLGGIFFGLGFQLLFMGMANYLTDVYRSHSASALGAAAMLRSIGATTLPLA ADSMYTNLGIHWAPSVLAFIALVMGVIPFIFIRYGDRLARSSKTAREAFSIQE FPSE_11743 MPVQLLPASAAAFAPRASSVNVVLGSKIEPWLTQTLKRINRVKR PLNSVPQHQRCLTETLSSPNAIWTLTSLMLPKTPECEFKRDAANPLVEAIMNYELVHV EAYIVHVDMVLRNEVAFKLTKDSIEALVEYHKEIHCVDAKANTYDWTDKEQQCKKLHE DFVQDINKFVFRTHVSALEGLEEEGAGELLCGKSEEVKNNISALMKPLLPPPPPRVIE VVRQPTLLPSSPAHSMWSQPSYHGNLAAVDSWQVLPSSPSVTSSADSNTSPIWAPMTM SDLSPTPAFTQPHSTAGFYWSSPPVTAPIPALPLPSMLAPAQCGIGMGMGGMGGMGNM GSMGSMGGMSGFGWDRYQEYATIM FPSE_11742 MTVPQPHPVATALPDAPPFDFFTPLQWDVLFALVDGVLPSITSE STVTDEQGQIQLPDHEIDHVLETSTKSLAAPATRDNIRAFLQDRPAYDERVRENIMRT LAFSPPAQQKRLAGLLSLMSTRPGSYFITGYWQPIYNQPAHVREAIIKSWATSPRERW SALAKTMSSLSFKSYAQTSSLLSQLSGYSDTPQHWQPKQSFDYSFIQIEAGDEPHVIE TDIVIVGSGCGGGVSAKNLAEAGHKVLVVDKAYHYPAKHLPMSQEAGCAHLFDSGGFI TTEDSGATVTAGSAWGGGGTVNWSVCLKPQDFVRKEWANSGLPLFTSPAFDESLDRVW EFQGAGTDQIRHNHRNRVLLNGSSKLGWASAPAPVNTGGREHFCGQCHLGCGLAEKRG PATSWLPDAAKAGAQFMEGFQVDQVMFDTDGQTAIGVEGDWLSRDSEGGVSDPVGDRV KRRVVVKAKKVILAAGSIWSPVVLMKSGITNQHVGANLHIHPCNFVTAVYKEETRPWE GGIITSYSSEFDNTDGSGYGTKLETTCMVPFTIMSQPSWLGGLNAKLHMTKYRHMDAW ISLTRDRDGGRVFPDPSTGRPRIDYITSDYDRAHTMQGVEGLAKICYVTGAAEIRPLL PNLESFIRSGECSSEHSLDSKGPVADPETSDPAFAAWLVRLREVGNKPPTTTWGSAHQ MGTCRMSASRDEGVVDEKGRVWDTENLYVADASVFPSASGVNPMITIMAIADWISRGV DADLRA FPSE_11741 MDKGNDKARILLAGCGGIGCMAALNLEFGGQAQVTAVLRSSYQI VEKQGFTINSVDHGQVRGFRPTEILSVVPDVSQSGILPFDYIICATKNTPDVSMPVAE LIRPAVTPGYSTILLLQNGLNIEVPLLEAFPDNVILSGISVCGSSEPESGTIEHNIHD ELWIGPFRDVGNAADRAKDLVARYNAGGRCTCHYDSNVTFSRWKKLLYNAVYNPVAAL TRLDTGDLQLCPGLVDEVVRPGMKEIQAAAAAYGQEITDAMIGATITTEPIEAHVSPS MLVDVQKSQYIEFENLIGEPLRCAKVRHIATPILQNHYSLAKSYQWKLKSKRDS FPSE_11740 MGNSMSWFSNLLFSKKEIRILILGLDNAGKTTLLYRLKVGEVVT TIPTIGFNVESVTYKNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVVDSTDIERLHT ASEELSAMLNEEELKDAALLVFANKQDQPGAKGAGEISEALRLGELRDRNWSIMACSA VDGSGVNEGMDWLVQTVNQD FPSE_11739 MPSHKSFRTKQKLAKAQKQNRPVPQWIRLRTGNTIRYNAKRRHW RKTRIGI FPSE_11738 MSESTSPQKVWLASNDSANIEVDRVVAERSMLIKNMLEDVGDEI TQGNPIPIPNVNEAVLRKVIEWCDHHRNDPPQAQDDESDARKKTTDIEEWDQKFMQVD QEMLFEIILASNYLDIKPLLDVGCKTVANMIKGKAPEEIRKTFNITNDFTPEEEEQIR RENEWAEDR FPSE_04803 MWRRTYLTLVLIRLWFALSPSYLHPDENFQGPEVIAGQIFSYPV RHTWEFTSENPIRSVFPLWPVYGLPMLLLRWLWIGNGQDGEIPPIAVFWTLRVLMFAI SFVLEDWALHELIPSPKHRRVAVLLVASSYVTWTYQTHTFSNSVETLVVAWSLVLIQR VADPRQRSCVLSATVLGIVAVFGVFNRITFPAFLVVPGLRLLPVFWKRPTSLVCLTLA AAVTTVIAIGLDTAFYLPDSVTWTDLIHRPVITPLNNFKYNSATENLAQHGLHPWYQH LVGNLPLLLGPAAALLVIRPKPSIRLWSAMSGLVVLSAFQHQEARFLLPTVPLFLSSI RMPRNQTVFYIFTAVWIGFNLVLGSLMGIYHQGGVVPGQVFLSQQPDATQAIWWKTYT PPIWLLNGKNEFLTTRDVMGLKGELLLEQLSQLATCDTPADRRNQEYLKEKNGTYLIA PASATWLDPYLSNKGLEGLRFREVWRYRKHLNLDDLDFGDDGVWDTLARVIGRRGLVA WRVTKSCPK FPSE_04802 MSKITVANVRTQVGELLEYSNETKKRNFLETVELQIGLKNYDPQ RDKRFSGSIRLPSIPRPNMSICILGDQHDIDRAKHGGVDAMSADDLKKLNKNKKLIKK LARKYDAFVASEALIKQIPRLLGPGLSKAGKFPTPVSHADDLTGRINEVKSTIKFQLK KVLCMGVAVGNVEMTQEQLVGNIMLAINYLVSLLKKGWQNVGSLTIKASMSPPKRLY FPSE_04801 MKDTSQQVDDLIANSNHISKWVEEQPSEDQASWAQSIFTKLLEG AAQPRGSSGNSCVKLCGFVEQSSKSQSQSLRLWAYSRDVTIKLFNFYVEWNESDNHRS MKLVLDLLPQLIRRNPDEQAGQATKAAILDNLVSVVTGKSSKPLAKSAIKALDHLLTK DIVTLDEIRSSYVTLQQKNNSNDPLDAWKPFIFELFHWMTLHFVCPTAGRFIVSLYRG LRSQDQQDTQVQLSIETWHKWLLEAVNEEPSILESVKNYIFLPLFKADKAEALGFLKR MNENEAVSAGDEIDLNLPALLQLAALEIGKKVGLVEEPALGDDKSVDSDSSIVLDEKV LESVLAHPSHEVRSLALSLLMSSPSTTRPYSSTALSLLRKHLATYFADSDAKFRNEVA GKVRDMFKRVRGAIFVLKKSIPRAAARKQKEQPGQTQESQHILYRTNLISLPEAQLVH CLEYHEKFLSWYMSFLCSELTPTASYQRHSASLKAVTFILRMEGEKSKTWETADDQTL FFDQFGGSWLRALSDLIMDPFDDIRSHAATVMKWIFSDSRYRNFHLMAQQDKMSPAEE LTELLKRSEELAHKTARADHSDGVARVSQLLYRFSEKEEQRVALLSKLIGGLEGRLGV AEKDLGRAVLDAPLHGDFASLCYMWQVVSELQFSESELQSVQALQETLVTCCERVWAA VRDILCDDSPEGHLPQELEEVDGIDTKDVLSYSFRSVHEASNLMRILIMSIKQRSRQG RICPSREAYERIGNLSFTQLATLRHRGAFTTVALTFSTCCQLVKHLSQGEDDAASGTT LLEKWYSGTLEAINAQVSTTRRSAGIPAMMTGVLSANAAKPSFEQVMSELMAIASQEA RVTETDGSNLPQVHAYNCLKEIFKSSFLTSIGNKSEKFLPQCLELAANGLKSELWAIR NCGLILLRSLIDCLFGSHQSKATMEAGWDGKANRIAYHRYPSLPTTLLHLLKSGHQMM ASIAASSAAAESVFPALDIIRRAGPPEVLREELQIHIAKYLASPVWHVREIAARTLCS CLLHAQWLDAITSLAAASVRSQIGNVQNHVHGVLLALKYTIDRLSEVMPERLQQDTPK LSGFLIEYWREIQVLDSPEIPATYLEVVNLVRALPRPGAVERLQFEFPVFNKREGALL RAQRVIHEVHSIAEGSGQVEDINALLLSKTMGVNTIVAGLETIPKLWNISRLSEQEVN QFCNLYRDVCLKIGPAEPRVIALQNLTDILDQVIKSGNFDLVSADLLSQVWNSLPLSL LNPALANAIVRISGCITAILSKTKAISAEGVKNWGHMVADAGTDDKDFDTRLATVESL CSFFNSIGTEQSWAGEEHLPAILALYDSLNDDDDEIRDAGTAAVKSILGQALVPIEAA NRLLSWLAQRFSNNLIFRQIIVSRIMGDTRYPSPELNVIPVKDQLQEAMKFDDSLFVI EEQNLFVDEVRETQRWISVYESLEWDFDSTLEALTTWTSAGLEATQSLASQEDGPLGY ASKPEVFAILSRVIRTSAALTRHHANAEFCESINMSSSALHNGQRHMSGLLLQPLEKI TQV FPSE_04800 MGGTHHEPLGAMGPVEWEQVPQDDLNEFIADIFSETQTVVESIP GPAPKESSTTGGRARSKTESAASAPEAKRALTLRQTAEAISHSQDLQKEWKEIKVNAK DNPLGINVYKLGAKDGRGAWFARRSVHEGLSFDDWKKGLSVEFSETMKVQGAPGSGNI RGIGADKRVEEKTIGDHGHLQVFQLSAQFPGPTAPRDFVTLLLTSETSVSPGQGSRPL RQYMIVSKPCEHPECPPRQGIIRGYYESVEVIREVPIDNFASRRSLSSADLLERDENK LLSQNPGTEPKPLNKPPTAVEWLMVTRSDPGGSVPRFLIEKGTPPGIVGDAGKFLKWV TSKAAHGFAEPEEPAAAPKTAGGTEAPVSEKRQPSTTPAPTTNIEANKVKTVNLQNQD DPFPGSNGLYGVITGAIGAASSYIPTSLLKTWGTGSDMASSDTNGSDIHAIAEEHHHE VDSDTSSIRSFASALEKSVTAEGKANGSAVESHSETSHSNPQQSLADKELKKLEHKKK KLDEKLARIEERRQSKLVGDKEKDAAVLAKLREKHEKEVAKQEEKYRREMRKLEEKRE RDQRKAEERRRKAAEQEEKNNLSLELERVRAERDVAQRQIELLEGQVGELQAQNTMLV RKLGKNGLLDGVDSPASSVKSLQRANTEI FPSE_04799 MPESQPDSPPAVANQAGRAIPVGLNEAALDSPTFRVTAANFSDQ VDAIEKWLNGYAQSTSKLLHDILALEETINTFLVKTMPSVSDGVVDNDYTFLALKRVG DGWREYWIQMISTMKKMDGTVVDPIRQFIVSDLRNFKECRRAMDQAQRTFDSTLARYV SQSKTKEPSALREDAFAVFETRKAYIKASMDYCQLAPQLRFSMDKLLVKICSERWAQL RRTREAAGDTSRWNQEMGRIQGWSNEMGASEMVFRRELQSARREIGENALTGFKPSRE LEDYSTSTVPFLGSRGPITVRLEEGATVVSEKQGWLFLRVLQGKPARHTWIRRWYYCR DGIFGWLIPGPLGVLQGDEIGVLLCNAKPAVAEDRRFCFEVKTKSQTILLQAETQGEL TEWLEVFEVTKKRAFETTMNRNNASLPGSIDPAFSISPPSIPEFSAKSLDAQIGIYDE STPNIDRTNTLPVPGPEGNLSTRQSFDVNGSLSRRTITSLSRDLAREEGESGREHAAR IIQKLDLHRKATFGNQGPDGMQGGSASTTGLASMMATSHTLPPGFPGPIASTSNFRQT PSMLPSVDTQAGNLAPATLAKPPSMTGLSRIAVLTAGDRQPVGNNRKLPASVVANYWG SSLWGAMNAPAQPVLPRLDEDDPIGVVVPGSTVGQSLQRKDTGEYFPRNYPSELRAQH AQFRLLFPDAPLEEKLVLVFRAAWTGSPERGPGKPDMAGDGRIYVTPDNMYFYGQQIG LVTAYSISLDIISEVTAAPGRDCDFIFLHLNENANETGYTRITIKVFLDDLGLLHTRL NLLIDDIQAEEPMDVEAIITTLINLEKEEYDRPSPSVESWEEVSANTPMDDGTSTGRP VARRMEFSPPSRPSRSHPRHNHKLHLPVRPVIYEPEGMKEMAAERHFEISAKSCFHVL FGDKSFVFPKLYFERRAQQIAQGPWVLVDQGRMRRDFQFKVDYKDVLGRSKTADVNDY QIIDVFSDHVTYVVTHVKTAWHLPHSTWFKVVTKVVITHVAKSKCKLAIYIKTDWSKN PALSKNLIDRQAIHDAASDAEELAEVATDQVRKLGTRSRTNRAIQVYGQIGQQTEVVV FSPAATDSAKKQAIKPRTLTTMVFETIRSFGESAVSSVIMWAIAVLRNVFNLITAQWI ILALLGFSTLTNLLLTSSETSVWWKERRAVGFMRDVGIRPNTMMSKAIYFADLHEASG NNQALSFPDNSTCFSTFKDLLDTTDMDTPWEDAGASLASPTSRATARRLRRTRQRLGT YRHDLLVAMRVVNSIEREMLQSEWENWLVDEKLLCEDLDAMLDQQDETKGRKKGSENG KGSKGPQKVTEPIPAQRRQVLEEWRDSYCGSCKQDYQVVMKGRKLSVL FPSE_04798 MSDAQANEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QVSRAAKMLAEEYGTASNIKSRVNRQSVLSAITSTQQRLKLYNKVPPNGLVVYCGEIL TQEGKERKVNIDFEPFKPINTSLYLCDNKFHTEALAELLESDQKFGFIIMDGNGALFG TLSGNTREVVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFITAD KVNVAGLILAGSADFKSDLNASDLFDNRLATKVIKVVDVSYGGENGFNQAIELSSETL GNVKFIQEKKLIGKYFEEISQDTGKVCYSIEDTLKALELGAVETLIVFENLEITRWVL KDNNGSEIILHTTKQQEQSNRDKFLDKETGQEMEIVSQESFLEWIAEHYKDFGTTLEF VSDRSTEGNQFVKGFGGIGGLLRYKVNFEQLADVSDDDEYYDADPLVTSEGSTQVHLS TYNSSAMRPEAQRSTDAAPMSIAQQCLVERDSLSPLHSSHQASRPSPLRTMMTA FPSE_04797 MSFCNSAQRCSNPAENVQDPDMNGIGVVLSFVITAAISVFVAIM AVVKRGIPKQQYMRVDEKVLYWIGVRKPASDAPSNLGYQSLLLALSDQMLAVGLCYLI ATYVQVFNVSLYSFHVGAQLGYLSSCVHLCTLLVLKSYFRKHPKQAILRGALMMIFMG LLASTLALDIITLSEPRARLFVCGLHNPTRNRLERLVPRSFGIVVLLMFIYWNAFRSI KLDASLSYSPESRALTNIVLQRIYSSHQGQADLQAYFERREDKVQRDNRKNATILEQQ AKSWKAFSIVIPPVIGEMFGSVLSELLIAICSFCVAIYSLVTGLFYQGVDTKELFTAS FGQIMPMLLPIVIALTAIEVGTIKNFRGIEIETEIKKQPASIHVPPVLEGVASSSRAL SQGTQRNLTSIAEGGPPKTYGNSIMPMASASYSQPDLSGNAGFGSRVDSMTRWMTPRR TNTLEIEEGKTFLFPSQEPTADILDIALRSAKVATYTAICFILFFVAGFIVLVFFFYE FAVPAAAGLVFIGFILDLFWSIRGVNEVKRQRIEKETRMEWN FPSE_04796 MESGPTELAATIQAASIEHNPDIKLDTNPRTAADRRQPMTLEHD DGIDEDDDEEEDIPYSVLRPAPRHSNLPPLPDLRFEQSYLRSISKADTWWKVALITTR DQILMPLTQGLAYNLFLCGWQHWNRNAQLHGNTVGARVRRWWWGVNNWHIPTHRKRA FPSE_04795 MSAEDDSSDLSSLSSLSPAPSDIELEEPVKKPVAKSKKGIQKYF SKVKEQPSKDASPPPRKRSPSPPHEYVLADNPDIAFIVMFRSRLNDAFPKSLAHFGPQ EIERDVVESIPGDRAEHFLCALLGLLLNRKQDVKPGHYGRALEDAISSHKNQWAPAWK DKSPLSGGATFTSMDPTQRLTLLRYLILWTLSSSESVKGLINQSYKQNRHEDDLNQPR SVQPWGSDGDKRRYFLIEGQDDTAFRVYRESNPAGTNRTWYSVAGTIEELRALAEKLE AKDGGPKAKKLAQKMYTAIPRFEAGEEKRKRRDYRQMRKEQFKRPEPGFSLYEGRTRG KKMKYTYSDDEDVFTTDSTTRRSTRNTGTHTPVETGPLTTASGRQIKAPTRLNPTTGS SAPGSVQGDTSEVEKDSSVGPTGRPRRSAAVNHGTSGWAEPKKTSRRHASDDSDEESE AEFGDDEEDVDEHVPEGSEDEDELDEDEVMADDDLDEQNQSLVVKLSVTPPKLRNVLE PNAQAGNKLPTPSSDKAAEESRPLGETVVEMEDAPAATPSKEPQPTAQQSPSQDPDFA EHQNSTPGTIHATSLAFRGSPEKTHAQPVPRPLEKQQ FPSE_04794 MGRVIRNQRKGRGSIFTANTRLNKAPAKFRNLDYAERHGYLRGI VREIVHDAGKFPSRGAPLAKVVFRHPYRFKQVTETFIANEGMYTGQFIYAGKKAALTV GNVLPLGEMPEGTVVSNVEEKIGDRGTLGRTSGNYITIVGHNPDEGKTRIKLPSGAKK VVHSGSRGMIGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGG NHQHIGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKE FPSE_04793 MMLTKPSSSLKLPSLIPTYRTWTRSFASVSPVRLPKRQAPRLNP TRAVDPSIRLKLFPSKATPLFVSTSPYRVYQTRQLSTMSSVKEYSLFCLENPLLDIQA QGDQALLDKYGLKPNDAILAEEKHIPLYEDLLNNYDAKLIAGGAAQNSARGAQYILPP NSVVYVGGAGDDKYSAILHDAVKAAGLRVEYRVDPKEKTGRCGAIITGHNRSLCTDLG AANHYDLDHLKKPEIWKLVENAEVYYVGGFHFTVCPPAIMELAKQAAKDNKPFVLSLS APFIPQFFKEVVDASAPFWDYIIGNETEAAAYAESHDLPSKEPKDVAKHLANLPKENS QRKRVAIITQGTDPTLVAIQGEDEIKEFPVHAIETEKINDTNGAGDAFAGGLLAGILQ GKPLETSIDMGQWLARLSIQELGPSYPFPKQTYQAA FPSE_04792 MRSSTRYSRVQVIFSKARPEVNPRGSETWTPATNPSNCAATPFV LPRTSASTLTLTLTLTSTSTPTFTTDPLRIPRLHPEPADFVTMESESATANGQAAPPE HADLTGKARQTWRAAVKLRKILTKHLDKLPKDSNSGVDISQFEAIDLQLEKFRLACVQ TIYLDFEYAVAERTDHIMWTVHTNINNEYRRTLGRLRHLAQNSDKQKADKTANDKRNS DKSKGDKQTTPKQKNDKRNVEKRKFGDKYLGFLHVAQEFYKGYVQRLSARYDIPELKR IAKGIEVDGSSTSDAISPVPNQIYPLVVNSCHFTLICLGDLARYQVQSGLKKSGYRIA LAYYSLAHDLKPYSGFPFHQMGIISLEEGKDLDTVYYFYRSNATADPHPNAKQSLESK FKTLFQPDKNPPKKQTRVPSDAFVTWFIKLHASYYRDETMAGSSELEREVLHRLDMAS KNPEYSEVLFKMTLINMSSYHVASQKYTEAQSPAASRFCHHTLRINAQFILAFCLVLA SELTEIVSRESYASEDPTTAKSSPVIESLLPLLRIYGMWLAARRQEIFAAGQALGAVL PDMMKAISKVFSLLCNDKYTQEALASCPYLLAEDVETQGLLPLAEDKVPEACRYYCSD NGALKPRLPSPEHRLSSFQEILARILDILRCGYFLAEDDACPLSYRVLKQGLVFEHTP LPAQNEPAHQPISIVNSPARETKSKTKIASHQRKTSESRQVDNRPEVNGTARRSFAAS PETQLPREEPSPDDADNTVINMLTPFLRPPTPEKVQSNHQSTDETSYGMNSTTANEVF EMLHAEPTPADSLPSGKFEPLPWAWMYTPTPHRGSDASTSAYKGAFDASVSPNIASRE MPRNVSTFEDPYTNSTPQLPAMPIPRATSGIMASPRIASAAEEAHRNNLLQTFGSPSA PRSSSLSQWGQSSNRLPSNPNPQSYVHRQVSNGYPTSSTMSGFSHSSSLYQGTPSNGA SFGMPNGGYMDMSRYDRNRTQESVPASSGRRFQMDETTSSYDAAILQAAFYGNK FPSE_04791 MKRSRELEEELDSNPEYTQVEPSIRRVCKVTQLDSAIDDEEDTI AMRCNLPPHREPLSFRSYDEYEVHYNKSHTNRCLECRKNFPTEHLLNVHIEEYHDPLV TVKREQGEHTYSCFVEGCERKCMTHQKRRMHLIDKHMYPKNFFFAVTKDGIDGRRSLL NDGSHHRRRSSTNSQAIKSSRRRASLKEGESTSPQQAPKSPQSPKSPKSPTVQRTEMK QGGKRPADTEMADLTGAMSSLSFVPPSVRFGRGRAGFSKT FPSE_04790 MPAIRHSSKRKPPPDGFEDIENDLLIFANKMKDAQNKPPPSGPR HQAQWEIFQISHQRSRYVYELYYEKEAISKKLYDWLLKNGYADAMLIAKWKKQGYEKL CCLRCIQTKETNFNSTCICRVPRAEMKGDEDIECVSCGCRGCASSD FPSE_04789 MAITPTQFAKKTAQSANWSDAKRRVLSSYREWIRAAPEVQTMYN MPMPVSTIRTRMRQEFERQRFVNKLSVVDVLLFKSHAEYQETMNFWKQTNHIMAYFKE ENFRGDKRLPSSFMTGFLEGRN FPSE_04788 MPARTKEEQPQAEDTNMEDAPASAQPETNDEEVEDEEEEEEVEA QRVRILPGSTDTAASFDPDVEFCAYSIPHPSEPKMNIRIQTYNGTAVDALKKGLVDIQ EVCDVVADEFWTKREAYNAEQGIDR FPSE_04787 MSAAIAMAPSPAPHERFSNPELPAPSTSAAAAGTSPSAQRSSLN LSNSHATAQSTSSSPKAAGQGNKSSPSSASRSAGAPPRIIVKKEPASPSMTNTRPRPR KLDLSKNTPKSNQPTSARPMTARDGLAIQEVGIACLSPGFVTQDPVMKEQLQRSMSVR EQQRHIIEARLHQQSAKGDGPDKDAFAAKTPGMSRRNKAPPGLSIVAPSHEQFANERV IQSAPLGHSFTGRQHPAPMTRHITNQPSNLSNTSHIHHIPANQTANRLPPISDVFGQG LSAHPDNAPPSQSLYPSGPSSRGPLTSPSHPPPPPQAPTPGRGREYRSAEEAQQDLAG GRTELLPKLVHYGGHQPPTPPSPAPGSRPIDASRSTSRRRTRAEYEDGSPPLGHGPAP TRARGPFGAGRDSPDTQRAKKEEFIRLCERAWDLFHS FPSE_04786 MPTASGSSKPPSATSSKPSSVKSQDGVKNSPGLTPQNGATTTNG NPPSDTHLKPTTSVKNRLTRMFSSKDTSRVPTPAPSTLDLPNRPRANSTNGTSTPAGK TPSTEKGSDKAVQKPAEKATTPGKSTPKSTGKEPSQRFVLDPELQGGHEHHLRSSRRQ EKLSDMWRALIGKKHEETPEHDLSLVSNWVDTLQQEKDETGEKKTGPSATTTLVEKYG KCQEVVGRGAFGIVRISHKKIGGSNEKLFAVKEFRRRPEETEKKYSKRLTAEFCISSS LRHPNVIHTLDLLKDAKGDYCEVMEFCAGGDLYTLVLSSGKLEVQEADCFFKQMMRGV EYLHEMGVAHRDLKPENLLLTTRGALKITDFGNGECFRMAWETDAHMVSGLCGSAPYI APEEYTDKEFDARAVDVWACGVIFMAMRTGRHLWRLAKKDEDEFYARYLEGRRDEEGY GPIESLHRARCRNVIYSVLDPHPTRRLTAAQVLKSEWVREIKLCKAGEEGL FPSE_04785 MYLDSVKFSATSRHGKSLDHGPVQDPAMQPLDELVRVLEICIAT INTHRG FPSE_04784 MDTPEPAAPPEMRPSFTFPSPQETLDAQTQFGDEDSDGVSQHRV NQYTILEEIGRGSYGAVHLAKDQFGQEYAVKEFSKARLRKRLQSTILRQGPRGPRRMG PGAGGPFNATPRLVNDTNDALHLIREEIAIMKKLNHPNLVQLYEVLDDPEEDSIYMVL EMCRKGVVMKVGLDEQAEPYSEENCRYWFRDLILAIEYLHAQGVIHRDIKPDNLLLSN DDVLKVVDFGVSEMFEKPDNMRTAKSAGSPAFLPPELCGKHGDVSGTAADIWSMGVSL YCLKYGRIPFNRDGVLDMYDAIRSDEPSLPEDESPAFVDLMHSILNKDPEQRITMDKL REHPWVTKQGTDCLLSAEENCVNMVEPPNELEVNRAFTRKMNHLLCVMKVIHRFKSIL AKHRARSNTSTPKQNRGSFDASEEKAKAEVIEALLSRRRDYQTQKQKPGASNGTPPAQ EFDSQNTTPFLGIGTGIMDEFASNEATPDMVSDSPTAVDFNVYDRAYETAIESITSNP NVSTRRPTVYLTKFVQDTENLKGASEVVEKEDTTKHPQDPQTSDSTGSAPNLLTNLTS KLGLSDKQLDKSEA FPSE_04783 MVVYSFHIFDRHTECVYSKSWLPSSAGSEVAAPTTSSDDAKLLF GTVFSLRNMVRKLGGDDDAFISYRTAQYKLHFYETPANLRFVILTDTATLSMRNVLHQ IYINLWVEYVVKNPLAPVEHKKGEGVKNELFELGLDQFIRGLM FPSE_04782 MLTSARRWLRNNRTPIAVGVGVIGAGYVATQYVIGKLNDARERM SSDRIAKENLRRRFEQNQEDCTFTVLALLPSATTAIIEAMNTERITLEIQQMKATKAI KNGGNESAAPPSLADTTLTEEDGKSMAGQSESGVHPSQIPTSSPFNAGGETNKEAPKL RKTKRQLWDDVTISAVTRSFTLIYTLALLTMLTRVQLNLLGRRSYLSSVVALATGGQH GTISLENNDDDNTEQAYGSDFDINRKYLTFSWWLLNKGWKDLMHRVESAVRTVFGSLS PRDLLSFERFSELTMEVRKLVEGSTNEERRKSDWLHFLLPPRDMEDEVIKESGILDET ANHDPEHSPAASQAILRRLLDETADLIESPSFTHVLTLLLDAGFSYLTDNKLATAAFE LPASDGIVTPELKDQQRSKVILLPKIMSVLTRQAHVIGDGMPNEYLQKMETVRDLEAF AAVVYSSNWEQEIRTDDELMASAIDLGAPQTSGKITRSQTQTQTQTNGESSMVVVDSQ GGFENAWGRAMDNKS FPSE_04781 MLVAHNQVHDSPNNMDQQEPPEFILDVFTDPRSVRDVVKGWFLS PDGHTTLYEAPRYLTTSTTLCLLHTIFFHRFFPSLVPQTREVLDLTLPYVDDDELETM IEQRVAAFERQIDTQRSSGGTNNASGGSATGGRGQLVVQFFEKRRRKAWLSRGDEEVC WECWTIKVTVAEPRTESERAKVRRAMEQTLLTTAMKIVTFANTHKDHIPPITTQGTNP FPYKINLDQKEATGWATRMRIY FPSE_04780 MGKHTRFPSSSGPLQIYQDENATPMTSHAPMPSVSKQARRPLAS STSNLVFNPPTASHNVHSPFKQRPASASQIPLTTSQGNKLNMVPMAPPSRRGHSTDSL QKKPYLSNFKTGPQKHVLDMGWDFGKENVHPQIFPTPPAIDMSVENYYQKPNGKRALM EAAPIRDSRSIKKIKSEPMPATVETATDATVVPPHDSFPPIVDDGSKPALSYADLIAM AIFRSPNRKLTLSQIYNWISDNYSFYSPTDAGWQNSIRHNLSLQKAFMKVERPKDDPG KGHYWVIKPGYEAQYLNKKPTRKSASGSDSLHVISTRLEPSRPASASTQEPTLPPPVP VSQSALPPLPTSQATMSMPVDLSSDATIPVSDNIGPEDVADKLDHDISLESYLYSPHP AAMHSSPPVSRHVDHRSNTPPPAARNPASSVSRSHRRKFASMDDSGYISSLESSAIRP SQKFMLTSEADRPRNKSRGRAEEEIARLRNSSPFSPSKTRYHSVIPPVSSSPLRPAYE KQMLPPITPMVKLNPPPRPPPSASPNTNLRIHRDRMRNLLQSPDRKVGVNSEFTPYSP AFDLGTDVYQSVFGASILSDADFTISQDLAGFDGLDDATFAAMSAVDAGSPIKRTTKR ARLDRTVSASVLGDLTNSATKKPIASAPLLRPPEQSLQFDTPSKAFEGLSSPSKMFQD SPIRNNQSPSKFANFLNVNLDSSDWASSALLGQLDFVPPSPVGVSTDVSSFDIFKGFD KIGSTSQANKNNTSRSNKPGLPRSFSTQF FPSE_04779 MYSNSNAYLGGNSQRPGGQQPQQQYGGGSFGNMGAGQQPNQQPS PFAPQPTGFGQAPLQQQYTGFPMQGQGLQPQQPLQQQYTGFPGQQQQQPQQPFQTGAP AMPSIPPQFQQQFQQQQQQPTGFSASPQPASGPSNPPAVPMKPQATGFTEMAASFQTG GTAKPRGRRPEKPQPNKIPNIRLSFITAQDQAKFETLFKSAVGDGGMTMSGEKARDLL MRSRLDGDALSHIWTLADTTRAGQLYFPEFALAMYLCNLKLTGKQLPPNLPDNIKNEV SSMVDIISFSIADDATPSGGASLTPDIRTNTATPPTIQQPQPQQPPPQPQASNAQLLQ AQMTGFPAQQTGFGQQQGLQSQATGFPGMQNPQPTGYNGPRPPMPPMPTGYGQSLTPG AVGGMAAPLNAQPTGRPGQWGLVNAPATGLPNIDALQAQMMPQQGREAGNFTTQGLQG NAVIPWAITKEEKTRYDSLFKAWDGLGKGYIGGDQAIEIFGQSGLEKPDLERVWTLAD HGNKGRLDLDEFAVAMHLIYRKLNGYPLPNNLPAELVPPSTRNFSKSIGTLKSMLHDE SEFRTKSGAALLPQKTGVSYMKNRSLRGAPAGAVAGRKDATVFKNEDEQFGYRSSARR RVGNNSPRPESPASVASNDELTIDQLKKKIKEKEVLLDAMDFADEKNLEEDDILDRRD RREADELYRRIRRIQEDIDSHPDAALASGDSEAERRALKRQLQNLTDKVPELASQVRR TEKAIMDARLELFRIKDAKAHPGSGAPIVGTGPGGTVTESDRLKARAKAMMQQRTAAL TGKKVDVGTEDVDAPKRLEEENIKVKNEKESNERMVRDVEESVRDFARGIEDSLKEGG RDNTSEHERRRWEDALGVEDEVRDFIFDLQRESRSARVRAQDKRPARSSARTEEVRPE RVASPRVEDPVSTSRTSTPSGGGSYSAYKTPEERAAFIKQQAEQRMAERLAALGIKAP TKAGETAAQRIERERAERAAKLRQAEEEDARREADRQARLAEEQGAPPPAAEQSKTEA KKQPPPPPTRHRGKPEADQEASRKADEEAAAAKQAEEDRLTREHEQQQRETQQMEDDA KEQEDDLAKEREASEARLKALEEQVKQGKLRKEEEKKRKKAAMAEAKDKEAKLAARKA EIEAARQRELELQRQLEAMEDGDSSSSDDDEGPQQVTPQASTPTRSDSQVASQEEEKQ PSPPPAEAVSSPLPPSMVVTSPPSETESKNPFFRMMSQSNEGTPAAPAAPAAPAPSAT NPFHRMTQNQEARPPSAPVSRRRADTDDWGSDNDDKDDDSDDERPGGGNAAQLASILF GTMAPPRPLSAAGRDSATNSPAQTSAWANTPPPAPQAPNDDGGAPVSSPPPPPPMPGS DAPNAPPPPPPMPGSNGPSAPPPPPPPMPSAGAPPPPPPPPPMGGAPPPPPPPGNAPA LPSGGGRPAGFLSEIQLGRSLKKTTTKDSSAAAVAGRVL FPSE_04778 MNEKKWKRMTLPTLKTTPPVPIPNDGSKGFDNGDGKKPRVFAKA LRSLSNSSMESMSPSPSRSSSSMRRLQKSNSGSGSMIDRIHRRVSIASPMSASAPEFA AIAPDVPYSSMELIQSGPLKTDVTLLKARAEYLVLTDTCLIKFGGVDSAKATFPQIRT GDGTLKPSSSHSTSKSSSDVRMEIPLRSIVGVFNEEGSSPRFGIEIWWFSQWPKLAYS KAHLFFMLPKDRDDWLANIQRTCRISHRRSPSQSLIPSNLRARVNHIVETTEPDSNTN VSKILTFPVVKRTVGALQKANSSDDSQHFSDGSSFYIVIGPSMLYFIEVLKADYTTSP GDLRVKVQSYGTVSLIRFRASVASHEQRFVMSFRLPLGRENRLELASVHYRHVIEAMT KVDRELKPMWPQHLQKAIFDIKGLPPPLQLTSGNDLGGLERSLHAYCAAFKVQVPSWT IEWNTPSQPAFRLLPPTNQPYSTLQLLAMFRALRYNSYFKELSFRNIDLSGLAGKKDY SHFGDTIAYTSLNGVKISEEHYDILMQSSVLSQEIHALAFSSESIRSMDLKNILGPRS IVGRSKDPEGARRVSSEVLRPILLLLKRQACLCHSITMSGNLIAPSDIEELANVLVLD EVYMKKIDVSNCGLGDEGLTTLWTGIPGQGANLQHIDTSENQGTVKFEIIHNSLSQLQ AIRKLNIAGNTRIPYDVPLFEDYVLNSWCLEELDLSGIALNAPTVDILTAYLASQTSE RLRVLRLSKCGLTGAQIAQMFYAMGQGRQITLYINGNRLDEGIDDLCAVISECFGPWG LFVQMVEFALESNYIKLLEALTVNNTIECLSLAGTATPDSASETACRALSNLFSQNHT IRFLDLSGFDAKLDEGRLGRGFSKSLGGLRSNTSLEHLRVRSQMLNVNIGDLAEAIST NKTLHSLDCEGNDFNLSNFRHLVRHLSDSTTIRYFSAFSDRDLAQTIQKSVDSAVATA TTSVRRQSMISKLRHEKAPQNASDPLAQQLREGWEDAVHTQQEVLERNRLLFQEGEDG RFDSGHDGHLLCEGEEDFSKAFGGLALLAFESKRALNRRNSSPYLNNKRASMISLGPA RLVSAEPGPRVARSWSRSHSIVSSEGGISAAESPSTSSAVPTPPEPDSPVDKEYLVGD QQNGHDDGLDYEYSFADPQDTDFGLELRAHRRFWSDEAGCIEEEDHGVQFDERRT FPSE_04777 MSSPADNDSLLAKHYIDYVYAPGLLLIVGTLIIKKEWTPYAALV AIAFGIYNFLAFQVKKTLKPDVFQDFELEEKTIVSHNVAIYRFKLPSPKHILGLPIGQ HISIGAPCPQPDGSVKEIVRSYTPISGDHQPGHVDLLIKSYPQGNISKHMASLVVGQT IKVRGPKGAFVYTPNMVRHFGMIAGGTGITPMLQVIRAIVRGRAAGDKTEVDLIFANV SPQDILLKEDLDALAAQDAGIRIHYVLDKPPEGWTGGVGYVTADMINKYLPKPADDVK ILICGPPPMISGLKKATESLGFKKARPVSKLVDQVFAF FPSE_04776 MSSSQNGTANGVNGGAQSAPVSTRFSDIPSAIDIPIPSGEADEA VEINLEDLVDEPADLCTLLENENAAKTYWMTVALAYAKQHKVDHAIEMLQRGGNEIQK NSANPRDRVSMVCCLCWMYLWKSREAPRVAPEGTSVSEAKTKEYYLQLATSSLNDAAR LNPSFPPIFLARGVLLLLRASLQAPSKTAGGIGSEKHELLKTAVKSFDDALRVSQGKN MLALMGKARALFSMHKYPESLTIYQDVLQKMPDLVDPDPRIGIGCCFWQLGFKDDAKM AWERCLEINPNSKVANILLGLYYLDASGHVPVNSDDFLKLYKKAMTEYAQKSFKLDKD VPLTCSTFSGYFLSRKAWDNVDKLAHKAIQYTDVNAIASDGWYLLARKEHYTGDIERA ADYYRRADEARGGTETGYLPAKFGVAQLSVMKNDLGEAKLRLEKMIQQSKNHEAMILL GTLYAEEVFANQVSDSKEDKSAELKKAITLLESVRNAWKDPKRALSPDASVLLNLARL YETDQPDKALQCLQQVEQLELDQVPDSEQPEDITDAAEIKAALRKSLPPQLLNNIGCF HSQAEKHELASDMFEAALGACIKIGEKDPDMDTDALVSTISFNLARSYESRGLTDKAT EVYEGLLARHDDYTDARARLAYIKLRKNPNKEGPDAVAKLYQENNTDLEVRALYGWYL GKVHSRKRPANLNEDAEFRHYKHTLQNYDKHDRHALVGMGNLYLMQAREMRRESDSDK QKRSAIYAKAVEFFEKALSLDPKNAYAAQGIAIALVEDKKDHKSALGIFNKVRETLRD SHLYVNLGHVFAELRQYSKAIEHYEIALSKDGKKDDPTILACLGRTWLNRGRAERDVD SYNKALECAQKALEVAPEQVHYKFNVAFVQIQLVTLVQGLPENKRSTEQLEKAAEGLE SAITSLDEIAAHPQTPYPKNDVEQRANMARNTLRNQLQRAIGKQKEWEEKNKEKIQAA KELREAEVRRREEERQKIVELERERQEKIKKERDEIAKKDRALAAQRAEEEQARMEAE MTTDSETGDKVKRKRKTAPRASGEPKPKRSGGGKKKKKSEHQDDESEEEEERTKKRRR LTKKESAKFKSAEIVVDSDEENNNDDDELERAERNIDREETPLSEVDEKAVEDDKMDV DGGAEQGGDDDDEEEIAPRQQNKRARRGRVVSDEEDNDEEEAPAQAKTASGDEDDEPR PAADTSMADAADDEE FPSE_04775 MAHQEAATRRQRRHRERRRTHAQLHPVSLVQLPRVPHYPSENVV YACTPFIYHPGGQIDNLQSQATRILIPQHPIPAVTPLDPTTYASFPATYVNSPGSHPQ PNRRPNSILSSAPSERSSREQYEWWQRMRRVFGIPLGRASTINSDSMPGTPIIPASGR EARHGRMGPERTTLTRLQNPDSDHTLNSSYSGHNARNRDAGHRETTHVQSPPSAVATV HSDDYDIV FPSE_04774 MWNDEDNNPYGTSFDRRDSQSSSINPTSPSTREYQRFEPPQTPT SDSDNEHNHGVIHDDSDDDDEDLTQDAGPKRKPGGYDSRIEQILYENPKLSILITDAG KSIESGGRYIVYTIKTGDLEVRRRYSEFASLRDALTRLHPTLIVPPIPEKHTMADYAA NPTNAKQDQQIIDLRKRMLAVFLNRCRRMEEIRTDGVWWRFLDPNASWSEVLHSHPVA SIPKSILKAPPLNPANPTPAHNYLPIPAASAKLKTVAGTNHDNSSGHIQAGPHAFGRF PPEGHNLGEQELDPYFISYESSIKDLEQLLTGPMEKVNRRTLSHLSSLAADLCELGSV YNAFAVSEQAPSLGPAIERIGQAADLSYIATEELSGSLGASFAEPMREHAQFAGVVRS VLKYRVLKRVQQDLTTEELSKKRALLDQLEQSEAEARRIENYLSSSQQISPPPKRSTS LREPPSHQRRDGSQEDTESIDSDFPGTHGDFSSHTPSASQGLPERSTSVPSHKKMPSG NSITNKIFGPIRHAVQGVVDVDPERTRRDLIGKTRESIGQLEQAQVVSEKDVKEASAS VLKDMKRFQKDKEDDLRRYMLAYAQSQIEWAKKSKQQWEEARAEVEKIDES FPSE_04773 MAQQDGPITSIAEPGVKAHGQLLHEMRREVATLLGRSHTGFPGA QPVSFARQHLDELAQHDYYVVEKSDGIRYLLYSTTDETNNEAHYLIDRKNDFWFITNR SLHFPLETSPEAFHTNTLIDGELVWDTGSDGKRVPMFLVFDCLVLDGALLMERTLDKR LAYFDQRFYRPYKKLYQEYPQELEFQPFYVEMKKPQFAYAIDMMFRDILPKLKHGNDG LIFTCRTTPYKHGTDTHILKWKPPEENTIDCRLRLTFQQVDPTESDRREGITEPFIDY DSIPKAELYVYRGDSGPDKYEFFNDVHINEEEWETLKSLNDPLDWRIVECNIDEQGRW RIVRFRDDKNEANHTTTTKSVLQSIEDRVSEKDLYRAAGDIKNAWKARASRGSK FPSE_04772 MSSIVTLIIRHLPLSTGSMANAIDAEKGETTPRVPKQSKSKAKK SCPLKKIFVGIFLLICLFVAMFGMGVLGAHFAAHNDSSNEALDEASQEPILAIQAASA QHEHFMARRDQTADASYPISTKTALSTIYEVRHTPTLEIETVFETVTGTTYVTVPDEV PVSVSTTTFEETTEYCEDHVVTMTATVTFIVTPDESPAATSAALPGTPVVVTEEPQTV TETKIDTSVTSGLPDAIITGNPETVTETDVDTSVTSGLPDAVVTGNPETVTKSEIDTS ATSNLPDVTVTGNPETVTATKVDTSITSGLPDAVVTGNPETVTRSETDTSVTDSVFTT ITVSNLTPTVVPPELPPKSTVTSCTTFVLTLPYSKPTNIVGVPPESTVTATIYETEGD ASTSTTTVFVPPSPYPPQPPYPTANDTTLPDSTGNNPIVPHMPTPTPVIISGGTKKPE PRGWGGTSGTTNLSCTVMLVAVIMFLL FPSE_04771 MARRRARNEKPAEVVEEEEVVEQDEEEEPEQQEQPEERNQPSEN EEEGEQRSLAFDEELSWRPAKPIPTGTLIKRLDKLSKELSELDQGATDLDSLATVAKQ LGHRNLLQHKDRGVKAYTACCLVDILRLCVPDAPFSDDQLKMMFTLFIKDILPALHNP TNPYDSQHKYVLTSLTEVKSILLLHEISGADELLVRLFNNTFDGVETSGSKAATEEQV AKDVELHLTEMLMQLIDESGGVSPQVVDAIISQFLRAAPPGGSRNKGQNGNQSTLLLK AEPPAYVMAKNICNGCSDKMARYVSQYFSDVIFNASGFATKANGHRQTDDSDDEDATA GPSDADLKSLRQAHLLIRELWRAAPAVLLNVIPQVEAELSADNVHLRQIATETIGDMI SGIGAAGPPPRPSLDPLAYPPLKLLDEVPAPAVENVLTKPYSPQSFAQTHHAAYRNFV GRRNDKTGIIRTAWVTAAGYILATSAGGIGLSREEENELIKALGDKLNDSEEKVRLAA VQAIELFDFRDIVLKLGALGGVDKPGSIFASLADRSRDRKPAVRVDAMVLLGKLWAVG AGEIADEQEAVTSCLGGIPSIIINAFYVNDSDLNVLLDRVMFECLVPLKYPAIKGAKS KSTSQSQSATNQAEQDKIRSERILLMLKSLDPSAKTAFFNMQARQPQVAKGVEIFLQH CEAYNGGVIDSNEEKIKAALGRNFQWFGTFFPDPLKVRSDLQKFARINDRRWYQLIRY CINTETEYMNVRRAIHELVTKIQAKPEAAVCLDTLVPLLYRSSSLMYNRSHLATIMDY SKNEKAGFSTVAHEVLNDISQRNPDLFKAHSENLRKEIITQTPSESQPNEPGVVDILK AYSSYAKRYPDDVTYDKKFVQVLMNYALYGTPARGAKYAIKILLAKNDDKSKVTATTL LQKVMKDLKYGSPHFLTRLAAVSQLERMTPTVTIDFDEKINDLMIKQILRQVRTDEEK TEVSWVEDDGMNEELQAKCLSMRTLVNQALANQDDEDALVRVKLVFKLLKDFVVAEGE FCKVKDTPLAHKKRLRLLAGLMILKLCTVKKYDDEFDPASFNKLAELIQDTELQVRRF FMEKLQSYITQGRLRARFYTMLFLAAFEPAAELKARVETWLKSRARLFAENKTRVLEA MISRFIPLLAHHPDYSSDVDDLADFANYFIFYLNTCASEDNISLIYKYAERVKQTRDA LNPEASERLYVLADIAMAVTRKWQEKRNWVFQAYPGKVGLPNGIVQTLPSSEAAHEIA QKQYMPEELDEKLDDLLRALDRKKKRKSMGEKQDPPSKRARTQIKTVIREKREPKTPR AKKVSKSKKPRPAKDSPPPSERRRSGRAHKVSIYTEREDEEDEEEMLEGVAEWDYGDD NDSESGSGGEESELSDAPEAEQAADNDNKSDDEEPPATEEDEPEQPKSNGRGSAATPK SKAAAKPAAKANVATESKRPTRATRSRRDKRAEDMDVDAEE FPSE_04770 MAAQPSASSSTAPATGPASAAGSEKDAHSSTPSSDIPVRSKESF DKLMVERFITRDAIAAAALGGQLDQTRKIMSDTRDKIQEYRQVRTDYRQWFPPSKLYG EGYNGFGNGYTENQGPSRIIYPSQKSRPGQRTTPPFKYKRKDMLKQAEQHEELVPLRL EVEWDKIKLRDTFTWNLHERLLHVELFAAQLVEDMGLKPPVSQPVYEQVVHQMREQLN DFYPLVYSEDDALDPELPYSAYKNDEMRVLIKLNITIGQHTLVDQFEWEINNPSNSPE DFAANMARDLSLSGEFTTAIAHCIREQTQLFTRSLYSVGHPFDGRPIEDPDLVGAFLQ TPLPNVFRPQQQAKDYAPYLYELSEADLERNETIFSREQRRQKRSINRRGGPQLPDLR ERQRTIRTLVVSSVLPGAAATIDESRLYKRAAGPRTKRPIRDGDLSESDDSEDSGPDS PAPSQITGGTARTRGMRGAASAAQQRMANLGRSETPEASAIAHHHETRTSRRFREETE EPSQLIVTLKLSQDKLRRLMNRDYSDLTAPSHASVAFPRAPSASTPSRSMPPPPSTPS SAPPHASMTPSSSLPPGQIGRLPAPPTIPGQSASIPQPPPPEWLVNALKELEKTYNRG DRFEAMMKYSYLDPVTELPIQGQPLPEGVKYAWLPRIRCLDCTNKLYTPGPDMTVRKF EAHLEFSGHKNAVAKRVAREANP FPSE_04769 MSSENKEVDYSLANPDTLTKYKTAAQISEKVLAEVSKLVVPGAK IVDICQQGDKLIEEEISKVYRGKKINKGFSHPTTVSPSSYVTPYTPLTSDEAEAGAEI KDGEAIKIQLGAQIDGFGSIVCDTIIATPEDKAGDKITGRTADLVLANYYINEVLLRL MIPPGTLAQGSDEEKAKAAAQKAPTQAKITSLLEKVAKTYEANIVESTTSWLFDHNEI EGSKKIVLSPAEGTKGEGVPEIGEVWGVEVGVSLGSGKVKGLDQRATLHRRTNQTYGL KRPTSRKILNEVQKKFGTFPFSLRQLEDERDAKSGVVECVRGNVFRQYELVGDKDNSP VARYLTTFAITKNGITKLGGPPPLDLEKYETDKKIEDEEILKILEQPIARNTGKKKSK PKKKTAKKEGDEE FPSE_04768 MISSNTRATRSRYSSPAQSQNTKWNGVLDASKGATESSRAFMQR WLEPSVQSKASFEDDGLVRYGVVENMAPLGSLPKPKKTGPETSSGVKRIILRPSGASA AKNAALIAATDPVVDVDVDVDADVEAETDVAITARAANSSPPPVTLPPTPPRRISIVL KDAAAAADDENDEDYDPSRPKRRQSGRISLGKRTRRSSAGHRSSIVTKPAAKGTKERR GSEKGIKAATPVAKTEITNVIKDSEEEEDEEVEADTEADAETDAIKAEATIHAAEPVE PEDKEFADKVIEAAVDEALKHYRYPTAWALRTLYDEKSSDPKFVAMVEDVFTQTADEA TMRKFSKQMEKKKREGKKDNQGCYYFIPPTTNSRFTPHKPKAAPYGKLLLHNQVEEVV EVADVETDPETEEQFVDEEEEEKEQSNQPPARAAKRAKTSHSRTHSSSHPSQTQAQAQ STRNTPRKMSSDNPPQTPSRKRNRRDSASSDSSLSSALSLSSPEAMMGSPSPVRRGGT GRPGPPGPDSSDAPKPRPITTRRKSIASKTSTTTTTKSKPKTKAGTKTNKSTTKQQQP SSPTIPTSNTNIHTHTHINTSNHINTDAPASATISVSADASMPGRVPAAQIFPNLPTK PKTAKNKASSAPADDARASHDNDEAFWDRRRDAQKFANSVMAQESSVRGGEIDEDLFT TPAKSTRKTRQSAAASTATTRSTRSASKRPHDEADAIMSPVAWSFQRDERDSSAGGSR AATPTLRPPKKPRTGLRVKSSPIKKRGGTAAGVPRPLGESPSINGVAKEQVSDNDEDC SACGAAGDVVCCDGCPRSFHFECVGMIPSDHLPDEWFCNECLYKRYPSRMPAFKGVFA AALINLEKSIPRAFSLPKKLQTRFEGVKAATDGDYEEAIASKTAKKKNGYEELPDFFK QRDEGQAVICHGCQKSATDVRAIIPCSVCPFYWHIDCLDPPLAVPPVLKNWRCPLHPE EIMAEVRPLAPAHRFRKIKGAQTIVPALSRGIKNNGHIEVDWSDESEPETPNNSGWPD PDSFGRAYKLPAKGIVLDFIEQLRRQHAGYGPRRDEPRWVQVVPPGSDPNRPLAGSDL QRSVDEMQLALTLTSLKESKSDGVDHLVTALLDAADPSVLSLMTKTDASNVSTGHLTD NDKRSLRALLAQVDAVGSRIRQLLGEPDGVSAALLNEDVIPSILSPQDSAIAEPIDKV TALPVTEPTPPSTFDHTEGSMDLD FPSE_04767 MSTSRSEHLTLGYASQSGSQPRSGSPSASNSPIDPLPPSRSPFG LSGGLNPSNKMAGNSRSGAGSPSHDIPGSSRLFSKRAREIQAQEGVSGMPLWGGPPTS GNSTPLRENIPESPTDGFPDFAQLPTPDSMPQTRRARAGTVPSRFSPGGAVNGIPGLA AKANRPTPSQSPFKSPSPNSDAQENPSNSSTLLSRLRAGSMPQRSQFAPIPGTNSPFG PSIFSSWNPTGVGRERASTLASIASVGSNGPSSPAQSQFSKEGGGESDVHMRTLDYLG LAETPQPPRAQLATPYLANLDFTKQASRFRSYSVNNKDKYADDEDDYEADMPLDPQYV AALQDQLAATNAAIHNHNLAVQAFANQASANRPRARTAGVLDTPGSRLMRTYVSGNSG LSNPVSSAEIRLQDDKDYDDLPQAVAGINLGRSSSRNAGLLSADEQGLEGPTSALWLG SIPTSTTTSTLIEMFKSHGPILSARVLTHKNCGFVNFERVESAISAKASMNSKEIFPG AGPIRINFAKPPSASNTPGHDGVFPSPSPDPFAKGQDSAQGTNATTPAGETPSASAAT NAAPKAPSLREMTPDILEIVKQFGASEEDRFRITRNLQSAIQFNSFVEEIPPIREPAH TRVHDAPKLRDIRKRIDNQSLSQAEIENIAVDMLPEIAELSSDYLGNTVVQKLFEHCS DQLRDAMLSEIAPHLAEIGVHKNGTWAAQKIIDVCKTPAQMTLITEHIRPYTIPLFLD QYGNYVLQGCLKFGAPYNDFIFETMLARMWEVAQGRYGARAMRACLESHHSTKDQQRM LAAAIALNSVQLATNANGALLLTWFLDTCTFPQRRTVLSPQLVPYLVHLCTHKVAYLT VLKVINQKAEADARDAILQALFFTPNDQVLEAILKDHQCGATLIFKVLTTPFFDESIR NQVVENVKNVLVRIKAQPNQGYKRLMDEVGLSTRSAGNNRDASTHAEQRQRPSSRQAN SNGHHPNSAHSNKPFYNSMNQPANPPGFDMPYGGQRNEPADNGVSAFPQFNQGMMYNT PGGPMPANMQPMQYQQMMNRGPPPMNYNYPPMQAGYGGFNGPNPSVDQYRQQNMPNGS PIQPPVSQMPQMPAGQTPFAPPGFGMGMGNYGYGNMGGMPNMGYMQQEQGNPRRGRVR QPQSRNGQPRRGG FPSE_04766 MAQNSMYSSGQFTNPGPAPRPPTDRPRLNLTPNANLPGSMANMA ISPIRSTATSTYTGSTISLPIARQQSNNTDGLGGVAVKKEGWASVKESKNFIQPWKQK YLILRKESLDFHKTEGGKVSYTLFLRDVVNVGRVEAAGTIFEIKRKPDGSSNSPGDDD GQTKTLQIKVKSDDDLYEWIDFIYGACPGMGGVSNPTNFSHAVHVGFDPKTGEFVGLP PEWSKLLNSSAITKEDYERNPQAVFEVLDFYTDLAKRAENPNQYPSLTPTPPAQGQGN KQLGYGSGNSVAPPRPAPPAPSQRPGYNPSPVQPNPNAPRRPARPDERPAQQDQQQQY QQRQQMQQMAPNYMSQDALREEQRKKQQEAQRQREREMEEQNRRELEAYNASLPKTKV PLAQQEIGGGYSSSSQSDRFNPSRAAPPAPKASQQQSNLRAQRPAPSPPTATSQRPPL ASQASSGASQAQRTPRADNGAANAPRYANGSQSPQTRQPPQQAQASRLPAPVKPLNVA PKPSQTQQQADGVKAAEAALTAKPSPSERKQDVRMSTMSESEVMAKLKEAVSKDDPNV SYSKQKKIGQGASGSVYVAKIKETAQGIARDILRAQGPRAQVAIKQMDLAHQPRKELI VNEIMVMKDSRHRNIVNFLDAFLRNNNAELWVVMEFMEGGALTDVIDNNPSISEEQIS TICHETCSGLQHLHSQNIIHRDIKSDNVLLDARGNVKITDFGFCAKLTETKSKRATMV GTPYWMAPEVVKQKEYGPKVDIWSLGIMAIEMIESEPPYLNEEPLKALYLIATNGTPR LKKPEKLSKELKAFLSVCLCVDVKSRASADELLAHDFLRHGCPLASLADLLAFKKHAK FPSE_04765 MTSSATTLKGQPLDKAVLESILRRRMFYTPSFEIYGGVGGLFDY GPPGCALQAAVIDQWRKHFILEEDMLEVDCSVLTPHEVLKTSGHVDKFADWMCKDPKN GEILRADHFVEAILEARLNGDKEARGQKVEEKEEDPKKKKKKAKTEAVKLDDAVVQEY EEVLARIDNYGGPELGELIKKYDLRNPATGVQPAEPVSFNLMFQTTIGPSSNYPGYLR PETAQGQFLNFAKLLEFNQSQMPFASASIGKSYRNEISPRAGLLRVREFLMAEIEHFV DPEGGKKHARFHEVEDVELVLLDRDTQLSGKTQTKAVKIGQAVKDGLVDNETLGYFLA RIHQFLQKIGIDMTKLRFRQHMANEMAHYACDCWDAELFTSSGWIECVGCADRSAYDL SVHAKKTGAPLVVREQRETPLVIEEWQIDIERKKFGPQFKKDAKTVEAALLASPQEQR EKLAKELSDNGSITLEVAGVGDGKVQVSKDSIAIEFRKRVENTREFVPNVIEPSFGIG RILYSLMEHSFWTRGTEGGDEARGVLSFPPIVAPTKVLLVPLSSNPQFKPLLKQLSQR LRSAGISSRVDDSSASIGKRYSRNDELGTPLGITIDFQTAQDGSVTLRDRDSTNQVRA EQEKIIDAIKSLVDGSKTWAQVESELPKFEGQEVEVAAR FPSE_04764 MATRTIEARFERMSVNDENDPIGDGSKLYSKSKTISSTSSHGAN RPNLFKVALQSQSSNANAAVMLPSQAAQRKVNNPPPSPTRKALPSSSRISDEVSEDRK SVTLPEQMSVPKQFHLGMFEIGRPLGKGKFGRVYLARERTTGFICALKVLHKNELQAG RVEKQVRREIEIQSNLRHPNILQLYGHFHDSKRVFLILEFAGKGELYKHLRKESRFPE WKAAQYIAQMASALRYLHRKHVIHRDIKPENILVGIHGEIKISDFGWSVHAPNNRRKT MCGTLDYLPPEMIKPGTSDNFYNEKVDLWSLGVLTYEFLVGEAPFEDTPVMTQRRIAR ADMSIPTWVSPEATDLIKKLLVLDPEKRIPLEQIQQHPWIIKHCVKGERASNREKGL FPSE_04763 MSSDPTNAPSATAGASTTTDQAPRGIQNNPAVTGFSPEVSKWMN YFKMISGQMTPEGKFHYREWVHTVEEEKDIKRCEEFRDWLFTYSPTVRFMSEKIRDLN GRIDSSNVYCRRCPSYLNADGTVLRQSGGFSPEHGILICANEIQSRKHLEDTLAHEMV HAWDTLRWKNVDFVGKPGNLKHAACTEIRASMLSGECRWAKEAFTRGNWKLTQQFQNC VRRRAIDSIVGRTACKDDVEATKAVNSVWDSCFADTRPFDEVFR FPSE_04762 MRGNGTKSLTIVIKLGTSSIVDEKTHEPLLPILTLIVDTAVKLR KDGHRVVIVSSGAIGVGLRRMDVEKRPKHLAQLQALAAIGQCRLMSLWDSLFTHLRQP IAQILLTRNDIADRTRYFNAQNTFHALLEQGVIPIVNENDTLAVAEIKFGDNDTLSAI TAAMIHADMLFLMTDVDCLYDKNPRTHPDAKPIEVVEDIAALQADVSSAGSSLGTGGM STKIIAARLGTSAGVTTVITRSSNPGNVLHIVQYLQSIQRGNTPPSPDERAEGLTRSA SALSLSASNGNGAPWPPLHTRFVPANNPIRDRHFWLLHTPYPHGTLYIDSGAYKALVG KAGLLPVGVVDVEGNFAQQEVVRLVTVKRRSTPGPDGKMWEGTPEEVGRALVNYAAAE IARIKGKQSVEIEKILGYADSEYVAHRQHVGFFGKGSRPVSPAHEILSAVME FPSE_04761 MRPLTEKETQTLFEKLANYTGGSLKNLIAPLDDSPNADRYVFRL VRDRVFYVRLSIANLATSIAREKLLSLGTCLGMSGPECETSRTLTNLNLSTGKFTKTG KFRLHVTALPIIAEHARYKIWVKENGTMPFLYGSNIVKAHVGRWSEDCPEHQGVVVYS MNDTPLGFGVTARSTAEARRLDPTGITCFRQADCGEYLRDEDNLFATG FPSE_04760 MQQNHITNPNEPFPKPQALASATTTHSQGRFRISTRKLPISKAG TIDALTEQIGIPMPEMIFGENLVGIEHIPSGWSLNFNTPDALDAVDKTDRHMLKVAYA RDWESTREGTTQGIKEVVKPYDWSYSTTYTGSVDPSAKQFAPTENMIPIELLKRRDPI LFFDEVMLFESELDDNGISIFSAKVRVHEKRMLLLCRLFMRLDNVILRIRDTRVYVDF ETDEVIREYSAQEETYENVKRKLQMSGRLPDEITIALRDPNILAPLLPLVEHRAEAVK LSA FPSE_04759 MPRATRARRVAAQSAKPHAQSPSPDTQDEDNRPQQKDKSPERGR RRTTRSLGARVSTANEEDVLAANRRRDAALDKLGRENPTSTTSRTAEEVDSGSSVEGG RRTAATPVHRRDTSGLDLADSVFLDLDESFGLGDDSTQLGLGSNNNSSLNFSTLGRRS RQSSIIGRNDPPIRPSSRGGNTPSISSTLHFGAFKRRAREPSILGTARKPRPEENAVG QEPNSESEDDFTPEAESTPVNNRRRTQPEAELEIEVPVSPDVSAGPKHRKRKSEDALP SSERPEKMSRIEREVELSEDEAGPAPIDSDAESTSSLSDLGSPLRPSPLLRERPVTPI DNDELMALPASSDSEDANWPDIHTLAKRRRRPSITTPVRADNFSDVSSPPSLTHSPNY AETQKPRGRAATRRQQPATKVTTADLTSLLPKRQYKKQRDAGQESDEEHDTSGIGEDE DELSYVAGRGTRQRRGGRSTSRGGAKRPGSRGGRATQALKSKQTDSGKQAARNAQTYS RRSSDKENENEEANDEEDEQDNSHFQPLPDDTFEGGSAENASTPSADELRQAAKKFKE VDRWELDFEEVTQSSSPLAR FPSE_04758 MQRVATNSICSLCRFTYRPAATIRTSLQPCLSQRLASQHFKPRP SRMVLSDRVGQAPPSRDDRQRRREAPGPFGGMNRRVANIDPARRAGRQRAVAGNDSRG SNTRERDNRRGGARDGDDRKALKMQRALATVSYGKRMMTKDILTEYDTFDNFDLIPVL QAAINEELFKGMVDIKPTPVQKLAIPALIGQKSPDDLKRPTDEMRSFLLAAETGSGKT LAYLLPAVDALKTAEAADPELKAYRERWEIEKQRQLAGNSKGKPLDEPHPTMARPKVV ILVPTAELAHQVTKTSKSLSHVAKYKTELLSSDLRPQQIQRNLYGPRGVDIIVSTPHL LASIADSDPNILSRVTHLIVDEADSLFDRSFAPVTTSIVERAMPSLKQFVCCSATIPR KLNNYLATNYPKMTRITTPNLHAIPRRVQLGVIDVSREPYRNNKDLACADAIYSIGRE ASNHEGPVKGEVDVRRIMVFVNEREKTEELAEYLREKGINADALHRDTPEKRHGEILE TFTSPDPLRIPTPTLNAKKRSLPNVRVLVVTDLASRGIDTLAVRHVILYHVPHTTIDF IHRLGRAGRMGRRGRGIVLVGNDDRKDVVAEVKNSMFRGTALI FPSE_04757 MSPKTPFTITYNKPGTVPPVFLAGSFSDPQWLPQEMECITDQNG EHTFQSEVMLEPEQDYQFKLRIGHDNWWVLAENYPTATDDSGNENNLVRVQKSAEEQI APAGIDTPEIKLNPATQSTVTPSEVNNVEGGTQDSHVPLFAHESPGHDVEEDAQEDNA ENKVPLFAHESLGNDVKDEDSEDFKAPLFAHESLADDSKDESEEMKMPLFAHECLGAY DFGEDAEHDNTPNAISSPEQTSKVKTMHDKTAELDVNDPTLESFPSDRGSILGTIRSI QTHLGEDQTHLDDIPSSPRVISSRRTSLDSNDDLCLSPASLSPTITRRRDSRPSHSFG RTRSAVSLGSIEEEPKPSSNRQSKGPPVVSLPNPHSRDKLAGQKSPPSEEDEAVVMKT SEVKPSAKTNGESPSQVESYPAQNTRHEKAPTAATTEQNLDNTQSKAVDGQANDAPLA AKSVEHEASSGPESKPAYSLQDTEPAPNTKSKKAAKKTAPSGGSSQPPRGNVVPMIIT AGALAVAIGIGWWQAADH FPSE_04756 MSMPPQLIRVKRKRDDESPVTFLQLDEGAKRHRNDSNWVYQRRP PGPQLQSPTVEAPYSRDPKPVIHISGPGDDASKTRKAAIPSVGGIAGSIAGGIAGSVT GGVTSTSDSNLVDPSAVPEHRRFHISKKMMMASGSTTQNGPGLNNKRPRYGPAVFVER SRAKASQRASRLRESIKESISLPAGQQIPGVVMPAQQERRLKKPSARSRQNSPLPDAN SRTPLPTSVMNPHDQDISKRAADMNDWVMKEIGANLEKMEQEKQKTSRFKPKAPAQRY QERHPETATGNTDPNDVDMTTDNISSDMEDDDDWVIEEYVRIPAHAMTINVAPTDVGV LVLDGKEESNMFFGPERDEDDELDEDDEDENAENYYTADYPEEEVDSDDEYGRHAYGY RNGNASDDEEFDNNYYEDDMVLDDDEDEDATMARIRTYVKRSRAFE FPSE_04755 MEGTTQRPSGNSPNPTHTYYRATQAQTPPGSTSGSPKVKIEEFA SLPGLRNVVPTEVSSTVKLPSLGEFDQGVENLIRAHGPVKTEAALSPLYGLTRNPTVL EPLATITQPQPSWSIRNPPTENLVREQPNSRRGTILRPSQYPFAADYSRQSMSSFSNP DSYYGYGSGPPLQGLPGMDCYPSPPPEGGESRHINQKYTTEEGDYIIYAWHDKKMKWQ QIKQEFATRFGNTPERTVQGLQAWYYRMNQRIPIWDQEGWLCFDNEDDLEPQHISIKC RERDSQDKPSEPLGLAQRYPERAIHYSWVDPEIKFKSSDWAAKRALQYRDRRERRRRK EQRRLKL FPSE_04754 MTQAPGVCGASQSLCTTSFDLNCLPRYQASTLYSSTIFFSPSFL LNFTSYTSIVSTQPHSRYKMGPKVTPVESDQPVHSNIFLGHFPLAK FPSE_04753 MSLEVIYVARHGFRSGWSVDPLTGVYTATIRSPTGIPADPALTS YGVSQAREMGKHLMTLEPPIDVVYSSPYYRCLQTITPFVELKQQQLEEEPGTTHRSAT TTIRPEHGLGEFFGAAPFDHPIPASHKRLKELFPAYDDSYVSAIKPSRKGETIENLYG RVAAAVRGIVERCDAEGIRAVVLCTHAAVVIALGRVLTGRVPEAVEEEDFHAFTCGLS TYRRRRQGTGTQVPSTVESQPFISEAIPIGLGEWQCELDSDCSFLSSGEERGW FPSE_04752 MVQDHSGAPRRSANASQTPQSGSSANKKKVARRDPEKRRMQNRL AQQNYREKQRKRIQELERRAGEHGTEVQGEADRALEKTQLIGDITTRPGNGIPLDIET VNPLDLYNDPETSAPHQSTTHAETWDSDIIYEDIDKWLVSNPTCDEHTMSTLFFNCGC PTLHVPNTSVEVLLPVIPNPYKNNLQIDIICIISAMLENCLSLGITRSMYCADEATSP FYRDHVDSDPSAQAIVASVQRVSRGLHFDLRPTQTQVVVKHHPFIDTIPFKEVRDNII KYMNPMDEDEFFHDSLNHLTCWGGVNGAHTGTPWDARSWEATEEFLQKWSDIVGGEEG ELARNSQWWRSLRGERTVTEIM FPSE_04751 MNVYEVSSSGAPSPAPEGVSTPPAPDMPVKGKNRHRLIQRIQRI SSTPSLSGLRRSKSVGSRGSPYGTRSTLSCVSLAATGPPQSQAPYSARSSTPQPSPLG LPSTSGSSPQSSGEFPFETTGSSELAIRRVENVTPITAPITAPLPSEFRSKQDVQLRV RPGVKPIQKPPFRFWEKMPNELQIHIFSFFQPKELVQASRVSRAFYKTCFDGQLWTSL DASEFYREIPAESLARIIIAAGPFIKDLNLRGCVQVEHYKRTEVIVKACKNLMNATLE GCQNFQKSTLHSLLRSNEKLVSLNLTGLTAVSNTSCKIIAESCPQLEVFNISWCGKVD ARGIKGVVEACPRLKDLRAGEVSGFDNVATAEAIFKTNNLERLVLSGCAELNDEALKI MMHGVEPEIDILTDRPVVPARKLRHLDLSRCVQLTDAGVKTIGHLVPDLEGLQLSGCK LLSDDALESILASTPRLTHLELEDLENLTNSILSEHLAKAPCATSIEHLSLSYCESLG DTGMIPVMQTCTNLQNVDLDNTRVSDLVLAEAAAMVMKRSQRSTENGTRPKISLQMVV YDCQNVTWTGVREVLFRNTQVKAVSGQPGRVSYPTEMIGLKCFYGFQMTVDEHQKRVM RGDLASAGRLERKWADYMQATEEAGMTGAGHRRRRRRAREAQALHLDEEDGGFGPTGR RRARTLGSCTVM FPSE_04750 MFNLGAENHTGEDQRGREKFPMALSLPKNRSTGNLAAARNSSTQ DRSRARMSLDASAAAAAAADLEAIARSPILTDHEHGLGLSGLRRIRQQRPPSRNPTLP GSRASSRSPSVAALSRSTSMSAMAASVGSLSLTGGPATPSFADDLSRFPSESLHSFSF ANQSEDFLHNRQNVLKRSIEFMKDHMGLPMSSSQAALASAQARVSGDVDTQNMLDLLA QAQLIRAGNLPNPDESYTPAPLTGPAEVSQENVFDKNFNPRTSSTDLTSPHHTSPPPR RSRVETTRRSNNLEPVAHGTGRESDQPPTAKNESQSASRKSAPARPKLTLKRTMTDIT AVSAQDKLIDTVSQPFLTGQPIYQEPLSSASLTQLPSATTANFPTQLGSSVHGHTNRW VPAAQAIFTTEVKPPWTIIAANDLACLVFGVTKAEVRKMGILEVVQEERRSWLERKLL NQPDEYSEGQTPSGQVTPAASAASALLNGRSGITAQLLSKPNSRTQPRSYTQRRAQTV HSGDPNPPKVGGGGHGHNQTNSSRGVLLCGDVVPIQKRNGATGSASLWVKEKKVGLIW VLEEIHEDVAYITLDEEGIVQQVSGSTAPIWGFESISSGFDIARLIPRIPRQGIDPNT GEIDFAQATRRRYFTCPHSPHVNIPCTIEQTRGKLELRVSTFPHMAGIIVVEPESLKV RSSNSAFCGALFGFERADGMSINALIPEFDSILESLIEEDGIQLLDGMVVPEHRFRKA SAFLALKENRPDAASAFLHPAGLSAKHRDGSDLKVDVQMRVVKSEKKTLVVNETVAEG SDEENAIGGDDATFEVTHSEIVYALWVTYSRHLHGTQPHLAIETPTRSGALTPLHQPS PGQTPAHTPLEIPSDDETPRKNVMNAASSLSKHLKDAAIHAAAKITGQQTKPKPEEAP PVPKVVEPPHKKTINDYTILEEMGQGAYGQVKLARHKESGKKIVLKYVTKRRILVDTW TRDRKLGTVPLEVHVLEYLRRPELRHPNIVEMQGFFEDDVNYYIEMVPHGLPGMDLFD YIELRTNMDEGECRSIFVQVANAIHHLHTEAKVVHRDIKDENVILDGEGNIKLIDFGS AAYIKSGPFDVFVGTIDYAAPEVLAGKPYRGMEQDVWALGILLYTIIYKENPFYSIDE IMDRDLRIPYVLSEESIDLIRCMLDRDVAKRYNINQVLEHPWCQAANDE FPSE_04749 MDRPEPGLVKWSSNPSYDNFIHINLQHRVVQVYEPTGHARAGRF DYQKLSRHDDFPPLTTYDWSPTNPGLLAVGTGSGIVNLLKIDDGSNAYVELGLKMSRT CQAVAFNTTGLLAVGLDRVRMDQCLHIWDVSRLSSIDKNTKGFPPDASNIADPKTRLE PSVSVSSIKFFEDSPQTLVVGIKAQGLRIHDLRDPGSIVTFQTKCNNNLTIDYADQNY FASSALDHPGVMIWDRRATSRPVASPTYMQAIEEDEIPWGGALRLDQVIETDSDPFLA EGKYSLVRSLRYCRDHRGLLAVLSRTGQLKVLNTNKEVPSSGSSNPELLQVQKSYEMD VSYVETSRKNDRIVAFDWVTLSSPVLRPRLLVLRANGNFDILEQPSQTSDHVFKLVPW KSPHRGLEEGGPYHDIMQFEPSQAPDILGPLLIEEALSDVPLFGSDSASIRADTGAAL KANSMSSVVIEDIGATSRPLPEAFHKASDVAPKIRALRAFVRDEFQAPKGIKPRAGDT KIVQSETSELSLASDSLGSCREMHDALLTSLAEAEGLPREAQSVLDHAMLLRAKEKYL FDAVANRDIVADDPWVKYIWDWVATAEDFADDGGMILSNIDMSYLGVHSIWTNNLGRD PSTRLPPGTAPPDRSTWERSIMSFCKKKHLPKFDAVTTKWPAHRQLCLSVCGWGDSEK HDPKGRNRKADPEYPTTIHTMAAARALFAGDRKEAIQILKKASTAHPELLFVSLALQL MGDDSNTPAKEKLDFDEAVASKTDPYLRAISSLIATGDWTAIASQLSLPLLDRVCIAI RNFDDDHLTKWLNEQVDLAIADGDIEGVVLTGITEPMVDIFARYIQKFHDVQTATLVL SICAPRYIDDIRCRAWRNAYRAHLQRHKLFFQRTKFDVESTKRSKRDGIPKLKPPFRQ IALRCVFCDAETSLANNASHPPHLGGGSAPQASSALESRNPLLATSINAGVSCTNCGR HLPRCVVCLEIVGVPRSDKPEEKSEAKMAGQFPTFCLRCEHVLHLDHARQWFARHVEC PVPECRCRCNFRANPELSYR FPSE_04748 MAQKREHSEVGSPEPRDSGSFNGAKKRKQSKSKHRPNEGTSTWA KKRTRTIERLLNRNQELPANVRNDLERELGALKSTVSDKSFQRLRSAMISKYHMVRFF ERKKASRLAKQLRKKIDETESTETDELESLKRDLHIAEVDEAYTQHFPHAEPYISLYT SAKSEKEDEDKDDDDKLDYTPLKHRGLLHTERPPMWSEIEQAMKGGHHALRTIREKRP EITEGAKPERNQNKSKAATGKDKTVLASKAQPNPETNPTPYTKGKGKSGAAPKNRRER RLAERQGAQPVVKNDDDDSDDGGFFE FPSE_04747 MANTAQGIPWDQLIEFANNKKAEEKTTGKPAQLSKQQLAAVSML VEFVKDIEVEDTYVSKLFEYCQRNKIESPQFTTETFNQCISGTNIPRSRVLCTLPSRN QHFPQEGYGYSTGQAIPTFGKAQKAKNFAAMQALNWLQGRGPSSPRGEKRPASVTNES HVHTKIRAEEDNNSEGGALTADSPLPNAKGVPVPSQPAGAQGSAMRQEIAKLGDEMDF GVPEYLIRPAEEGSDLWNGRPIFRNDGKIPPEMGVVTGIAGRQQAEELVVKKTLEWLQ EEKRKRYALYLEIMASRPE FPSE_04746 MADNAAREDALLDVEDKVHDKHSKPRGGKGRGKSGGGGGHGREV QVSKALSRLLRHQAANAGIQLDDEGFARLDAVLAWNPLRSLKVTFDDVKTAVSTDGKQ RFTLKPNPDTNPSLDAKSTSPADYLIRANQGHSIKLESANLLTPVTVEAGNVPNRVLH GSFFYFWSAIVETGGLKPMNRNHVHCSAGTPEEGILSGMRKDAELIIEIDMEESLKDG IKWWLSDNGVLLTEGDEQGILSTKYFKLVTGRNIDVGVLWQDGQWVSDLPRGTKISPP FGKRGGKGGRQGGS FPSE_04745 MTVQGGFTSAAEQPCPDSQHQIQPALDSSSFRGPSATTTTTTTT TTTQQSDASKSSTTAIAHADLHRQSHNPSKLPAFRFSDRSKSLGSVPLVNKGEPLSFS SNRYKRNKNQNLDSGIKNKDAQTIDYINYKPTASTIPTTSPTSAPTISSDSASAALPV QSSIEDSTVLPNPDPVSPAPGPVVSETADPGSVLASQNDAVSGPATTSNPDLVPAPLP APAPAPAPTAPPLSATTDSDTSPPTTHLCDEKHAIAALTSAPTDPSTNTTSPALISRK SSTQPTELNAPVEATAPPPSQIHSRSTSLQPSSSSSVVHLSAGIKRPASFSDAHTPDA ISPHHVPSTSRTRNRRSLVSRRSTAGASSTGPPLSLNSERLQAIEAIEAAKEGQFTFR STVESSPIESPSGQRELILPKTLSRTNSDERRASASQRPPVSYRPPLSTNSSTDSSPS TAVRVPPIRSFRSSGSRKSINLDMHSRPRFLDSGDEDEDTNHDRTLRALEGRSDDEAL RSAPVSSRRGAFDNEDTGDVFLKIAREETSQRQIDEQPSDDNRSIVSRATRFTHRRPL SSVVPIHSPNSPPRVRRRLSDQRETSRSRSRGYEDDRATEVSRMSTYRTTPRDKAASA HPGEDLGRSRASTSTMRPSPVTPRSIVFQEPSSENSNYSRRRPSITDNNATGQSRSSA YKSIHHGHNKTYNSSPLVRSFDFQVPSNIDTGNGAEGTESTLSNTAPSTVWDELDDLK SRIHRLELTGKLPSTSGAAVSRLSDDRPPTATTTATTMSSSPKRVENGHRQTADAVST TSSHHQRESHNILQSALAKSKVLLDTDIYQALESAANDAISLASMMGTPGQPGPISSS ASTIGSNVTVTDRQLRRKAESVCRSLTELCLALGEEATQTRMPRQSIEVPTPTQNEAP ITPTINKTFSGFSQRRHSIGRPDKNVPKEAVTSPRTMSKFEERRLSILNGSSLPTSRA STSIPSTPIEPISSRRSSLLVSRARRAGTEEPDDGRTSSLLLRTRRAGTEEPDEGRRT SLFVRNRRNTVGEDSEDEPRFKGPSRIRTDLNTIRVVPQEQTPQPAETSSAIPRRRYV SSTIGSSRLATPSANTATPPRRYMERSTQQDHGTSAADRFTEERAQRYTSLGQTTMVN RTGSMIRRPNRESIASGNPSTAAGSYR FPSE_04744 MCPSSEQEEEIKRNENGNGQSYASESAHVSMVTGRMSSILIAA FPSE_04743 MVQVVTWVQYTKPGTVSQEFIRWFSATLCNTSDRISLHGTTIAA SAINPTGRTRKGQGWSYITYT FPSE_04742 MPSVTDRRVYEDQIPPFMTVFDLDAETMADQDTVTLMDTKFVDI DSKRPGMMATSHQHGLAPSMMPVDSIHKHHGSTLTQTSESADSSPTTTLSTTDSSPLS DASPSSSPDSPMNLIPLNNFPATSFGTLGVNNMSMNYNTNSSTMLSEPPRLQRPMTSP SPRRGRNMKGLSIQPPFAVSTATTNISLVSEPASPSFIKPTIPAMRRKPSQLSLNTNT SDLNHKTTLEVPPSPAMPMLQRRALKHSCSSPHMSSGLKSATFGPPGGMTFPKVLERN ESGLSEVLRPSKSTLKPTTIHSAITEEDSPIRTQMAFRDDDPYRDNENNEDMKTPGYP DGPIAIYGDNIFLYSEPTAEEAARFDVVINCAREVSNPFEINKAKRRPMSIAEPDTAV STASFMTAWEFPQGDDSVETPTTPKALQFQEPEYIHIPWDHNTDIAPDLMDLCHVVDD RTKAGKRVLIHCQQGASRSASLIIAYGLFQRPELTVNDAYYAAQAKSQWISPNMKLMY SLQDFQKEVSTKRTAQPSNRPRPGRKPSKHRLTLSANAIDIGPKEPQTAPLPTQGEDV QDSNLSSSPTRLRGNSTPGPRPVSPGPASAPPTCTWKDEIEQRPSDKLNPFKLGDLPK RPVSNHGNAPPTLAPPTLVLPSLAPSTLAPSPMMDSGMKPPPSPGFPSQSSFGFQSMT FPRFNPAPPEMKFNDSPFQRTIALPGSYPEDDALLSPRAETMTNNPLHDVPEVAGMRF VESPPTPGQGLFSPRAGMFPRDPFSTFGRPPVVADPRSPPTKGEAPIIRSIDDLL FPSE_04741 MARSKQPAIKREASSEFFNKTTATWEDSDKSQKNTSNGSVVKKA VDAAPALAPDAGVLQLVIAVAGIYASFLTWAYLQEKLTTKPYGPVDAPEVWHFPVFLN TIQSVFAAIVGAVYLYASTPSNAPVPPVIPSRRILAPLALVAVTSSLASPFGYASLAH IDYITFLLAKSCKLLPVMFLHITVFRRRYPLYKYLVVAAVTLGVAVFTLHSGKKKGSK VRPDDASTSWGLLLLGINLLFDGLTNSTQDHIFQTFRPYSGPQMMCANNIMSTIVTGA YLVISPWLVATGLGEWFGMDVAGNAGELTAALDFMARYPAVWKDVLGFAACGAVGQVF IFYTLSTFSSVLLVTVTVTRKMFTMILSVLAFGHRLTQMQWLGVALVFGGIGVEAGIA RQEKMTKEAAKKAQQSGKKEL FPSE_04740 MSAPQDSIPGNGSDILDQMDQLRMDDRLGPDGEPAPKTEEEYAQ AQLTLRAIVSSKEAGVIIGKGGKNVADLRDETGVKAGVSKVVQGVHDRVLTITGGCDA ISRAYAIVARALLEGAPAMGMGGVVQSNGTHPIKLLISHNQMGTIIGRQGLKIKHIQD ASGVRMVAQKEMLPQSTERIVEVQGTPEGIQRAIWEICKCLVDDWQRGTGTVLYNPVV RTQPSSSGSTSGGAGFNQGSGRSDYGGSPRVMRTGNGADFSNGSSRPYNRRSDSDAAL RGPPTHDENGEEIQTQNISIPADMVGCIIGRAGSKISEIRKTSGARISIAKAPHDETG ERMFTIMGTAKANESALFLLYENLEAEKMRRSQLQEAE FPSE_04739 MSADLFAEFNSLSDKSTPEPTQPQPQNHHQQQTTPFQTQTQQQA PLPPKPLQRSETQNLFDLLGNTNNASPVNSQPWSGLQSQPTTQNTWGSAQVPSKPAET NDDDDDGWGDFEIAEPSQPPTANATPTQTPSPAPFSAPGWGTGESNTPVSANTSSIPW PKSNPVSAAAVAQRAPGIKQQPPTRIARASTMDLMSNSLVDIEALQNSTGTTKPQSSK PQSQSQPQSQSQFTQGWGQGTAYQESPHQRMKAKLKPSAQKDPSVLFDAEDFELQVAE DEDDDEDDEDDDEDDEFGDFESVPPPTAVKPASVTAPINSTPAPPSMDLLSLDEPVPT PPQQTRKTPPAQLLGPLAFGATTTNYPQAPKSPSFQDRNPFPELAIKTPISAEPKEVK KPKEATPATAWPSFESPIDPEPAKFKDQEEEWDAWDDFSASDNKKVTTSTKKAPESWD WDAVDGVQPSHSDSQEDAPPPINVPPPSVILSAFPDILNSGTALFKPVSGQSVSIKQR ILSDSKAVEFLKAYVLLAETAARVIAGRKQRWHRDKILAKSMSISAAGSKGMKLAGVD KTQAAREDREAADVAAVWRQQVGRLRSAVAAAKSADKGLTLKVPEISENMQVHTAKMV PTAPKACIICGLKREERVAKVDVEVEDSFGEWWVDHWGHKACKNFWIEHEQRLRQR FPSE_04738 MRPLEESLSRWRETQSQGAHLGDLRRAVRHNGPSSPCISGCRSL CWKTFLLSTAAEGLSWAQVLDDGRQSYTEKRNHFLKYIKHPEALAELNIDPLNEDPNS PWNTIRQDEIVRAEIQQDVQRLPDEASYHEDQTQATILDILFMYCKLNPERGGYRQGM HELLAPILHIIEQDSVDPSTLPEDIPSDDALAKTLDHSFVEHDAFILFSKLMERAQSF YEVTDTATTPGNSLKPSKFPEQSSAIVERSKFIHEVCLHKVDPELATHLTNIEILPQI FLIRWIRLLFSREYPFEQFLVLWDTIFAVDPTLEIIDLICVAMLIRIRWELLEADYSV CLQLLLKYPPPPDDHGPHTFVDDALYLQDHLDESGGSSLIKKYTGRMPEVASPPQNSR NGTPSFRSFNSFKQKIPGSRSQVTSPSRFIQQQGGMEALFQGAAKGAKGVYERGEKLG INQAVRDAMGEIKRNINEARSTPRSPQPLMSEGEAARSLAALETRNQHLSAMLDETVN SLKAISASSLEDKVKSLELIEVAAAKVQFVKIYLDDSSMEVPVLHSPPSEETPQEVVV AETTIVQPEPVPAVPTELDVSSPKISKPKPAPKEEPAQTVGLDQMKIVTTDDSKPARS LVPSRPGPVPTRSTLAQSSFSWMLEPDESGTPKPLTSPNKSPPFQHKKKISNSASREK NAFLFGEVTENRNPLNSDEIFGLEPIKKLKEVKHDGKEKE FPSE_04737 MDSVQLHFQAQLGFLIAISRWPGDLEEHTNTKGVQKPGQPISSP PNCFDRIPANADIYPHPKREHLVRAQWAIEKLEARLNGQTPILESPEDPQGDARSKVS LSAWTVEYDGHAQEYQADKCKWIGVKMTSPKFQAESLDLQKQLKKVLIILNMEYLTVP NSETRLKIAVYLHDSLVTLDRMKAIAALIWTIDPLLDDVHPHHCGSNSIYSLGLQYSN LVRSSPLCLKAQLDSTVDIQDPWDNHLSPNRRPLELLAHPGELREQKYSSGVDMILDA NSVDELTHRLDITIQDSECYPQASPAYSLRPSGKSDEMVIWFNQHCGTLDFTEIRHWI SFCVGVIQLSTEETSTSFHKSNPGLICRSVLSHRLNEIGLHDLVDYHQAKLRTSRMPD LKYWYSDGWAFSRDSSSHMSSDGSSNLSPRDDFPFPVYERRFAPSNSVNGNYSFGIEL EFHTPIKRDASSLPLIGSPPPSLPIDPQSPIDRSDPSPEDDRQIGEYSINDRTIQVAK VITEKGRLALTRSQVPSDETPEWRGILAKHLIVPIPGIKPVYQVWTVVPEYTLKPWRN WAGYAAIDGMEVVNPVLADTSTDWENVVDVLGILRNNFRILVPKTCGFHIHVAKGTEK LSLHLLRKLVVLVACAENMIFSLCHPIRREKGWSTPIRREGSPLYDGYKEAWTRKGVT ADFWQHIPIRTASNPRLFGALKKVWMVKSGSSLQRLLPSIGKCCISLAKCNFYSSLGT DYDGTVEFRFLEGTLDPELIVRWGQLMVALFRFADKAPHEAWFPFVATALQCQSSGPC DINVLRVFLTLLGLSDDFDFWADRVAAMSKLDPQEYRKDRPDENQGFLSRISNSQMTA LRNNLCQRERILPCLAAKKGVAQEPESPHDPADRAESILREAGFAGKSLIKAVKAERG RVQTIRARPTKKPEEEAREDDTDEPSLHLLRVLEISRSEAEKNLT FPSE_04736 MGWADSLLKFARDERVVLLTLSLATFGVVSTVLTALTIIRDDNE IPPLQPKTQYITQDTEDSLQLETLEKLLDHPNYSIREVAVKILCDRAVNDPETTHSLL FGITRPDYDERMRCLRAMALLTGQTIGLDGLSKLNNPKAYSALVRSLELSLDDVERPS LTDSHWDEYYLRDMAERFCLMFILELVNKYGASMLIKARFVEKWLAKQDWGATPEERR RSFKDYMALKVNRIVEIVDRIKETRRGLRALEKAGLLDKENSRRRIRELPDLIMEIEE EAGNMPLVEQQPTRTREHSAEEQRLRRQHREAMVLNDGTRPLAREDIIEREHTSPA FPSE_04735 MAGSQLKRLKASLKEQGIVGPQQSKKQKRRNAQDERSRNDKRLQ RGVVLEGIREQFNPFDLKHAKGPKFEVTSNRPTLTAGSIKGRPGQAKAASEERRRQTL LVEMQRRNKVGGIIDRRFGENDPTMAPEDKMLERFAREKQRSHKKNSMFDLEDDEPSE GLTHMGKSLSFDKMDDFKEDDLEEDYDSDGSVRERQRLKRIRALAAEGSDGEDAENDE PERKKTKKEVMEEVIAKSKHYKYERQAAKEEDEDLREEIDKELQTIQYMLHHIRPDGK PQADPAAVSKIAGVDRDAFEKDFDLQVKKLAQDKRAQPADRTKTEEEKAEEESQRLKE LEEKRQKRMRGESVSDSEDEEVNNKGKKPEQDEMDLDDEDDFGLGDGIRARPTATELG FDDEDDFIIDDDLVADGSDMEPLDDSDDESMEDGSEEEEEEEDEFTKGLLNEEESRNP VFEADSTTKASAIQKGDEQGLPYTFTCPQTCDELKATLAPYSTKTFPTIVQRIRALYH PKLNSKNKEKLGNFATSLVDYIGSPWDPTTSAPFTVLESLVRHIHSLSKMFPVEIGKQ FSHNIEEISTSRPLDLGPGDLVLLTAVGTIFPTSDHFHQVVTPAVLTITRYLGQKVPQ SLAHYAIGAYLSTLTISYQKLAKRYVPEAINFSLNTLCALAPAASSKQLGNFPIHNAP ADIRIESASKAKVRKPTFSDCIAGELKKSEANASKVAIVNATASILEAAADLWTGKSA FIETFSQATSVLKHLNGKACRPQLPTALNERVEKLLAKFDRMLQVAQLSRRNVELHHH RPLAIKTYIPKFEETFDPDKHYDPDRERAEMAKLKKEHKRERKGALRELRKDANFMAR EKLRVKKAKDEAYEKKYKRLVAEIQSEEGRESNIYEREKSARKKAKNR FPSE_04734 MSNEQKNGAGAPDEDEDDYMNMSFDEPAPVKETSLQRTQRLKRE SRARGNVKSKEQIAEEEEAAREKALSTSLLDDAKAKKSKGFAMMAKMGFTGGGLGKKT DEGAAPGRTEPIKVSVKDDRGGIGLDNEKKRKIREAAEERDIKAAKMDPDEYRDRVRK EREDARLEKQFFAAQRMAERMDDEKAELAGSGEQASKTNDKSGKATPTSSRPLKSISL LYRGLVRHREEAERDRRMRYDLEQSLSRLPTYEDDQEDEDDKRALGKGQTVYATADDL DEEDEELDQFNELEIGERLKTVLEYLREKHQYCFWCKMVYPDTEMEGCPGLTEEDHD FPSE_04733 MGGIQDLTNELLFLIMEYCGSELLPLMNACPTALRCFSDNRKCF VARMSNRFGGGLDFPSFVRAAHLRYIRQERDFAFLGTEAVEDKIRQVFDSTCDTAPPE LHKFSVSALCIMLELGEDAARIIDMYSQEALADKAEQPNAEFYVARQSTELTQDERRR FMNAAFTFESYCLTFFYQRKVLYWRDNNFRQIFFDKPSSDMHLENRTAVGRFYCIMFY IRHKHLELLSRVNWRLESIHGRAASPSLTDGTRQYLEQRFLNSIQRDDPKNRRKYSLH LTSHGLKMLIGLQEMSIKELTESTLTTYLGIRDLDYTTVSFTTTESPDSRSRRLEYWS CHPWEYLDEIGEKFDALGVNGN FPSE_04732 MSANDNPENYALFRDCLSTTLLQPASPAPDPPKRRRRRKNSAAT PAAAASTPAPNPERDAEELADFVDYLATEIFENLPDELQTLEYRTWRDDEALQEQYSL PLTKESLSALNLPPSVVETLTTYNLISADPYTASHLPSSPEAFLLPIITSYITPLIEP PPATRATRADACELCARSWVPLSYHHLIPRFVHEKAVKRGWHRKEDLQNVAWLCGACH RFVHNFKTHEELARDYYTVDLLLEEKEVQLWAAWVGKLRWKGR FPSE_04731 MPSKLLSNKPNKAIYVDSIASLSDPLSSMSSTFSLVSLLTMAYH VRTPQPASQERLLTLLNTMSPALRTHADPRYSREEILNSFVHFLSPVLNGMAGMLELL ANVDAFSRPEDFRQFWSLEQSNLIRDFVESGGPLRGMQGYDVPFLETFVKDSSCRIAL GSNQGMLLLVPADAEVGDDVWWDEDEQRLTVRKGVDDLNTNVEAYLDNGSRISAMAIP SAT FPSE_04730 MTSDEERGTRPSRKRYDDEDHEPRDTDRRSKQSDRDSGRRERRR SRDKRDRRRSRTPESSSRRRSRSRDRDRDRNREHRRRRSRDDKSSRRRPRGDDDEGND KRVAKRSPVRQAAPLPSQADSFAVTTGEAPEKPREKPNFGSTGVLAAVSNSVAQADGT TVTLKYHEPAEARKPSPRDVWKLFIFKGQDIVDTIELSARSCWLVGREMSVVDLPAEH PSISKQHAVIQFRYVEKRNEFGDKVGKVKPYLIDLESANGTKLNDGKIPDSRYLELRD KDMIQFGHSTREYVVMLAPRD FPSE_11953 MVRKAAPKIGNRKGSENREISRYEITAVYTHPEAKADIVFVHGL NSEPDITWTAKDGTFWPLDLLPAALKGTHANILIYGYNADVYSKGNDRTTSNHFINQH AEDLVTNLMLHRRSQGTSKNPIIWVCHSLGGILVKRALLYSHDVRETHLEDLESIFIS TFGLIFLATPHVGSDAAAWGVIVQAMAAALIPRRFFDSEPVLLKTLRKDNETLVNINS HFLDIYQRFEVHMVRENQKTDVKGKKFFVVDAHSAGPPLPGVTYYGIEANHVDMCKFE SINSPGFRNIAITLQQWIERAPFLIQERWLAAEEEGRNRALAKVNEIMSPFAAQMYAQ GAMLPAYDYNGNGSNAVPRIHSARYSEASLNPGFSYWMANFPINTNSNSSIGPSPGYP QYIQSHKRALITDGPLSSSLPNSFASNTGVWPPFATVGGYASQPTSPRSEMSVPYTST TPWPSQAPSIAATEPLTWHHVTPVSNVPLGHQAWPPRQTGPWNFYDIAYAPRQQMPPE TVHVSKIESLPPENQDIRQEGMESHPADYCQP FPSE_11952 MGATQYYRSQIGEESVIDYSHTDPPLKLLAKDIYYFFIYVWALP WVVWPVYPCKSKEFDELHPSSQNIFCIVVHFILIILQLGFVLALPFAIILPVWMAGAG ITGFMIVNWLLCKLLNGKKETYKSEERYAKELPEHAHEQWVFLNGVAVGEHWMQSNLN RLALTFGRPVLGIHNRTSGIIFDVVECLIQRNFGYATGDVRICFRLLKDILYDQSKSK VVFILHSQGGIEGGLVLDWLLQELPQDLMSKLEVYTFGNAANHFNNPHRHRSSATLSR LNPLSAMSTFLTESSFDSPSSSPVETKLDSNNKTRNPVRKESSGVSTRSVSAAKDRCI KHVEHYANGTDFVAIWGILHFATNRMESRELPRFQGRLFARADGRYGGHQMNQHYLNA MFPLKQDADTGEYTGADEDNEFMEEEFKVGEEGSASANAREAFEISWAGTQGFGTGDA STPIEIHGISEKLKRATENNGIRVKDVSRLWSYRNGRSPIELPSMLVDENGVARTATL FPSE_11951 MCSLAASQPLTNSSNPTTQEYKINNSLDHAQTLQAPLPNPSLQV TADHSLKRVDAPVYAPQADEVLLHIKATGICGSDVHFWKTGRIGSLVFEGDCIIGHEA AGVVIRVGEGVDNFKPGDRVAVEPGVPCGHCFLCKDGRYNLCEDVQFSGVYPYAGTLQ RYKVHPSKWLHKLPDNVSFAEGALLEPLSVVLHGINSAPITLGTPVVICGAGPIGLLA LASARASGAHPLVITDVEPKRLAFAKEMVPTVKTYQVDTTKSNEENGKNVRSLFGETE YVQPRVVFECTGIESSVCSAAFMVRRGGVLMVVGVGRSIMNNLPFMHLSLAEIQIRFI NRYKDTWPAGIACLEGGLVDAKKLVTHVFPLEQALEGLTLSSDPKNGCIKVQIVDDTE TAFF FPSE_01428 MVPAADEDAHAEQEKGASLWFQGPAIPVRARRSAQPTGTCPYES SRKKKTFEKLGREMLAG FPSE_02801 MLSPSFPSASPSSNDNARRKTRRRPHTELNINTNTSSLASPSPR ERDSIPLPRTPPPKDSEYCRSKSKSRHQNTNNREPSRPPISNLYAHESFLENPTPVED DMDRRLPPSNNRDSHDLSLSPRNVTRDSLVANMLLSLDQFSMAQLSDKYGPSTSTPGS RSHTFDETSSQSIAAEQTRSWVASNSVAAPSRPNGHQYSYSSDLEGADDSSRISSRGR RSNSSSNFQSSFPRLNSVREGHRAGSSRAMHSRGGKPGSRNSSVASVDAGYAQVLGSQ RYGRGFARSASFDYGPQQQSSPAPAPVIEPSSFRVDFPNSYIDDEYDAAPTPNVPGGP RRQPSIPTMSKAASMPPPIPPAPLEPPTLDHKRSSRSLKSSSGRKAAASREPTPAVPA LDLDSAPAPNVGYGKSKEAVHSGPTTSHAAAPVKERPGFFRRVFGSSRNNSQNTSESA NTPAPQLNSAADNKVAGNASTPPSRDTSSSHSHHPALQKKPSSFFRRRKKSVTEETPP IPAMPMAPVPTTAVPSLAPQERDSSLPQHPSPASSLRKVMNPYIRTGQGAPGTSASQG PSPLADISNMTPERNVDQEEYKRGFSPDYSPSPNARITTVRSESNEQLSKRNHIDGTP SRLPPERPTKTMESRNNSFLNLDGLSDNEDENGMFGFNLASMSNAKSQQSENRDKSGR GHSRDPHRLEQSDSEDELDHANLALPIEGARASSPGSASTGTDYKSALSAAPSVRIES SADPSPKVLGTFDSIKNKGIDEPEFVIGDPTEDDRQKAQKIYDGNEDFIQKGKAASFM GEEGPIRQRTLQAYMELYNFTNQSIVQALRQVCGRLVFRAETQQVDRILVAFSKRWCD CNPNHGFKATDVIHTICYSIMLLNTDLHMADIEHKMTRSQFIKNTMGTILQAVDEAAP DAFQRASILPDKNGFPNGENQQPSEQDKRSFRNSFRPPARADSGTLPGEGIEDCGPLV KSHFSGTRKAWEEQIETVLKGIYNSIKDERLPLFGAESEKNASLQPSNSGGLSVMGML KRSPSVLSKAPSESQLSVRGRISDNGKANSARWTSKSRSRAGMSRTGFSSSRTSFDDG NSLWSPTASSATWSRHSLGRTQTSVSQDSFGSALRGDYQQSIGFANALSQAIIRDEEV YGDGAPSIMSSDIPATQLLEDESLELAGPPWVKEGMVTHKHHLDGVDKKAKARNWSEV FAVIQKGQLNLFSFQPNKSVRAKNRDRNNRGGPVLVGGGNWQDNATNLGSYNLRQTLA SALPPPGYSRARPHVWALSLPNGAVHLFQVGTPEICKEFVTTANYWSARLSTHPLTGG ISNIEYGWSEAIVNNALVSIINETAPGKTSRPGSSAAQHHHRRSSANSGSFRGSSFDQ VAGAFTNNSGRGKLPGDRIHIAEWAPPTQSMRPSNQPEAAQLQTLDAYVKGIEAELQT HNRLRSPMLLAFTPRGSNAVKAMANWERKSAYLLREIVKFTTYVDCLQQAETRKQEIY HERDLAQRAARGELSDGDMDVSGDEGDVTLRP FPSE_02800 MKFSLAVLLPVAGVLAAPTPPGIPSDSTARSLLSGLTVSAPTNT DTYNRDLFPHWQTYEGTCNTREFVLKRDGTNVVTNSACAATSGTWKSPYDGATWTQAS DIDIDHMVPLKNAWISGAASWTTAKRTQFANDVTRPQLWAVTDNVNQSKSDKSPDSWK PPLTSFYCTYAKSWIQVKSYWQLTITSPEKTALGSMLDYC FPSE_02799 MSSSGSDADPDNERLSSLSHNFGPSASRNNPLFIPELFYLIVEA LGEKTDERPEYRNKHSLANLAATCKYFAATLETVLYTQDIKDGELRGLKCIVFCEEDH VTLGRLNKYPEELLKPYVDTVFNSIINRDTPNGVVNDSCEYTMLHIAAHKLMYDTMKK LSELGATWTYVRNFQAILSYNERAKFFFSYPAFQSIFSNVKWAPNLVCLIKKDRHACE ILEKFWKESYPFVGQFPFPAGLLPVGAEDRMTLLHLHLLAVPKHIGADRAEKAIKEYP ELINLPTGESQCSIYHIAVMAQSNFALSNLLIRRLRDRVPYFVDNQGCNPLHTAFKQS LQGKHGRTPQAQTGTTDALSTLLRWDMNPMMPHTMAPYQHPLLVMGEHAMVDWNGQNR VIKKNIDDIAESEKRWAEAWGFGPVVFTINRCDSNGNTLLGYITKAIVGYHVETGSKP LEDLFSKIVTEYGADINLDVNSFRTPMPRPYIHSIRWMANHASGRRRFKKLVNDLGGQ LHPAEVAGTEASTLADVDFPPDQPHARCLPVDHPYALQKPFHLAFIRKSAPQLAEKRA ADLQQHAIMVGFLVRNGHTQEEAVAKATQALKL FPSE_02798 MEPSAIEQLKTHVTPFGSISQDSGTIARYFDSFGNCKVLLIGDA SHGTSEFYSVRAEITKYMIESHGFNIVAVEADWSDAEHVDRYVRHRPGPGQGAVETTQ MAEKEKREPPFLRFPTWMWRNVEVHDFVEWMRSYNSGREVTEAAGFYGLDLYSMGTSM KAVIDYLDTVDKDMAKVARGRYINLMDWLEDPHEYGLESLATSFKGYEQDVVAMLGDL LRKRIEYSAALDGGVEFHNGEQNARVVKGKLNIRRLLSSFRVRIDFSTDAEQYYKAMY RGQDKSWNHRDMHMFETLKRVLEHRGEGSKAIVWAHNSHIGDARATSMSWASHELNIG ELCKREFGDDALSIGTGTNTGTVAAAQNWESDMNIMKVQPGLPGSYEELMHATGIGNF VLDLRKGKCDEKLREALRGERLERSIGVIYKPETEKASHYSYAILPDQFDGYIWFDES KHVGTLEIHQPRSPLEYHETWPFGL FPSE_02797 MGSVVLPHLNTGWHVDQAILSEEDRLVVIRFGRDWDPDCMRQDE VLYKIADKVRNFAVIYLCDIDQVPDFNQMYELYDPCSLMFFFRNKHMMIDLGTGDNNK IKWVLEDKQELIDIFETVYRGAKKGRGLVVSPKDYSTRHRY FPSE_02796 MSATTAARRLRVGIDVGGTNTDGVLIDPLKTSGPDRGIIAWHKE PTTTNPSVGINNALTKMLSSASISPDEVASVTIGTTHFVNAVVERDATRLSRIAVIRL CGPFSKHILPCVDWPSDMKELILGHHALVKGGLEVDGRLISDIDQDEIKAQCAAIKEK GIKSVVVIGVFSPIDIVERQEERAADIIKGEIPDCDVVCSKEVANLGFLERENAAILN ASILSFARKTIRSFHKPVKRLGMNCPVFITQNDGTVLSGELAARLPIRTFSSGPTNSM RGAAFLVQNELDEAMMVVDIGGTTSDVGILLENGFPRQQAAYSDLSGVRMNFSCPDIK SIGLGGGSIVRRDNGVSVGPDSVGYKLTQEAVVFGGKILTATDCTVLTNPGLEIGNLT LLKDTISEDELEKVRLAIKHKLEKVIDTMKTSPQDIPVILVGGGAVIAPDKLKGASKV LKPQWSQVANAIGAAMARVSAVVDTVKSTAEKSANELLEEVSQEAIEKTVKAGALRST VAVVEKEALPLQYIANKTRFVVRATGDFDFSKESVVPETDEESEEHQEMDSYEKSAKD QSSKRDTKEEEDFDILAYRPDVRKRTWHISERDVSWIATGCYILGTGGGGSPYGLMIR LRSQLRNGAIVRVVNPEDLPDDARVGCGGGAGSPTVAIEKLAGDELLEAQQELYKMCN TSATHMISVEVGGANGLSGLLLGSSDQMDIPTVDGDWMGRAYPTKWQTTPVVFKERET IWSPIAVSDGNGNVLVMPKASSDEAVERIIRSALSEMGSQVGAADAPVTGAETRRWAI EHTLSQSWRIGRAVARARKENRVDNVAETIIDECGGPGAGKVLWKGKIIGVERTLRNG HVYGECLIEGADVRDEANAASGEISEQQFKGIVKIPFKNENIAALKVHPDRKEELQED VLAIVPDLVCVIDAQNGEAVGTPEYRYGLLVVVLGIAASDRWTGSERGIKIGGPDAFG FEHLKFEPLGQYFKPRSVIDEYDEQ FPSE_02795 METSLGATIRSFWHTMTSYDRHSSFDSPYRTGRHVPLQDGRGGI MTGVATASDSRNDVSSPYTDETGRGSPRLDANTFAPTGAPYSPGLRSMSARNASQGDG FEVQSPGDVPMQNFNDGLPPAPPVAHSWRRIDSWADDNYPELFDQLCEGATNNDLNDL EHQLDCSLPQDVRDSLMIHDGQERGGLPTGIIFSHMLLDCEEIVQEWDNWRTVNQQLL ETSVAKPSTPSKAFGGSNEASSSKQGGGASNSPGPWRQDLMSRQDCVPANAVQKAYAH SSWIPLVRDWGGNNLAVDLAPGPGGQWGQIILFGRDYDTKYVVARSWSAFLAVVADDL NSGKWYVDEDTSELKLREFKETRVEPPYFSILRWRMDQKYGRRAPSKRRSMGPKPSSP LGSRSSSPYGSGGESEGRGRSMQRLSGSSPLASPRPGAGPGYGKATPLSRVTEETAIP ELADAYIQPEKLVEVETPRHSQDSKTPNVTSIPRVESDLLKVEEEPSPKANGKKPELV DEPMKTIEI FPSE_02794 MSNRYDRPPPREGNGYGNFGRSNDDYRSGYQDGYGSDRFATPPT TQQPRPPPSLRQMSSRSRIPQVQSQGQGQSQVPDTHAARQITEVIDHIKKEWPSMCQD DCVPVQLSLQLLDKSSVGRAHEYRQFQQSHNYLQESLKGIVHEHHQGFNSSIGTFHKI QSSIQQSQKRVRALKESLASSKTSLCATDPELKKLSKSSQEFDALLQTLNELDDLRAV PDQLEARISEKRFLTAVEVLQSALRKLRKPELDDIGALADLRSYLANQETALMDILVE ELHEHLYLKSPYCQERWQNLAKTQGAVTEGYTDIKPIAPFHMVLDAIDVDKTIREDPM KNPEADTFYYVGLLVESLNRLGRLQNAVETLKQRLPVELFTIVNETINEVDQRHPSSL RGGSARGDGLHVYGTRETQMRADVIYDLLWSLYGKFEAIGEGHRVFHESIKVLIRREG AGNNSALLGSFKELWNLYQNEIRSLLHNYVTTDADVYQFDSPNPGDTLHGKKDVREHL FKFSEANTKTVEMTSEYDALDSIIQDAVPGLKQDRKGRSAPEGGRRGGDGGHGSSHQT TGSYKSLVEPSVFNMSLLLPPTLVFLQRLKSIVPPGSDLAASTLTTFLDNFLVNVFQP QLDETLAKLSDTVFGEADAFSQDSGWSQVARRPVFRGTTAFFEVVTAFCRMLGTIPPD QALSSLIVTQMMRYYDRCFSWFKTLVVKAQDQATEISNDNLRSSARFSLDQGELQETM KKLFTAEEMDWELAEKEIHLLMELTNESPLDSGDIIQDRDSISSLCLLYTSMKWLAVK ISGLRQITTHDTDTSRQNLPRHSNRRWTLMNEPSKSAGEEGPVSLPLTQETVQAFDSI VSSFEELAGTALLTLHMEIRCRIVHSLGIALSPTVAPYLLDQEVSEPDPQILNLNSEL VFFDETIVKFLRDKEIAFIRTGLGLLINCYLVSNAGKASPMNDKGCGRMQLNILVLQQ NLKNVEEGVDLARAANYFSLYEQGADAIVEKAKEDKEQQRGTSAQDPDNFSYDELKAL VELCYSEAMANPERGIATAAKRQMQDKLLGLSEHMWQT FPSE_02793 MSQEQQQQPGDSGEEIQPYRIHVSSKYLDITRQKLELTRLPHEP SSKDWWEPKPQVESLVDFWQESFVWRDHEEELNNTLSQFRTSFQVSTPPTPVRIHFIH ARSPHANAIPLLLIPPFPLTNLSLGHLVQPLSDPEDAGVAQPFHVVIPSLPGLGFSDT LPSSAPPISTAAKVLDDLMRRLGYEHYIGSNAGSASISPAGIDWKLARHLSNNFPESC LGFHMIAPPLTSPTLSASASEWLKWKVARILNSPVLGYTKDDITATQKRKTLRLNKKS AINLGQGDRSDYEPNTLSYALCDSPTGLLLYVLKVLRTLGPKNELSEKDIITLTCLIW LPGPEAALRFWAQCASDIEPVEGKKHAKKPKVAISVFTGDEDQSKEQKLLPRPRKVSY ACPAWANKDYQVVHLNRASGTPGFLAWDRQDVILDGVRGLAKAILEKDTRMKAAEQPG ATLQDQLETQDGRIAQADLSGTTMQEPKASPSEGPAKQELQKLVEDEDQHLAPPQATS QGVAH FPSE_02792 MGASDSKLVFKRGIFRLCEERHIPADDAYWTSFWELPESSEDVF SLFTPADIRRTRDRALENLETLILAVTSRLFILRHHPSFPDPELAPEREALNCVRVLT RILPYLYEKDSLGEWEQQFFWAQRRKRTRQAAIANEILFDEAQEAERKVESAHFEEVK PLAEELVDTLVDLLFFSDLTLPRQPHGRSKVSYAIWQSGVGCNTAVATTKEYESNRSE ILRLLLTMAGQSLYTTAGVLPQTGVRTLTHLCACPDKQVVLSVLCSLLNTTLKYNPAT WRVPYNTLVFKDGKQMLVTYSLHFLLVLLLYPIPESPSNPSPKNSYRHFLGRLHRAQD FQFIVDGMTRILNQPLQEKTSYLPGTQSSGHFAPEILMLFWEILQCNKRFRSFIVDTE RAHDFVVLALFYALEYKNDATKQGVVRMCAFLLQTLSVETNFGVNLNKYFEGQESLPV SIRVPGFRGTYADFLIHSIYNLITTSQGKLSAIYPALLAVINNIAPYLEGLSSASSSK LMQLFSSMSSPSFLLSNETNHTLLHSLLESINSILENKYRKNPELVFAILRNKKRVEA LRSFTLESGQEEIERRNRRRKDSGQHADPLEATSVRSSVDSLRSPSSAHPRHSSLEEV PEDGAFAIGDDDDDDEDDDSDDEEQQETPARSTSSEAPSRRSSTPNAEDALPTQLRGM SEKARGKMPAGVPSFSRQNSTTSLGGHSISGQSQAGAFEPTAHWIDSWLLELPLHTIL AVIQQVSALLPRQELAADIPTPETLRRISGVGIVGIEPSAPRVHSFEWSQLALGWYES LLWGIIFTNEMQVSRGTMGIWNGTSIKLFRVQETAPEGPTLTSPRGAVDAVGSNIVSR IGQMNLRGGPQGSNQPPPRNG FPSE_02791 MLRVRRGLGSPASFASIYRPYVRYPRQCTLVRSFTTDPNLDNAS EPPTDSKSHTENIPNPLDSTPDILQELASRAHLKEAQDVKEQEEAVNHGGVSNDANFN EALNVVRRVYGVDHKEKKKKRTTKSQPQTGESNDAQEIIREDDMVETDSLAGTQQTAV WTSLREKLQQKISPASSYTFKSTHADSAAFVTDQDGAVLPRSLDTEKHAVAEEQQLLN ELKAKAGSVKKRNVKSKPGIEKLVPKNMTLKPVEKTKAKDVPQLAYNLEKVLFNSGPY QLQDRRTQVYNFDPYLGTIMPVKEFDFNALKEYVTSSKDETLTKLSAKHGKKYCGSTS SMTSMLAHFHFLLSDWRKPNFEQLSKSFRIEFESFTMLTRAPVAAFARYKDGVYAIDA DKEFDTANILSMLGKSMEKLLTLPKDHFEKYRRSRSHELSDEEKNADEAFHYTTLGDF MMRSQLDARDSRLPGTGVFDLKTRAVVTIRMDVGDYEKGVGYEIDSRLGQWNSFEREY YDMIRAAFLKYSLQVRMGRMDGIFVAFHNTERIFGFQYISLEEMDQAIHGTLNRKVGD EEFKASIGLLNGLLDRASKKFPKQSLRLHVETRPLDPAVLYFVAEPVSDEEMQKTQDK GRAAVERFEKQVLGISRDSSQDEEPNLQENTASTEEEERMSRDIPKPAPVDILERQKS WDEMMAKVDQFVENDAAGLANVREAIEQALEQSGLLAGKPEEEKQVYVNELVEALTED LGDGKEGANESQDTLDTPAVDDLQQATEPSLEGSEGYTQVESSSETETLLGQLDEASQ GASFDFNLESQNVESVEDHEIVVDGIAGEDDASAQTADEVVEGNAEPATNAVPQDSSS LKDLILKVAEGVEMNTSSLRTFEQILSGLVRDQKQLNDEVDEENLAGIDDPLVTTDDS PEASQSLPTGEATPDQNAPGNSQKEVLGMYVTVRNKVDDLIVKRVERRALGDVPDWTV EYTITEFPSDRARRILAQMKGRRKKLMGLDQETRSRQWFKMWNGQLQERTKAGRRARR MMTEREEQRGTKVAWADEPAMKKRVSKKALSKKITEPKKKTTEPKKKAEPKKKAASKK TKSSKKDESGTD FPSE_02790 MRFLIALLPCLGVIAGVVGSDGSAIAIQNIDDIQQTLYRIDWAD RIWKQIQGVSSCSGCQGLLLTFKNLAKLGDKTFVHTLQDVCKRSKVEEPDVCEGTIEL QGPIIAEALRNVAIGSKTAQHLCVTFLGLCQYPAIEEWDVPLPPDRSHLKRPIPSGQD PIKVVHYSDIHVDQLYTEGSNAKCNKPICCRQVKIIRHTYKSWTDFTRPFTENDEPGK TDSPAGPFGEHTCDSPVSLEHSMYQAIKEIVPDAAFTIFTGDVVDHSIWNTTWDYNKH QIIESYENMDKHLGIVYGTAGNHESHPTNAYQPSSIGGASSWIYDLLAGAWSRWIGHE AASKAAQIGAYSTKFPHGNLRVISLNTNLYYRGNFWLFQRKMIRDPSKQFDWLIEELH AAEKAGERVYIIGHMPPGDRNAFHDQSNYLNQIVNRYSSTIAAMFFGHTHRDHFQITY SEAPKKSFNNALLTSYVGPSLTPTSGMPSFRVYDVDPITFAVLDATTYSADMNSPTYQ TQGPVWKKYYSAKETYGPLTNPPLTDPKAELTAAFWHNITEVFEKDQTAFDNFMLRLS RGWKQPVCEDECRKSQICLLRAARSQDGCDVPTLGSSYHTRMEDAAERDECGISVIQA TFSALVAKEGALRILQELLVGLGVALHG FPSE_02789 MASSQNQQLGSDVCVVGTGALGLLALKNLREQGLDARALERHEH IGGTWHASQNVEQTTATEYTTANTSKQCCAITDFPMPDEFPMHPPQKDLERYLESYAT KFDLFRHIEFSISVDHIERDEPQKKWRVFTKNVKTGVEEVRSYSRVVVATGMLNTKHM PHVKGIEQFAGDAVHSRQFKDVSKYRGKNVIVVGVGATGVDSTSFLVKGGAKQVYASH RGTVFVLPRRVKGQGFEHNLSRRISMCIRALGNFSPTILAAFMTKMMVSVRDKEWPLM KDILKDRPVDGVFHRIPLFSEDLADNLKSGNVKSVRGIQEITGPKTVVLTDGTILEDI DAIIFCSGYGYDFSIIKGPGDPTDPAIAPDHNKKIEAAEYYKDEDKFARLYHGFMSEQ FPDSLAFIGHVIIMRPPFVLYDIVTMALAGVWSGGYPVANEQERRKDIDDHYNFVVGI LKRGPFPHLGFRFKMAKTYEFINQAAGTGVMDRLGCFTWEAWKLWWNDRKFYNLLMDG TDLPAVYRLFDTGRGRKPWAGAREQIIKTNAEIKELGEVWKRENEDKKTN FPSE_02788 MLGIRPTLRARRSLLDLIRTRGEDDEDDNSSHREQGSDDQSPIS RSRSPASSRGSSSRFRGSFSDPAPAPPTNVIAGGEPQDPTPPRAVQATSSSSRLHPRA AAAAGSVAAPLALVHVDVARQVPLPNSPPPSPSTSASLSPSSSTPQPPSRPPPLSTSS PGSTSHPIRPQSPTLSISPNNQSDNAPVSPPNMAPKKAANNSSKSNEDDGFTAGKIYS ISGPVVIAEDMIGVAMYELVRVGHDNLVGEVIRINGDQASIQVYEETSGVMVGDPVYR TGKPLSVELGPGLLNGIYDGIQRPLEAISKMAKSIYIPRGIAVPALDRDKKWEFTPSV KVGDHLSGGDVWGSVFENSFLANHKILFPPRARGTVTKIASKGEYTVADNILEVEFDG KKTEYPMMQSWPVRVPRPSNDKKSADQPFIVGQRVLDALFPSVQGGTVAIPGAFGCGK TVISQSVSKFSNSDVIVYVGCGERGNEMAEVLKDFPELTIEVDGRKEPIMKRTTLIAN TSNMPVAAREASIYTGITVAEYFRDQGLNVAMMADSSSRWAEALRELSGRLGEMPADQ GFPAYLGAKLASFYERAGRVQTLGSPEREGSVSIVGAVSPPGGDFSDPVTTATLGIVQ VFWGLDKKLAQRKHFPSINTSASYSKYNNILDKYYEKNYPDFPRLRDRIKQLLSDSEE LDQVVQLVGKSALSDPDKITLDIAGLIKEDFLQQNGYSDYDQFCPIWKTEWMMKLMVG FHDEAQKVIAQGQSWAKVREATSELQANLRQLKFEVPTDGQEVITKRYEEIQQKMTDK FAAVMDE FPSE_02787 MSEELQDEVEAINSIYGDGSLIPSDDDTSIYILKLPGDASSLRL KFPSNYPSHPPTVLGTHHSSGGVKGAGARDLDLFRDALTEVFQEGLVCLFDAVEEFTR RAEEQKPEPQEMEPPAVSTPEEEYYEEPDFPPPEWILSDLVTESKSTFLAHVARVTSP DQARYYVQLLLASDKRIRGATHNMTAWRIRGPGASSFQDCDDDGEAAAGGRMLHLMQV MDVWDAMVVVTRWYGGVQLGPRRFALINAVARDGLVKAGLVKEEKQEKKKGR FPSE_02786 MNAQVSFLDGTYTLIHIPLSLYSSLLQPILRVLLPQSQGAGGLR DSPEYELQGLTSDGQHGFLNISVTPLECSVVCHTSWAQNVFEPVLKTLPRDVAKIVSV SKESYMVLSVISAGLDAGGRVMELTSPLALAGIPIFFITTYYSDFILVPTKERENVVK SLIAKGFELSDNESNFITSAANSQKQGGGLPASSPPHEGLPLNVAELQTRIFGTLKKR NVAPNLEEALELVQCSGRETSQLANFTHQRPSISRHATGNGRRPSWADNVDTKLYTCI ISALVSQPRFMSVTLAQDDPPSLLLDKNLLDIFGDSLVGDTDAYLIPIFLDLKSLPLE ATGIVCGVAGILVQDPQIAASSELSYLSTAQAGAVILSEEQSVRAMSILKPLLSDEI FPSE_02785 MIPEKPLPFNGGFSSPDEYIDELLKFVRTSETFQILCGGVHILD FFTIEPGLFHYAIPKEWHSFILSCSLMEFLDLLMRDDLDNLKFDGVQPPESFIEYVRT VRNLSLGRSFTPPTEKLPALPRSVAIGMNVKKNHEVTNFADYLSRLSGDIREQYGNEI SHYVDFGSGQNYLGRAMASEPYNRHVVAVEGRENNVNAAKGLDMSSGLAVKPKVMRNK KLWTKILEARGPDAQDDPEALAKAIRDVAGDEAFEFKPVKELEGEYTLEKGKGSVQYI SGRLDSGELGEVIAQINTESEPEADKKELNLMAMSIHSCGNLSHFGIRSLVLNPDIRA VAIVGCCYNLMTEKLGPPTYKHAFLRPTLQAVNGRLVRESDKHDPQGFPMSQRFSTYQ GDGVRLNITARMMACQAPQNWTEKESAGFFSRHFYRAVLQKIFLDRGVVRKIRHGDSQ GEPRDADTAPSAQDDSESPFDISTNPVIIGSLRKSCYGTFRSYVRGAVEKLTTNTDYK QYAEVMQEKMGGITDEEIEQYEAQYLPRKKELCAIWSLMAFSAMAVESLIVSDRWTFL KEHDDLVRHAWVETVFDYGLSPRNLVVVGVKR FPSE_02784 MSSTNDEDPFVQVQQDVLNQMATTRPLFASYLRIRSLSTNASSP ELASARSDLEDALSSLADDLADLIASVQAVESNPSQFGLSEHEVMRRKRLVQEVGGEI DDMHDELAKKMDAGDLPDPNAFAIDGEQEDNYTEFEQQQQMEMMHEQDQHLDGVFQTV GNLRRQADDMGRELEEQREMLDEIDTVADRVGGRLATGVQKLQHVIRQNEDRYSSCCI AVLIFVLILLLVILLII FPSE_02783 MTFSSPSKVLSSPAKRNQLLSSPDRNIAASFLLDTRNNELSHRD ALAAAQIEHERVRQAAIRVFELHELQEEHKRILAEERKEEERIKAEAAVVAEEKRLRE LKARTVPKLPPEPAPQPASPAKQETAKTNGATPVSNSKQPEASTTPATEVKKPAQPAA QSAPATGLFAQSKPSSPFGTTQPASHSPFQKPNGAVTQPASVPATNTTTPQPVSKPAA PAVQPVQAKPAASVDRYAQIHQELKKLRRDLQAQSKVAGSPLKGKLGAARREIRVAIG QLTAGKGANNQPINKITGALKEALEGRIPSPPIDVSAFVVDKRESVEGSANNDATLPS LFIYLINICAKGIVNQFINEGGANPKAADPVGVFAAHIFSTKEFQWRGLSLVDILMAK YRMVCPVLFGYRGNDKTERGRIAIGWKKDGPSWITEQSHNDRMTGLGAGFASLSLRDF SKSSKKNPYPPTNYWKALSYIVNSPPNETSNTQYVVLRSMIQGHEQRFLNFYGNAALA ALRLALIEFPKKAPQNATAAGSLAALADVLKTESGLILA FPSE_02782 MDQQQQQPPQQPQQQQQQQQSQSQQHASQQQPQVGGVPGPAGRR LHIAHRRSPSELTPLMSMFANPGMEQLAIQQQIELLQQQQQQIQATHQQYVSMGMMPP GQPLGPGGAFNPLQPMPNMSQAAFQFPNQVPQQNIAPPTQPLSHRRNQSALPNMGMGP PPAPSSGASGNAPFGSFEAPQAQAQGRENAGGRGGRGGPPGGGHQRRHSLALADAKKA AELAQQKRTTTGFSFPASPAPDDENKPAAQPTLDVPVAQGSTRGGRGGHGRSQSMAVN GRGGGRGGGLNAESNEFQRRGSGHARTGSRNFEGNWRTQGQDQGGNAGQNQGFQPGHR PRGSMNQSVSGVGGFQYNPNQPQVMQMPNQMMMPQMYGQQLNPMQLNQLQALQAAQMN GQQFVGLQGSQHAGQLGGQQQQQQRKTLFTPYLPQASLPALLGDGQLVSGILRVNKKN RSDAYVTTQDGLLDADIFICGSKDRNRALEGDLVAVELLDVDEVWGQKREKEEKKKRK DITDTRSGSTNQGNQNSSNNNDGNPPEGGIRRRGSLRQRPTQKKNDDVEVEGQSLLLV EEEEINDEQKPLYAGHVVAVVERVAGQMFSGTLGLLRPSSQATKEKQEAERAAREGNN SRHPEPRQQEKPKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHQDYADRIFVACIKRWP ITSLHPFGTLVEQLGRMGDLKVETDALLRDNNFASDEFSDAVLRSVGLQDWSLEKEDE AAVAERRDYREEKAFTIDFNGGAELGNAVHAKSRPDGKIEIGVHVADIAHFVKPNSLV DREAKKRGTSVQLKNRFCALLPPKLCTEICSLTPDQDRLAVSVVFNVNPLTGSVSEGD AWVGRSIVKSAGKVSLDDINDALNNPSEYKNQAVPVNTIQILNAVSQKFREARLGAGG EPIAPLRLFQQLDDENDPVQDNLFDSTQALELVEELLHKANAHVAQRLVEGLPEKAFL RRQSAPNPRRLQTFVERMTALGYDIDSSGSGALQNSLFKVDDPDLRKGMETLVVKSMQ RAKYFVSGKTNKQLWPHYALNLPVYTHFTNPTRRYADIIVHRQLEAVLSEGKIEFTDD LENLVKTAESCNTKKDSAQNAQEQSVHIESCRTMDKKRQEANGDLIAEGIVLCVYESA FDVLIPEWGFEKRVHCDQLPLKKAEFRKEKRVLELYWEKGVPSSAFVPEDERPKAAAS IRHSNAIAAQRQAEEVERARKEREEATRKQTDTGTISTDDVDALFDDDDDNTSDVTEA MAGASLAERPTQSVPGSPTRSTSTAGNLHRTRSDSKVPVAEAVETRLSNKEKYLNLFS LREEGGDYIQDVTEMTRVPVILKTDLTKSPPCLTIRSLNPYAL FPSE_02781 MASVKSILFGLFASTALALPSVPMFTKRQLRYHELSKRQNDAAA AAGLDDPSILQFALTLEHLESAFYRESFLTISDAEFAPIGLEGQTLDDVKAIGKTEAA HVVLLQSALTAAGFAPVQECKYDFKGATADPAAMVATAAILESVGVSAYLGAAPLLTS PAILGVAGSILTVEARHQTAIRVFSKAIAVPQPLDTPLGPRAVFSLAAPFITECPEGS NLLVEAFPALDMAAGQTAEAATIGSKVKLASAASAGATHCGFTAGGLSPGGTKFTPFT EGEGCEVPQGIAGVVYVTLTSAGPLEGVLSDDITVAGPMVMTVS FPSE_02780 MQRLLLTEDSWINDQASTHDISLLEGCAVAVDATYYLCQLLETS PAHEPLLSALGGLTGVEAHINQNLDLWAKNEIVPFFVFDGQPVTGQDDITLDRGLKAN KKTDEAWNLYSQGAAEEAVSTFGTSPGAFRIQNLYPLLQNVLKSRDLHFLVAPYTACA QLAYFEMTDSDQCSGVMGSQELLLYPVKDSVLRTFDWEAKTVTAISKKKVMRSLTPTA SEPRFIDSFLMAGTSFLSPFPGLLESSIYSDYNISTAANLLRTAENSVATACASFNDI LQNKDVDWLDKYRKARMVVHHFVYIAESGEIRVNDYEHLTSDNHEYLGLQLPAEIFHY LNTGLIGPRLLGNITHGQVLIQPTLDGVASDEYKKLITDRIVPIKEQALSLLIPRLHR GIQHKNIKVRVWFDPKYSYTVNHRSINPPPSQMVASWDVKDDDVRAFFPEDFASPVSL EVLSLVNTEFVDKTFPKERPIKGIDSTNMVTSVAIWRFLHLRGYADDKHKLTKWGNAL AATLITLQDVKESNPEVTGLSEAALVAFELIRNGLLTGKHAEGQPGLPRKGSYEEKAT LVLISECASLLKLRHQVYGYTGPLNKSLLSFWSLASAVREADRDLIEAIVASMFLYGQ SKRERDDQLEISRRLPFQQEPDIGLGIAVRTFFDDDEAGGDKEGRLHRLEEFPKTFVP YAESLTDDFRVARDFVDALAKGVEALGTEQMKAEDKEVWTRAQAYFAARPF FPSE_02779 MNFPMKDKLGHFKRPLGIDQRTANIPLIDISAPDADQEEIARQL VDAAEEHGFIYIRNLGRDIQAKDVDGAFDLARKTFECPVDEKQRCTIQTNNRGWSGMH SETLDPKNQKIGDFKEAFNFGEFADGKAQQPLPSDLIPDEPQISAFADSCHDLCQKLL YLLGLGLGVGDFFSSAHNTDKGASSSILRLLRYPPPESTAHTEEDVRAGSHSDYGSIT LLFRLKGQAGLEVLTKDNVWAPVPVCPAGTEDDPSPPILINIGDLLSYWTNGLFRSTL HRVVFPTEGSVRVQGETNEGPRYSIAYFCHPVGTVPLEPVPSERVKNFVPAEGAPSEN PYATSKVMTADEHLFMRLKESYGDLYDKKS FPSE_02778 MNSCVLDLILFGKGSANKRSSRCPDRVFISLIHHWLFFEMKKMI QDAAHLSELLLGCMGGIALLVVELRSTFTSSSTHNSTKFRGGQTTYPSANHVILRQGR VCKEEDAADTLERIIGRACLLGASRIAELARYRQPGSGVDLADCVHRILTITPSPGYS QRGLVTVEEIDELLHSLASKVRWSSPSIRASQASMTPTNRTDVELMYRRLSAVEAKWF TRLVLKSYQPLVLDPHLIYRLCDPLLPCVLRVQDDFATAITTTQTLRRRLLPNPGQQT PREQIMGTVKPQLGIKIGRQPWIKGRSIKHCLDMGHGSMSVEEKIDGEYCQIHIDPSK GDRCIQIFSKSGKDSTEDRVALHGTILESLKIGQPDARVTKGCILEGELVVYDDYQNK ILPFDKIRKHVSRRGWAELVPRQVVDFGQPLGVSTLRKTFALTILARKEGLVLKPDEP YFDFKDQRRKFSSCCIKLKKEYIGSFGDVGDFAVVGAKYDSAKAISYRIPGLKWTHFY VGCLDNREAVRNWKVKPEFTIVNVVELNETLLREVVTYSNPEPVAPRDNEAIILKQAP GVEQGSPPTAIFTKPLVFDLKCFSFDRVGNTGFWSLRFPSVTKVHFDRDFTDTISFEQ LQELAKDATTAATLEDSQENLQWIAKLEAADPRGIAVDAASQLTMTTMPTPSPRKSTQ NTTSTWSPTSPLTTRSPAGNIDSPCRDRVERFRPLILSTAVATLPTPAAPLDSFTRQR AKQKRDPLIIEPAPPHKRPKLDTESLPKDKHPLDSATSFQPRLPLTCVNDNSQSQPVM ITCASPSTSVSLDDPDSDENDAPDSILCVNPEHSSPSGNDLETDAELHRDIPVNTIVS TGTKGCIYAGEDCAFAKTDVLISPGLLSNPTAKTLIELHGIENPVEHLDAWLEQEKSD KLRETGISSTMIFLLCQSDDKEATKSLFDKIQKVRESIPHRLQNWIHVYDWRVLQHVT IQEDATIQPKYYDGFHTPWQRWRIGTGLI FPSE_02777 MDSQQAIRRRCSVADRLLADFVLPTTTSNSNFETAPDSTISSTT TTAVAASVNPRYVPARNLASHNRHGSMSSVGGIPPPPQRDETISYRRGHTRAKSSVSS MNRQVNRLSLTLPIAPPTSDPSRPTPTSSAMSSVPPTPIDSAIASPAGANEFIIAIAA QERRVLELREELSRAEAELTSLKKKWTTQEKKGDPIPVEAYRSPVLPSDDDGSSLRRS AESDRRKLLLQTGAVSPNRRRVLRGGHTRTLSLLSPAKLEGGFSLYQDRDHGHEQVKL PSIERRTAQLTNPHLNKRASWQPRTQQNVPGAAQIVEDFKLGLRAFVEDIRQITVGDE PINGQPVRSNSVNDRSETSRTQDTVRTNRPLRPKVSTVFEPPHNNSETAERSETKSQT STTTASKTRPEMPARSKTKSKNKSFSWQPLGFDSMDDNDWSNWESPASSSKTSRWSGS TIGSSGLDDLSATSDEGEPADSPSKKKSSGYETPLLSPKLEELLPNIVNQFSPSNLKR TATNLMDEWEKSLTDPNYPHQSQAQENTA FPSE_02776 MKNAEFRPKLNPADKPFEFPSKSGRSFMLKDCFKPQFTIAIGSF IQAALCAILPFRWAIVPSAAVLLNSIITTLIQVRSTKPSEYNEAIIPGRVTAQLPFSS GTFGSKPAANSVVVFHLGFQINHPLGLAAPGMREIGENFTAILKDLESNREEYGLLTS SSWRGDERSSNNTLLNIYYFRDMEGLQRFAHGDIHRKVWDYMNKTKPKHIGIFHETYS VPARAYENIYVNCHPVMMGRASVKTTPAGEEDERWTNALVSADVPAMKTQYARMSRDE QGSLKET FPSE_02775 MRSLLQTTTAVLGWTVAANAYELTITDKKSVESAAAKAAKGLTS WYTGMNPGDTAGNLPDPYYWWEAGAMFGSLVDYWWITGDDTYNAITKQAMIHQAGPDG DYMPDNQTMTEGNDDQGFWAMAAMSAAEHQFPNPPEDTPGWLAQAQAVFNEYVSRWDD EYCGGGMRWQIFKWNTGYDYKNAISNGCFFNVASRLARYTGNDTYADWAGKVFDWHIK AGIITDKFEIYDGVHIGKDKSSVCDDIDKTQWSYNSGIFLHGVANMYNITSSDKWKKR ADGLLDDVFNKFVKNEIIYEQFCEPHKQCSQDQQSFKGYLARWLAATTQLYPETSSRI MPLLKTSAQAAAKVCTGSGDGYKGPAGTACGFSWTTNTFDGSLGVGPQMNALDIFMYT LVGNAKAPYTSKTGGTSKGDPGGGNTDANDNDGTPKRKAITGADKAGAGILTFLFVAG IIGGVSFLVLDL FPSE_02774 MSAKHFVNDPTHLVSSALHSLTLTNPSVALDPEFKVIYRRPDSN VKPQVSIISGGGSGHEPSFAGMVGQGMLSAAVAGTIFASPSAEQIRAAITSRVDTSKG VLVTVMNYTGDVLNFGMAVEKGKAAGLDVEMVVVGDDVGVGRAKAGKVGRRGIAGTVL VHKISGALAALGKPLEQVAKYAQLTADNLVSVGASLEHVHVPGRKVDTEASLAADEVE LGMGIHNEPGSGRENVELPDLVTKMLQQLLDANDKDRAFVNVSSKEVILMINNLGGVS VLEMGGITAEVASQLESKYNIRPVRVLSGTYMTSLNGLGFSISLLNVVAPDFDAPSMI ELLDAPSEVVGWSAPIKTSTWEAKNTSTRTDRVSATEDIKSSDLKTDASNAQSALKNA LQKVIDAEPEVTRYDTIVGDGDCGIGLKRGAEAILKHVEQRPLTGDVVVDLARIVTIV ETAMDGTSGALYAIFLNALVKALRELAPGNASPEVWGKALRKSSDALARYTPARPGDR TLVDALHPFVEVLNQTGDVKKAAEAALEGANKTKGMQASLGRTVYIGGSGYQEVPDPG AWGLACFFLGLTG FPSE_02773 MSDPKIQELLAKPRSDLTEYEIAQLEEYEFSAGPLSILQTAVRS HVQVLISIRNNRKLLARVKAFDRHCNMVLENVKEMWTETPRLAGGKKGRPVNKDRFIS KMFLRGDSVILVLLS FPSE_02772 MSFRTFAARAGAAANVTSRGLPRSFNMVQQGKVLSSSLGARQVS DEATTIKPLPKDEPNDVVFESKYGLRTIMLNRPQKLNSLNSSMIRKMVPRLVEWEKSD LANVVVLKGAGQKALCAGGDVAALAEMNQQNNTGWMRSSAYFALEYKLDHYIATYNKP YIAFMDGITMGGGVGLSAHAPFRIATENTVFAMPETGIGFFPDVGASFFLPRMNGSIG TYLALTSAQLRGPNVFYAGIATHYLHSTSLPDLEARLAELRFRDSDTLPERLALINQT LEEFCTGLPHDQPIQLTGAVRQAIDRCFNRHTITEIIAALEAEEGNSSTREWAQRQLK TLHKRSPTALHVALRQMRVGGEWDIAETFKREHQIATKFMQHHDFTEGVSALLIRKNA PVWEPKSLEAIGGTNVAKPFFEYDDDYPLHLFTDRTYKEYPHQELGVPTEKEVEKVLS QGTYTREELANKIIASRNGRQGISEVVADIIDRKTVVNDKRKAAWVKDEAAPGSRL FPSE_02771 MASHTPNASQGGYYQTGQTTQQNNELNESGVQVRPMGLKVHYTF DKEAKVNCLARSVQTLYVQTIPLDEVNSIGIVDLRACLQAVTECSPELSSQNGEGDFT IYAHDYSEPDTPLVGQGMLSWALDSMRCDWMAQLPKMITGRVTKNVLAVFGGENRETL EVKLKFSAAGRVLRPEPTVDMHPMDMQQVPLQPAPLQPGKPTETVMTPGAETPGAETP GAETPGAEWTSFMQSNPNFGHTGHVSRVASPALSQAGPMSSTHERRSSFAGQTMEQAN SGPDIQRIAPMPVTGATTENPKSRPSSRNSRRKPPTGRPRGRPRKKLTEGNTSGYEDG TEGEDGGSGPAPKRAKPTRVEKAVPNPFTSGPESLRVTASTAGSLRNFRPVASNEVVT GNHLQEVPRAPTPVPDRGPLGGPAQAGPKGPKPRRESSMRKELGAAMSNQVPPRPLRA LSPSQEDGRSPESPVETPTFSEDSPQDMRSSPPLPPTASFMRSSPPPSSPVLPPMPSL QRQASSFVDNETDDLFDEPILQLAKEGQFHQHKPQKVMEDIDSTGIPTQVFRIQDGPD GQGLVHIQNLNTPQPTSAPAGPPPSEPVTAKKIPKPRPTRNPPQKRPTNPPQPPTLAP TPPPTTDAPEKNSPAPVSASSPATVAQPVEEDALFELVRAVSSSSEPTNSTDTNLSTQ GSLPTSKSSAGNVRSKPRAPRQIGRSQSAGILALPSVPSVPASEPLEPSLLSQSMTAE PRASTEIPDCLRRSKSANIHAQVAASDPVGPSETSLVANLLPTLPEVTFPQSDFPPMP SSPPCKSNKNLVKKHSIKQRLELAIAAGEMPPFCTNCGAIETPTWRKIWVQEHDGIPE YVEYSEKPGRVTAIEILNRDEDKKPLSYRLIKKTLGFDDDRSKWSEKLLCNPCGIWIA KYHNHRPQDKWDNSFGHLGQSRKKRSSAGSDSQAKRSRTKSTSVPAPAPTAQVYAATD PLAPIQQSSPKQFDVRSMVTEANEDVVMGNMLNLMADDRRHIKDISQSVPGSTHSRVS RGTGTAESPIEMDLDEELGCTRRLLFPSPRKEGAQKTLGELNVNMAKINDGRHNKEAV GKENMAFETYNGGHLDDDIEALFNSPVRPSTPPPKSKIDTDSALFKTPTRPTPSHRPI TRSVSRSVSRSLRSVRDMLSPGQQALLQRTPTKSPASVRRSPRLNLESKLDNVLDTPL SRTITQLLSEPNFDLNNTNFDFSSLPLLDTDPSNLIEFGNFLSADGIIQGSPTKDGSL SFSYGGSDNVWAEWDGIEKEK FPSE_02770 MADAEIQDRFSPNKPLEPDVLSELESIMRLHGLSAEDLFFKWES YCIKLDIDAQVLSLEILRNLKQSIQDELENSHRKVQVKTERKVASAPRGGNKGGDVFG MIDGLVPSTPASGSKLNRGAGGSAIKKKLDTPKGLTSSPAKGMTDQLKSLNNLQPTSF SDRTNPGETIEILNDSLNAAEPPIAPFSEPRVKLTAASDQKKLGYKPLAMKLSEASEI LDDRIDNFMALVQQHHKIDESEFGSAAAQSTTEVVAVGRIASDSPEGKLNAASLVLET SRRTGMGLRVPLKMDSIRSWSFFPGQIVAFRGTNASGSEFVVKEVLDMPILPSAASSA STLEAHREKFRGGPDAMDEDSEPAPLNILYASGPYTADDNLDFEPLHALCSQAADTYA DALVLTGPFLDIDHPLIATGDFDLPEEANFDPDTATMSTVFKYLVAPAFNRLASSNPQ ITIVLVPSVRDVLSKHVSWPQDTIPRKELGLPKAVRIVTNPMTLSINEVVLGVSSQDI LSQLRSEEVVSRGGAQPSGDLMSRLCRYLVEQRHYFPLFPPTERSKLPKTGTEDGVAT GAVLDLSYLELGEMVNVRPDVMVVPSLLPPFAKVVESVLLINPGYLSKRRGAGTYARM TLYPPAVSGGSGDAMTSHQIFERARVEIVRI FPSE_02769 MPPKRMTANPTKPARYRAGKPTGVESDSDSDASENEEPTELALP PPPKAASAGKISSGNLGKVDLDARRKEAQAAEERRIAKEKAERLAVEEGFVTEEEEES EEEEEDDDDEEESESEEESSEDEAPRRKMMQLKFVPKNKRGNGKDPEQEAEEAQQAEE EARKKAADELVEEQIKKDLAARAAGKTHWVDDDAEASDVDTTDGLDPEAEEAAWRVRE LKRMKRARAVIEEREKELAEVERRRNLTEEERQAEDEDFLAKQKEEKEGKGTMAFKQR YLHKGAFYQEEMKESGLDKRDIMGSRIQDDVRNREALPEYLQLRDMSKLGRKGGTKYK DMRTEDTGRWGDIGDGRKRGGGRYEDDERFRPDDDRFRRDERSAGGANAIPLGDRKRD DRRQRDDGHSRPKSRSRSRSPRRDTDRDDYRRRKRSTSRDDERYDSDKRRRVDDR FPSE_02768 MTVTNGRNGNPSMTTTTLHRAEEVDDLIDAVRGLIVPYIKAADD AAADRATGDITPDSSGVKDNVLVDFQKPEQLAQRLKFSLPNQGQGKDGLLDIIQQILK NSVNTWDQGFLDKLYASTNAVGVVSDMVLSVLNTNLHVFQVSPALTIIEKTTAKTLAH LFGFTGARAGGISCQGGSSSNLTSLVVARNTLFPECRTEGNGKHDFVVFTSAHGHYSV EKSAMICGMGSNSVWPVPVNEVGCMKPDALRELVLKAKTEGKTPLYVNSTAGTTVMGS YEPFEEISKICKEFGLWMHIDASWGGPAIFSSKHKHKLNGAHLADSLTVNPHKMMNVP VTCSFLLGPDMGIFNKANSTAAGYLFHTIDGGDFWDLADLTLQCGRRGDSLKLALAWI YYGAAGFEKKIDHAFEQAAYLANLIKQSDNFVLVSQDPPPCLQICFYNAPGGTLSEDK EENTLRTKTMVEKMILRGYMVDYAPGPKGSFFRVVVNCQTLAGTVEGLVKGLEEVGRE FPSE_02767 MTSPIQTHVPISITICGDGGCGKSSITLRLVRSQWTSDYDPTIE DSYSVTRRIDGVTYHLALTDTAGQEEYRGMWASSNLGADAFLLVYDITSKDSLDALQH FNELIDMEAETRLDNADRARRAGLRSGHDTRSRTIPPVKLVAGNKCDLQESRQVPAAQ GLEWARNRGCGFMETSARLEVNIEETFAHVVRRVVEARRLADVSNDSARSNARGMTKP LTPLPTDSENEKRAVGVRGPTLQDDHLGGKKHGGFWKSLRCW FPSE_02766 MATKEHCLACFETLDAHLNNRKALSLEEIQELSALTPESELTSP KALDDPSLQHLAVPDASASSSSSSTSLGASTPATSTSSLPIPPSSAPLFVTWNTMDGG EPMLRGCIGTFESQDLSEGIPEYALISALHDSRFSPVRKSELPTLQVAVTLLTDFEEV DDIFDWEIGVHGIRLSFHDRGRRYGSTYLPDVASEQGWSKDETLFSLIRKAGWTGSRG RWKDLDLKVTRYQGKKTTLEYDDYKEWRGTVKA FPSE_02765 MKFQLFTIIALVSAASAGLIKRVDVNIPSMTDAQGNVVVFNAAE VPTRKRRAIAEQQKQQQQQKKKY FPSE_02764 MLLNGNHRAQAQFDRSKWRAMVLFPTWALQLCLSMAMMGLFAFR LGDSMKANKDDDKKNDDPTIEIVWEATNVALWFVASLCSFVEIAKYFAEALTPWTMLF THVIKLTCAIATLALDIVVYTEKHDKHYSLVALALDCAFIITSLVLVFYSVRRYRRLS AYDEYNHPVNVKPYGFNDDIERDTSYARQSTDKRYSSASSRASIISKRESVEMNTVQR TPSVYSHKRDTQFDDYVARRGSVTKERIGSGDFSYAGAQGEVQEPGTLTPTGATLSPT RPRGYSSGRAVSWTSDRGLVAVPEEEDDSAVGKESKSEKEKKDREALLGNTPRESVDD QIVPQEVDLSEPRWQRE FPSE_02763 MNILLAAVGIGVYLASADQLIAVATYAKIGNELHALNNTSWIAT AYFLTLTSGQPLYGQLSDIFGRKSCLLFAYTVFAIGCLGCGLAQSMAQLCIARAISGM GGGGMNSVVTILLSDLVPLKERGMWQGKISLLFFAGTATGAPLGGVMADSIGWRWSFL GQVPLCFVAFVAVYFVLDLPSVGHDHWFSKIRKVDFLGALTLVTAVIALLVGLDSGSN RGWLHNITIIALSLTPVLFGLFVFVELKVASYPLAPGHIIFDRALFSSYMVNFSAVAG QTSVIFYIPLFFQAVQGLGATQSGSLLVPVMMSTVAASIVSGWVIKRTEKFFLLNLFS YALAFVSLAPVCWAVWYRSTLWTSISLTVMALGAGSAFITTLIGLLANAAIEDTAVVV ASSYLFKALGSSIGVSVGSAVLQQVLRTELIARLPGQGEAREIEERVRQSLEYIKELP PNIADQVRWSYQIAIIWTMASSSIHFVMAFVFSFWVKERPLKR FPSE_02762 MATMLNTNSLQPPKTGSSRWSKALPDVPGPDDPSFYDDYYEEAS PRLPPAKELPPPPPRSTSIGNANANSLPPSLPPLHLLSVNFPPSGPPKMAIPRRPIGK LSANPPPPPQKESQLPSPSQAPPSPSDSLSSILSAYSHSSGESLVRSPYEANSSAFQS EANTSPNHQVPPANTKTGTLESAPTRQHQFQQHQHQQYDAPKLPAKDLRTPPFPSKGT KYHLPATPAPIRKPVPEQKSPELNSPSSQSQSQQQPQLWRRRSSKADRSIELPDLTLA SSHGSTAATQPTVAQPPPAQQPPSISTAPSWQPGSTNAAAPAGQDVRQAPAAEQVLES PTMGGNSSKLNRLKDKLQFHRRGKSSSDTTKSPNQRPGVHRPPTPEYQKEDIKTPIVD SIVSPLSPASSPEPVAQVSPELPDDIPQISPQRQNQEPSRNTGSITRKAITAPKFSSP EQDKPFSESLNLKNESPLVGSLPSSLTDSKGSGGSSSSDTAVHQAQPPAEVPSRFPPR DSSARSNALPRQLSAEHDSRLVPSDSQGPLYRGRDGTLYPEMKVMQEYDPQVAYFPRQ YETPIAEGTIFEAPPLKKSHFSCYHGHKTMNRRTNRHYPLTCQTCDISDVEDRWTCTF CHLRICEPCVKKLHSSSNDLRRMVNSLQVTA FPSE_02761 MSTLQRSKSLRKPAPSSSTTTTTTRSAAAAAKSTDADNSPSRLP IKPLARSATTPNTTTRTLRNGAPGLSRTSSIKQPTKSATSEPVKRDTRYPPSTTTTRT RPAVRPTSADGSAQATRKAPAPSHTRAKSTATGLKNAPALRPSSSTSSASSTTTTSTT TTTATKPTRTLRSSTTPKVEKSSGAAPRLRPAFSTLQQHYSPAKSSAPKPLTSTFLAP PSPSKLPANVAASAETSKLQTELLQLHLLHRDAPAVDAAWRASAERKLGEHFSNIAAE SKQVDDQEKAEAEKENVIALRKWAVGGGLEERIQVLDSVMSGLWALEEPGGRYARAVR RFERWVERMCEIEEARREGGALLQESEVLFIGELDSAWKDECAGLVRRLDTLKRQLGE LGEFPTEDEEEDDTKERSSLQCMVEGSRELVHGMLTELDVMEDIERDALAREDDWIER MNRDEDADDTLRAGAVWRVV FPSE_02760 MGKTQPNLFAFRDTNALAPALRSYVIQSQAAGIARHGAFKVGVS GGSLPKTLAQALLAPSSGPNDVVDFARWEIFFADERAVPLDHEDSNYALLKKELLDKL PEDQKPTVHPINTEYLNDTQELADQYEQTLVASFASRDSVRLPIFDLLLLGCGPDGHT CSLFPGHELLREVNAWVAPIEDSPKPPPKRITLTLPVVNHAVRVAFVATGGGKKDIMK QIFDIEGGLPCTLVNEGTGERCSWFVDEPAVEGVSFPRRAFL FPSE_02759 MDPTALNEKLLDRRSQLTEGLSSLPYDLILYLDRATVHSDLGYP DLAAGDAYRALTLADEVLNEGFEYHEQAFESLQMHTAVPLPDVLAHGNLPQDDIQATE AGSEIEDEALKRLAILAQVRAYQILSLGLLLCGSLQSAASFCQRGLRLSPSNQELLDT KNNIVTVARRRLRRDDIDIDYPNLPDQGLVRREVYPWNDHEPDRFAPESLAELNEHLS DMAPKCAVEVATLPVLLEGASSTDDYEIIPTCKQLGVFAKEDIAPGEAVLKEYSLLTA NNRLKDSVCDACSSDLPPLGSENEPVSCPECYDTVFCTQYCFDQAMERYHPAVCEKDV DAIAKDPDAFEADHTLYLLLLSRVLAIAAHEEVNPLDVREVKYIWGDFVPTRTNDINV SPNAGPPPEWTLPFSFKYNIETPLHVLEKMDIDIYENLDEYDLWVMNTLYAKFRGTAS ARKNPRDGRPDVAAVHPYWCLANHDCDPNVTWEWGGRMVLEARKERVVGGRPGGIKKG EEILNHYCDVNLPVQQRREWAKGSLGGWCMCKRCRDEAAAAGEEKQA FPSE_02758 MASVSSLDKDLRKMRLEKYTPAAANEARSWIEDMLGETLPSPDL LEGLKDGVALCKLANRALPPPGLRFKKSAMPFVQMENISLFLRACQSPPLNLQQHDTF LTVDLFEQKDPAQVLQCIGAFSRAAHSAYPQNFPVSIGPKNRPDTVTPQGTGSRTPTG TRDRGASVTSNKSPAFGRSAMMPHRTGDSGSGRWSPTKSPRNRPESPSAVSSWSKKEH EGTTSPAWNIAQYGYLGGASQGNLGISFGGRRQITNASPRVPNLADKERRRKEEEERA RLEAEEDEKRRFGEEERARLEEEHRWEEETEQLRQKEREAAEEEKRRWEEEERQWQLT EEQRRKEEEEAEARLEAERKSLKSRNDSKLTGQFLSQYRTEQGASQQDGASEDDYKNR VKQLEQELELARQREAEYERERQERGPRQGSVEVKPTPKPKPKLISSPPSRSDSWSKD EREVLRTRSPFNREPMQASTPPMLPSRSPRPLPDPTALPQAKSPKPSSRPLPDPAAYA SKPAPSPAPSTRTDRFLPRKPAPSQPAAQTTYSRELGATAEQDAEDLRRAESQNKTKA GGWASKSLLEREMEMERQRQREWEESQKETAAAVRSNDGVEGIGGGIGGRWDVGQWAG FTGGDSQNKGSIGIGAGRRQIVGPRPLPDQPR FPSE_02757 MSSKQIGPVTRAWYKWKALRLPWRKRFLMGSLTTFLSSPHDYLT YTPPGFDLQGNTYWEFRLVRGAESRERWRRIVQYPGSTHLSFVKVSPMWHQWLRHTRE EPPTIEEQQDDVVRQARMKTLAAQADARWEAKPRVMEAPQAAPAPLLQPANASPLGQD GKTVQADAGAKNIKDEVEKKDDPWAKAKASAPGETWQPSAWTPPATKRR FPSE_02756 MATASSSSSLSSPASFVTAHDVTLDKSTAASSPVQDNPCPYRDG RQLPRDLKLHCQILLEEQLYTSAINLLNSIAASGASRRKSTKKPVPIPPPTHLALLNT LTVHPLLTTRAEKKNQLDVSSYALDYLRNILNLVGPINADFRTAFQFSSAPRWGRDSD MSDADSNDDDERLKGKLANDSSVWNRGQDFWSTIGWAFNCSTLYPARWRYWRAWLEFM LEVLEADWNERERRDKEEQQANGPESGMPRTSREDSIIVTYMNQQNGRQNGARGFIKA LFADGSEISSSAYREVFDKEPKGPRKESKKRKREQVLDLENGKFGDYCDDESMSSGVS EPPTPQKPKDSRKLGTAGVHAPGFVESVNIRLRLFSLLSAVTWSLQKSADLNRLYEEY CAALKRLPLPMFSLFACQRPNILVSEARITITKELFDLLLPSSYKLPSKVDKEGESKG ALTLPMLEHCYVGHPANTVALDDNAKLSLVVEDAIQLLWACDLMEYSEDFAEAVEKGI KARETKAKKRRTGKMKGDETDVLAEDILINSGERIRILLEALKLDQEEAS FPSE_02755 MISITMRIKRKEDASVNTKIKEFDWIGTIIFVASLTSFLIPLSW VGIMYDWSSPRTVVPLVFGILGLIAFGVHIKFCRRYSRCDPLIRPSLFTSLTALSAYF ATIIHGIIVWCLVYYVPLYHEIRGSSPITAGVAVLPFTGTVALAAVVVGLLIAKTGTY RPFIWAGWTLVPLGMGLMMLLEKDTETYKWVLIYLTGGLGLGILYSAQAFAAQASASN SDLPFAASMYAFCRSLG FPSE_02754 MSSTTTRGWRFYGAFGTLCLVTFIIALDSTIICVALPTIAEDIG VSAIGAFWCGTSFLLASTAVQPPIASLSHIFGRRPALLASVTIFAGGSIMAALAKNIS VLLGGRTLQGLGSGGILALTYVIATDLVSLRE FPSE_02753 MASQPDFTTTLICPIGFSSNTQSGVGLCGYIHNMRAVLKRELHE TEIYLVLFGSYNYIVLGAN FPSE_02752 MSQSKQPRPVNDDVVQPQCFELPMRNETLNVPDLEGQLKYIAVR KTDKAGEDIPELLDKLRQTTTTYGETSGHAGELLLQANQIQALDQPNRGFLRNLLSVN RGILKDVDTSFLDEPNDDWVSITKQDRLDRLISLILATKIGRALACQKPLRSKTEMIG EDKLDDYHEGVMKFAIFTVFSLILGVFTCAPAGIQSLNVVSTVGEVAVYVAFVIVFGW LSLGFLGGFERSLLTSLAFAGLMANLLRGNN FPSE_02751 MPKPRTRRGVGREEKKRKRLEEAEQQQESYENDSKRQRTVEDEN NNGYEYPDGQDAQQNGIGETEFFGMLAEEEQEYFRRADELLELNQFPSAEDRDIFLEN VYKEAQGKELKLASSQSCSRLMERIIQLSNTAQKKHLFEAFGGHFLSLVQHRFASHCC EALFLRSAGVVSQELAGFVVDTKGTDTDMQKPETSMEDHFLATLDELEGALSYLVTDR FASHTLRVLLLVLAGRPLEDASVRSLVKSKKKEKISVAGSAATDEANQGLRAVPTSFA LAAKKIIQDSTAGMDATALRVLARHPIGNPTLQLLLELDLTLNKGEQKAESEQPTLLL QLLPGAPKSLYDSSSEASEFVNGMIYDLIGSRLIETLITHSPGKIFKALNQNIFLPRI EGYVRNDISSYAAIRVLNRLSKDDLVQAVEKIAPSVPQLVVKSRLNVLKTLFERCNAR GANDEIKKLNKGLKEGCGTTPADLVIFLCGLKDEEQKKKDVQQLSKNEYAIQSHGAQL LTTLLKIPGPTKGVQESLLALEPATIVRLATTSMPTVTVLTTALSISSANPAFHKSIA SAILPHTHELAVSQFGHNLINAIVEVPSKGRERSIPFHMKEAFMTRLGEHEAELRDSW MGRSVWRNWKGDMWKTRRGDWKIWMKEVDADIPSTLPQRGPKTAEKEKPRSKPTEEIV EEPVVEEPVVEEPVSEDKMDVDEPVEQADNDDDAKKEKKKSKKAKKEKKDKKEKKKDK KEKKKTSKSEDAKADDEMEE FPSE_02750 MGGPNAKPAPARKTERSHEENQERAYIAASRRADRSIEARVQSA KMASEIHKKRTGKAFRITEEIVMKEEMYEEEDDDFPRSYRLLKSNMQTPNAEFNARVD AYLTNRVAMSQLLSATEADWRNNEINQTFAQFFPQAQRQAQSMSHRWSTPGYSVPQSQ NNHVSSPMEQHFDPNFQTVNYAPHQPTRHDERNHSMSGLSPTESRNDGPVSPPALTPG SGTHPETPQSRCASSFGNVQPPPMMDFSHDGSAFTTELPAEAKMLLGGVGMNDFNPTL YGEHQNWGGQQSFHYGDDTKYFKEEDSELDTGYGKYPDPDTMNWDDANFATPTKYATD EQEPSWDSYINDTAWVTEQQQ FPSE_02749 MLGTAKVGRLEVPSSTAYPCAYSKYRASCRAKVFVPARDYYLEL LHVSCVDLCYFKAKLRHMIDTWISIAQPPLGAVPHMVISNNPLVQSWSSWFGRDSEFL SSMQLQTQTVAKQVSRLFSPFLHFFCIQEVCPTRISQLQIEISTHPKMRRPIN FPSE_02748 MSSSTPPPTVDAPDEQQSDTSKLRTFLGILKKFIGVSDLAAVRF SLPSQLLEPTPNLEYWTYIDAPNAFVAMGTSDEPLDRMLEVVRFWLTKDLKYAKGRPC KPYNSCLGEFFRCNWETEDNAPKIDTSNLKQEKGNTKGGLSALKASAKSSKNNSNLSL SVPQHGTTTEEKLVRVSYLTEQTSHHPPVSAFNVTCPEKGITARGFDQITAKFTGTSV KVLPGEHNMGIFITLEKRDGETYQLTHPAAHLGGLLRGALSVSVSEFAYITCPKTKIK AILHYVEEGWLGRTTNKIDGVIFKYDPENDDKSRVQDVPEEDVLARLSGPWKERVVFT LGPRPVKSVPVEEQFVIIDIAPLNVAPKIVPPKEKQLPNESLTLWGGVTDAIHAKQFG KATQVKVELEEAQREKARQREANKETWQPVFFKHVTGNDGKPDLTDKGREVLDRAQKG EWSLEGVM FPSE_02747 MFSARNVARSAPRVVSRLSGATLRQAARPSAFIKASSALRPAQA AFSTTGFRKAAENDGELLAKLESEIKIEEDMKADEQDPASIKDFLNNSAFELIDTPGQ EVVKLVRNYGEEKITISFSIADITSYDPYAEENGLEEEGFEDEGAQGSQRNARANEEL EEELDEEADEENAAPINLSIVVEKPGKASGALNIDATAQDGHIVVENLFYYDDAKVAK VESPEAAQKRADVYPGPPFGSLDDDLQVLMERFLEERGITQALAIFVPDYVDVKEQRE YTRWLNNVKTFIDA FPSE_02746 MPTTLDKTRKQISKKRNGVVNALHEKSRDSMRLHKAGVRDQRIE KLAAARGKKEQPLVSRVAFFQQALSVKDRESNGAPEIEEVQRMIHNFVHQHDEEYDAV KKTRRSGRPASVKEDLLKAKINLLEEEYKGGFIIPDLLDSHNVNVLLLWEGSWSYLTQ LKWIKVNSEGQVRSTAFPSGGTN FPSE_02745 MGVSGLLPLLKSIQKPTELKKYHGQTFGVDAYGWLHRAAYCCAL ELGQDKPTQKYLNAAMTRVRMLRHFGITPYMVFDGDFLPSKAATEDSRAKKRDEKKKA AMELLRAGKPAQATQEFQKCIDITPEMASALIQLLKKLDIPYVVAPYEADAQLVYLER QGLINGIISDDSDLLVFGAKKLLTKLDQYGNCLEINRKDFCACREVSLTGWSDTEFRR MAIFSGCDYLDGLPGVGLKTAYRMLRKTKVPERIVRMVQFQGKRVSENYLTQFYQAEL TFLHQWVFCPTKRELVHLTDLDGTRTAEEMPFIGAFVEPETARAIARGDMNPITKSMI ISATTTTPSKRRHSQLATGAVDQPPPAMKPISSYFRGHSRIPMGEMDPNCFEVDPQRV LQITGGGLVPRVFPLPRPYMDETTRHVPSNSRRPETNSTRTSPRLHRRRTEPVSKLLN SFTDTATATRRTSSVGIKSNSPAVPSSDPANRPPKKARLCEDNETGPDESLPPQKSKF FPASKPRRSPRRTKSDAYLLSDDSLDEALMALPDFDGWKPSDKIKHGVSVFVEKDSQE TSTTTIESESQLSFMKDDESPLSSFDDSMPPPSSTVSLPRTSSTPARPDIRKFSYSKP TETPASSTSQRSSVFSAASTPSTAPSTAASRMTPLQRLGARAMNAPMSPKLPKPRNSL DRQFLSGVPVNPAFVPLPKVNLDEVADLNKCGSEDQIIPDSDEEDEDDEIDLPPKKLN LSRFAFA FPSE_02744 MMTETQRHNGIRSHRVPDPTWQPRLSLFVLLPLSAGAWGMIVAC LPAHIDHQSRLRRNRAFRSISPTVPSRLPALPKSPAIKRQRHPLISAPYPQTFFPSLV FFPQYLPSTATIPPTTRKRKAAEATITSANRDEVPSKRVIASAALSDKHALPPTPITT VSNPMDSDEEYMSALSTDDEIMQDDSGDEISAGDGTIFDTPDSPSYPIMTVTNHRDIT DFDDEEFDEPDPDFGLAKDVEKKKRAAHVVSYKVYEPSDIQSQQDEMIGEVNMILDMQ KEDAAILLRHFRWNKERLLEDYMDRPEKVMEAAGLSSTTSSSPKLEVIPGFVCDICCE DDDGLESFAMKCGHRYCVDCYRHYLTQKIREEGEAARIQCPSDGCGRILDSASLDVLV TPALAGRYQELLNRTYVEDKDNFKWCPAPDCPNALECGVKKKDLGRIVPTVECRCGFR FCFGCPNPDHQPAPCDLVKKWLKKCADDSETANWISANTKECPKCNSTIEKNGGCNHM TCRKCKYEFCWMCMGLWSEHGTSWYNCNRYEEKSGSEARDAQAKSRTSLERYLHYYNR YANHEQSAKLDKDIAQKTEKKMVQLQSASGMSWIEVQYLNSASQALQTCRQTLKWTYA FAFYLARNNLTEIFEDNQKDLEMAVENLSEMFEKPITELSDPKLKVDIMDKTSYCNKR RVILLEDTAENLANGEWNFNADLLAATTSAPVHRR FPSE_02743 MPHTKPKLGKLTTPVTATFPSEITSATPISAVSFNFKPDPDFLK TPISPPMAYTDFLTKAMALNSPATSSGLTTPDSLPDSAASEETDRSLKREASPPSSSA SSITSKASGRPTPPATAPMAPPSPFTCATPMSAPPAGAACFPSLKVPPSPSVSNIDSP MSASTVRSPFSARPVHSSVFDWDAALKARLLEKKQKSSRTSVRHIREVVTRTVTYTPR MAPAPKGKRRKVE FPSE_02742 MDAFVTRLPKPQSQLRGQIRPDSLDLPTQERPSKRAKRQGSSDS DSDQSHDSRNEASPRKKFVSKKSTEDDDLDSGNPRPTDIESALPPTADGREAIEEYEA MKSSQVTAGEDDDDATEKPKPRWIKGQTSIYVDAFNLALDTVLDEESHLFDEKENDVF KQWRDLGYEAQYLYVRLFLRKAAWWHRHNRLDYHSDVSNLEAAIATLQSPRALPHDAI PPTQSPVHGIELEEYRLGGTFTFADASNEHIDSVEEAASLLSLDELKDLAKEAKIQGR NKSELTRSLVRMSKQQAGLMSVGLSRHNSRNSPSEDQENKDVKVIAKAKLRREDSNRE QHFLTKILAILGPCIRLSPLAFKLFERVHLVFYRSTEWTEKSLTTIILAKIARRHFPE YIVCRTSTIFASRLHLLEYETAIRMETEVDNLEFNSPPGQEGLRMMIDVFDRVYPRWQ TLVKEEQKKEHTVYEMGEGTYLRRFTPGHSYTRIVHKAAPIFGKLKEHLKEHSLLTEL LGQRLFHPARRGGWYQRKALLEEHYMPTLDPNPKFTDPEQQKKHWKKKAVATCEAGLQ DPDCHLIFHYDLQKRLVKLEKKLRIPRRLQHDFGHVNLEKPTVHTIEGIQIKKDIIAK PGRQVSTKTIWFDELGSKEECSVEEMCLSQYRSEGWKGYHAEGGIIRTLFAYLFYDIL FIYIPNVFQTAYQTCPLDLHTDAFYPSRASEINHRLVEIANGEAARLLREVWDKEHEK RTSVVGLNWDFEIDDLVELVECFEGSALAAVCKVMAQEYRQRGGGIPDLILWRIADTP KEQTKEPGKAKGEVMFSEVKSANDRLSDTQRLWIHVLTGAGVKVALCNAVAKEVRED FPSE_02741 MADGTPKNDSVAETPQITTSADASTNGLPAQTEALQRDVVMSDA PADQPASSPAPVAHAPSPAPARTGTPAQGSRAASAHPDAGLTIPAEAIPHGDSARRYL NTKVTGVLLEGMKQLAKDQPSDPLRVLGEYLIQKSKELEGTG FPSE_02740 MGRLHSKGKGISASALPYSRSSPAWLKTTPEQVVEQISKLARKG ATPSQIGVILRDSHGIAQVKHVTGNRILRILKSSGLAPELPEDLYMLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLARYYKTVGVLPPTWKYESATASTIVA FPSE_02739 MNGDSYSSRDGRRGGRDYPPRGDRDDRRDRHRGDRDRRRSRSPD HRSHRRGEGDADAYSSSRNHRDREREDRYSGRERRGGDREWDRDRGSSRRDARRDDDE RPSRREREPYDDRRRGGGRERREDRFPAPQERRSASPPPKKREPTPDLTNIVSVLERQ RRLTQWDIKPPGYDNVTAEQAKLSGMFPLPGAPRQQPMDPSKLQAFMNQPGGQVTSAG LKASNSRQSKRLLVSRIPPGTSEDTLIAFFNLQLNGLNVIDTTDPCVLCQFSNDRSFA VIEFKDAPETTVALALDGISMEANDASNGADGGHRGLEIRRPRDYVVPAVTEDVAYDP EVVSNVVPDTVNKLSITNIPPFLTEEQIIELLASFGKPKAFVLVKDRGTEESRGIAFA EYQDPAVSNPTALDTLNGMDIGGKQIKVSKASIGPTQVANFDVGITAISGLASQTANE VESSRVLQLLNMVTAEELLDNDDYEEICEDVREECSKYGKILDVKVPRPTGGSRQSAG VGKIFVKYEHTEDTTKALQALAGRKFADRTVVTTYFPEENFDVGAW FPSE_02738 MAAHPWKLTLYQVHKLCRHYGPFIAHVGDEAFHDFPSPQALTGD SVEAHLRTLGFGYRAKYIAETARIVANEKPETWLESLRNPEHPGFNTTPVPREQHATY KEAHQQLLTLKGVGPKVADCVCLMGLGWGEAVPVDTHVWQIAQRDYKFGKSKVKTLNK ATYDAVGDHFRNLWGSYAGWAHSVLFTADLREFAAQAAKEEGEPTVIKIEVASSQEHT LKRTKKTITITDSNTEVKEESPIVIPKDEQGVEEVKQMRRSKRLRTS FPSE_02737 MSDADFETIKKLQQERNAAATGNKGSRTFDVANQRADDTKQKLT DSADSSLYDKDGPNKFAGYNTSLPMMGEDDEMGDGDNTRRLTGQYTASREMIDEFARG SGVEEDDILAGKGEKSGRIVDRETDYQKRRFNRAVTPTRADPFAENRQAGDSENGTSY REVMEARELEREEQRVLQAIKAKQEGKSEDDGDAKPMLIDGDKDNTEAETTDGATTRK RKQRWDVSSTPAEDDKAEAADAAKPKRSRWDQAPALSAPGAEGAKKRSRWDQAPSATP MGNAGLATPAHPSSSSAIPTTFGTDISGRNMPLSDEELDILLPGESDGYKILDPPPGY EPVRAPAHKLMATPAPQTGFMMQDPEQVRLSGKPMPAEIPGVGDLQFFKAEDMAYFGK LTDGSDENALTVEELKERKIMRLLLKIKNGTPPMRKTALRQITDNARQFGAGPLFDQI LPLLMEKTLEDQERHLLVKVIDRILYKLDDLVRPYVHKILVVIEPLLIDQDYYARVEG REIISNLSKAAGLATMISTMRPDIDHVDEYVRNTTARAFAVVASALGIPALLPFLRAV CRSKKSWQARHTGVKIVQQIPILMGCAVLPHLKGLVDCIGPNLNDEQTKVRTVTSLAI AALAEASNPYGIESFDDILNPLWTGARKQRGKGLAGFLKAVGYIIPLMDEEYANYYTS QIMEILLREFSSPDEEMKKVVLKVVSQCAGTDGVTAGYLKEHVLDEFFKSFWVRRMAL DKRNYRQVVETTVDIGQKVGVSEIVDRIVNNLKDESEAYRKMTVETVEKIVASLGAAD IGERLEERLVDGILHAFQEQSVEDIIMLNGFGSVVNALGTRCKPYIPQIVSTILWRLN NKSATVRQQAADLISRIAMVMKQCGEDALMGKLGVVLYEYLGEEYPEVLGSILGALRS IVTVVGIAQMQPPIKELLPRLTPILRNRHEKVQENTIDLVGRIADRGPESVNAREWMR ICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQSRVNTAVA IGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMAKDYVYAVTPLL EDALIDRDQVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLLYPNLFETSPHVIDRIV EAIEAIRMAVGPGLVLNYVWAGLFHPARKVRTPYWRLYNDAYVQGADAMVPYYPNLDE DKMDRPELAIVL FPSE_02736 MTMTLDTTQQRFGPSLNFDYSAPAQPPAFSNPWSSSSPPQPPPA GSSLFVGSQPALNPNMMAGKPPHSRASTSSTSSMTSYGSAMPVPNTSADLLSINRMQT TSAAYGDPTYTTSASPVNHHFAPTSAAPYDTLGYAPAPVRPPPFGLAPADDHARRFSQ HSIQDERRSFADALDASHGMLAMSQETPRPIYGARSDRSSVDSYGFPSTHSTSSSISS SGNFSSYYGDSVSDYSTAGSDIESVSSRTLPRPQGLMSSQIPPAPQSMMGQFSSKVSS STQKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPFACEVEGCGRHFSVVSNLRRHRKVH RGDARSEAGSEDHHSD FPSE_02735 MPTLLQTSVKLYALQEVAFAPSFVLIPEIDDLSIIMGLSTDTDV PRMITLNWVIVSQGCTSRYFLEAEIVRTGKQLLAWVTEIGMSELLLCTVRAFHHLLLL KIGKGQKN FPSE_02734 MGEQWNPGTEQDKAGGAQGLVKYRDRVLKNELRQIEWSLAQQKI LQTPVATGISHPGTRPGKTLLGLD FPSE_02733 MRLSLQRRGPSARQRHGLGPVLGSSLVALAALAPFTQAITFNSV PSPNLDFSNLGRIGIVGDFDGISLYEYEGQNESPRNSNGSESLLAQLPNGAFTSILSS DASIRAMCSFQLSNGEMQGVVIGGNFTSLDGTQSKAVALFNPNTTKITPLDGIEGEVN AVYCDQERDTVYVGGNFKGANSTNAIAWVGTDGWTNLPFAGFNGPVKAITKASNGHII FGGTFTGLGNSSAPNESISQVINLSTANITDDNGSSGTDPQDIVCPGSSSSPWLLQDS TPGYWEAAFSFGFEPTKLRLKNTRQDGRGTKTFRFVAYPIDGIMNLTYVDPESGNNVT CTSECPLLDNDEYQDFTFVNRVGMNRFRLAVSEWYGNGGGLEAIELYQSDIYAYAISD FNEPKCGGVKNPSSATHTGPWKVSPSLESSSEYLVAELDGDYDPKSVSVTFYPNIMES GNYSVNIYTPGCRADDTCDSRGRVNITGIMSGDDGKDTNFTSQLFQTNYFDKYDQIYF GYIEKSSASFKPSVTLRPVGGQEIDPLTIVAQRVEFTLTNSTGGLNGLFDFDPEQAVV NTSSLTSSPINKLGASFDRSSGVSVLVTDSNTTYVGGNFTSKDHDNILAIIENDDVKE PGGGLNGEVFAMYLNDTQLYVGGDFSNTDNGPVTGLDNVAVYDTEEDKWSPLGAGVDG PVKYAVPLMVNITADTPELVIAFTGSFSSCNAFDDYDSISVDGFAIWVASKGNWLQNL DSNYPAFGGSLTAAVLDIPMDGGSLYAGALSSAQLGAGGAATLSDEGLGQFPLKIRTS TSDSKSSSLSRRDVTSFDKNTTGVVTGIFYDDNDYNITVLAGHFTTESTKGEKIQNIA LLEDDAVTGLGSDISNSSTFVALAVRDGILFAGGDISGTIDGTDVRGLVSYNLESKSF NSQPASVSGANGTVAAIAVRPGDSGDIYVGGSFETAGALDCPGLCTYNVNSGQWNRPG SQLEGTVFSLLWMSKNQLVVGGDLRGNGSSQRYLSSWDANAESWSNFPGSEDIPGPIS VISPGSSDYQQLWVAGTSSKDDSVFVMKYDGEKWHTVKPSLSSDTIIRGLQVFSVTED HGDADLLDKDQVLMLTGSIDIPDFGVASAVLFNGTAYQPYAMTIKAGDGPGTIASIFS QRDNFFKEEKHMALGFVVLIGLAIALGLMLLLVLCGIILDRIRKKREGYVPAPTSMYD RGSGMRHIPPHELLESLGHGRGGAPPRV FPSE_02732 MSKSLCCAKNSGGWGTLHDWAHRGQRHMDMLACYQHTHTRHNSL QQRHHAGSEYHGFENISYNKTIRLRPCLWPRWLAFYIINTKLKVF FPSE_02731 MVWPFGSNDSNKEEAKRRSVSWTNTIARLRSDPFDAAQAWAPMV LFPLAGMAALQLYANYVRRIPGAAYVRPNFFRNRSIYGRVTSVGDGDNFHLFHTPGGR YLGWGWLRKIPEARKDLKDRTIPIRIAGVDAPECAHFGKPAQPHSAEALAWLRKYLLK RNVRAYVWRKDQYDRIVATVYTRRWLFRRDVGLEMIKAGIATTYEAKQGAEFGGRQKM YEEAEAKAKQNRKGMWSGKAKDFESPRAYKTRWAGTDKTGT FPSE_02730 MLLLDYQNVLIQSVLTERFSGAPPASIDQTVSDFDGVTFHISTP ETKTQVLLSIQIRCFPDLVKYGAEEVLQREYGDYVTAVEPGYDFSVLVDLENLPESKE ERNELALKFALLKRNAMAAPFEQAYKEHYALKEEASKFTSEDAPQGIREGGEVKAIHY REEEAIYVKASHDRVTVIFSTVFREETDRVFGKVFIQEFVDARRRAIQNAPQVLFRND APLELQGVPGIQNTGSGDIGYVTFVLFPRHLTPQRMTDVISHIQTFRDYFHYHIKASK AYIHSRMRKRTADFLQVLRRARPENEEKERKTASGRTFKVQGN FPSE_02729 MVKSYLKYEHGKSFGVVASSTSNLVWSSKDRTGTGAGQAIVAAN EEVLCWDIKKGELISRWRDERCTVPVTAIAQSKADKDVFAVGYEDGSIRLWDSKISSV ILNFNGHKSAITKLVFDKSGVRLASGSRDTDIIMWDLVAEVGQFKLRGHKDQITGLQF VEPEAQVQDEDGSRAMVIDGEGTHEGFLLTTGKDSLIKLWDLSSRHCIETHISQTNGE CWALGLSPDYSGCVTAGNDGEIKVWSLDADGLALSTRQVDTPAAAQYLQDKGTLHRQS KDRATEVVFHPKKDYFAVHGSEKAVDVWRLRSEAEIKKALARKRRRRREKAKDGKAAE EETNGEEPEDVSKADVSDVFVQHVIVRTGGKVRSVDWAVPSGQKDLQLLVGTTNNLLE YYSVSRKDKSDKSKKEDAPEYTRALSVELPGHRTDIRALSLSSDDKMLASASNGNLKI WNVKTTACIRTFECGYALCCAFLPGDKVVVVGTKSGELQLFDVASAALLDSVDAHEKA IWSLSVHPNGQSVVSGSADQTAKFWDFKIVQEEVLGTRRTTPKLKLVQSRTLKVSHDI LSLKFSPDAKLLAVALFDSTVKVFFVDSLKLYLNLYGHKLPVLSMDISYDSKMIVTSS ADKNIRIWGLDFGDCHKALYGHKDSILQVAFVPHNNDGNGHHFFSSSKDRTIRYWDGD KFEQIQRMDGHHGEVWAIAVSHSGNLLVSAGHDKSIRIWDETDEQIFLEEEREKEMEE LYESTLTTSLERDADAQDENNEVGAASKQTSETLMAGERIAEALEMGMADLNLLKEYE EAKLTNPHAQPPQRNMVFLALGNITAEAYVLSVLQKIKASALHDALLVLPFSSVPVLF TFLNIFAMRSMNMPLTCRILFFMLKTHHKQIVASRSMKVMLDGIRINLRASLKRQKDE MGVNIAALKVVGMQIRDKSIKDYVDENWEEENDARSAKKRTFVDVA FPSE_02728 MADPDDLPPIPQRPQPANPLQEWARWRPDDRISPEELSYLRLGH QTYYYIRHKKEYWIRCARSYAVGCDVLPLETRTELYSIPCPDCTRDHASAMSARPFQT HIEEPDADKDVDNLELIYAGYLSETLNHTRAFFMHELDLEPLDELSAMNYVHGLNCTE NPYHIRRQHPDRNNFPEECLEDCACTADPGAHNLSRAARNQEAMRVRDKRAYGWFEFI QKDKHLDNYKTRNFVQIPNQLAINLDEESSEKHDRMVERVGQQVMSLCLLDHNPDLET EWTARYDDLEEWQERSGLRYNREKYAAADPGITMSFADGLMSCILTMLAPGLDETDPS VQHFFCNFPGYEDFAKMTAMCDQLTTGNIIRRNKWNYRQTCMDQQDELLNTMRRNRNI SFRNIVNKQRVAEAVAQRFRTPGSTLLDTDEVRDCVICSDPFDTSGDKLVPEHLAIVQ LPCCVKFIHTRCFKGVTTGKKQACPFCNANLETIGMNPGDGTEFLSFSLRHAELPPGL HRFSTGIEKTIASFIDPKGIALLKKLNKVIEDEEGMPRGTLGAVYRDWPMNAY FPSE_02727 MANLAPQASSSLKQAGKVICIGRNFAEHIAELNSAKPKQPFFFL KPTSSIVLPGEGAVLRPKGIEMHYEVELALIIGKLTKDLQVSDAQGAMDAVKAYAVAI DFTARNAQNEAKKKGLPWSIAKGFDTFLPMSNIIPKTAIQDPHNVELFLQLNGETKQQ GSTNLMIYQIPRILSDISKVMTLHPGDIVLTGTPAGVGPAAPGDVVRAGLRIDGKEVE EGAIEIPVEESPSSYTFSET FPSE_02726 MLGPPVNLPKWLEENSHLLQPPINNYCVYNDDFTVMIVGGPNAR TDYHINQTPEWFYQYKGAMMLKVVDEGKFRDIIIREGDMFLLPGNTPHNPVRFADTVG VVLEQRRPKDSLDRMRWYCAECGEIVHEAAFYCTDLGTQIKAAVNDFKNSDEKRTCSK CGVLADVAPKPGSIQDPNSE FPSE_02725 MDHSHMDHSGMDHGDMDHGHDGGDMKDMCSMNMLFTWDTNNLCI VFRQWHVRSTTSLLFSLIAVIILAIGYEALRSISRRYEQSLDNRVRSAPSLSDAPVTE STPILSGQSQGQADQRAHLIKAVLYALQNFYAFMLMLVFMTYNGWVMVSVSLGAFLGY LFFGQRTSATKENACH FPSE_02724 MIHDTGASINNEPSKDPEPAESHSITDVEVVEALQKGIREAASD NVREELINEGEASLYYDSLTMSPLNAMSAAAIARFRAYKPPSFPLWDRLPVRRRAAVL ILLYADRRGDLRVVITMRAASLRNFSGHAAFPGGKADDAQETPFQIARREAWEEIGLP MDDSKLPKPFRVEHLCYLPPSLARTHLVVRPCVAFLHADQRTAGEPAPTVEETMIPRL DAREVAAVFSAPFYNFLQANDLPPAPGETLPEGQWYDGFWHSWKDHQWRVHNFYVPVN NQSISKPRKDSEQSHLVEKLEKEEEPDGRFKVWGMTARILVDAARIAYAQEPGFEHND NFGDEDIIAHADSLGELGEKVQEKPHEKKIEPGDAAKM FPSE_02723 MTSYVRPMSSIELVLPPQNAYLYCNKPLPPPPTTPSRHSSNAKP PAKFSAFPKTSGPGNNPKVLPQQPPVVVPKALTRQRASRMSISGTSLRERRSSQKIQQ ITGHDIGPGIDWASSTTRAPYSPSISPKSIRDDSSSGYSISLNEPIFDDEPAPSVDYH PRASDSSMPPLEPDCDSVLSNQSCMVPESPQTHRGSISLHVDKTRRLSSVACALAALE PFPNLDDPFDEDANWQAGSSYNYFSDLETADEYHRIATRLANNDKRQSLCGTSPLARS RSSLSRRLSVSARSLFTRRKDSGLSASSSRASLTATNHPTKGPYSPSIPPSADDASVI SPLRSTFEIEDEYGFFGDESSVSVRGVTRDFFARRSEERTSTELGTPRSMPQIPEQPQ EHKILSMTGVQVKTLLSNARDGAKSIQISRVERRRTQLRTQIKMIPEEEAGR FPSE_02722 MDGDPAVDPGLDSFSLTFPLPYRVGFIATLAVWGWGLNLHCLYL FNVDVPQLIRYPGRASPQHIPHHGSTYRLAIVLSALFALSISLFWLCTWGVAERVIAF GWMPLAYLAVLIAMFVVPLRNLPSGGRRRFLATLRRVSIGGLAEPQDGKFGDILLADV LTSYAKVFGDLFITLCMFFTAQGSSTQRPDRNCGGIVLVPFIMGVPSLIRFRQCLIEY FRVRRAPYKESTGWGGQHLANALKYSTAFPVLVTSAWQRSVEDPESKAALHKAWLIAV VINSAYSFYWDVAKDWDMTLFSSRQDRESPTHFFGLRDRLVFRTPNLYYLVIAMDLML RCTWSMKLSSRLDKFSDLEGGIFLIEFLEVFRRWVWIFLRVETEWLRNNSTGLGVDDI LLGNYQGKDDEDD FPSE_02721 MNTPQSSSFAAAEFITENPGKPVPQKPVRRRGLNDQIKWVRSWM AKLPQGDEDWDNNRPSTPEDILRLHSRLSISHIESRRNMDWYTLLDHYAAASEGFEPG RETQIHCMVMVALCHVAHSQGLTTDEIMSAMAKCVSGGTDTLRSKRFALPKCVQIGDE LEKVLGPRAYELPLRVSAYFTFGQHFTAECFSILRRESESAHRPKSNLPSEVLRIPNL VYDVCDGRSGRNRKGPRAGSDEGEGEIEIEVEFQAVNKIDKQPREQCRYRT FPSE_02720 MSTPLYRTVPLSPHIQALQQDATTEVLTLHPVKTRTGLFTYGLP KACQLLCEALLQDLAEDLQMWAGGFGMVVKDIKMPEISPQAFRLEKGNVSTLLKGYTA LVVALGNAKYDVELISRDKTSSQQETWESSSALHLRETGLKAQGGNIRFLYIPLHLEP E FPSE_02719 MDASVTDVRRSSIEKMPIPPTPPFDSLPDEIIEQILQLADPNAF ASLVLLNRKWRAVSQRAHLYRHQLSTCPSYSASHSTLPPCDDDKLPELRRLFAREVKR NLFEAYLRPKETTFKLISTSISSSSCPGGEGMQYSTSPKGHHLLAYNSGRIYVIDLRG NGLEVKREFKILRRPVAACINDKGTLLAVLSTEMQVDLYNLQQSPPKRAQSIILDNTP RTIALSPCGSVLAAAYEGGIEVSSLRPEALSTDRRAVKCDAVDALSFSFDGTQILGTT IHSAPPSTVIITAPYYDPGPHMSEDNLSPLWTTSILFPNTSRDCSHAVLLQDGNEEEA SWTFTYDQSFETFRAVRIDDLRNGTTYFTGPVPGTSSQASLIPSTLPATTYRGDLVSV GFQGKEVWIYGVPEDLDAIPDNSSLSNDGSSSGLGRQNSDRSGNSRRASTRARDTESG RVPKWQILCDKLRNTFVSGFKAAEVTDVKTVKWVADYGSSSTQERLVITARGVGAPRL VTEEEDMDFVDGGRVTVLDFDYGISNGAKSEVIIEVGTDHPEVLEEEQRDLATEVAIV RRRTVAQKRGGRGGSTLLRAATSASRPPRATLNVPEGPGSPQMAQNEHHDDGDDDPLL PRRLGLPPTQPARRRVVEPESPDDGEDGPTIEQMEALDAPYAHASPRSQTTLRRAATA AAVDRSLHPRTADGRRIEYRRADGRREHPHESDADNWVPPPPPYQKDDPGPDLPAFMR GPSVAPIGLGGASAPAPIPVPPMPALSTQTTNESSGNAQSVDSKSGNQSQRLPVHPAV SEPNAVGQPQALPTPQTNTNPPPTQGEDADLYDVTPPASPRQPMVQPTGETSEARVRQ TSISSAVSAITSTSRAMVPPVDSTNEDGSPSPLQTPLQTDISAHDHFGPGPRVPAVNV EPARSATSVAQPGADLAPRVRRLSNAQTWPRVTGPEPDPIVNPADGFPFPMSAPVEQP IQDESISGLPPLPSSSQLASLSKRVSQGNPRRFSGGFQVPRRPVGSFDGSGYTSNSMP DSMSAGSSGPSHLEPDQPLIISTPRGVSGAFDLPGRRTSGRRNEPPLLAPIPRHPRPV PGSNQRPTVERLETIYSIASSHGGNPPVLPLPLPNQQVPAWLNAPPVPVTRTSPTVNR RPSRAERSAAKNIMDAKKRGWTAKPKKQKKKKATDAASSAGWTDISIGSGEKEKEKEK DRKCIVM FPSE_02718 MILARLPAPLRVLLITALCASTTSALPATRIFAREDTCDADQTS CNSDIANFCCPKGNSCRLLAGKTTAVCCPEGQTCNVIQPITCDIRGQDQKEFPNAPVK TIVFNLDLQKCGKKCCPFGYSCKDDQCVIDSDQSDIPKGAELPNETSTPVPSAITTAT GAIETISISAAPSASAEPTEAVGAGSGNSSDSDKDGDEKSKPDNSGPATTSIVGGVVG GCIILLIIAIVIFLYVRRQNKREGASSEKGGHVYGRGRRLNSDVSFGNIISEPISQPN SYRADFILKTPSTQRTSIVPVPARQASNASTRLHPPPPRIRISIPNPFDSPNPSPNAQ PSSADNEESLRHGNVRLPPIRAMKASSYYSRQPSEPELQREPSTENIDIFADPSTMVK PRPLTRATTFTDLMDEADLGAVRRGKPYVPGTTPRI FPSE_02717 MSTLPPDHRKGVSRATENTVNMTVSQSTKAKPASKACNGKPKTK TQMHRRSRTGCYTCRLRRKKCDEGTPMCTACKHLGLQCEYKRPMWWSNNDMRRKHKED IKMIIKRKKLSEKSSHNIQNSVTSSPPGLTHSLPTSATFTDPLDRTRSASIDSQFPGA FNFNSPPSGNEYGFGAPMHPEFMFGSYSPYEIDVKTERQMFVNDVPTVRESHISTFST YHTPPPAGTVLPHGPLDGEWTEQVFQERKQSLSEETLNCNFFDFSHGPSTESRQIQLE LDENDQSLLDHFIQFVLPTIFPILESNQHCSVGSDLVLPALQSNSAYLHCCLSVAAQH LKSHTNAPNEDLDNDIMRHRYATIWALCEALKKDENHQQILEATLGLIFFQSVVGRYD DGLLDIPWHQHFQAAISLVQKLDLHGMVTDPTRASMQTPFNMSLSSWIDILGATMKGR SPTFAHTYREKHLSQFNPSLGLRELMGCDDRVMYLISEIACLESLKKDGMDDFTLCQH VSALGEQISLTEMGDAGPKMPFNANGSLSPKQLSKNMTMAFRIAARIYLCSLVPGFNP RQPSTMGLVEKLTNVLQHIPSGPNGFDRNLAWVYLIGGSTSVPGSTFRVFFDDRLVQL GDSARFGTMGRVSTLLHEVWAQNDSLAGVNTPGSTTEAAQLHIHWRDVMESKGWDFLL I FPSE_02716 MQRILPSVLTFLLCAVVLACTRTKRYLRCCRLERLLGLGVKKNS ERAHTKKR FPSE_02715 MRQQPLPRDTFFSLKNESQILERPISVATEFVDTDWDEEDEIIS DEEDNSPRISLQSAGQPSFTTVSSYDEVPTPRSSRSQEVPVEYSPKQVEGPRGPHLFR NSTDHYLPTEEDIILTLSPITPKGPRNITEFLMSSQPPPQPRASPFQYTDAELDTAAL ASWTTEMVAQAMLNAGIELSVADRFMENDISGAILITLKFEDLKELSIQSFGIRTKVW HQIQALRDSQPASPRPETPIEDVPSRDVVRETRRVEDNGMRRRQSSRRKNRTRTNGDD ITPMESVSIIGIEQVIPKPHHCSKGENCSKYRKQQQLIRAFKKEYPHVDINATGTVMI GDAGNPQTAKALDPNKVRPVSDAEPSVVASSDVMGPGMPPIQYLYEASLRGVQARDPQ DNVRQFLNFQHQHGGECSEDVPPTPPFAGIQAAQAQLPHQGLRRLPKLSIPGKAPLPP SRLGASSVPPPPQKNQPQNQSYVQRQQQQQQQLQQHYHQQQQPAFAPYQMERTSPRSP DLEMTPRNNFRHGTPFSELDVPVTAVPIGPVARDFSQSVPPDMNYRTSPTNNVSPPRC QSRTSARRPSFPVMPALEENRSINTTRPSHGSSSPKSPRGSRAQQPLQPPPRDNLPFS RGHLNGSEKVLAPSITPIGAKGKSPSADSANGISHQGPMKKRKTKMLRHEWQDGYFTL KGSRLNMHKDSEELDRTLEYVDIDDYAIACSSVASSSKLSAAFKTMHLSRGSHSREKS DPVGAFAFQLIPQDKNTARLRKRESHIQPGSTIPAEGVNGTGKTHHFAVKNRDDRIDW MRELMLAKALRQKGEGFEISVNGNMI FPSE_02714 MLRPRLRVPRLAVGLGMRPMVNARRSIHHVPELPHDYSQGVPNL MSSGGFSLAWTQYMNLMVEKLNALTVGTELEDKDTKTIALMTAREPNQAPIFNYASMA HNNHFFFQGISPTGTPMPDALRSELEASFSSIETLRREFVITASAMFGPGFLWLVKAG PGDYRLLPTYLAGSPYPGAHWRAQSTDMNTLGKDGSARNFMHNQAYGASKRSDNLPPG GIELEPLLCLNTWEHAWLLDWGVGAGGQGGKVAFAESWWELIDWEKVAQKSGVLRPEF KSA FPSE_02713 MASSVIATATSMATSTTASATFVSSAPPTPYSEDGSGGECSLLG SFALVVQGALGALALLSLVYKRWRERPQRPLKIWFFDVSKQVFGSVLVHIANIFMSML TSGRFSVQVDPSAAAVTARSDDDYAPNPCSFYLLNLAIDTTLGIPILIILLKVLTGLV RYTPVGQPPESVQSGNYGSPPNVVWWLKQSVIYFCGLFGMKVCVLIIFIMMPWISKAG DWALGWTEGNEKLQIAFVMMIFPLIMNALQYYIIDSFIKLKDTDYENVNADESDEEVR RRRYDDSEDEIARARLVDSEHETDSESDDEVDKPAARSGHPSRQPNTKNSGEYDPERD GDDRTIIGSSSSGRGPKDKLTARSMLKLPVGISPNGENKKYIIGFPTKTDRGSGPVS FPSE_02712 MPSIDEAMARSLRGSAWEIVKDKFVRTIVSDLTRRDMLADAAQT TDNISKTSDDFATAISSWDNCMSVVWCKWPVIGIMILGGLIIFSILWCIIRCCCCGLS CCCSCCQCLKCCGNCCGACDPPGSHTKHLDDPYPPQPQHHGYRTEPPMNPSIPQYGVS QPIRNEPPQYAEYEISKKDDDALPEMPSSEGKKVQVYTEAVELDTLSKPPPSQHSMAG TPYSQPQGNSSGYLGSSQPTDPYSPLDQQGYGYQPPPPNQVYAPAAIAPMPHERRSPA LSQNPYGNQGYGHDQGFEQAPGFGQMQGHGQNQSYGQGASPQDYTANYGGYRASPSPR PAQRLSMHQDEFGSYGNMPPRRSPAIPDDYGYGSPARQSPGPQNDFGYAQPPRHSPAP MNDYRTPPPGPDANYGPRPIPQRQQLLESQSPGSYEPQQPYPGFKPYQP FPSE_02711 MAPTDDLIFSRAYGCRYGYSYSYSRNGCYRNSWSYWGRWLVAGI VIFFFLIFFMCCLISRRRKSRGVKPVYGTGWMAAKPWGQNNNNNQAYNYGNQGGYNQG YNQGGYQPNNGGYNAPPAYGQQQQPQYTGTTFNANDGYYGQNAGVQHPEGTYQRDGGY SAPPGPPPGK FPSE_02710 MESLQLSQVLADLSNLGAADPGAAEAIVGANNAHSSYPRTDATV NALTSSPSTNNQKRPAGLQRHWSSEKFDKFGRRILSPSSHTGSAGNSIPGTPRRGESD FEEDLERASTLMQLYDIRSKIKQQDNSSLLKAREKVNAIAARQQAQQAAERNMKAADE LRRQRYSFPRAGL FPSE_02709 MAAIAPQQPLTFNDVFEEEDIDEPKEANSVHHIRANSSIMHVKK ILVANRGEIPIRAHELSLHTVAVFSYEDRLSMHRQKADEAYVIGKRGQYTPVGAYLAG DEIVKIAVEHGVQMIHPGYGFLSENAEFARKVEKAGLIFVGPSPEVIDSLGDKVSARK LANAANVPVVPGTKGAVERYEEVKDFTDKYGFPIIIKAAFGGGGRGMRVVRDQESLKE SFERATSEAKTAFGNGTVFVERFLDKPKHIEVQLLGDNHGNIVHLYERDCSVQRRHQK VVEIAPAKDLPSEVRDNILSDAVRLAKTAGYRNAGTAEFLVDQQNRHYFIEINPRIQV EHTITEEITGIDIVAAQIQIAAGATLNQLGLTQDRISTRGFAIQCRITTEDPAKGFQP DTGKIEVYRSSGGNGVRLDTGNGFAGAVITPHYDSMLTKCTCHGSTYEIARRKVLRSL IEFRIRGVRTNIPFLASLLTHPTFIDGTCWTTFIDDTPQLFDLVGSQNRAQKLLAYLG DVAVNGSSIKGQIGEPKFKGEIQVPEFINSAGEKVDASQPCTKGWRNIILEQGPKAFA KAIREYKGTLLMDTTWRDAHQSLLATRVRTVDLLGIAKETSHALSNLYSLECWGGATF DVAMRFLYEDPWDRLRKMRKLVPNIPFQMLLRGANGVAYSSLPDNAIDHFVDQAKKNG VDIFRVFDALNDIDQLEVGIRAVQKCNGVCEGTISYSGDMLRPGKKYNLEYYLALVDK LVALDIDILGIKDMAGVLKPHAATLLIGSIREKYPDLPIHVHTHDSAGTGVASMVACA KAGADAVDAATDSLSGMTSQPSINAIIASLEGSDCDPGLDPKLVRTLDMYWQQLRLLY SPFEAHLAGPDPEVYEHEIPGGQLTNMMFQASQLGLGSQWLETKKAYEHANELLGDIV KVTPTSKVVGDLAQFMVSNGLSPEDVKAKASQLDFPSSVLEFLEGLMGQPYGGFPEPL RSDALRGRRKLDKRPGLFLEPVDFVKTKRELGKKYGAPVTECDVASYVMYPKVFEDYK KFVQQYGDLSVLPTRYFLSRPEIGEEFNVELEKGKVLILKLLAVGPLSENTGQREVFF EMNGEVRQVTVVDKKAAVENISRPKADANDSSQVGAPMSGVLVELRVHEGSEVKKGDP IAILSAMKMEMSVSASHSGKVTSLHVREGDSVDGSDLICRIEKA FPSE_02708 MAAIIKAANAKIRSNPVSDYICSTHFWGPVSNFGIPLAAIMDTQ KSPELISGQMTGALVIYAGTFMRYSLAVTPKNYLLFACHFVNAGAQLTQGYRYLNYHY WGGKESMPKEQLVQAAEAAKGKVEKAAEKVQSAVSK FPSE_02707 MAEQEPNCATNQAQSETQNRPQNEGQGSASGSRGGSRGGRRRGR GGRSRGQRQDGPAQGPGGRGNTSQTESTTAPATAPTEPAASAAPESSGNPRNRRNRRG NRGAARGAARGSVEQSRGVFTMGPRRQFGGRLTTNEQSSDAADQDASLRANAPEFVPG QPAPQRGNGQQSSGAGESQSAAQPRSRGNRTKNRKQDRPRQEVPKSTASELWQRIQED IANWNYECRICTEELCGKAKPLCGHSCQSVCHGQTACNESTACQVKMTVKCPCGNHKK EFKCLTSTSNPTPNRPEVRCDEECERLDRNRRLAAALNIDPATHTNDHVPFSDDTLKL YKQFSKWGDEQESQYRVFAANKDEVRLRYEPMKNVSRQFLHLLAEDFGFESKSEDHDI HRSVLVWKTDKFVSAPPKTLSQCVKIRATQAAEAAAAAAIRPPSPPVLETEPFNALVL TEPRFGLTNDDVNTALAADLSSLQGFSFKVDFLNDEVLIKATVSYSAFLTPAPIEKSL EVLKPRIEQTIRREKLAENVLLCHSDVNGAITRREVPRRAGAGGWSAVAGRAASKPGS SSATEEAKPGRKLLGLKKKKLQVEAGKVWAALDGDVEC FPSE_02706 MSSSNSPASQSSNNYVEQTVSVLSSVASYMRLPALASTGIAAVL TSLLYFKQKALIYPSNIPANSRSDVPKPSDFGISNFEELYIPTDDGEKLSAFYIRGPR GHKNSNITILMFHGNAGNIGHRLPIARMIINYIGCNVFMLEYRGYGSSTGQPDESGLN IDAQTGLNYLRQRAETRDHKLMVYGQSLGGAVAIKLVSKNQEAGDIAGLILENTFLSI RKLIPSVVPPAKYLTLLCHQVWPSESVLPNITKVPTLFISGLQDEIVPPKHMKQLYEI SVAPTKRWKPLPAGDHNSSVLEEGYFEAMSDFIAEVTDNQEKEKTRS FPSE_02705 MVSFSCENCGDVLTKKKLDPHRNRCRGATFTCIDCMVYFPGVQY RSHTSCMTEDQKYQGALYKDKKNKKQKHSHNHDNQQHYIQDQTMAAVQAPVNHTATMN HYAYVEDAPEDQFGWAEYEESSDDESPNGPPPEAPTPPSAAPEPHVDVFEFLVGTGQT PNASNMNLGEQDLPDGEPGTSMVRYEPKDKQDEYSFMDDDEPIVEYGSGPVPTAEFVT PAPKSERRKSRSSTEKKDKKRKHLHVDIPNDQVMTDAPPVLHSGLTGGINRMMRPVYP PSPDYSGGDMPDASPTSPLKKTKHSKHSKHSKSASASHSIFGMIAGSKPKSKSTKSKT KSSSSSKSKKSSSSSKKEKKEKKPQQLIEYRPQSKDGNEPNEGQVVLYKPRADVFLSF VDKGPESEKGVSLNRVLKRFHREREANGSELGKGKEEKELWRSLRLRQNDQGEIVLFS VE FPSE_02704 MSSSSTTTTTSPYPFATAPDIIRSHQKDAYFTGHLAQILSDLHR RLRGARLTHARAPEIQTLAALAYFALTTIPGNRTLGEEYCDLVQIDARDGQLPAIDRR AGYVAASILLPYIAARVLPGLRARVRKLLQRRLETLRKRDGQSATGREARIWSYLEQH LSSFTSGAPFQAVILALFYFSGTYYQLSKRLLSLRYVFTRTVPDTPDRAGYELLGVLL VVQLAVQSYSHIRSTITESAARERAAFGSSDDISLNHDGAYNQDNNLLLSTGGPGSKT KVDIFAATHTPAATVPRLQLTGDNTMGYIKGGQQRKCTLCLEEMKDPSATQCGHVFCW ECIGDWVREKPECPLCRREAMAQHILPLRVM FPSE_02703 MEETPMGESIKISCERCRRRKIKCDRKRPCSRCIKAGTECILSG SGEKQRPISKGYVQALEGQVASLELLIRKLAVADSAERDDMLSEIALSPPTSLDMPQK ATTDHAKSNTDPKVVAAQVRSGQLRRPRSSHATQFFGGTSAFHIHLSQDVSLSPDDMS PPLEANSTSAMTMGGIPETSASSSPSDANFVYAPHDETSQTCMAAYFQYQYQFHMLIY REYFLRDYDVGSGKYYSDALLFAICSLGAMQLDEFRSVSDIFAHQAQQLIYADLDSPE LTTLQALALLGYREIGVGHTSKGWLFAGMAFRLAHEMGLHLDPNNWDASSEGTSNRDT EILRRVYWAIFIADKQLSLYFGRPPALHPSESDVRNTIRLQYPPDWEGLLDTYICKGA SATEFEDGVALVGSFIYQAELCKIAHLMITDLFENRRGNVDATVAATRSRQIHVSLTK WLSSLPGTLHWNQWTVGIVQPSVLRMHMLFHTIMIILHRPPSHLYEKQGIAESEDVEI CYESLQAILRLMRTYSRHYRYRSLPLDFVQTLSTAAGTIMMKRFFQSASWEDSDIARS LSTVIDAMEEVQHTWPCMGEIKDYVVRARNAQVVMPPEDPLIGPDLMNGLELDHNVTA DLMAQWGEELGTLVTDEFLSMQLQGSEQGVMAPFDFNQPSLGPQ FPSE_02702 MAAAPKRQDPISEEDSAHIPNETIAPTKKPSRKKWFIIGGIVVL VVLVLSLALGLYYGLKKKEHSVVNDSAAKSNADPPQPSNVPDKNSNDSDDNNNDDDND NNNDKGNDNGNSKGESSDESTKKKEGPFVDLGYSQYEGNVLSSDIYEYLGIRYAKSTS GDMRWKAPVAPDSTTEVLKAQKYAPFCPGVNDRLGSTIDEDCLFVNVWAPANATSDLK LPVMVFFQSGGYIRNASPYINGSGLVTASNNSIIYVNFNYRVGLFGFLAGKEIKEDGD LNAGLLDQRFLLKWVQEHIKEFGGDSERVILHGQSAGAGSVALQLAAYGGKDEGLFAG AIAESVFMPGLPEPEDIQYQFDRVVNATDCSEDDDTLACLRGMDSSALQAQNIKAPFD GRDYRSYFYWAPTTDGDMFPDFPSDLYKKGNFAKVPLLTGSCTNEGSNYAVNAGSSAQ FIRYMQNEYPYLTTENTETILELYPKEPALPKHDIWFPSASRAYAEVTFVCPTNNMLD AYVEHADPETLWSYRYNVQITEFIEDGLGVPHVANAPAVFGPDYTAAKAGPSYRTYNA PMIPVVQSYWISFVHNLDPNKDRYEGTPKWENWGDDQRRLVFELNNNTMESVDQGQKD RCQAWLDMSDSTKQ FPSE_02701 MKPGPCSCHKKLAAHLPSQYRVQNSQYTGTLIGGEKNGMKTHRF VSIQKFMSAIPINVQLVSCASLRTARIMASLEFPLIYMSGKKLVDPYAVKKFGPSVRH RSVSQSSLQSTYGTHESTSNPPRQRSPSQPRLPFQSLNETSVLLRPPGPLESMLKTTT ETGDLGIYSINLPSLGTRHHLPRSRASHRDKKRQSQSRHDGSKYGATRDDRKLLPSYR DPTSEILSLYGGSQTPYSRSYSPASEDQRSHSLTTCSSRRVPSYKSSGTFSSQQSNSG LQRPRSPFPYPARLKRPGVRPSSPAVTDNGLVDYRRMVEIDRSAHLYPESPYRQFVAE DQSIRSASLTSIVEMYRGKSSDGSVQPTRSPCSVYYDYTEDCEETFSDHLLNGSPVCS PGEDLKHMSKENAIQDASIEIDTEDKGHCDSHDNSKISREPALRGTGTNPRGDPVKEH NFATSTLAKRVSAVQSSDSSDSDWVWDKPEDTTVVEDSQVVQSVSRVPSKATAPCFEE RRPQMKENYTAKKLPSHSEQHHLNASINIGDQDHASKQVTTEQDVQESKIHAKILSPS PISPAHQLRLSNSIPQLMKALPPLPHETQSSIQNSCAASSEDTRAHTNIDGSLVDTSV VVRSGNGTDEPSLEPVFSSNDLRTPSHQRIQSQPHMSQSRFKVRVKSSQSSGLHSKWI ADSPKVTGRSSSSPVKPRLRLKVSRNRVSSKLMDLDDIHIRNEGIRQCKSLLELGDAP QGEASSDRSSFEEPLEEQLAQLNSGKRLSNIDEGTARVYSPQISDQFDISYPSPTKGI VMAELVPRSNLEGSPDSFDRQQQDFVSRSTPRPLGYKTSGPQPNGVMGIKGRELMATS NIHEIDPATLGCDIISDSSDESTLAPSQITVLLAQRLKNKTLRVKRWVLELKRTVQKL VRRTSNRRQ FPSE_02700 MPQMADRRPALTLPLPDREQSDFQRPQSSLQTPPIRSPRFREDF DAPFSVDIMNASRTTLATDTMSYPSIGTNSRNSFGYDETPPQYLRNASWESETKRRSK VNDRILEWAKKSWGAVRTRSDSKFDYFDSHSAQSPTSDMMSPSSENITPSEPDGSQAA NDYTRAVVTVTGMTSSKAESK FPSE_02699 MDSDSINPKPISPVDEDYRPPLPPRPSTNATDPGSLQGQATTAI TPVEIQTLSFPDGSRGTFSTPGPDSIPSPAESGGASPNRHDSSTSAEVDETASLMSFA PTMRPAGDIASLLAGELHKKSPAWKMLRTQSASVLPFEKGRIGADDRFVEFHREFDPL PEEYDEDAEEDVLRLWKSKLKHYLILSSAGKPIWSRYGDLSLINSSMGVVQTIISFYE GAKNPLQGFSAGDTRFVILTKGPLYFVAISKLGESDSQIQAQLDALYMQILSTLTLPR LTHIFANRPSTDLRKPLEGTEGLLSSLADTFTKGSSSALLGSLECLRLRKSQRHAINN TFLKLRAEKLLYGLIVAGGKLVSVIRPRRHSLHPSDLQLIFNMLFESDGIKGGGGENW IPICLPAFNNKGYLYMYVSFFDGTSGEQASSPAAAQGRSSDEEIAMILVSPDRESFFE LKQMRDNVAQQLAKNGTLAIIQNATRAGRPKIHEIAPGSQVSHFLYKSRANVQFCMAS LEPHFTGLVSRRRLMTTYHELHASIHAKHSHLKVLHAVGDDATSLAWTTPVFEFYCVA GPNVSRATITQGANKIIQWAKREEERLFIIGGGVF FPSE_02698 MALEPGSGRRSVSPESSGRDSPIPRQWRNQLGEEDYAIKDKSYR KYAHGVDRALVLFETALEEWADYISFLNKLLKALQARPKSVNAIPSKATVAKRLSQCL NPSLPSGVHQKALELYNLVFSVIGKDGLSRDLPLYLPGLATVLSFASLSVRAPYLDLL ERHFLGIDPRSLRPAMKSMILALLPGLEEETSEDFERTLRLVESFKHAIRPLYSEEIT EQHSSGDTFFWQCFFLASITSQSRRSGALAYLIRFLPTLGPQATPEDKTAQSNTNEDI QSKLATVVTSPEPGLLLRCFASGLSDEQLLIQRGFLDLLVSHLPLNAHVIQSRVKPDD LELLLKAAVGVVTRREMSLNRRLWAWLLGPEPITEHDHSGETPGSPPADQHSFAASRT HYFEDFGLRPLTKSILQMIKSSSHNAASERAKPYRICLSLMDRWEIGGLVVPEVFLPM IESVRHFKDHASSKSEFNEILPSASTFFDGIESGLIYSEIAGLLAQALGPGSLSAHER KDKLSLVSFILANFNVREEEMVTIHAPLCCLTALAMLGDFKERISTHGSADSQLLSLS SQAQSVALSLLDLIPERVFPDITEDLKKEKSSSTLPSNGEILKNSQNFYVNEQGNIEA SPPPFSASTIGQTILDKAAQFVCQDLGSSETDLNACVRLLVHAVYKTPKTYQVKEKQL LELMHGLLEQPDIMAFPSFSAILQLSTQLYDAERIETTQLSSLIPLLVRHAWAYISAS EPKYHVESVRGLWQLQSALSTSNRDIEAALSSLIIAQDTSRFRGAELVDKGRALSVLW SHTLQDNAPAERRGSKTPVHDIKSLPRLAGADNYEVMLTQPIFLILDALEDDRTQLYM TVKSWLNTMLGIDRLFLIFVSKLSEIPFLQTLAQNDVKNDQVSTSFSESEDLDLALYY LRTLSNILACAGEISWTVLVSKHVSFHGHHIQISSGGEEHEMTLQEFFVNACMRCITT NPTETATQGSDDRVNQLYRYALSLLHKFLNSPFAAHLSAQHLENVLIERLEKSLQGSD AYVQVLLLDAVYDTLKLRYIAPIEAPASPISERRMSSLDPRGSRPSLSAPEVRQIMTP PPQLLQSLQDGLSSPSSRPVLDSWVAFVSECLPLYSDSIFQVVIPLVETLCKEIDMTF ANLRGTFYSNSLSIGGEEQSPESTLIFLLNGLEQVLARAHQRLLNEEARTQVVKGPDQ PQSLFGSMVSNVWQSDNTQSRSATANDRLTVHLAFQDAVRICYKIWTWGQGDDSSRQD QGSFGSFNYTSLRMRNRSRRLLEHLFAAESLECLETVIASWKQSTEEGEPTQVFNMLS ALEACRPKHCVPALFGAIYRRTSAGNADPTSKSTMTISLQDTDLVKFLVEYTKTLDDD AMDEIWQDCMAFLKDLLTNPFPHRQTLPNLLEFAALLGEKVDNTNFGEQRRMRKELGD TFIRLLAALFTTRPIAFADPAMSSGGIPKSDSGNTINGYGDGPDDVVSILAGIVPKLA KILVEPDRVLSASATISANVIGPTLRAKGFPEIVTENTMRLLHELSRVQNNQKNWKKD VGDAFNDVKFFGMDLDLVKDDWLPLLKQWTFTDKEKMSEIVSRINAPSTAGIVFGVGA TSARLEADRKTQLNLRRIATLILAGSEDAFVSDLSDIFGKLAELLGASSTSSPSSTTR ADIYMVFRALALRTSAIHLGMLWPVVNAEIHAAISSVAAADNSAASDTYTNASVLQAC KLLDLLICVAPDDFQLHEWLFITDTIDAVYRPSTYQPVALVDELSDELGATATASKFH SSSVVNPVVQGSSRRPLLGPGGINDDVSLERKDELVVKVLRPFFGQLSIFAFESTYAM GRVDREACVLSLLKDLFDEQSIVRAL FPSE_02697 MASSDTSPTDTRPSFTQFLKKTKQKGPVCEGPECAATPRRRGRK SRGVSSESPENSSETSPQKPRKSLTATEKAKLRRTQVRRAQIQHRQRKAEYQKQLEVD ITHFRELIALTEFESEQLEKDNTSIRALLASQGIVVPQCKSDRCSINHRVPKDVVAGR DDAWVDEALGVRQSTEGQALPQYDPDGGELFADVNVDDIIVTLKKDDSMVTPAFSIRP NESSINATSPPQPPRDLNLTPYEEQRAVNFILSLEHICWDHFFVGDYPSHSHLSNDEP KGHTLMASSLCMANAPFDVFGDRKLISSATSCHNRSNLGLDPHVPPVHLEWPSPRISL SSLYGLAQSLNPGDLEITPVQAWFELSNRFDKTLLLERLDLLGTELVGVSKCLEFGAV MEKDAFESVVTRVYGGTLEEAIASAALLDQPPNVCSIASGGARGGCLTTPAGFVGYAQ G FPSE_02696 MRPFTPTTRKLSGPAAKSSTPVRSILKPASVLGRRKAEDAGLSD PIDAPESPTKRRKVLFDDIRNVTVTYEVGKRTMDEVKLEVRTALEEHLRGNDGQYDTL KELFATDKQRYLPPVIGEDDDTLKPHELQVYVVALTGCVPILKDKACNGLVKTILNCS WLGRDDAFVKVFTHFLAALVSAQGSYLSPVLTMMVGKFTDSRSDVWSVPDFPEVNRET MRDRLHRTLQYLLQMFPSALPVLECLLGSKFPYQDGSKRVHMSYVKNLLRVKDYLPDL RDEVFDLILNRVVKIDSQMQVDLEDGDDEITAAVMYALEKQVFTGDWENDDGDDSDTE SVDSDDPDYDTEAAKTKSITDNVSKMDAILDTLFEVYTPHFDNPGSDVAFDTFTILLR EFEYMVLPTYKSRHTQFLIFHFAQMHDRLTDAFCGQLITTAFKSNTANSTKQAAASYL ASFVARGARLPGSLIRSIFGLLLHHLDIYRKKYEPLCRGPDLRRFHPYYSLVQATLYI FCFRWQDLIVVAPESADPEDPASYIGQEMEWMGTCRRDLSAQIFGKLNPLKVCAPSIV EEFARIAHRLNFMYIYPLIESNKRIRLSQYLTATYSTGGALRDAGYDMQDESFHQLDP YFPFDPYQLPISKRWLENDYVEWKSITGLNAEDEDSDDADDEDELDEEEFEDKTSTDS DGDDYE FPSE_02695 MSDSPQSPPKEVDQGAPSPDDEAQMNEQQDPQSANAAGYEFEGV KEQDRWLPIANARSAVHIWIPLMSCDSSSKSPKASRASKADRADELDANIRNFAPVAR IMKNALPDNAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKTVNGEDILFAMTS LGFENYAEALKVYLSKYREQQNQSNRERVNMDNGQWGSGTMMGDAKAESGAVGDFGHD GNSVESGADPNYMYGSQPGHNGNAPEGF FPSE_02694 MSTAAAGQVPAKKQQDLQVTYSNYKNTLQQIAQRIGDIEQEAEE HKLVLETLEPLSEDRKCFRLINGVLVERTVKDVVPALKTNQEGLRKVLEDLVKQYKTK QDDLEKWKKKHNVQVVQQ FPSE_02693 MHPHLHTKNALACEEVIAALEQCHAQGFMHKAVGSCNTAKERVN ECLKIERSKMQAENRNAARAKRDKIKEQQRELGL FPSE_02692 MAPVTVNYHDLQLPAYIMGAVVAGGGIMGYAKSGSVPSIVAGCT VGLLYALGGYRIQNEESYGVELALLASVVLGGSAFPRALRLRKPVPILLSVISAFGLF TFGTALRR FPSE_02691 MAPKKNARDTTSAAAATSQASTSTTAPIPLAPEQTKPIVPKKSD ARADVQWDRIPQTIYNHYINETPQRIMLLDVFLVYLVVVGAIQFVNCAIAGTFPFNAF LSGFCVTVAQFVFTVGLRLQTNEAVPTDCVDITPERSFAEYVTVSIMVHIFAVNFIN FPSE_02690 MFNALNRFISRLDGDVQQQRQQERGSFGFQVLRNTNLELAIEPW FDFIVGINGRPIEDPEPALFSQEVRNCAGSTVTLGLWSAKGQRTREIHAPVAPDTASL GLSLQYAPLALAANIWHVLDVPANSPADVAGLLPYSDYILGSPEGALYGEGGLGELVE DFIGRPMRIWVYNNEYNVTREVTIQPSRDWGGQGALGCVLGYGALHRIPPPLSEPVDA PGETMFDGATNEKSEDVFVPAAAGSELPAPPTGDFLVPAQMISNAPTSAPPRGGKKKE RHGHGPNPLMDDYFKEEEEKSRAVDNAPSARNSPAPPPPKAGPPRDSPKPEAQANGEE AQ FPSE_02689 MSIENSEYTMKPAEELPLTDKCHHYEGKHEVPWDIQKYFSQRYS IFSLYDYGVYMTDDAWFGVTPEPVANQVANDMYGTDEKKHILIDVFGGAGGNTIAFTL SERWSRVISIERDPSTLACAQNNAKVYGIEPGLITWILGDSFEYLGKLFNRPEELHPD LRVNLDETVLFSSPPWGGPGYRTDEVFNLYNMQPYNLDDLHNAYNRLDHALFLPRTSD IRQIAKLAPPDRKVEVVQYCMEGASKALVAFLPSKYSKKRE FPSE_02688 MAKDKKKNNADTKKAKKAEKAAKQANKGEKKAKNKAAKIEGSDA EDVDLDEVLEEYRRQQELFLKVTETVIEAPPRARAASTLMASPHDSNTLLLFGGEYFN GSLAQFYNDLNIYNINRDEWRCVTSPNAPLPRSGHAWTRAGNPNHVYLFGGEFSSPKQ GTFHHYSDFWRLEPATREWTKIEFKGKDKSPSARSGHRMSYWKQYIILFGGFQDTSNQ TKYLSDLWIFDTVNFVWHSPQLPPAQLKPDPRSSFTLLPHEQGAVLYGGYSRVKSTVN VKQKGNKGSSQAQRNVLIPKVHEDCFFLRISQPATDASPNTPPAVRWEKRKKPANAPN PTRAGATMAWHKGRGILFGGVHDVEASEEGMDSEFFNQLFAWNIERNRFMPLGLRKSR QQKKAAAEPRGGRRGRAQANEDELLRQLAALESGASLDDADDIELAKKEEEQDDEKPA REMPVTMEPPHVRFNAQLAIQDDVLYIYGGTFEKGDREFTFDDLYAIDLGKLDGCKEV FSRPVEDWIESDDEDDDEEDDEEEDEEEEDEEADEEASQQLRTPSKRKKKQDETSEAS SEVSSEPSTPSEEDDTETSATSVDDGLPHPRPFETRREFFVRTSNEWQEILMTSLRWK NIQPETMPIKEIKAKAFELSEEKWWDCREEIVALEEEQEAAGIQEVVSLADRGDAAAA GGARRSPSQHHRHHDFKGSSATPVGDHVAFFPRVQRTSAAALSISQFGDAAIGGRNWV IGSNISGWGDN FPSE_02687 MGSQIAEPEEINVLVTGFGPFREQYPINPSWEIASSLPSHLPPL RAKDPNSRHAAILPPDVRISVHPEPIRVNYQVARGLVPSFYNTPRPFDVVIHIGMAGP RPFYSIERRGHRDGYKHPDVDGEFVDSEEDRQKDDWPWRGLPEEIETELDLDQVLSLW QGHSSKYDDVRISEDAGHFLCDFIYYSSLSELWKQKRPRKAVFLHVPADASPSSIERG RDLTLNLIRSIVESEIVAKNKPPESKVGDEEL FPSE_02686 MGSTPEYSLPPQELTFDGFLFDMDGTIIDSTEAVVKHWETIGNE IGVAPEVILETSHGRRSIDILKILAPEKANWDYVRDMEGRLPKYHGHEAVEIPGARSM LEALIARSSPWAIVTSGTVPLVTGWLRARDLPTPLPEHLVTAESVENGKPDPACYRLG RERLGLQAEDAQILVLEDSPAGIRAGKAAGCKVLGLVTSHTVEQVVAAEPDWVVRDLS SVEVLRSEGGKVTIKISNALRL FPSE_02684 MGSYHHQPQWPGWAYQNHASQYPRYSQQLPSYAAYLPESMELYN AHQGHLLHHHQMSRTTETKPRLSKEEVEVLEAEFQKNHKPNSTVKKALAESMRVDNAR INNWFQNRRAREKKEKNIREYAAKQRLDKDTATSEAGVHSDDDRLSDRVVSSAPFPAP RLVGARTTNISSPEQESENDTSQSDFGAASSPDLSSQPTPEPLSASTSASLGCYSQYP QLIVPEDEDEATPSLPQQCFPRLSISPIQEQYMYSRNGVAVSQSNQPSMLKPSPSMDI ASRRNKRPPQLAINAPRNFSASGPRTGIDIGRRAEVGHSMRRVASATGVGRIGKPTTG PRSPYFERNPDALLQLNRSPNFQKAATIAPPTPNTPVVAHQQGLCEATPASTAGYEEK YPMDLAIHDPTLRTPPTTPGVMDHLYSNDSNYQVAIADEPLVTPGLTAFPHDFEVPGS SSQVPNYLSHECASQPQTPSYAAPMGPTYFGFAGGNAEYNWSDDASLSAHSSPGQSQQ NVNFMNMTPSSFTYSDK FPSE_02683 MSFSAIAGSDASRPARSRILTPSSEGSLKHKDELRSPENRPRKR ADSVAMDLLKPSISVKPHPPKLHIQPRILHPLMILPRERLPLSCIDFHATSVDLASYR LFEANIKILDLESRMGSVSVILLARKEGSRAVYALEKQENGLYAVCRLGPWVNLDLLA DSATAVCRERLHPTARSEHQNQNALSATTTPHVHKEEKIKRAAIEAFQSQVRKRRRSQ SVSTLAESVKQEATEAATDSKLPSPIIQPEELAKRSSEHTAQVQPLAISNDAKTEVPP LQQTAESIFDTLRTQYFDTLYKSMIEKKYRETVPALIAHMKTIVDSSDEGRKRKRRAR KMKIGKDGLYPHEQAHIRKWWATNKPELKDDETNVADHQIKSIISMLRTRETQLQMII ILEILALTPLKPAEVEDSQLPLLPGVAESQGDMAPPSAKKRNKHNLPVLVDVHADRLT IWQSTVSDEQLLLEDSQISQALDGQSQQKSSSEPLKDFCVDIIVPFFSHRLPELCDSI NRKLGGPVIVKPSRPKTLRRPSSKQSPKPGAVAKRSVSGQPTRTLQRALSTEQQSRRS ISRGPSNMIALMRSATTTSLPGIKREASDPALAKSVLASDPDLINRKSGPLSRSSSVS NLQDVKFSKKAQVEAELRDAISSLRKPNRQVVGKALAEAAERRATVSSSAKKARKPGR SSFGTPLVKATPANMRFKDVFASKPNIMDTPLMSTEDVIPPSSLPSMVPSTGLRGGGQ RNAFRQNRTPDFERIGSTPTKGASTFIRRPANDPDVLPFPPSSPCLERRTVSTANLFN PTGISDRKRKAIKTKNIEDLENMRVEPEPAKSVSIYQKLGWDDDIDDLL FPSE_02682 MSDSGITPDRESIPSESNDHEPESLTQLKRSLFITDPTTEFAEQ SHLSYLIPEDTSLDLEAAFKGVEPGKSILESIKRRDALFFDETVNVLLLLKSPWKDES TLRAHISRLVISVEAHIINQSTHGKENSTSESIFSGTVPDIDDPFIIVDGEGKEEDED DEGDEESGDENEPQNVFAVWKLPIFLSRPRTRVSTPAITFTASASLKPELSTDIIGKG SGYLQSGVPSGFNLLESFGSDAALGGVKPRLSALRVSRVAPVTRSQDVTKHIRALPQI EHRIFPVIHTRIRFSRPTTSPTSSAVIALLEVDFTSHFDCEIALDRIDLSILDATIEN LNDDAGMQLPLACVSHDHITFLYRITPRQHDVTVKNPMRELDITISATAQVVPGRCTP IMTMSWTTQLDFTLPVNPGYGSATAGTGIVRAHRPSQLSITGQAVHPLISPSVIRPDA LPTLEAATSNTEASVAELGITMTFTGPSEPVHPGQIFSWTVYIVNRATDKTSVPPRKL ALVAIPKRRRGEVRMTRPPSVGGRRQGQKDVADAVTDESVLHALQKNSSVESTDVVCL SADTRVGPLAPGACHVVELQFLALQEGVVGLEAMRVVDLGSQEHVDIRDLPTMLVEGV AA FPSE_02681 MNGFGSPYGQPASAWQEHHTPDGRAYYYNATTKATQWTKPEDMM SPAERALANQPWKEYTAEGGRKYWYNTETKQSSWEMPDVYKTALGATSQPATPAAATP FTPPASAGGYSQAPYDQHRDQRDTYPESRQITYGNDPKVQAFVPAANDPEYATAEEAE AAFAKLLRRSGVQPDWTWEQTIRATARDPQFRAIKDPKDRKAAFDKYCQDVVIQDKER AKERLAKLRADFETMLKRHPEITHYTRWKTARPIIEGETIFRSTNNEDERRQLFAEYI IGLKKAHAEQQTSMRKNAMDGLIDLLPKLNLEPYTRWADAQGIISSTPPFQNDEKYQA LTKFDILTAFQNHMKALERRFNDTKQEEKNKKLRKERKARDAFKSLLGELRRNGKINA GTKWNQIVPLIENDTRYTDAVGQSGSTPQELFWDVVEEEERGLRGPRNDVLDVLEDKR FDLTPTSNFEEFLSIMKDDRRTANIDPDILKLVFDRLREKRSSRRDDDRQSERQQRRA VEDLRAYMKRMEPPIALSDTYDKVRPRLLKSDEFQAIASEDARRNAFDKHIRRLREKD DEADRSYRRHDRVSSERDLHRRERERSRGERSHRSGGRGSRRSRSPEPDAYEADRRKA IAERERNHRKSTMAEGLLGSDRSRLSPPPRRERERERERDRERDRDYDRPARPRRDDD SYYDRERRDREDERERSYRRRADRGSHDELNYGDERPSGSRRRRPDDEEDNARRDSRD AKRVKRERSRERTPPRVEERPRKKTPPPTTKDVHSGSEEGEIEED FPSE_02680 MPDKLTRIAIVNSDKCRPRKCRQECKKSCPVVRSGKLCIEVQPE SKLAFISESLCIGCGICPKRCPFDAINIINLPTNLENQVTHRYGPNSFKLHRLPMPRP GQVLGLVGTNGIGKSTALKILSGKLKPNLGRHDNPPDWEEVIKHFRGSELQNYFTKLL EDDLKAVVKPQYVDQIPRAVRGPNKSVRYLIESRATLGNMEQMANVLELNHIMDRDIN LLSGGELQRFAIGTVAVQQADVYMFDEPSSYLDVKQRLSAALVIRSLLRDNDYVIVVE HDLSVLDYLSDYICVLYGRPAVYGVVTLPYSVREGINIFLDGHIPTENLRFRDESLTF RIAETTDEFQIDKSRAFTYPKMEKTLGNFKLNIDAGDFTDSEIIVMMGENGTGKTTFC RLMAGALKPDSNKSVPEMRISMKPQTITPKFDGTVRQLFFKKIKQSFLSPQFQTDVVK PLKLDDFIDQEVKNLSGGELQRVAIVLALGIPADIYLIDEPSAYLDSEQRIIASRVIK RFIMHSKKTAFIVEHDFIMATYLADRVIVFDGQPGIDAHANKPESLLTGCNTFLKNLN VTFRRDPTNYRPRINKNGSQLDQEQKMSGNYFFLEENPESS FPSE_02679 MMSTPTFGDPSNGHLSAGDEISMSFDTGDHATDSDSHDAPDHTL DPPSPTSSDDANHDSNMIQDDTHMSASEQSSEDNASDDGDFDMEGSILSQNEDVIEDR ASSTDSTRTSKRKAPVEEEDYIKANPELYGLRRSTRPREQRKIVESDDSDSEPPVNRR IVKRRRVETSRPSSKIGTPTLRASTADSDSDSDNYGGARAKSLQKKARMQREAQPDLA LAEKRWSSRRAAQIQQGAYEESDVDEDEEDDDDELGLVSYTVDYVDDSPYIEKVVRHR LKDGLELSYNSTKNDFEYFIKWQGKSHLHDTWETFDDIRGYRGHRKVENYFKKFVDYE LAIRFGTDIPPETKEQFFLDRERDEEAYEDYTKVERVVAVRDGDDGTEYLVKWKGLTY EECTWEITSDISDAFQDQIDQYLDRASRSWQSDRKETNPETRSRMVKLEEQPDFIKNG ELRNFQLRGLNFLCLNWTKGNNVILADEMGLGKTVQTVSFLSWLRNARHQEGPSLVVA PLSVIPAWCDTFNHWSPDLNYVVYLGPEDARNIIREHELLVDGNPKKPKFNILVTSYE FILQDWQFLQSIKWQTLAVDEAHRLKNRESQLYNRLVNFGIPCKVLITGTPIQNNLAE LSALLDFLNPGKVDIDEDLDSLSANDAQEKLQQLHKAIAPYILRRTKETVESDLPPKT EKIIRVELSDVQLDYYKNILTRNYSALCDATNGHKNSLLNIMMELKKISNHPYMFPGA EEKVLAGSVRREDQIKGLIASSGKMMLLDQLLSKLNKDGHRVLIFSQMVKMLDILGDY CSLRGYKFQRLDGTIAAGPRRMAINHFNADDSDDFCFLLSTRAGGLGINLMTADTVII FDSDWNPQADLQAMARAHRIGQKRPVNIYRLVSKETVEEEVLERARNKLLLEYLTIQA GVTDDGKAAFKEELNKKGLRVEGPSSSEDIQMVLKMRSSKMFEQSGNQERLEQLDIDS ILENAEITKTKVDDKINLSSGGIDWDNFMQITDVKVDDINLDWDQIIPADKLAEIKAE EEKKQHEAYVAKVAAESAPRRATIKNRHNENDRADRLKKRQREQRDKEDDDNRRILLA DPKRPLTDKEQRGLIKAYFRYGSMDDRGDEIIKDAKLKERDQDYVKSVLDEFIKAAKE AVDENLAQMVEEEKRLGKTLTKKDRKAVLIDFGDLKKVNAETAIERPKQLQLLRQAIR SHSDWHSFRLPDATKAANYSCAWGAKEDAMLLIGIDRHGFGAWPQIRDDPDLDMTEKL FLEEHRVEKKEERSKGNDKMKAPGAVHLVRRSEYLLSVLQAKHSNDRGVQRAVENHHR NNKKSLANGHRGSATASPAPHNGKKHRDRDRDRDREHLHGDPHRSRGHADERGTPRPD YKRKHLSHEDSRSPKHRRIEEHRRTSKHGDDRDRSEKRRYRDDDDRRDDRRDDRRDDR RDDRRADKHRLSHNHRDDRRDDRRDDRRDDRRDDRRDDRRDDRRDDRRDDRRDDRRDD RRDDRRDERRDDRRDDRRLDRHREDRDRERERERAQDSYPQDERRAKALRRLDELRRI GDNKDQRAQDNDAMIWFLLKPVRENFERILSTTKDNVKSSKERANIFKVELVVIGTFL DEKLAATAADEGLKSNFWDFLAALWPVDDTSKSVTGKRLSNMYRTLHSRDKEPGSAKT NGV FPSE_02678 MASYTRERQIAELAVLRASILTKRVQSTVSGISKADDSPVTAAD FAAQAVLISALRKAFPGDAFVGEEDSSALREDDALKQRVWELASNAHLENPDDEALLA SPENVDELLEVIDLGGHGQGGKKGRFWVMDPIDGTATFLKGEQYAVSLALVEDGREVV GVLGCANLKPVDGKVAESTIDKDGLGLMLTAVRGQGTTIRKMEFNGLQPAQPVDSIAK ASSLADSQIINYSSGSTSRHDLITKLADSFGAKFPNIELYSSHIRYAALLVGGGDFQL RVPSSSSVRMYIWDHAGAQLILTEAGGKVTDLDGKEMDFAAGRDLNQNNGLLAAREGI HGVVLEGMGKILAEDASC FPSE_02677 MPPKRNAQSVSAEISLSHLKSCLVNLPTSLVSLLVNVNTPAQNV IVELSYRDASSTGSGSQQRSIFVGWTGMPSKRRTAPPGTRDGLNGSRSSRDQEVQLVE LDATLAKTLGLSEGQKIMATIHLDPPMAHTINIEPLTPEDWEIIELHATFLELNLLSQ IRALPNPSYKLGDNPVAPHALTLHLSPTSTANIKVISLDPAPPADSPFAKISPDAEVI VAPKTRQKSSLNSGDHRSVASTSKSKRSATSTVRRRSAKEERRSAIFLRGLDRASCEE WFDEGPLPQDFSVWVDHDLLSGEALKGVNYVAVDVIRPAGLQQPQPEEGGVPTGMTAA TKVIAQLRSWIDPPNSQAVALSSPLCATLGCTGMVGGIVKLQAAQQQLSKGTVQSIKV FPFATSAKTVEGLRFGGESKAEKEEAAKRFRQIYDGFRGTDGLLQGPLTDGSILNIYD SLEAPQGWEGGIVKFTYGADFQESKEATNWLLGLDSKLPIDVQPPTTRPSWMSEPDGF ERQPTHDSLLVGIDSLLNNLQSNLMHLSSVLLTGGMGSGKSSIAKHLAQKLRQESLFH TLYYPCRKLVNDETRISTIKETLNRLFATASWGARLNGKAVVVLDDLDKLCPVETELQ VGNDNGRSRQVGEILCSIVRQYCTRDSGVVLLATAEAKESINGVVVSGHVVREIVELK APDKEARRRVMESIVMLDAVTADEARTQFSDGSRPQTADSSTTGGDSGAWMDGTSHTS KEDNKAKTSGFVLDPDLDFLDISGSTDGYMPGDISVLVSRARNEAIIRAIAESPDSTS AIHLARADFEKALKGFTPASLRNVTLQSSSTSFKSIGGLQETRQVLLETLQYPTKYAP IFAQCPLRLRSGLLLYGYPGCGKTLLASAVAGECGLNFISVKGPEILNKYIGASEKSV RDLFDRASAAKPCVLFFDEFDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLSGVYVL AATSRPDLIDPALLRPGRLDKSLLCDMPALEDRVDIIKALFQKVRLSDELVESDGPLT DIARQTEGFSGADLQALVSNAQLEAIHDVLDVDGPAVTSKRPNGTNAKSNSTPSFVQF RYGDKVKPVIEATPKSRSAALVENASILAKLEEIKIARKKSKQVHGAPAGNTDAKAQA SEQREVVIELNHLLKALDNTRASISSEEKRRLQRIYTEFVVGRSGQMKDGQGSMEIGG RSSLM FPSE_02676 MALREFKAPVSYEKQQHAFQEFLTGFKTSPEQTITTALGNITIG EDDFEDDLMDEDGQDVNQGQQAKERRSPQYKYKNMLQQLSDRTIDEATIDLDDLSTWE SQAFEGEESLRLVDSIEMNTKHYVEIFSRAVDEVLPPASADTTFKDDVLDVLMARRQA RNRELDEAAERDPTAADDKFPAELTRRYTLVFKPRSGTSSQPSKALAVRQVRGDHLGH LITVRAIATRVSDVKPIVQVSAYTCDRCGCEIFQPITDKQYGPLTMCPSEDCKQNQAK GQLNPSSRASKFLPFQEVKVQEMAEQVPIGQIPRSLTVFCHGTLVRQINPGDVVDISG IFLPTPYTGFKAMKAGLLTDTYLEAHHVLQHKKAYSEMIVDPTLVRRIEKYRQTGQVY ELLAKSIAPEIFGHLDVKKALLLLLIGGVTKEMGDGMKIRGDINICLMGDPGVAKSQL LKYISKVAPRGVYTSGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCIDEFD KMDETDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPVYGRYNPRISPVENIN LPAALLSRFDILFLLLDTPTRETDEQLAKHVTFVHMNSRHPDIGTDNVVFSPHEVRSY IAQARTYRPVVPANVSEYMIKTYVRMRDQQQRAEKKGKQFTHTTPRTLLGVVRLAQAL ARLRFSNEVVQDDVDEALRLVEASKESLNNELDAGRRNMKPTDRIYNLVKNLAETGQC RPDDAADDEDLGIELSMSKVRDRVLARFTLDQWQDALHEHTSVLNVWQTTGNGARLVF VVVGGENQNDDDF FPSE_02675 MASANTLRCLMRPTIPRAPRIQPVLLAPFSTTSSVLAVSAPPPI KSRRDLPQKVKKSYKKRANVAPVRKPQPGERKAFRKRIQLSNNSALPVQGLETLNATN MTDDSSAGKVFAMPDQVVDHLRALEAFKTTQTWNLFRKPHVLLRKETLELMKKLELSV KEKKALKCVLTGSKLSGKSMAMLQAMSYALLNNWVVFHIPEGQDLTNGNTEYSPIPDT EPPQFSQPIYCLKMIQSLYRANRVILEKLNIEQDWSKFTNLKKGATLADLALSAKEAE YAWPTLLALWTELTLPGRPPVLFALDGLAHINKISDYRDAAFKQVHAHELTLVRLFID ALSGKAALPNGGAVIAATSENNSHHHPSQELVLSQLEAGQAGREVPKPNPYERKYDER VYDALKNSWVLRLEGVSKDEARSLMEYWGASGLFRHVLNSRTVSEKWTVGGHGNVGEM ERASLMQMRL FPSE_02674 MSLPNSKPKLPVAVEKPTPYTFDLGHLLAEDPNPVTLDRENLEQ SLAELARDGAQSLINQFLTTCPLSSTAEGVLLTLPAPSTRLPREKPVPQAKAPTKWER FAAKKGIKPKTRESRRNLAFDEQTGEWQRKWGYKALNKKGEDWPIVEVDMEAEKKRKE GTTIRGDGRRERKERVKRNERLMRKNKGIPK FPSE_02673 MGRKAAPQPLALSSQSSPQTQAQAQAQSDSDAALRNKDSPLTAE TVSPGASPVESKSPRSPRSSPFHSRFSPLRNQTGKQKNKNLPHTTAALATVAIEGESS PSSSTPASASASASASAPATATATATATATAQRPSTSAASTERSSREESTPRPPLRHT REDPSTYPSISSTLEERIPDPPATTATPAQSQARPPTSSGPKKGSKNGFFNFNKQSKS SNQSQPYAHRRKQTETRAQVTSRGSDGSNRPRQGDKSDSAYPDHTLHKPNSADPLRSD LSLSSAGDYEAASPHSSVRKGRSKPFGILNRSRSNRDKEDGSPEPKPVTSSRQGNEPE LHLNSVPYKSGNQGSDRSLRDMMNSAVRNRSEDRAPRDTSSTRRAQQNKEPKTQPSSL NENGGSFFNGLKSTGTRAAGMLSDRFFKGGRGGREDKDALDDEHYQLKVINLPLVEQT RLTRISKRLEDSRDKTEFWMPAFPWRAIDYLNYKGCEVEGLYRVPGSGPQIKKWQRKF DEQYDVNLFNERDLYDINIIGSMLKAWLRELPDELFPKAAQDRIARECAGSEEVPELL IEELSNLSPFNYYLLFAITCHLSLLLAHSDKNKMDFRNLCICFQPCMKIDAFCFKFLV CDWRDCWRGCKNEAMYIEEEYVIFDQPPPRGLAEPTKPKPQEESEEDRQHLSSSGSSK QSDHHSPGNQTSKLKKKQLQISPSNGSVTSTNSSAPVTLNANTNNEHEHEVESRSNNI RPLSPIMPLSPLGF FPSE_02672 MQQESFVTNDGCKIAFKTSMPLSDTVGAAPRNCVLLMHGFSGSS DYFIRSFTTLSENEWIVAPDMRGHGDSDRTRGGYHVARLAVDLAGLVAHIRKSAPNVS FVPVGCSIGAAVLWTYIELFGCNDFAGLVFVDQAPLQDRSAFDEWDQSKAHTGCYDEK TMQSAQHSWIHDSKAAHLDLTLGCLGYRHAPREEDMISAEQQAKDEAFFTEISARCDQ SWLARLLADHTRYDHREAIETITVPTLVMAGRRSSCFPLEGMLETVKRVETSKPGLAR SSVFESGHWLFYEEPEKFNKEIIEFVNMCSALE FPSE_02671 MAQAGTDCEAPELVIDAHVKYIQSLDTRKDELDYWLTEHLRLNG LYWGLNALYLLRRPDALPRQDVIDFILSCQHENGGFGAAPGHDAHMLSTVSAVQILAM TDAFDQLETKGKGKEQVGKFIAGLQNQETGTFAGDEWGEEDTRFLYGAFNALSLLDLM SLVDVDKAVSHITACANFDGGYGTGPGAESHSGQVFTCVAALAIVGRLDLVNKEKLGR WLSERQVPCGGLNGRPEKDEDVCYSWWVLSSLAIIERTHWIDRDALIAFILKCQDTQM GGISDRPGNMVDVWHTQFGLCGLSLLGYPDLEAVDPVYCMPKTITKRLLG FPSE_02670 MARGRKHIKSTPVMAPPTLSEDNTASSTNRPIPLSCFVCPETPR FSDVSHLLTHIASKGHLHQETQTKLKAHQDITAALNKRKMKQEMSMSPAIKLEGDELM SDFPLFPGFLDLEPDNSIQEEFVLGNDSMLLKGQVWPGMGKMDLADDETRKARNQKKP KSVIDKMKKASESIEPTQVVMSSKLEVERTRDVYDDTSSPVPGQEESTPPKRVPRPKR KKTTPLAEISGNIPKQRRRTTRGQKSNVGKTTRPKKDQGIQKEPEAFPSPERNKGVQD IFRDEVIRTASISEPPLPLSRGDHRLEPRNKHGARSMNGFFHSNIVSPTPQARDLAPR HLQARATPSSFRPESFPPGSFSQVEASYAMKDATIYNASSRLPFATADYSQFREPSSD HLRAAANYGFQLKQEEYPGSHTGDLTQGTNSPYIGMPGTNPLFSNDRSFLNSYNQRAL GATFSPLSFPLVNQQPDYSHTGRDMKQQTHMCETMEASGLGGDQELNLGGSWSLHDAD SDMGFASRLAMDDQQI FPSE_02669 MAPTPKPGEKKQQSLTSFFTPKTVNGLAAIFEKQSRAQADKSQS PSKDITGLPSRKRTVADTEKENEEPKQTSKRARADDTVKDPETSTFFSKSKDGEQETI GTRTERYRYDGSSVRNTQDADTNAGSSQNDDEDAEERRQHQELHKKFVKKLGHPDALV SRRNIQDVAPAGEDEDADADGAEEEVPAPAKTKKKGSKTGKLTPMEIQFLEIKRKHMD TVLIVEVGYKFRFFGEDARIAAKELSIVCIPGKMRYDEHPSEAHIDRFASASIPVHRL PVHAKRLVAAGHKVGVVRQIETAALKKAGDNRNTPFVRKLTNLYTKGTYIDENGELDQ SGGSGAPSGGYLLCITESKAKGSGTDEKVDVGIIAVQPATGDIIYDHFEDGFMRSEIE TRLLHISPCEFLIVGDLTKGTDKLVQHLSGSSTNVFGDRSRVERVPKEKTMAAEAYSH VTQFYADKLKDNTQDESAAALLNKVLKLPEPVTICLSAMINHLQEYGLEHIFDLTKYF QSFSTRSHMLINGTTLESLEVYRNSTDHSEKGSLFWALDKTLTRPGQRLLRKWVGRPL LDQELLEARLNAVEELLNKQSTAPVSQLESLLANTKTDLERSLIRIYYGKCTRPELLS VLQALQRVASYYSTIKAPSDAPFSSPLLSEAICSLPQILDTVVSYLERINLVAARKDD KYGFFRDEFQTEDMQDHQLGIAHVEHELDGHRAVAAEKIKKKMVDYVTVAGIEFLIEV PNADIKHVPASWAKISGTKKLSRFHTPEVLRFITERDQHREALAAACDKAFKDLLASI ASDYQPLRDAVSALATLDCVLSLSKVAAQPGYSRPSFLPPSTDPTISITNGRHAIAEH TLEGGYIPFSTTLAHPSPLAHLITGPNMGGKSSFVRALALIVLLSQVGSYVPADSLSL TLCDAIHTRTGARDNLFAGESTFMVEVSETARILRSAGPRSLVILDELGRGTSTHDGA AIAQAVLQHVVTETQCLTLFITHYQNLARVAEGLDGVKNVHMKFKAEKGEDGEEEVTF LYEVGEGVAHRSYGLNVARLARIPKKVIDVAALKSSQIEQEMKMRRLRGVCRALSEVM DDGTDHLDQIVSSIEQL FPSE_02668 MERSRGVPDEEMFLNKPAPEDCDSPTVEPLRIFKPQSPQPPRDG RSSAFRYPAPPSSTSPVSQHSFPLPPGASSSAAPLPFPDDDDVRKPAPAKPFGSAYND TSPRLETSPHGKKPGLAERRGAAPKPISSPTSPDADHDLFQRPLADSQLPDSSNANYH NYQKTYYPPPGAASSSSSNAPTNLTSAQQLKMNDQGVNRFASTASTSTTRASRGSPPP PETPIVEPGQAPADAIEARYAAAGISGTATLNSLGAPSAAASQRLAQYGNQPPPQRPW TPTETPDQAPSGPPTVYQGMNAISSPAQSHQSFSPPPQSEQQQQQKPQGQGQAQAQGQ AQVSVLEQDFQRINTNSPPPAYSSLGPGGNSSYPNEKQRPQQAGSSSASASSHQQPAT STPPTKTTVIAAPSTAASGLASPALQHPGHPAFANDPHPAFSNESRPEQNGQSSQQQV VAPAQTFEPQNPASPPPLPEGWIAHLDQNSGQYYYIHLATQATQWEFPKGPNPISHEQ APLSPTASTYGNPLASPNMFGKQSMASPMFPPHTPGYAESIMSVAASATPTAAGFTGP PPSAGVDMYRIQPTNGVYFGPYLRYVNMDIEKGLWLGSILIVTDAPQPPTIHIHLSHD LAPNPRQAEPRPIFTHQRWKFYKYDLELPMSEAGTERWTYAVTSHLGCTRYEFVVAGR QETSWRFIAHSGNDFAAGTSQNERAKLGGVGFMWKDVLQKNVDCGGFHVQLGLGDQIY GDRLWKEVPLLKQWLAMSGRDNKKNVQWTARHEEDVAHAYFHYYTSHFDQPFLREAFA QIPHVLQIDDHDIFDGYGSYPDYMQSSPMFKNIGRIATEMYLLFQHHTTVEMLRNVST DHDIFTITGTGWHFVKYLGPAVVVVGPDCRSERTQAQVMAGPTYQGIFPKVATLPPSV QHCIWMVSVPLVYPRLDTVESLANTMAAGKKAVNTTYNILGKVTSSVAGVVGGKDVVA QGFSQVKKAVGKTGLMGNVLNQFGELDIQEVLKDMWTHDTKDLERTYLIRTLQGIAQQ KGIRMTFLSGDVNASGAGLVHDPTHPGDHKTMYQIISSPIVAAPQSNYVLKMLHNQKT LYVPQNGKKSTHEVSDTKEDMMEIFHSDASGAARELKKLMGRRNYVAFVSYDQDAAAA VPQTPFSPNPSLNGSQQGLSKVSLAVDFVVQGDGAFTAPTKYGPVIIPHLEYGR FPSE_02667 MSLAPPSYSPLQERPLKDTICLFDVDGTLTPARLDASPEILSVL QRLRSKCAVGFVGGSDFAKQQEQLGKPAGQPVTALFDFCFSENGLTAFKLGEPLDSNT FIKFIGEEQYKELANFVLHYIADLDIPVKRGTFIEFRNGMINISPVGRNASTQERNDF EKYDKDAKVREKFVAVLKERFGHLGLTFSIGGQISFDVFPTGWDKTYCLKHLENEAKK PDGIAYKNIHFFGDKTFEGGNDYEIYTDSRTIGHSVTSPEDTMRILKEIFDV FPSE_02666 MTNITPRRQACPNDDIDRRRNTAPERFLRGSRLKSRPFYTTVFL LSILTAYSFLSHTTFAQSGSNHAADPHHLFKRSSSTDTPECNQVHDAQDKCAFVRKYC TDDDAGLVPYIDLYYCAFGNVRPIAFGILVAWLGLLFTTIGIAASDFFSVNLSTIATV LGLSESLAGVTFLAFGNGSPDVFSTFAAMGSNSASMAVGELIGAASFITGVVAGSMAL VREFRVDRKTYTRDICFFIFAVVFTMIFLADGHLHLWECWAMIGYYAVYVVTVVTWHW CSTRRKARQRREGEARSHVYHSGDELAGEPYRDDVDDIGSAPGTAVSTPPDISLLEAG PRIEVEGTSQLDESDMSSEDHDRMVAAEVNSSMRVLRGRGTRRNTMTPIRPSLVGALE FRSALAQLQREGNLQLSTIPGRSYSDYHIHRRRQTTATISDAARSDGRPASGHDGHAP RRNRALSSGDQPVGTASHSDLRIPSRDRGESNLSVPGSTASGTRASSPSPSHTVGGNL AAPLVGPSGTTFDAPSESARGQMPTPELHLQIPSPSRRSSCSDRSSPNQPFPMYTDSP ALLTPNYHNDPVEFFVSPGGVSPSTVVPGLGGRETPFADLQLTVDVPSRPVRWWPYAV LPPPHIVLATLFPTLQGWKEKTVWDKLVSAISVPSIFLLVITLPVVDSATTDGESSLL ETIVDLANSHHGIGHMASPASVEHSPIESEGETEWERYRRCSHVSQGSSYLGSAVPPS SAHAAGGEYSTAPHLSLGPPAIVTKPASDFPSSSSARGDCTGWNRWLVALQLFTGPQF AVLVLWANTLEDQESPHKTLIRMVLYTLLASLILLGGLIVFTSEDRPPRYHYLLCFMG FIISIAWISTIAGEVVGVLKTVGVILNISEALLGLTIFAAGNSVGDLVADITVARLGY PVMALSACFGGPMLNILLGIGIGGVMMMVKKANKKHHKNPSLPIKYKPYRIQVNGTLM ISAITLLVTLVGLLIVVPMNKWILSRKIGWGLITLWAVSTVVNVIIEVTGAWGEVA FPSE_02665 MPRVAQAPRSGSVRANTGPGTAPSYIAHSPIKIPLNDDVSEKGQ RMSSRRALHERQFNEIKKAATPRKSMARLDDTENGDPQTPRPGRASNIEDDEVFVVGG SAVTPMKRVPLLANFEEWMKMATDNKINATNSWNFALIDYFHDMSLLKEGDGVNFQKA SCTLDGCVKIYTNRVDSVATETGKLLSGLADSNNAKKKDKDGEDADESDEEELDEDGN VKKKPKKKTQRSSEATLAPSFNSLQLKKFELEFAVDPLFKKASADFDEGGAKGLLLNH LMIDSQGRIVFDSSDDSGDATTLGKKKANEDGNEEDDGIEEDDAGEEQLEDDDEADDV EIDLGALGAKFIPDLYRLDELDVCPSLKTFDLGDPSGSMDIPFLKAPEDWKQDQDKEK TPGALGDISGLVIDGDAPAGFDDDDLGLGTFDAVEDVAFGEGGEAWAREAALEPQMRV YDAGFGEEGGDGDEVDGKGNGEYIVSMTSAQQADKMHEDILGFFDQALQKNWTSAEHW RIRKIKDVNKPATETKKRKEKEPFEIDFAAPLDSHTSDLIYTQATNNTSISMPKKDWK SKSRNLLPDDKHFNSKSLLNLFLKPKARMNKRRTGFNSRTGGFGNVGLDNQPEGEMDE EFWAKQKAPQNTDDTAPPGGDYDANFFQDDGMPFPGGGDLDDDDDLEFADAREHFSPG VDGQAGLTEGGGFTALLNGETVTNTGAFGTTLVTQTRRVRPEYVQYARVAKKVDVRRL KEELWKGMDNDILGKQPEPLASPDSDFKQDQPLKFTEVMNNLQTVYPKPVMDDISTSY CFICLLHLANEKGLVIENTPGLSELEIRRDWTAEIVEGE FPSE_02664 MSSDLESVFAELGLSQYLGAFVEQGFDEWDIILDIQESDLDALG VKLGHRRKLQRRIANARGISPSASLATPARPTSEEVKSESVQPEPSRTETPPEGHGVA KRKYRRHPKPDENAPERPPSAYVLFSNKMREDLRSQNLTFTEIAKLVGENWQNLNASE KEAYESQANADKEKYHRDLVEYKKTADYRKYMQYLHEFKEKQAKRTQADSSKRTKLDP IRLRHSSSSSSTMTPGNTPSGSGSERLQGSEPPPCYRERLNSIASVAESQHSSTTPTL LSQANSNDDTMSSPRGNHFDAGSPREPIHQTSTRQQPWRDGCRGAETSQQQHLPSLSN MLEDGRKGMPISSGSEGNPYSSGFVAANHPRSVPEVPNVLPSAPSKVPLLRHEPSSTS SVGSISPAGSFARTPGEGPLPIHALLSHHQTLPTPIAAANAGMFERSSSVSGFGTTPS PTDPAPLARPSFRHGVVPRGYGSQPLPPTGPPARHDEYTTDGDVPMTQAPDFITSIEE RPLRPRLDGMSVLLRAGEFVAKNERDEQR FPSE_01414 MDGLRIEMRGCGCGKGVSRRTQMHNANQLVVNQPGEFGLWQAKR GGLDQRAGSDSGSGYNTFHGQAGARVWTSIVGIARE FPSE_01415 MVDDAALAAAAAIVASIAPDTRPPNSIPVGVASQVQLPGPDTPA KRKLELELQNLALRVGKLESQASAASPFPETPNEVIDTLFGEEALTVAARPKPKVFHA QGSLHTPHLPSYQLTEEALEGLREHVDDQSKLLDSQRQELAGVNAQLMEQKQLQERAL EILEQERIATLERELWKHQKANEAFQKALREIGEIVTAVARGDLTMKVRMNTVEMDPE ITTFKRTINAMMDQLQIFASEVSRVAREVGTEGLLGGQARIGGVDGTWKELTDNVNVM AQNLTDQVREIASVTTAVANGDLTKKIEKPARGEILQLQQTINTMVDQLRTFASEVTR VARDVGTEGMLGGQADVGGVQGMWNDLTVNVNAMANNLTTQVRDIIKVTTAVAKGDLT QKVQADCRGEIFELKSTINSMVDQLQQFAREVTKIAREVGTEGRLGGQATVHDVEGTW RDLTENVNGMAMNLTTQVREIAKVTTAVAKGDLTKKIGVEVKGEIAELKNTINLMVDR LGTFAVEVSKVAREVGTDGTLGGQAQVANVEGKWRDLTENVNTMASNLTAQVRSISTV TQAIANGDMSQKIKVEANGEIQVLKETINNMVDRLSSFCYEVQRVAKDVGVDGKMGAQ ADVGGLDGRWKEITTDVNTMASNLTTQVRAFSDITNLATDGDFTKLVDVEASGEMDEL KRKINQMISNLRDSIQRNTQAREAAELANKTKSEFLANMSHEIRTPMNGIIGMTQLTL DTDLTQYQREMLNIVNNLANSLLTIIDDILDLSKIEARRMVIEEIPYTLRGTVFNALK TLAVKANEKFLDLTYMVDSSVPDYVIGDSFRLRQIILNLVGNAIKFTEHGEVSLTIKE SMGQSHVRPGEYAVEFVVQDTGIGIAQDKLDLIFDTFQQADGSMTRKFGGTGLGLSIS KRLVNLMGGDLWVNSQHGKGSEFHFTCLVKLAPDDAALIEQQIRPYRGHQVLFVDKAQ SQNAASIKPMLEKIGLKPVVVDSEKSPALARLHTGGSLPYDAILVDSIDTARRLRAVD DFKYLPIVLLAPVVHVSLKSCLDLGITSYMTTPCKLIDLGNGMIPALENRATPSLADN TKSFEILLAEDNTVNQRLAVKILEKYHHVVTVVGNGWEAVKAVQSKKFDVILMDVQMP IMGGFEATGKIREYERGIGSHRTPIIALTAHAMMGDREKCIQAQMDEYLSKPLQQNHL IQTILKCATLGGPLLEKNRERELALHAETKSKHKEGGQGLLRPTLESRAFTSREPLLG NGKESPAIIAPDEDPMARARLDLSDMRSLTN FPSE_04082 MSSASRRSYNPGRRMGSSRDLGRARAASRAQPIVVEHDEARSFA LRSAYLHYLLQPKAKRKQYVAAPKQPVRTHTSVGALVQEFTSGSSSSFKLPHNFTSAL LERCGGVLRGSERLPGYNDPVVKRSFAEAYTTFSEKNFRKTIDKERKLEPLILMFYTS TTKAAQKGAAPDDDSWKLIPDRHLALFVRLIATILRDQGHDRDKTELMSKLSILEKKL LTNDQNLVGGGASGAGTTIEVVVPLSYDVKDMPLVQQVVKIFGLSLSEAQAEINENKG VWTEEAALKDLKSYQHRLNSDMPGALGSNDFDLDDAFLEWKKAESPHLSQMMLDILTA KPELAKASSGGVDKGFSSRPQSTYSNPGFDSSMGFDPSINLASLDIGDSGIRAVEENL YTFVPQDPRAFFKYILKHAMTFDQINSDPDHDYQPLSKQSMDLLTELCVRWRVPQFSR LITLLEVASRNYLDRELLPEELDAVFDFIKTPLPEVKKPPHVSNYCTPLSEIDPSRWT VHDFAVYQHTLQTLYETLLRDLYDRMEQCYDTKPPQIGVVQFILDNHIFSDPCFSPKP EAAEEFAEHLSQRLHEQAQRMYGQYMEKEISADRNEWDFANVVKLGKTVIKLCERINK RYAKNPEIMGVNPFQILVEEVFPRFEEDAHAIIESILETAQEIGTELDIQDGFELYRE LVAIRRIHSDSLPDRPFAFNIENLLVDFVWRWVRAAENRVEDYVEQAVKQDQFQVRTE NPEDIPRDAERHSVSIIDMFMLFNQTLDTIFNLNWGNDEHHARFMTSLSKAFAAGIGK YCELVDQKFVKEMDRPSAEEMAAQNQTTQEKWMRYAKDAWNNKDRVEPFQFYSESFVK LNNIEYAMQELDKLERAMDPDACALLLEKLDGPKKKTRRPNKYTFTIKVVEAEDLKAC DTNGYSDPYVVFGDEYQKRLHKTRIIQRSLNPRWDETFDITVQGPVNMIATIWDYDTF GDHDYVGRTSLKLDPVHFSDYLPREFWLDLDSQGRLLIRVSMEGERDDIQFHFGKAFR HLKRTERDMVRKVTDKLTSQINHTLSLETLRHLLGTGGIGASVTSLWKKRASTMPTVT PVQIEAALAPLFAYFDENFAIMKQTLTKATMDAVMARLWKEVLMVIENLLVPPLSEKP SQQRPLSRKELDIVYHWLDLLFQFFNARDESGEQLGVPAETLKSPKWHELASLNFFYF EDTNSLIRESERMAAATTERARLALQHQNSQSNRLSAPAALGASLGGAGSFASMGTIR RGKSIMMSRNLGTMRKAKEAKRREAQADPSDDMILRIL FPSE_04083 MGIVSSKPEEGPALYLRDQNRLSISSLVVTNPRKRTSVNVVPNA FPATRVSVQKPLSDTSPIEFDPDTSNPTAPPNFLVKLSNDDELIFSFTFVMRQAQLAQ PTSPSDSAPTSVDTSISGLTYVYASNLKEVENLVTREFHADPNLHRNANVALVGDYST GGSPSVSFEWTWKWKPPKPTEDKGGGWRNSCSFVEYDPRAHRLHTLASFSYFVAGTKP HGNVYASPAHAFSGTPASLSHPSSPSPPFLLASPPKIRVASSQSVDSRMTVPDVIDEP VSPLVPYAEIPLTPGLPYLKEAVKVDVACPKPGEESMVSDDGPVFRATLKALEQKTGN MRTQMKKVLKKAEHAHSCQNEANDAFLSFVDALREAASTNANAVQPALEHYFDKIARE ILSYERQNTYNLQKIIIEPVTKLYQVDIKQAESKKRDFEEESKDYYAYLSRYLGQRQD SVKAKKLAEADSKYQNKRRNFELKRFDYSSFMQDLHGGRKEQEVLSQLTKFADYQTRG FMATAKKIEVLLPQLEALSSEVQEADKEYQYQRREREEKRRLLEKSNTPYKEPDQGST ASNMPISSASNGNTGNTSDSDLGRADSTGSQLNVGSVGIPSGVELSRSPGSLGQSSVG SPVAISKFKGIRDLEERDNVPSVVTHRKEGLLWALNRPGGHVDPRNLNKQGWHKFWIV LDQGKLSEYSNWKQRLDLHMDPIDLRMASVREARNAERRFCFEVITPSFKRVYQATSE EDMNSWIMHINNALQSAMEGRSYQGKPTSSNGDSSMRRDIGSVLTGKTQSLSHGNHHS TSNSGIPSRRITVGARPSAIRTSSSGYDENPDRVLQMVRDNDQGNLWCADCGSGSKVE WVSINLGIILCIECSGIHRSLGTHISKVRSLTLDIKSFTIDIVELLLLIGNRVSNMIW ESKLDQSLKPTGQASREQRLRFITSKYVDRAFVEPISPTLSRYGTADETLLAGIKKNE IQQVLYALALKANPNTVDKMRGTHAVWLALAAADPAAPSPTPSHTASDSEAKAVPFPV AELLVQNGAEIPGTMPAFPLGRYAQLYVEQKRGRTLVGGGSSDAVPSLPANMSPAEKL QREKEARLQKRVSTGGRLARSAIPER FPSE_04084 MAPQPEKSQLKRQRGSLPEDETETKKPRRTERLAVDPDKTPVVN KQHLPSPLTHLTDDSSELNKDSTVTPPEKKETESTPKKSEDNSQSQALSSPPQDTQPL SQFVDRHSAISDDIEDEIREGVWGYLVPLDPKYGDKPIVLKKRSACPLPDTVSDAAKK ENKNNTNDKNGKSAAMRDEETFEKKKEKGAPSGGYLIGRHPECDIVVNDGIVSNRHCL LFTENKGNDTVAIVEDLSSNGTYVNEAIVGRNQRRELEEQDEIAVHGKARFVFRYPQT RQTSAFLQQYTLLEKLGKGHFAEVYLCVEKTTGQRFAVKIFTKHPGVEDRSKTEGLQQ EIGVLMGVSHPNVLCLKDTFNERDRVYLVLELAPEGELFNFIVMKQKLSEDESRKLFV QLFQGIKYLHERNIVHRDIKPENILLVDKNLHVKLADFGLAKIIGEESFTTTLCGTPS YVAPEILADSKQRKYTKAVDVWSLGVVLYICLCGFPPFSDELYSRDFPFTLSQQIKSG RFDYPSPYWDSVGDPALDLIDSMLIVDPEKRYTIDQCLQHPWLTQSSPSVNDSTGGLV GGIASLQVQRRAAARERTLLSSLNTVEVTAQLDAGKDKRPVKVFAKNKGRVTNFSKES DPASRRAPDEFIEMGGKGDQELFPDDDSSIYPTGDNGEKIKASKAQR FPSE_04085 MADTKTAGQPTLVFVDGSFEDLAAEMAEYLKAEDAKQLLSQEKQ PSNEEVLSKLVSASGALNTVPEKEYTAASNLMTHLVLQSSDPKKFLPTLCTTFAKPLV NSPVHGVGLSLNALTTVFNLLEPSNPVRARVFMEILKFLRSHAMFESLRTYLDKLPEW LATWGTDADFQRKIYEEVAEVALEAGEEQQAYEYVVKALRTFDGDAISSEDAQRLSLR AVKKALTSSNHFLFQDLRGISSVQALSDSHPVYSQLLDIFAEQDLEDYNDFNDEHQGW VEKESLDHEKLHRKMRLLTFSSLAASTPSREIEYSKITKALQIPEDEIEMWAIDVIRA GLVEGKLSQQRQKFLVHKVTYRVFGQKQYQELANRVDHWRTTLQNVLGVVRQEQANAK SQKEREIQELERKVANVGMGGTQDRRRQNQNREPREPREPREPREPKERTEDDD FPSE_04086 MPSTPRFKRQRDPRTPKSQKMRLPKGRYSDGNWWCNCEPRQKAT LREVKKTGPNNGKLYWKCDDCNFFIWRDEAQMRETGLRTPRKGDESARSAPPTMTQQS LVTYGYQVTPSRRQSDEDSVYSAESVEDSSPDTPMPKSARNRTQASSPPKSSAVPSVI VETPSRGPAKRRRGIFEEDEGEFSDLGSDEERQMADIADKSAEKAAQQRYTTPSASRS TDAISGLPTPSVSRTLFPTSESKRQKQVSFDETPSRHTGTMSSATVSANTTPSKTPSR QQGLTPSSPFETDYDVTDEVMGLLRGKKVDSKTLSSVQNILTTAARRTRGIMLGRDTA RASLKAKDEKIATLQERIVELENREKMHRKQMTDIKANLMKMYDDN FPSE_04087 MPVIPQDAPESAGQIPAPEKSTPTFNPEDVTVIFVLGGPGAGKG TQCSKLVSEHGFTHLSAGDLLRAEQERPGSQYGDLIKDYIRNGLIVPMEVTIALLENA MSAVLKETGSQKGRFLIDGFPRKMDQAVKFEETVCPAKLVLFFDCPEAVMESRLLERG KTSGREDDNAESIRKRFRTFIETSMPVVDRFEEVGKVLKLDATPEPDEVYANTEKALA ERLGPSF FPSE_04088 MMFPSSPERRERPSRAAAPTKNMKEPDSEDDDLPIKPPISALEG VLRADNKNSSVGFSPESITVISAKSIASSMPSSMETLNRSSSPKKRNSPEAESESPTK KSRMIKIKTNKSTQAMTPAPSQASVTPQPAFSSIPEIEAMHKCIRSLESRIKQDAADR NEDQKSIASIKQALQEAQAAKTGEKDFTHPTDDQGLRKENEELVEETQKLKRRISEQN HFIATLRQDRIAPSSFKLMDCDVETEWKGIAFDIRNFVSQVLTKQPYRDSAPRGANMR DVEVLKKLQKKNIHTAPFHFQRYIWKHLVDDIFQAGKATWGGPAGNSFHRYCLEISEI DFEDMTQLSTFKSSQADAMSKCSDEHNRGEAKSIALEMALTLKIFMNPEEVKPKTSPQ KRLMSIVHKAVELNDKFLRSRAFYLTNWLQSDWDWDDVEIRYYTGETSGVKNVDVEIS PRLRKIGNADGRNFDQAIELCQPMVTVHLS FPSE_04089 MAEGLIGWVMEMTAWVAPFFIVMSPILSYADQIVSMYRAKTSAG FSLDIPLIMLVASFLRIFYWPQAQYDTSLLLQSLLMVVVQVALLKVALDFRPPPPTKG GDAGLPFASADDSLMGFQRPYNFWQWRSPRRYWQAIMYFAAALVVLELLLSQMPGLYA VYANAIGCIGLGVEATLPIPQILINARTKSSKGLRLSVLAAWIGGDTMKLFWFFTSKS EIPWSFKISGMFQASCDFFLGFQYLIYNTNTAEESQIKEHPMVEWEAPKATTRSHSRS LTPTRRPAPFVVAEEVK FPSE_04090 MAPASNAKANYKTYEAQARMVRAIVAAHPDVKWNYKEIAACYGS DMTDHALNHRFRKVRAATNIISIARAQGYDMKDMSTDENLLPSTQQGIDKNNIAKYFG QSTTDGIQFQFRTIKKDAEALRQTANNGGDVAGCLNLGTGAGPNTPSKPTPARSQVSR SGKGSKRKSSLPVHPIKRSDSDEEDEDDMNFDELDYTPSKRTKTTGRGVTPRTAGRKA AATIAESSTQYPDSESPNEDAPTPTPAAAGQYTYAGHGGHASHAGHPAASAATFAPVP AVSIFGNVERKPSMPIPAPTVTATPDSLSIFTSGRSEFPGAFPDDSNVLEPPPDDEHY FDWGNGEI FPSE_04091 MDKITDRIAALPADGTYFSLEFFPPKTAMGFSNLRDRLHRMERA LRPLFVNVTWGAGGSTSQKSLELAELCQREVGLTTCLHLTCTNMSKKLIDEALSDAKA LGIRNILALRGDPPRREEYRDAEDSEDDGGQDFNWAIDLVRYIRKTHGDYFCIGVAAY PEGHAEESHPLGQSVEHDLPYLVQKVQAGADFIQTQLFFDIEAYEHFETTLREHPSGA FNSIPIIPGLMPIQSYQMIKRTTKLSHAKIPDHLLERLDAVKGDDERVKMVGVDIVNE LIDKIKDIKSKTPGPKGFHFYTLNLEKAVSFILERANLIPDPPENEEAVVAVDELAIP ALQINGNMRSSSHSRSRQSRRHSSVASDPHNYVIVGDRPAVYPEWEATGLEAGGRAEA VNTRANTLAISEGEGALGREATWDDFPNGRFGDARSPAYGEIDGYGVSLHMSVTQAVK LWDYPKTRQDINDLFVKHIQGELSAIPWSEEELRAESSTIKPHLLQLNGKGWWTVASQ PAVNGLRSSDATFGWGPPNGFVFQKSFVEFFIPANEWEILKAKLVSSELQDYVCFYAS NARGDYVSSDIGGHVDGSTEASPSTNAVTWGVFPGKEIITPTIIEEVSFRAWCEEAFG IWGEWAKVYGRGSESEKLLNGIKDDYWLVNLIHHDYVNRDALWEVLSS FPSE_04092 MPLLQSRLIGCAAARRALSNPSLHNSIRRPIIAQTAIATLSRRC YAIDLTSIDDKWRAKWKKSNGTVKPFDKLADNKDNYYVLPMFPYPSGTLHLGHLRVYS IADVVARYRSLKGDKVMLPMGWDAFGLPAENAAIERNVAPEKWTMSNMAKMKEQLELM NGSWDWSRELSTCDPSFYKHTQKLFLMLHERGLAYQAEAEVNWDPVDKTVLANEQVDS NGCSWRSGVKVEKRNLKQWFFRISEFREALLEELEALAKENAWPERVLAQQKNWLGKS TGASIKFPVLAMGHDIRAAIEVFTTRPDTLFGVQYVALAASHPTVAKLADSDPELQAF IDTIPGLPADSKVGYLLPHLRAINPLAYHEETPDATKVSLPVYVAPYVLGDYGEGAVM GVPGHDLRDNAFWKEHHYDAPVRQVLSASEDDSTTAMENEPFVEHGVMTEHSGSFKGK HSVAAGEEIVKLLEKVELAESVEKWRLRDWLISRQRYWGTPIPIIHCDTCGPVPVPDE QLPVKLPNVDWAETRSGSPLESSSEFVNTTCPKCNSAARRDTDTMDTFVDSSWYYARF SDPHNQDQPFSPEAGKTLPVDTYIGGIEHAILHLLYARFIFKFLASTELLPQYTEETY KSAEPFKRLITQGMVHGKTYIDPDSGRFLKPDEVDLTDPNKPKVVASGKSANIAYEKM SKSKYNGVDPSEFISKYGADATRAHMLFQAPVGDILNWDEGKITGVTRWLQRLYDQIT AIAVEPYKKTMPARDYLAAKHESLGSASAKELAQWDTEAAIWRDVQRTIASVTASYDE VYSLNTVVSDLMILTNTLADNIKASPVVKREAAQTLISMMAPITPAFAEECWALLHPS SPSIFESTNFPKVDTSLTELVRPRVQPCAVQINGRVRGVVSIPPPPAELAGDELRDWM VKEILQTEEGAARFSGGQYDLRAAKRAIAVKGGKTINFVLK FPSE_04093 MTVSFLNFLSGLFGWVYFFAWSASFYPQPLLNWRRRSTTGTTVD FPLINVLGFAAYFSSNVAFYYSPEIRAQYAARHKGLESTVQFNDITFALHALFLSIIT TSQYLSPALWGFTPNAGNRPSRFILGVAAGCITGVLCTCAIVSASPGNDAVYDWVALD IVYAVGYVKLIVTLIKYTPQIVANYRNQSTEGWSIFQILLDLTGGVLSVSQQAIDSYQ QRDWSGITGNPVKFSLGNISMIYDSVFIVQHYVLYRDAESTQSSERENESLLQDEERS AERSG FPSE_04094 MAAAVQQQQQQQQQQQQQQQQQQQEPHKTGFLDLPVEIRLEIYD QLLITAPYTRCGRPCPEQKVHASLLRTNHQIHDEAVDLLYGHNTFLSHPTLLTSFPRL RNWYGPVKESSIIPSIRRFHTQVRLDVDLPYDADTVTKAYSGLDELTIDVVQSMFLGV GYRNLRMFEGVRGVKKVTITGSTTGFEDYVEWLKVVMMTTPGDEVPEFVPQQQAGWIQ RLATVHY FPSE_04095 MSKLAVATEAVKEAVVGTHEPEQLAAHTKARFTQYAAKDSETGE LYLGPEEFINAVAPTGEDYHKISRDQYSILFSVADVNGKGRVSLGDWGVFEHLLSKPD AEYQIAFRLFDVERTGAVKYDDFRTLYEMNKGPDNLTFDWESDWAKIYIGNKKHRHPL DYPQFCQMLRGLQGERIRQAFHQLDKDGDGFISGEEFERIIVETARHKLSEHILDNLH TLVNLSIGSKISYANVRAFQNVIGGMDLVEIILRRAIDQSKDGKITRPEFLNEAAKLT RFSLFTPMETDILFHFAGLDEPSGRLGLSDFAKVLDPSWRNPIYDAVEATKAKVADGG IMMTVLTSGYNFALGSVAGAFGAFMVYPIDLVKTRLQNQRGAQPGQRLYKNSIDCFQK VIRNEGFRGLYSGVLPQLVGVAPEKAIKLTVNDIARKAFTDKNGNITLWSEMVSGGSA GACQVVFTNPLEIVKIRLQVQGEVAKTVEGTPKRSAMWIVRNLGLVGLYKGASACLLR DVPFSAIYFPTYSHLKKDFFGESPTHKLGVLQLLTAGAIAGMPAAYLTTPCDVIKTRL QVEARKGEATYNGLRHAAKTIWKEEGLTAFFKGGPARIFRSSPQFGFTLAAYEVLQTV LPMPGTQKEKIPTGVSDALSTAKGSLDTSPYGRSRNALKVILDLDEDFGKVKLPNEKG WRSLPKIMGGGGQ FPSE_04096 MDAKPFRPRGAENAPHLQQQHKSTGNLANMAKSTTAVGFRGPAK RAAFGDVTNVSKQIGHTRDDGKSIKVYATNGVNHGLTGVPVNKENGAYGKEAFSRPAQ RIANQASTRTAFENKNFDANKKTYVRKDGHVATKPPVPSSVQNAPSLQPRHHKSQPQL KPQQQQASLRRTQSKQLDKVVPKPDADTGHKATNPAGFQLQETEYPYDHAAYLDSMYL PIETGAPLDVLQKEVYPELPAKLPEISEDDAAIHSFEEGHMPAMSEPEECWEEDEDED YDDQDQAYTTAHSVRSRDMTAGGATEIDPLPRVTARVQRELEDAREEVERTRTTDEIE EEMWDVSMVAEYGDEIFEYMRELEIKMLPNPHYMDDQTEIQWSMRSVLMDWLVQVHNR FGLLPETLFLTVNYIDRFLSQKIVSIGKLQLVGATAILVASKYEEINCPSLGEIVYMV DNGYTAEEVLKAERFMLSMLSFELGWPGPMSFLRRVSKADDYDLDTRTLAKYFLELTI MDERFVASPPSFLAAGAHCLSRLILKKGDWTKQHVYYSGYTWGQLKSLVTMMIECCDR PHLHHAAVFDKYTDRRYKEASLLVQSALDAGFTLPHQVAPVRQELDAYREDSAELPIA QPQLIPIEG FPSE_04097 MASMSPLNTTFDPSGASSNGGSVSPQQTATPKNVAFELLFPESP QCRARLPMRVQIYPHDTTDSIVTTVKNFYGLYSGPTGSKGVSFEDRGGNTLIARYENF QDRMVVYVRVIEEPPANPSAYAPHPYHNPSVTNHPYYSDNGYPAPQASRCAQEMSRPS SPSSRRRSPSPHTARGRRSASASTNGKKGRSRSSKTRASASRSHNELYSDSITGYSSN DEHDSTSGKNKDQLPTTDISVENIVEGGRRKRAKFESSELPLFAPPQMPAATSNPSVS PARRVEPHRPAVPYVQPHQNPFTNPPRPMQSPQGYSNAMTNSNLYQTPAPDARRNRNS GGYTSSAMGVIPTPDPTVGSCVSEEDKDVAIQLMRLCDMSNISHGRTSASTVDDTFSG IADAASSTGATSDGDSESEAELPPSRRQKLDGSGAVGKVYQTTESHFMPPPESAEVSG DDADYEDGADDSLLSNGKSKPAKTMKAPSKHKGSSSTSKTSSNKASKAARPVNGSKGK KLPPVPSGPMSPASMPPSRKQSVASTGQTPLVPSENDQPDLSTKPRCQRCRKSKKGCD RQRPCGRCRDAGLNADQCISEDEGNGRKGRYGRHMGVPIKKDEVPAVAQPALLPAAPI ASAATTMDKSKKRKR FPSE_04098 MFSKDTKKTPDNRSSSPYLSENDPEDPQILNPTISNTNAPPPVF DPDTFNPPDGGFRAWSQVFAVILINALAWGYPASFGVYQLHYEESLGLPSSQISWIGS CQTFLTFAFCAPAGRLADAGYTRETVALGSFLAILGTFMTSLCKQYWQIFLAQGVCTG IGLALLFMPAVTVLGSYFKAKKAFALALGATGTGLGSIIFPATLQYLIPQIGFPWAVR CAAFVALFMIVVANIMLKPYLPPRKTGPLMEWDAFKELPFVLFVLGAFLNFYALYFGF FYINVYARNVIGFSSVEAVSLLLIVNAMSIPARPIMGYLADNVLGPINTFIIGLFALS IMLYCWIGVKTAAGMYVFCVFFGFANGACQGTFVGANASLTKDPRKMGTRFGMVQTIS AFASLAGPPTAGAILDTSNGNFTYAQIWGGTVFFFATVVVTGSRIASTGWVLLKKK FPSE_04099 MADIAGDVSLGLTYASHQYGDHNRQKLGVWRFSNRSDQKSGHWV VFIHGGGWRDPRNNENDFTESIKRIVISGAVATLDIAGFISIDYRLSPHPEFPQEAAS AKHPDHLQDVWSALNYAQEKYGLSENYILVGHSAGATLAMQLLMSDEILPSHPKGPLP TAIIGVSGIYDLVGLNERFNGGYAGFIGSAFGEDKSEWEKASPAKFGSSFRSKWSNGK LVLLAWSPEDGLIDEPEIDNMVTILTKQEVNLEVNKDLRGEHDYVWQDGSQIARLVIT TLHHLRRI FPSE_04100 MATNENIDLDEENGIALVATAITLLALSWISVGLRTYTRVWLMK GQQVDDWLMLIAQIIFTVSCSFILEGVEKGIGKHNDAFQSDETKVRALMWQALATATY ILDMMFIKLSIGVFLLRLSVKKVYNWIIWVSLAIITIWSLVLWFWNLFQCNPVEMQWD FRIKDGTCVSADQIVSAAYAISVMTVLSDWLYALLPIPMLWSVKMTKQAKATVIVILG LGIFASVATLVRLRFLADLTDTEDILFAGTDAMVWTLIEPGVAIIASSLATIRPLLRT MKIRGFESTDHTYGTGHSGVVKTNPNRHNAGVTVMPGFGPDDVSLNSVSPHSHGRPYP DDTRILPPRRLTNIPLSPGQVSLAKSEILVLQSNTSPPQTPPETQMYRSPSGSFDQIH DLEAQSQDLERNNVRGKRR FPSE_04101 MNRGRASTRGTRRGAAAAGSRATDESSAGPSNTQATSSSATPAP QSTSSTPTRGGDTTRATRAPAAGRFRPKNVRRDEAERDTLARQEEQKASERAADERKA RGRSRFRSKRSRGDAMGRGGFGRVISGASGPFSSGAGGSGGRFGGGGGGSFGGGGSAG GGRGFKSDSKTGFAQATRNREARINADKLNIHTPDEELDSEDEAMMEALNSKAVSVLP IGIYRREHKDEGVIVATTAELEAAENAQAVLPPQSKEVEESLWVDGDPGEIQPMAENR EDGGIWDNDSKPTVIKKEQDDSMDLDLESKVDTEAESKDTSATPVPQTKKPAQESEEA MIRSDLEILANELGAVEISDDADGEGEEEKTQAPSNKDGRMYLFQFPPVLPPLKQIAQ PKPSKIKPEPTEGEDNVLESTPAATDAAPVDLTNDSNNTGFNIPGFEDPEQKAGFMSS LLSSGGMVGQLKVRKSGRVEMDWGGSTMELSPAVGMNFLTTAVIVEENDEKPRPGVIG GESIGMGKIMGRFVLAPKWDEEEEWEVSSEDLRI FPSE_04102 MESPFPPSSSSPGDGRIVVGLLPAGESGLEAMTYQYPLKLISPA PSSNQPSTLVFLLSYGGGLVAGDGINLTVHVKPEAKLSIATQGHTKIFKSPTADVITS QNLEVTIDNNASMCLLPDPVQPFEDSVYAQKQIFKLQQQASLCLLDWVTQGRVSRGEN WSFRKWSGRNEIWLQDPEMTSADRLLVRDSIVLSREASKSVGRTLPEMMQHMAITGTL ILRGTKTKSLGEFFQTEFAALPRIGARDFRSPEAMAQDKTNTSDLDRWRLQRLDNEKE GGVLWSAANVRGCVVVKFGAKTVEAGREWIGSMLVQEGSIASEFGDKALMCLR FPSE_04103 MDSNSSPAPDPDATTRRRSGRVVRAPSKFTPEPASQPTHPKRKR DDQDDEDDDGEEEEAAAENEAPDSDEEMSDEPDNDSDEDHPAPRSRKKASQTSRAKKP SAKKPKINGSKPLRPARVTHTAKSLPSRPKKSVRIEDAAVKGTGLYSDLFGSGDSSKS VAEEWLNKYNDDGAAAFTDLVNCILQCAGCGQLITEDDVRDAENIAGRLADLQSVYQE QEITDYPLISRTKQSRSFRDVLITFFENLIVNMHQNNLMYRDEFLIENLHAWLASMSS SSLRPFRHTATTISLAVQSALVEVANVLDTRLGRAGKARNSKVKMTAAMQRDVEKSTS NRKVCSELIQSFFDTVFVHRYRDVDPRIRTECVEALGNWIISLPALFLDPGYLRYLGW MLSDTHAPTRHEVLRHLAKVFRRDADTLGHFIDRFRPRLVEMACKDADVSVRVAAIAV IDVLRDKDMLEGEEVDAIGKLIFDNELRIRKAVVGFFVACTKDAINEKVKSLGDSDIM EEIPKGKKVSLDVPRAEWVDLKCLAETLAIYDSQIEEEQSNQVLGLDAAVDLLEAAVP ETRISLASQVLFEKVNEIKQWTLLAGYLLFDHTTSSKARSKGSNPELAFKKAVAPTPA EEAILLDVLSSAVKSSLTHSGDHDRSRKKHQAPKDAEDVSISPEDTATELVTVIPHLL SKFGAEPGTAAIVLRLAHFPDIEVFKQLRQNTNKYEKLLDGIVTQFNRHDDKRVLSEA AAALLHSRRYDELEEVTDSKISSLWESTVTALHNFDKTYELSSRGNLEESPLKELSTV LLKISKLASLSNCVDILEAEGDSTESTTTPVIQILANIVHRGKYEPLDDQDIDDLEDE VVSYAIKTCQFYFMWKALAYNTLLSSGLNIADAELDRLSVLRQTFRRHLIETLSSRAA IDQLRLFATGSLCDLHLTFATLRPTIERVRPASSPGSPSTEGEKYKALIQEIESGLIP ELIAIFDGAEKLYAKKIKKDKLLNEPAEDEDPIADDEEEEEDDEDESLSEEERLAAEL KAEKALCELTAKYVLVITARLVDNKGTHAGKLRRRLLRNQNKLGHNFKEVVTYLDEEK LAERTRKKAASTHPTTSKSQKPQLSEALVVEDDDIFDSTPPPEEGSREDLRQKGLLED DPIEDDDEDEEAERPAHESDNEVIGD FPSE_04104 MSTRISVYEASKIPKPPGEASCAVYPGASTKDTSPNGQENTFVS RMYHTINRDRVPAQEEYEARVTASANVKKKFSLLQDVKEGQFYDIVAQIVRSPYDSGD RITLWVSDYTENSWFFNYSIGAGDASLGRDGDPYGYTDKFTTAAKTTDWPGPYGKRSM QITCWEPHASAIRDQGIDIGSWVDARNIQIKTGHNGNNLEGFLREDRDARGSNFSVQK VDMTVGPDCVNPHAKAALQRKREYERLRKEQLKDIREASKAGEKRKKGMEANKETKKE NSKARRKAKRKREATRQDQDDDQAEEDTTQIEDLNTRVKCENQDKATSRLSEITSIVQ HETTIEGESVKVPLPFVDLNYRANVRVVNFSPSNLADFVYPKKESEYDDILSDVDDND DSEDDDERLSQMPMDTFARVRNWEWRFFLELEDAVDNRKQKKQRLWVLVDNQAAQCLT GLDASNLRQDKELLSTLRDKMFTLWGNLEEKKTATEKAVRTGKPPADSDDENEQQTGG NESKTQLTNRSFSCCIQQYGIKVTEPDPTKAREGDGKRWQRMFRLFGTMITGG FPSE_04105 MAERYIPEHRRTQFKAKNTFKPEELRRRREEQQVEIRKAKREEN LAKRRGIGTGENRPGASLGAAPDSDDESAPTESQLNEDLPQMVQGVFSDQIDSQIQAT TKFRKLLSKERNPPIEEVIKTGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSATQTQ VVIEAGAVPIFVELLASPEPDVREQAVWALGNIAGDSPHCRDYVLSCGALKPLLNLLG DSRKLSMLRNATWTLSNFCRGKTPQPDWTTIAPALPVLSKLVYSLDDEVLIDACWAIS YLSDGSNDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIINC GALPCLLSLLSSTKDGIRKEACWTISNITAGNSSQIQAVIDANIVPPLIHLLQNGDLK TRKEACWAISNATSGGLQKPEQIRYLVAQGCIKPLCDLLGCPDNKIIQVALDGLENIL KIGDLDKQAAGESGDSINRYALFIEECGGMEKIHDCQNNANEEIYMKAYNIIEKYFSD DEENADDGMAQQPAGPNGTFGFGTNGAAPAGGFDFAKGNDAMDM FPSE_04106 MVKKGKGKASGGIDLSDPMPSGSSKDQAKGKKGQKAGTSTPEAA PDPGPPKPTVKQLIGGSSWTGKLPVNLLSEYCQKQRWERPDYDTRKTPEGFSVWVTMS AKDPKMPQQIIRLDPFKIPATHKHLITRPTAIEAKHAAATYALFRVCSMQNKHTVLPP EHKSLWKDFQTLKTQDVKEGKSWMYEADPFKALQERQEAKAAADKKRKEIEAAKAKAA AMPGAAGLVLMSNAGKGASNIMKGWSTAPKVEMGKKTRAQLETLLRTGVKWNPNDVHM SKPQKEAIVAEFSKLSFRKSHVEEAVEYCKDREETLEWLLIHVPEDDLPRWALPESYA AGVSVGATNLRREGIIKRLAQAGYSLELATRVLDEQGIDEDKAAETLQDLLFPIESKN SDDADFLDLGTPEEQWEEEVGSLEAMYGDGFEREGDNVIRIKLDSVKNGQQLVDTSLQ IRRPATYPANLILSIVANIPSYIKLGIVRKALEYIEESLSDEPMKIYLAMDWVQQNIN NIIEDPGKLVDISAVSSAAAEYKPVAAITQKKRPARVPKMIKWVKDEKNREQWLRRQG STSLKDMISKRQKLPAWQMREAIIGTVRSNHVTIISGETGSGKSTQSMQFILDDLCAQ GLGGCANMIVTQPRRISALGLADRVAEERCSRVGEEVGYAIRGESKRSKDTRITFVTT GVLLRRLQTSGGRVEDVVASLADVSHVVIDEVHERSLDTDFLLNLIREVMKSKKDMLK LILMSATLDAATFKNYFASEGLSVGTVEIEGRTFPVDEYYLDDVIRMTAYGVETSDTE YISGDALGKVIQKLGHRINYNLLVETVKAIDFELSYEKKSGGILIFLPGVGEINQACR ALKAISSLHVLPLHASLETREQKRVFSSPPPGKRKIVVATNVAETSITIDDIVAVIDS GKVKETSFDVQNNMRKLEETWASRAACKQRRGRAGRVQEGRCYKLFTQKLEEQMPERP EPEIRRVPLEQLCLSVRAMGMKDVAGFLGRSPTPPDATAIDGAMKLLRRMGALDGDEL TAMGQQLAMLPADLRCGKLMVFGAIFGCLGDCVTIAAILSTRSPFLSPQERRDEAKEA RMNFYSGDGDLLTDLQAFQEWDSMMQDRLPQRQVRSWCEENFLNFQTLSDISNTRAQY YAALAEIGIVAPSEASSEAHARETSSDGSQLLRALVAAAFTPQIARIQYPDKKFASSM SGAVELDPEAKSIKFFNQENGRVFVHPSSTLFGSQGFSGNAAYMAYFSLISTSKIFIR DLTPFNAYTLLLFSGPIELDTLGRGLLVDGWLRLRGWARIGVLLARLRSMVDELIAKK VENPEMSVKDDEVITLVRKMIELDGLDA FPSE_04107 MWIVLRHRLHGSINDIRQTLTNMALDSRLMPSAASSSSSFCEGR VHSSASTPSLRSRDVALSMPAKMDGGGNVKVVVRVRAFLKRELERQAKCLVEMDPITQ LTTLSSPDEPDAEAAKLKTRKVIEEKKFTFDNSFWSHDTNDEHYATQEDVYNSLGEDF LDHNFEGYHTCIFAYGQTGSGKSYTMMGTPDHPGLIPRTCEDLFERIDAAHSENSNVA YNVRVSYFEVYNEHVRDLLVPPQTQKAPNYLKIRESPTEGPYVKDLTEVPVRNINEIL RYMKLGDTSRTVASTKMNDTSSRSHAVFTIMLKQIHHDMETDETTERSSRIRLVDLAG SERAKATEATGARLREGSNINKSLTTLGRVIAALADPKSSRAGKRRDVVPYRDSILTW LLKDSLGGNSKTAMIACIAPSDYDETLSTLRYADQAKRIRTRAVVNQDHISTAERDAQ IAAMAEEIRILQLSVSDSRQREKNAKEAEEKLEEYQTHVNSMQRMMEERSMVAESKIK KLQTENEALKLHLKLAIESLKNPIPIVPVKTEGELDELDKENASEYGEDEDDYDSEST AYGDEDELQEEMHRYLQDMGNLRKLMTADLTRFKTENGARMPLGTKEF FPSE_04108 MARTKEDVASKGDSSPKIPKSSAGVTKSTAEPPVKRGRGRPPKG AAPMPKKVYVPTGRPRGRPPGGGKKKTAPAPKKVAAVNDDGTPKRGRGRPRRAAAAAA TEDEEPAATTPKEPKKRGRKAKTASPADASADEDKDDDAADNVSDKEASAADSSDKDE EDDDEE FPSE_04109 MSHQDSEPEEAITKEEIRGEEPRGKANHNAFTELMTPKRKTPPS TVAARPARSGNPFRDRMGLGAYLEDPASYPSSRVIYHNDDFVAINDRYPKATIHTLLL PRSSKHNLLHPFDALDDPEFLASVRAETQRLKTLVVKELQRSLGAHSHSDAHRQAVLE GDAEPDKSGELPGGRDWTTEVKAGVHAVPSMKHLHVHVLSRDMFSEALRHRKHYNSFN TPFLVDLDDFPLPADDARRHTKEEAYLRWDMKCWRCERNFGNQFQKLKGHLAEEYEAW KKL FPSE_04110 MSSRLPLFTRNLPEAQESNDPNSPAEQRDDAKRNFLKTMRPLPT QHYWNVYFDRQAKEGETGDQYHSGLEQLGTQIESVQDFWRYANNTPVGNIGVRESLYL FKSGFRPVWEDRRNILGGSWTFRFPKSMGPDVWTRVQLLAIGEKLQSVLDEEDQLCGV GLSVRFNSHLITVWHRDASKKNSVENIVKCIMEDLPPEMHPKPDAYYYKRHSDHAGFN PSPELKAVLDSRRREEEKLAAAAKDSAPKPSVAIDSPQ FPSE_04111 MVQYVFTPWRDRYELLLVREQMYTGITTNVRDPKQKLGQDASGS SEDMQIRQDIDDKETRARQHQAVARVSMWMQRGNCPHLIESTALLMAAMLSDREAATR ENAASSAYAIRATYSAAFSRFVTGLLDSHQDKQRKQSMYSVADTIGLPATFVELRHQC THEQLPSLAKLRTAARKALLWIWDYHWKQLDEDSSDPCRMTILRYLKEGDETKLGAIL DDFERWPKERLLKTIQELTQQLKGAEEDKKATKPGAMDTTMKDHEVDTVNVVEEEEDD DFAHSTFSSIDTSTCNSTSASDSSPMYRLAASRPFLKQKIGYLSTAGRTDSEGCHSSG QDQLDSYCQHPPLAELGTPKLDIIGGKDCEGVTKTPVHGETFKLGDITFKGVHTPCHT QDSICFFAQDGNDKAVFTGDTLFIGGCGRFFEGDAKEMHEALNERLAALPDDTVVYPG HEYTKANVQFAASVSQREAVQSLHSFAENNKVTTGKFTIGDEKEHNVFMRVEDPEIQK QTGETEPVAVMAKLREMKNNFNPVDRLISPPKRKTPAASGSAAPKTRQSKLAKEHNVT PQEEGEIREAFSLFAEPMDGEKYGVLPIDDVKSALIALGVPPSSHAELKEFVSILDPD NDGYATFEPFFAICALKFHTREHDSDAHRAEVEEAFRLFTNGQDGPITLGHLRRVAAV LKEDVDEELLKDMILEANGGVGVARGVGAEEFDRVMKSAGVWR FPSE_04112 MMHPLFGVQPAGSLPVTYPHEVMLDDYTQQTACSQTSRRLSRSS NGQRSGGSAMRVAKPSSVNNSPRSSSLMSRRKTLMNDGNSQRRQQQIIEQLSSFCDMQ SQQPCQRSSRPVSWHPSSYGQTSTQQHMPALAPNYSPVGQQDLYGNYSHYSPMMSSTS CGTSPLAFSHLPLPYQSADNMAYNPYQGACMSQHSPAGSLAMDARSMPATSAPVESTY GNGWDWNSFIMHGFGSTTPPTPEALPQSQLSQPAVSEDIQYQALDDASEEEGEILVGM GLYDAPEKFNEDPQLNNYRSTVSSLLGSSFRSYEPSGKGLKLEETWEPPKSDDEDEDD DEEEEEEENKDDGE FPSE_04113 MVKQLTTNTVYRQRQATGQPRVVPFFPSEDAKLRATSLNIRRGG NCPNSLQVLEQLLTEHDGLQLHLVSPLPNASSSATRRVVSSFGPQSKIDFGHCLYRHE STEAASSYIIRSEASGSRTLVNYNDLPEMTEDEFGNIATSFGTDQETWWHFEGRIPET VQNCIRLLRNLLPKATISVEVEKPGREGLSELAAEADVVFYSRSWAESRGHSTPEECL KAEGHHKASLAVCTWGEEGAAGLPRSTGETLRCRCPVQSKSGGDISVVDAVGAGDTFI AGMLYGLICHPGDWSTGTKLSFAVQLATLKVQREGFDGLGVDMLGTD FPSE_04114 MGDHSEKHIHFQDGDYGSDGYSDDDGKAVEVVFDPGNPYRRKSS MVASEIKAPVMRHPNRRDECLVHQFLDSQRRAKDAACSASDLDSETSMGNGSSYISSR PDPSQASLHKAFNGDSLETARNEGHDSIADPKAKKCRAVVEPGSMDHSGQADQQAWTQ QMTKSMSEFDLGSYQEDVRSRLLTKQQLSDMAWGVRELSRRLSSMRLRFKVKSIFILT KIYDQDLIPKTRELVKWLLNHNHEVAYTVYVQDKLKTNKKFDVSGIIDEVSKGYVQKD GANEQTVKETLSKRLRYWDENMCRTRPHTFDFVISLGGDGTVLYASWLFQRIVPPVLS FALGSLGFLTKFDFEEYQETLTTAFTKGVTVSLRLRFEGTVMRSQPRKKAQLEKGSDE DEEQPRDLVEELIGEEREDEHTHRPDGTFEILNEVVVDRGPNPTLSTTEIFGDDEHFT SVLADGICVSTPTGSTAYNLAAGGSLCHPENPVMLVTSICAHTLSFRPIILPDTIVLR VGVPYGARTSSWASFDGRERVELKPGDYVTISASRFPFASVQAEGRRSEDWVNSISGK LGWNTRQKQKSYKEWEK FPSE_04115 MAAETASSLPPDLFDQTTIISLLSTLAIVFTAYVASLKFLPSSS SGTLRFLFIWHLADALCHFLLEGSFLYHCFFSHLPLLNDVKTELFPTPAGFLGYSDRV YGAQSGGDNPFAMLWMVYAKADKRWAGVDLGVVSLELLTVFFDGPLAVYVCYCLARKD PKVSIWMIILATCELYGGFMTFCPEWLVGNPNLDTSNFMYLWVYLVFFNTLWVWIPLW VIWYSVKDISNALSVRQRKKNL FPSE_04116 MDTIKNTVNQATEKVQEGISGTSKEANKEVAKDSNAGVGTRASA AKDALSDKADESAHGAKGEAYKQNP FPSE_04117 MHHQGVGFRPESNFNGRKSAGRKVQGLSRDSAGASMCKREFDHA QLENHNRAPKTAGVVYRQSKKEGTIGQNGKEKKERRMFGLEHGDRREEGEKALHDRAG YHTRQGPTTATAIATIKAGAST FPSE_04118 MDNGDTNMDTTGFQFQANSTSKWDNELKFAAAGSVRTSIIVLAV FNLVVAFAVTLVILLRSWRTLKHTESLSFKSTWFRLVKGRDIYPFVLSIGIVTQGIVY ATAQAKGLESLMILECHMPDTANTLAAFFVAPFIQLIFGLELTIRITKPNIFPFRGRF NTVACLASVGTLLLIVFSITFAIRPSEFCFASLVSFLHRYDTGIFASLLTVIILVIVE CGIICFKLHTGARMDITERDEASRMVYYMIIAVISYTLQITFYYNTAFHDPGAAGDAS MQLSIIGTVVMNMSGFLLGCLYLFLRSSKSPTGCSDDDLEAPPNFKKWADDQDQEPAT PLSAMPGMAPKSSSRENLMADDRVEDKVFGARKDFLKGGLKPLRLGSMRNSGDLPTAP KPVRTSSKESIKNFKRSMYSIFPKPEAPKSPILLPTTYYKGPAAKAAPEPPSLADLLA PPALRLPDRRLRSSGASALSTATVQIGLRLSNIGGDMSPTKPEPKQDTSRVEELDCPN STVRFFPRKTSPLAIAIVDVPGEGTRYQIQDNFENDLSEKELPPVPLSAGMATPPETT LSSAVYSPQEPTPGPSSHKPNKPSVSSRAHSRQGSTMGDISRLHSRHGSRAEVDTGRG PWI FPSE_04119 MADDSTPLASLSVTHVYYDPEDHLSLVCAYLALLPQALCVVYAT LVLFTREVEVGLMFLGQLACEVLNFALKRLIKEERPRRIHGKGYGMPSSHAQFVAFWS VSLALFLLVRHKPPRVLKSRADSGVHRPWSVIERMAVSMAGMAIAAATAWSRVYLNYH TPKQVVVGCAAGAVSAIGWFIIVAIVRQTGWLGWALETPLARAFRIRDLVVEEDMCQA GWEKWEDRRVASRTTKNR FPSE_04120 MDESDDPRFMELGSLEAIYPEIRRLDAHDPFTFEIDLPVEPAAP VTVTFPAASAPAHTGLATPTQAVENAQPEVDSLEVSYLPSLRLRVRLPEGYPVDVAPE VHVSTTPQWLTEETIKRLQDDGPRLWDEIGRDMVAYTYIDHIQRAAEDVFGTISPEGT LQVDPEHKLAVLDHDIKAKKAAFEKETFECGVCLDPKKGTKCHKMLDCGHIFCIQCLK DFYNDAINEGNLSTVRCLSPNCAKERATAQQAKKSKVAVSPSELLQIGLSEEMVKRYV TLKYKTELESDKNTIYCPRQWCNGAARSKRHKKPEGLDFAETSDAESGKEEEEKAEGD SNTRTRKKKKSNKDRFNKADLLAICEDCGFAFCGQCYQSWHGEFVRCAPRRDKEEVSE EEKASLEYLQLHTSPCPTCNAPAQKTHGCNHMICSRCDTHFCYLCSSWLDPVNPYQHY NQLAGGKVTSCYMRLWELEGGDGDDVGLGFIGGRGPGADPQPALNEIELLPEVIESDD SDGEEEVDARDNNRQLGGQGQGQGIEIAREGPLVIRLVDNQARDARRAIPPAAPDAPQ HPAGRGHHGPPRGVAGRGRGAGGAGRGGGGAAVGRGGGGPRGGGAANRQRANQRQHQH QHQHQQQQQQQANGQNDNNQAGLDPAQEAWVRRFVQMALNDEEDLVEGDSDDEDGNWI IR FPSE_04121 MADLRGHPGHSSLWSSYGGSVHMPGRVADPMPSTSSPLPGRTSS NHNEMEHPSYSYSRYPQDDQEAYDRPAHPEMVSHHGYPTLKRSFSEAEPPAYQEIVQD LRDDNSKMSASHEHKLLAFKRTQDKHTIVDSQGRMQQLELSAQLHGMFFLSEMPANTS DGSLRQPELTCYRRNLFQISGTLVTPRGQLSVITESGETVAVSNMEVTISAIESVDGN PVRLIVIPWKTPPPNSPETNQSPDQEPQSLPLIPFQDDGSESDGEYAVYPIGWRRLQF RIATANNGRRKELQQHFVLHLKVVGTLANGNKTVLTESTTAPIVVRGRSPRNFQARKE IPLLGSSAGSRGQALVETGLGVVAGPLSAKHQETKPRGVDAQLPRTAFTFNAPKIPGT QLGPIRSNSYPTWGTPPAQVPMGHVPTSGAENYPAATMGPLTGTASFPAESQGMPIQS SMEPPAPLSMVSNDSEHPPVRSQYTYMQTTTAPPQLSIQTTPLGGHEQAMNIPRYVDN PRPLKSPRHMSHPSIRSSGSVANNEPSPEYRYAPYAPVHPSPSEVAQPSYHPETSGPP SVPSRDYYAPPHTWTSAAGEHNTNLAYANNETRPYPFPQDEYKNTTTGTSPTKTEPSQ PHPTSVYNGTARGSFDTMHQYSWNGN FPSE_11213 MSSTATASSAGSRAGSVASSNSKRRMESSDEEHPNKCSKLQHST VKIRVEAPLLEDFTKECGIPTAQFAWGPRPESPAEESFDEDETADPPSVPIINPKYPK PRNVARPVNHLRAFYLHHHDTLGPDLDPPAETQPRRINRTRPPKERSLMDLPAEIREE IYRGLLVSHKPVPVYDGWKKVYEREKPCLDINILMTCKKIFNEAIRVLYGENTFLYRL RDMPTESHRMINIQELARSNAYVPSQGYRDQIRAAAACEAGTINIRKYACLFRYITLQ ADHNRSEFYTQEFMVDAIKTFAQEPGMTNIHTLTIIVSPRYTRGRFTFVDWFDSRSEL VCALKAVCCEIIRIRIWNKHLNDGLGPISSVLFLRVHQLRFLRQLEYQKLHDEKPQTK SERLRPDIWAGDWKMEKLRRRRGREIISRLERLRPCVLEACKKHLEPHIKRQGDLEDA DDDDDDDNDDDDDENWFAYFPDEVADSEAEEASGY FPSE_11214 MFDVPQAKRVRREDLNVSDDDSDDGLQDAELRAKLHAQMAKSLG MDIDVEPAPCSSVEDLPLRSNKKMEDDAMDVEHDEEAEEDHEEEFLFRLFSKAPATQK VVLEEDTGPTGTGVFVSGRPLSYYMVTNIPAQRKQEYAMASISGDEVQARSHNRFWGL ELPWKATKLTITQKASPAEKAGGDEHIRKRKPGKKQRISLRKRAKEREEKEAAEAKKM ADKEEQVKDKKKRMNRLKKLRKRAKAKGQKQTSKEDGQSDDSNGDSAGSE FPSE_11215 MSNAWPSGAAQDGAQPDAPIEDVTDTGEIADLAGEAEQPDLPPV PAPPTTSRPPLQRNILQGVPPAAPMAPPTSNDQPPATDSLSLQQLRHIVAEFNRAEPV AYDFEYTDIGPHAEEIDEWFNYQLTQWARLNAAQGAFKWHWQHEARSQDSWDDADNDT RTRFVQTAIAGVQSNDAALRSASIGKLVYLVVGRWNDTAMPNATPGDSRSVASISQLQ AIKAGVECITSLDGLPVIWEALRNNFELQWSGEPLGQHNSAQEAQDELMSLMTIMYIA IQETLNDPEDMASTYGKLLELNPSLVDYMFTATSKLRWDEQNAMPQTQILLLFWKSIL LVFGGTEELEAIKKATNEMGEKAADKKTITASPLDYHVFRQEITSKYPAYVPPRPAIP LEADNTSLLPPFSSQVSRNNGANGIIPAPPQVHNGGTSILDQPVHIATPAPSPPPSPA VGGKGGKKQNYQTNQNFPFMYPPLDASSNYAGGKEAAGIQHALVGRKWEGSDIPASIL EAGELFSTRVRMTRATRQLWEERERFLKFERGYNSDYEDDDDIEGLDLNELTLEEQEI LKEIKAESRAEEKAKNPVAPKPEIDFGPRPELLSERDRQRLFAVEKFYRDALPHLQSL VIVLLRPILLNVTAIVSQQPQQVPQSMGRGNPGMNGGPAANRQQQEIPGQTLPKPPTP ELTLEEVDAARTREITSKAMTGILILLLKWLRVSHVLKFEYMTQLLLDSNYVPLVLKL FAHQDVQQVVDSKIDRVENSFFHFCNLLSQSRDKSSSDEAQDDEDDEEIEESEDEAAP PPIKLRRSLPTQHEDDADSNSDGQASSTRPEVDELGYPLNMQPTEPITDFSRRNFFSL INYLRVMQKICKNKAHRNLLLVQYKSSTILRKSLKVPQPELRLYTLKLFKNQVPYCGR KWRQSNMRVITAIYLHCRPELKDEWLAGSDVDAEVDAALPLEQALRSLTHWLNIRRYP DKIAPDIRAAMRDEQDFFSRELEKLELNWTDGVGDENELEPGEAW FPSE_11216 MGAKSGTALKFLQWFIRGIQFACAALILAIYSYFLATLHNHDLS ISNKIRAVEGISGIAVLYTAAALLLLCCLAGRTLSSLLAIILDIAFIGAFIYVAVANK GGASSCTGYLDTPFGRGKAGNTAEGSDGFTALPSYRTACKLQSACLAVSIVAIIFFIL SILVEVALARHHRKEKRFGPGPTNDYTSGYGAKGGFFSRIFRRRKNTAAGNDDALPEH AHPDQLDTYRQSHATDRTAVNNFPDDNAYNKYENNPLGYQEPNHGRQDGYGQIHYPEP QYGVTQPPVRQAENPNYRYDDGVYDRT FPSE_11217 MADTTGDENRERLKAALWYAVGQIVDEESLRRNRNATPQFIGAL TELVWTQIENIATDLESFSNHAGRSTVTTDDVLLLARKNPDLHQIMKEFVDQAKAEKG TSKARRGASKR FPSE_11218 MGSLDSDAKLPWIKTPCIISTQLSRLTGCNVYLKLENLQPSGSF KTRSISNLMTQAAAAATGPVHFYCSSGGNAGLACATSAISLGHKATIVMPTIVSPLMK GKLLDLGVEVHQKGKTWAECDKYMREELVANDPSAIYVAPFDDPRLWDGASTMVDEIR DQLEDRVDGIVCSVGGGSLVNGLMQGIESRPWLGTKPAVLAVETEGADSLNASVLAGE HVTLPEMTSIATSLGATRVSEQTWKWSQHPSGTMKSLVVSDADAAISAVRFADDALQL VEVACGAALAIAYRGDLRNVLGKGLSDEEWSKKNVVFVVCGGSGITLSMLSEYRETYS GQSSIKV FPSE_03221 MVALEEVEGSLLQKPSDAAHEVPSRSSSAYRPLNSFALDKQRSY KQQYGDMYFLRLTKIKPAVDQVAATAWDGTTVGDEEVQRVDRVLDVRQGELCYVTGTV YMEMPLKPNILEDVSKDRWISAPISTPKYFSDDDQIMLEDESGRIRLVGDILKTVQLV TGCIVGVMGTENSNGEFEIIDIKFPDLPPQPERWSLSNPVSDKSKTKDEDEEMTDASD KKKGNKKIAVVSGLSFSGTDASYALELDLLLEYLLGEALGSSGQIDVSHISRLIIAGN SISTTDRQPAAADEALPEKKGQKKYGYDASAYNPLPSQLFDAFVAELLPSIPVTMLPG ARDPANASYPQQPVHPAMFPAARAYTRDPAAPVDQPGWFDTVTNPWEAELEGWRVLGT GGQNVDDVFKYVGSDDRLGMMEAMCRWRCCAPTAPDTLCKHTSA FPSE_03220 MTPPPKPPRVEVNNLSYTFPDYSTGVNNITLDLPPRSRTLLIGA NGAGKTTLLRLLAGKRLAPSDTISICGVDPFKEGLEGVTYLGLEWVLNPIVRTDIGVN ELLSSVGGDAYPDRRDELVAMLDVDTNWRMHAVSDGERRRVQLAMGLLRPWTVLLLDE ITVDLDVLSRAEFLAWLKNETEIRECTIVYATHILDNLAGWPTHLAHMHLGTVKEWDE ADKMLATIDGTVGASGNSRLGELVLGWLRDDLKERGPRSGINRGSEGKTYGFGGIQIG GYGDESKPRKE FPSE_03219 METDADPAEAGHQELRLLVGDVIESSKVPAKTRATSIKPTIANL TSLSYEWGLLSEILDSLVNLVTTPNYLDQASQAAIIRNLYPAEAVSRDSVLRVVSCLG HGTLKPSLTLQAALIKWLITVHHTLESPQVLSQAYPVLFNLLDTAATRPNLSHLLALI TRRKHVRPFRIQALLNLSRQTGNDPSLIGLLRVFKDYYPEVIIGEVVRGKASSFKHPD PQWRDRLDEIQEAHFYKSQEGVSRPRDGFRVHRPIGRAARKKTIPLVHTSHVTEDAVT LEEIENAVGFVESIDKLELPNQLVAVLADPLLQKLMVLRPSSESDQRIANWLNSALQD VQDGDADEATFFEILDIFRDYVVSAKVLPSLLIYFFARFLPLWDGSQHRDSIFTILSY SPLLDFQKLYQHIFKPLEEATFDNTPESQLAVLDVYKNTLHHWTVVLESSDTIPDYAS DAVADLIRHVNPLALSIAQTSTSVSARSAILDFYEQNSRLISHETLKHYIRIELPPSH LIYILFFSSSAAIMSRICAILASYKKGFEMAMLTKPKHDGSNRIDSSSYNRIFVSLFN GYLMDMCNCFWRGKAFTDSDPNAQGCLIPRSLVPILSSYITSVDKSLALNSLFSLSYS PLLCLQSMRCIQDLESAEINSGTPLRIRHEGPPTQGSLGQLVGSGGIRISWQDYRIKV LEELSAKELAGITDLLKNTMTILRKLIDGGGSTRPTTAQ FPSE_03218 MASPHFRRQDSLEGILDFSLPPLSDPGDRANAERRFYQIINHFR SEDHGQDTYDRVELVSSTYEYSTDEISKGRILNTFFQFAKLPTISGENINFDDPTYTD TLRTSLNTFADHLFNSFFLPLKASTIPTPEQPTPASRTAIMQMQPNHTFAGTTDRIKN LRGTCLLRDRSRKRFKLERRGQGTAFDDDGQPLAGQRCKYLEVAHILPHSLTQVGSDK KLNESKQAALNILRMFSDGAAYLIDGANIDCPQNALTLSVELHRLFGDFEIFFQPDDT VRYQYHIRTFAQEAIDDIPITRTLTGESNIDLPSPQLLAIHCAIAHILHLSGAGDYID RILRDADDYGIRRDGSTELCCLLELRLNNWVGRQADRGSAFHFYIDYG FPSE_03217 MPNSQAPSSLATLLWDHQNFIPLQKNLGEEDLVLLLTPAVIPLD QSLASATDPFQPLGQALSQTHPWIRHVPYTKKYGITGTHVAFVKKVRTVVFVLSGISS DEGSFQLELADIVREVCEERPFALVACCEVPKSRVEEYEFQTIVQCSGYLVSDLQAVA ALLTTKQTTTAAEPTTDDSPPPQTWPLVKWDYDEDLPETHALWEASFPAKFHLHRSTF GSLLKRDGYAMHYIIREPQNGRAVAFCATFTTFTDSSADRLIGSIAAIIVHKDYRGRG IGRRLHNEVMSKLNKIRGVGIIQLGSTFPRLLYGLPASVTNTEWFEKREWKLHESMPG HGRLVIDWLLRFADSPVPNLASAGLTFRPCQLSDYQQIIDIATKESQRRYGFGWYDQY AKTMDSSYTNSIIVGLEGDNLVAAAITYLPNDGSPCGTDIPWPAAIEQNIGGVSCICI KGKLLDNR FPSE_03216 MLRRLASLSHQDPNETSHEHMSPQPGDGPSSPDTSRQGGLAHVL RGLTSSKLSKSSPSIASPSSTTTLPIAEFVHAPPPVASTNPPYGLSASHMESFELLKN GSPNERIAAANSLKYAISEYPLNPVLDIWYAAKDLIDPAKPAATRTAGWELLTECVKH EDSSDLERQEYFTTLSAPANSEDFHLQLAALVDLTRRGRVLTGFDYELIPLLTKWLWE SYNLVRMARRKVSKTSKGPTRNRAVAPGEEKNLAQLFSFLVDVIKFSFNNADASAVSG LIDRLLLICMSTSVEEDLRSSIAVVDATVTFGSIPEDKLRGCVQVLGSIYCMVGSLQK DAWNTLSNLFKSHNGQATVRILLDILRNSPADGAKEKDVNREIRGALAVLQKLLSKGP ENGYPNIPFALLADGLATIARTGSSIKTLTAILQLLNALFDDGEGNMHRLILDEDWSV ILEAAATCSKRAIPGLHESDGLRSPVRDDKPEGALSRELIILIQRLDVLINQKSDVFV PRGTVVSFLTEVHQFLPDETASSVLNYFQLFRCCSPSDLQWEENLALVLKGFFGNRDR SSQIRLRALETIMDAYEVVDLVGDDPDESFIPQLAKSILQDVAEETDVIVLEGVMALM VSVVVSCDMELFDYIVDALRGIVIGDRLKSPISSSASPNPFAHAVSDDNLPQEQSPSN VVAKGYVKMFVQTMDFDTEKSLRLYYALINIVKSNHCEVDARLTAMKLLFRLRADWAN RVFITKTLETNFVAPALCRTPETRAKKQAEEAAQSIRLLRNEHGGSSRSARGVSFSQG QHERGAPMRSASVAAGSGLMGSAGSVARYHQLWSLPDPEALPESVTGVFSPVLVSHQP DVEAEPEEHDLEEPEPEGEIEKQISGARTAALDMANWLEAVLSLLHGSDWEVYSFALV HLPSQLSNHAIFRDAIPQIQELRRLICEQIRTNTFQEPPNASGLRRADVAICLFHSLT MILSYHDHFQKGEEDEIVKAFVHGIATWERSAKCCIHALSICCHELPLSTSKSLVQLL TKMSTIITQPHVSIHILEFLACLSRLHHVYVNFREEEYKIVFGICIRYLQSVRDRKGS NRNSHASEPSTPAASTASLPDAVHPSATDDLPQYVYALAYHVILFWFLALKLPDRANL VGWLVRNIYNEVEDGNTDNEQALTSIDFMQRYTYADVEESSQDPLFTSERFGEIVKKR WLVGYSIVTVRQAITTGWAQIVKRQPSGTSAFTIRETFDPPPPHQTPNYVDISREGQV STNTILPSHIMVQLMSSIPQGHDLARPIPLPEDDAVERAIRVFDRSSTVDGHKVGVIY IGEGQTDEVEILGNVSGSSDYMEFLNNLGTLTKLKGATFNTHGLDREFDTDGQYTFCW RDRVTEIVFHVTTQMPTNMDHDPRCTMKKRHIGNDFVNIVFNDSGLPFKFDTFPGDFN FVHIVITPASRASFIAARDTSKHSQPFYRVQVLSKPGFPEISPASEMKIVSLKALPGL IRLLALNASVFSHVWANREGGEHVSSWRSRLRAIKRLREKYTPSKSGQLTPSASQSSS LGGAAPVHQSQQLLPSVDASLSRPASTVRDSFTSLRRTSVATFFTSTSEQTSHRSSML SSSTTTNDTEAGTLHGQDSNTDTVDFSKWA FPSE_03215 MAADIGQIAQLLDATLDPTEHRKAENALKQEAAKSQYSLSLLNI VNSDSLPLKTRLAAALAFKNFIRTSYVDEEGNYKLPQDEVQVIKERLIGLMISSPANI QAQLGDAISVIADSDFWRRWDTLTQELVSRFSATDPKVNVGVLEVAHSIFARWRPLFR TDELYMEINHVIETFGQAFLELLVTTDKKIAENNDKKDVLRGWFETLDLQIKILHDMS CHDLPPIFDENLGSISELLHKYLTYSNPLLETDDDDETSIVDTVKADICEVLELFTVK FDEDFSKYCQPFIEKAWNLLSSTGPETKYDVIVSKALHFLTAIASSAQHSGIFNSEEV LTQIVEKVILPNVALRESDVELFEDEPIEFIRRDLEGSDTDSRRRSATDFLRKLQERF EAPVTTVVSKYISHYLSQGSSDWKAKDTAIYLFLSIAAKGAVTAAQGVKTVNPLVNVV EFFEQHIAQDLINSQGVEHISKVDAIKYLYTFRSQLSKEQWKVALGPLIQNLNSDNYV VYSYAAIAVERVLFLTDDAGNAMFPRADIEPFAKDLLTHLFKLIEKESSPAKLQENEF LMRCVMRILIVIKDGATPLLDNVLTHLILITNVMKQNPSNPRFYYYHFEAIGALVRYC APSNAALFNEKLWSPFHQILVEDVTEFMQYVFQILAQLLESSPSETISDNYKALLGPL LSPTLWETRGNVPACTRLLSAVIPRASQAIQAENQLEPVLGIFQRLLNSKKSELLAFD ILDSIVKTFEPTGLEQYFATILRLIYTKLQGSPSDAFKLRFVRFYHLVSARLEAGYGA DYFIKQSNTIDQGVFAQVYPAFVLAETERLARPVDRKVAVVSLTKTLCDSQAFSQQFM KGWANSCRKLLSLLVNPPTVNVGGDEVVAEADVDDIGFGMSFTALNTCKPLAKDDFPE ILDVTKWVKEYMVSANQRHGGAVEGFIGARLNPEEQEAIVKYIR FPSE_03214 MDNLEGMLMVPPERGQILGRAVWKLRYVVVGRRNARLGLGQSQS ISNGRNNSLGGGRSFPKLPIEEACILIYKHKEDTEPIQQWPVNSITDCQVQQINHRKQ GPVLPTLVISIADKEKKRRSSRAAGLISSSKEANATTLWFRTPPDDHHLSLHEWVRNI LSRKSPMSPDSPMSPQFSNPFASMSRETSEFFSRPSSGNQSGRSDLRSLQHKSSTTTQ STATTTTTTRERPLTISSESPSLRSKRSDVSSPSSNNFPIQQMNFPIPGQHYTTVLPT DLPSPVTTAGDYQGEFIEGWTSAQGRSSTMSSPIRGRSSIGSQPPHPSIATVESSSPP GPRETILDRAFQMRCIPGSEREVPGEEKLSSLARFDALMREADDKIKQRERAERAQQM AMRSAFEASESSSDSDDSDSDDSDEDAYGGLPDRRGPALIPSTTQRALQFIADRGGPA PLSPSARSSVSRAPILQQSPPMRPHTAHAKTRPSPTQRTNSTPQLIANMAKLEVTTSA KGLDDSSVRSSGDKRMSSSSTATKR FPSE_03213 MEPQQKPDNTDDSALNITTTTSCLNSITPSSARYTARQNKCLLA LYSYHITALPRHMPYPHPPPPTSSNVSTTNSNHSSAAPLTQSSTPSSTHQQNRNRART GIANIGPSVANPARLPGQTPAQTLGRRQRSPASDGPSDPQPDSSQASDNDLTEPPTKR RRENNTMGGSDILDPHNGAPLGFSNGSTEPSVGVTNGHKSAMNGSTNRDNNSTITKSH GMPSEYFGHNREEVTRLLIQALSDMGYQTAADNVSRESGYELESPTVAGFRSAVLSGS WSKAEELLTGASFETEGQGNGLVLASSADRNAIRFWLRQQKFLELLEQKDTSRALVVL RSELTPLSHDTGKLHFLSSLLMCRSVDDLMAKADWDGANGQSRKLLLSELSIMLPENR LAVLLEQVKQSQIDTCLYHTQALSPSLYSDHFCDRRWFPTEVALDLVDMNDEIWQIQF SHDGSKLAACGSGRQVIIWDTHTYSVAKILDDHDEGVGNMSFSPDDTMILCCARDGYA RLWSTSVSNPWVATAGYNANREIQDGRLIRQFNRFAEPVSGCVWAHDNRSLVLGTLDP TFSLRTINLQTNEEHDWGKKHRVEDLCGSLDGRWLVALDNEKRIHVYNAITRELEFDM ELNKRPTSVSISQDSRHLLINKSDGEAQLIDLVTRNSVQKFFGHTGGAYMIRSAFGGA NESFVVSGSEDGNILIWHKNTGAAIERLPGHHPRCNAVAWNPTDPYVIASCGDDGRLK M FPSE_03212 MSGSLVAQGGISDPALITLVNKLQDVFATVGVNNPIDLPQIAVV GSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLVLQLINRPAESNSASAEEIDTSNDKQ ANADEWGEFLHAPGQKFYDFSKIRDEISRETEAKVGKNAGISPAPINLRIYSPNVLTL TLVDLPGLTKVPVGDQPRDIERQIREMVLKHIGKSNAIILAVTAANQDLANSDGLKLA REVDPEGQRTIGVLTKVDLMDEGTDVIDILSNRVIPLRLGYVPVVNRGQRDIDNRKAI NQALEAEKNFFENHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPDIKARISSSLQK YTAELESLGPSMLGNSANIVLNIITEFTNEWRTVLDGNNTELSSTELSGGARISFVFH ELYSNGVKAIDPFDVVKDVDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRLEDPSL KCVSLVYDELVRILSQLLAKQLYRRYPSLKEKMHGVVIAFFKKAMEPTNKLVRDLVSM ESCYVNTGHPDFLNGHRAMAMVNERYNPKPVQVDPKTGKPLAGTPRAASPTVPDGENA GNSGFFGSFFAAKNKKKAAAMEAPPPTLKASGTLSERENIEVEVIKLLISSYYNIVKR TMIDMVPKAVMLNLVQFTKDEMQRELLENMYRTDTLDDLLKESDFTIRRRKECQQMVE SLGKASEIVSQVQ FPSE_03211 MTSPPPLTGIKVLEFAGLAPGPYAGMLLADAGASVLRIDRASSD ASRPTEDVLARHKASISVDLKSERGIALIKRLAAHADVLIDPFRPGVLEKLGLGPDVL CNLNKRLIYSRMTGFRRDGKYALMAGHDINYLAVSGTLGMLGREGEKPHPPMNILADF AGGGAILFQGILMAVISRQSSGLGQVVEANMVDGSSHLTTFPRMGLKTPIGNRGRGKN LLDGAAPFYDTYETSDGKYMAVGALETQFFAALLKGLGLVNQGWEKDQQDRTRWPDLR KLMADKFRSKNRAEWEAVFDGTDACCTPVLEYGELERDPDREGDQRPAVTLRETPCLA VKEGAGHVDPIRFGQGPGVPGDGYKANLLKPGAGGEEVLRQWMGWENGREYALQLGGL VLKEASKL FPSE_03210 MSHLPQEPEFEQAYGELASALENSSLFNEHPEYRTALAVAAIPE RVIQFRIVWEDDKGNLQVNRGYRVQFNSALGPYKGGLRFHPSVNLSILKFLGFEQIFK NALTGLNMGGGKGGADFDPKGKSDAEIRRFCQSFMAELSKHIGAETDVPAGDIGVGGR EIGYLFGAYRKLRNRWEGVLTGKGLSWGGSLIRPEATGYGLVYYVDYMLKHANRGSFE GKRVALSGSGNVAQYAALKIIELGGSVVSLSDSKGALVAKEGSSFTPEQIHNIAALKI KHQSLTAFEHQDKFTWIEGARPWVHVGKVDIALPSATQNEVSKEEAQALLEAGAFIVA EGSNMGCTVDAIDVFEAHRKEKGAQALWYAPGKASNCGGVAVSGLEMAQNSQRIQWSE KEVDDRLKAIMKDAFVAGLETAQKYVEAKEGELPSLVAGSNIAGFIKVAEAMHNQGDW Y FPSE_03209 MTSTIASHKRVLSENNARQNITSTPASTKKRKVDAIPSSPAAAR VPGPQNDHRSKMASSQPKSAFESEVLEKLSQDLSDRKRNSTEKDQAWDRPPVVDFVPE RDSLCFQSIEAEEGTLHGGRATVKLFGVNEAGNSVMLHVTDFKHYLYVPAPVNFQPQD CAAFKAYLETQVAQHQPSIHSVAFAMRENIYGFQGNQSKPYLKVTVTDPKFINRVRTT IQSGNANWKGIWRNDGEVQTFDNLQYLLRFMVDCKVRGMSWVEAPAKTYKLVNDHIRQ SNCQIEAEVSYIDLIAHEPVGEWSKMAPLRILSFDIECAGRKGIFPEANHDPVIQIAN IVTKYGEKKPFVRNVFCLQETSSIVATQILAYEKEEKMLSDWQKFLIRTDPDIITGYN ISNFDFPYLLDRAKHLKVAGFEYWTRIPSMQSRAKETNFSSKQMGNRDTKATNTNGRL QLDLLQLIQRDHQLRSYTLNSVCANFLGEQKEDVHHTMITELFNGTPESRRRLALYCL KDAYLPQRLMDKLSCLENYTEMARVTGVPFNFLLSRGQQVKFISQLFRKALEQKLVIP NLKSEASDEQYEGATVIEPTRGYYDVPIATLDFASLYPSIMQAHNLCYTTLVSKKAIE AFNLQKDEDYIVTPNGDTFVTIKQRKGLLAQILEELLSARKQAKRELAVETDPFKKAV LNGRQLALKISANSVYGLTGATTGKLPCLEIASSTTSFGRKMIEKTKEEVEGRYNIAN GYSHDAQVIYGDTDSVMIKFGTKDLQEAMRLGEEASKYVSEKFVKPIKLEFEKVYFPY LLINKKRYAGLYWTKPEKYDKMDTKGIETVRRDNCLLVQTVIEKVLRMILIDQDVPGA QEYVKETIADLLQNKVDMSKLVITKALTKDDYAAKQAHVELAQRMKKRDAGSAPGLGD RVAYVMIRGPAGAKNFEKSEDPIYVLEHNVPIDTRYYLDNQLAKPLGRIFEPILGETK ARSLLTGDHTRVISVAAPTVGGLMKFAKKTQTCMGCKKPLTGKEESEGAVCSNCAPRV GELYKKTLDRVSDLEVRFGRLWTQCQRCQGSMHCEVICSSKDCPIFYMRMKAKKDLED AGRELTRFDADQAAMW FPSE_03208 MASNSYYQGGSQQPAYGAPQHSSPYYQNHDTPSPQPTPAPPYEQ DSYNPNYAPDTSIYPADSTTHIVPTKQTPYSPNDIQYQPSAAYGSPSGQHNQPGQTGQ TGQSPFDTVFDDHVYPANPNARPTPGSSTADIGQQGFYQDTSYHGGATDAAGRPYGQE DIPLQDRTPKNDDVEMNDHVYDAPGRPKKKSKKDKVRLGELGMIGSDKKRIPWVVYLF SVIQVAVFIAEVARMGVLTGTPIAIKPQFNVFIGPSPGLLINMGARYAPCMRNVKGIQ SVDKNKDGAIIPGLLCPNATKADSFCPLHVVCGFGGDVPDPKFNGDINQSPEPNQWYR FITSIFMHAGIIHIVFNLLLQLTIAKEMEMAIGPVRFLLVYMSAGIFGNIMGGNYAPP GQPSVGASGALFGIIALVLLDLLYSWKDRRSPVKDLLFIVLDMVIAFVLGLLPGLDNF VHIGGFLMGLSLGVCVLHSPNSLRRRMGDGLSYAAVSPQTGETPPHFFKNPVGFFKGR KPLWWAWWLVRAAFLVMIIVVFIVLLNNFYKYHDTCEWCKYLNCLPINDWCEWGSFEN LKPA FPSE_03207 MSQDQVQAEAPLPPRNAFIHNSAIAGAVILPLAMLLPPRKIDLR FFVLAGAWSMATNQLAYEYTGSSIYARFGNRVSSMMGTELPEGAQRTQKLLREHRERE AARRAREGHQTEQEKTGVVAKTLNDVWMGGEEKDWKEKRVKEHQQKIEEGKGIGDIII DQVADVWYGNWGKGTKKQDDENSTEKK FPSE_03206 MAQSWLIEEGSSSHPERAGGRAAQSQLQPQAQAQPQAQAQAQAQ ALAQAQALAQAQALAQAQALAHAHAHAHVPVQIHAQTNLDVPYSKTFANMNQPTNVSS SMLRAQSTEPPVPTPIQTSLESTSSLATTPHAQAQTQATSTTPVFPATDPTQPPSSSL HPIAINTFNNIEIPSNESSYSSSNVSPASTSTPATPSATTDAIAASSSPSISFSVLAS SAKTPSTSTSTDPTASATSTTSSKPKAKRVRTSKPKVKTGCTNCKQRRIKCDEARPAC TQCVRSNKNCTGYPPPSRSSRPFEEVRIAPKPMPVYDAHVPSNAIIAPLHGDYHLLPR RVHQRAVLRAASPGTPSSSPTTYQPSVALHIQPSESFYFDIFRSHTAHELSGYFNNVF WTRYVLQECHSEDAIKCAVIGLGALYQTLEQTAALDMRRGSDASSEARFEIVRGHWQV AIRRYGDACNALVKLNTQDQRSHRTSIMANVLLACFDSFIGDHRQAIHQIQTGLGLLD IFHTQQRQRLLPASEDSLEEELVTIFTRLAIQAKSYDMAFHFPQPFSIRLSSQSRPQS PGHSGANTPLQEEPLSIPETFTSVIEARVAFDNLNVRIMRYIEELFSMKSDLKGTLPP SWLDYGLSFKNHLDRWAKAFDNLFFARHNPSISMQEKAGIAALRMLATNTRILYLMMF SDKESDFDNFLPQFQTIVDLGIEIVGDEERRAAARDCPNPGQCQHRQRETWQSQDFFP SMGFSAPHIKPRFAADLGIVAPLFVVATKCRDPGTRRRAIQLLRSSARREGMWDSEMV ANISAWVMNLEESETLAMGVESYPADGISRPIPRIIPEEKRFTIRSVDFDLRTRVADL QVGVRGLPPGMPDPKFRQTRLNW FPSE_03205 MAQAQHPIATVLFILFIIWVVFPDSDYSSQSLSLSDLAQERLEH FQDALDMLNASRWGDFTPAPEKGSNVNSSFLNITGFRAQDNLTWVDLNKFRERSLAFS RHAVPPVGGHNLWDAGEGEPMWMNVSGTVHGEWVKRKGSVPRSYDSYNLSKTAPGLDW IGDHASWARNITGRTGRIMLRLEANKTVSEYEQLPAQSGVPVAGGLIRSVRGTTTIED TYGSGHDWETRLWGVHWPRQGVVLMTTTSEKFGGIFGLPHLTPSEDFFQSSQKLLNES IARTISKKKDKIYTDQTAPWTSDLENPLYTNNPSPHCEYIMYAQVYPPSRNHFNLDSE ELSNDVLQSVISSIESELKSPVGAPIPKIPKLQMSVVIYSPDCGFFLESKGPPDFGPS EAQHLSGMKLEVQVYQVKAWILVYAAIMFAQVYLLKNQMRESCTPSTMGRVSFWTIAT MLMVDGMTFTAAATWVSSAGSTFLPTLALLLAAFLSMTIGGSFLSKVHEVQIPEARPR RERDQNAGSTGNNSNAAVSPATGPNTADSAPLLPNPDAARHTLTPRPSAPAPPPPPSQ PVIVPSDQGIDAEIAASAAAVPGATTAQRAEEPPLSFQSIIGRLILASLCIIFLAISS TTWYPNLRSIFLNLCVFAYLSLWVPQIRRNIRRNCRRALAWPFVVGQSILRLLPVAYF WIKEDNFLYARTERHAFLVLCAWVWIQLVILAAQDIISPRFGVPAGWAPDAWDYHPLL REDGLEAGGLPIGLVADDTPGIERARSSSDDSSKKQSSTRSIDCAICREILEVPVLTA EDEDTGVAGVFARRLYMVTPCRHIFHSGCLEGWMRFRLQCPICREELPPL FPSE_03204 MASNDPKPDTANNKPENASDDARADVTAAVEELLNSLSNKFAGV SSEIFAKMDEMSRRLDNLEAALQESNKPKDGSANKP FPSE_03203 MVLIKSFVLGALAATVAAKSAVIELLPSNFDDIVLKSGKPTLVE FFAPWCGHCKKLAPVWEDLANTYESTKGKVQIAKVDADAHRELGKRFGIQGFPTLKFF DGKSAKPEEYKSGRDLESLTTFIAEKTGVKSKKKLEMPSEVTYLNDATFSKTVGSDKH VLVAFTAPWCGHCKTLAPTWEDLAATFANDKNVVIAKVDAEAPNSKATAEQQGVKSYP TIKWFPAGSKEAVAYESGRTEQAFVDWINEKAGTHRVVGGGLDNVAGTVESLDTLVAK ITGGAAIAEIAAEVKKEVEGLTDAAQKTYAEYYVRVFDKLSSNNDWVSKELGRLDGIL AKGGLAPSKRDQIQQKTNVLRKFVLKKAEEKVEQVKEKVEEVKDEL FPSE_03202 MSHESVWNSRPRNYGKGSRSCRVCKHKAGLIRKYDLNLCRQCFR EKAKDIGFNKYR FPSE_03201 MSTAFRSVAPFLRTARQGLRANPVNPLQSAFTKKNASGVLNLYR TYAVFERSKPHVNIGTIGHVDHGKTTLSAAITKRQADKGLANFLEYGAIDKAPEERKR GITISTAHIEYATENRHYSHVDCPGHADYIKNMITGAANMDGAIIVVAASDGQMPQTR EHLLLARQVGVQRIVVFVNKVDAIDDPEMLELVEMEMRELLNTYGFEGDDTPVIMGSA LMSLQNQRPEIGTQKVDELLAAVDEWIPTPERDLDKPFLMSVEDVFSIAGRGTVVSGR VERGILKRDQEIELVGKGQEVIKTKVTDIETFKKSCEQSQAGDNSGLLIRGVRREDVR RGMVVCAPGTVKSHTQFLASLYVLTKEEGGRHTGFQEHYRPQLYLRTADESIDLTFPE GTEDATSKMIMPGDNTEMVVTMGHPNAIEVGQRFNIREGGRTVATGLCTRIIK FPSE_03200 MDPMAQQQQQAQGEQPELNAFGKFTAQFLQYGANASNNISTAFD SMDTKAWIRLIVIVGGYMLLRPLALKFITKGAVQKMEDDDANEKRKAQISPNELRGLA EDEPDIDADGDGTGADWGQKARVRQRTMLKDLLEAEERRRQEEEDDKDIADLLED FPSE_03199 MFVAFAAMVEPLDSLSIDNMYLVIRDRVLLTGNCCKYTAFAIIL VLGYTAGHTAGHLVPMSMYATVNTSAQPPDAVGHVPFIKMLALSVPLQKYATLPLNAP LIAITKPSGGKYMRLKRHVKLWAQAAGPSLRPSGIQKTRFCLPKRQIDF FPSE_03198 MGESFDIQNLLQTGYFTTSRAKSPKPPPSQIEDRPSSQPPAQQQ PYQLPYYPLIPRPKSAAPARVPKVRPPPPCVEDEEVSLKREHGASISKIQEEEPPSRG DAEQNPVIMEVHEYNPERRFVILTGSSSGSEGGETERKSGSDRRNEPERRANGHKRTD SGKKFEPERKHESESKPPRVERVERPERNERVERQERPERVERVEKQERPERNERTER QERPERNERVDRQDRPERSERIERNDRPDRSERVERQERPSSDVYTDARSSRRYDANL KTDAPATRERSRPPLEKRRSRQDLPRLETELRDDQLPEKYRTRPSAPASSRYEQPPAR PPKIPIDPLHAPEIIKSSASGRDQAYYGQSQGAARRSPTRPNNVSGMPSERRYESSHT RNSSTASTTKRTTVEPEKPTRPLSKERVGDAPTRPLSKERADEKPTRPLSKEGRPLSK EGRPLSKEGPKVESPYRSEYGSRNSRKENSPPYSRPEREDYSRSSTFPSREPVRESTT RRDDRRDGRDDRGEDSRSGDDHPKGPRELHPRRKKSVVLSDERDSQPKLEKVDAPSGP REKIRGPTTPIPIPIPIPIPTRNGSTASEELPPSIRPASTFPITRDHHPLPERPKSEL PYPMDDDPLVDPFDVRGNAERVGRSRSRYDGDSVVSMPSMPAPIPSGPGISLESRPAS AFPSTNRPPPSAQSWQPPAFDPVRDGVRLEKPVGSIRRHSEHQEATGVTTFPECRRKH PVAGLVDWLTLPRTDFNICPDCYGSVFAKTQYRNPFQPMLRPTSQAISCDFGVGPWYR IAWLLTLKNELPDLRLFHDVANAITATKSLPCPGDRVTTRNWQTLWNPYTRQPVYDFT VCPQCVKIIHALFPNLTGIFIPVDSRSEPTRGLCAMRFKPKRKRFVMYFDALETTSDQ ARREQEEPDVKVLAQDIEKMAAVSECQEDKPIINGHWHIMQFLQQFTVCGECFDEVVR PSIKDDNVIARNFYMKSQKLPLATCQLYSNRMREIFKKACRWNDPKYLEAKVLERLDV EAMIHEKLARLERSTHEDENWVEEQVDKYIQEWKRWE FPSE_03197 MASRPTVTILDKDGAPTGATHAIPAVFTSPIRPDIVQQVHTGMA KNKRQPYSVSEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFA PTKVWRRWHIKVAVGQKRYAVCSALAASAAVPLLQARGHQVNSVPEVPLVIDSAVFEA AAIAKTSAALGLLKAVGAGEDVSKVKNSKKIRAGKGKLRGRRHRQRRGPLVIYSPETD GKELVTAFRNITGVETCPVTALNLLQLAPGGHLGRFIVWTSGAFKALDSIYGSTTEAS AHKRDFLLPSNVVSQADLTRLINSSEIQSSINAPKGDAITRRSAVQKKNPLKNKQVML RLNPYATVFAQEAQKKQN FPSE_03196 MAPSTSSKARSESNATVISSVTATTATKGAALKSLPSPKDLSHL FSIITRNRDQSEIKAFYKFMQIPGISNFAGGMPNAQYFPFETLEAQISKPDRWQPTAN YPEQVEEPAPEPAPPAPTSGLHGFISHINKKGKNIIHKDNNKEADSHKKPTRIIIPKF VNETDPTKRVDLTTALQYGQVTGYPPLLSFIKQFTNKVLYPDIPYKGGVDVILNNGST DGFSKVLQLLVDPWYEGLHPTSERQGMLCETFVFGNIITQVKPLGINIVPIEIDDQGM VVEGPGGLREVLENWDPENGLLPHFLYTVTMGDNPSSGVVGIRRRREIYALASKFDII IVEDDPYWFLQFPSAALHEAKARGYGKPQDTQAPKPAIKSGYEFIDSLARSYLSMDID GRVIRLDTFSKTIAPGARLGYITAQPAIIERLTRIAETGAGQPSGFVQALVAQTLLGP QSSTLQKFFYLPQSKKPTFTGWKLDGWVRWLEGLRGEYERRMIRMCKTLEENAFQLNS STPSSPDSDWNIITKTRLMDFDWPRGGMFLWVRVHFEKHPLYQAKVGRKSLPTIDGPA LSKAFLIHSTRAPHLVLGSPGTMFSATPQVRDERGWRYVRLCFAAESDENIDAGSLRF TKAVQTFFEIQEISEIQELLEELHG FPSE_03195 MGSLTQSSSFDVNKVAIIGAGPTGIAAAKYLIAQGIRDITIFEQ QDHVGGIWHYHGFAAGTCPVPQEDPHHPPDEPLKWDSTSPPIFTSPMYEILHANIPKE VMNFSDQPFPEDAKLFPERPMIEDYLIKYSEDVKPLIQFCQRVERVSLKQQDGRDKWE VEAKSTMTGNDSTTQAFDAVVVANGHYSTPYLPDMRNIKEFNEAYPGVITHSKQYRMP CTFKDRKVVVVGNGPSGLDIALQINQECRKPAFLSVRHPTPPDRLHHCGCEEMAEIDE FMVEQKGLRFKDGRVETDIDAVIFCTGFLYSYPFLQDLDHKLVTTGRGVRGLYQHVFD IRHPTLVFPGLNMKAAPWPLAESQAALFAAVWSNNLELPSRGVMEAWNMELEKREGEA LHMFGPNGDGLYINELHDWVMTAKRPGKEPPHWDRELMWQRSVFLDAKVKFEQLGCKA RTMKEVGFCYEPDNTQTSTA FPSE_03194 MNDSQPPTSLLDQGESIPIAGLNPDASDQENRVVHGTITITWPF SIVTKSIAFLLAERDFRLRRENGQVRVRFHGAAAKAISDASLGAGDEIRVSLKGVQWE KNETQTQVAGSTLAWQLEFTNRLVIGIRRPNTEQETLLDIDVPAAEPETITDGQVEST DPIDIDTILEEPTTPSPEPTLPAKRNASSTLDPFEYASPAFLKRARVSYGSLFEGGLD IFDEDITKKTKSKKRSRFSMPGNAWRYTSQSPSPEPDDVPEEEEEEEPQTNGKSQSNG DIEDTHMDTPSRPAMVDQGSQTADVDFTPMASVQVYAESRPSFGFTQMTPTPFARTKP FGADNPVMDQPLHFEGDSTTPHGMPPESHQNLLTEQPVPMDTDMAFSFTPQTVLFPQA SAFFPAQDNVPGSPSRATGVDDYPAELLDADPTPSNPVDTLIGFTAHGSQPVAAHQNT FSTEPVLDSAFTTTAHSQNPWAAELPGSGSANASSDAENPVEILSSSPLRQDSRDSSE DRQVSPSRENTGMDVTADASPEPKLEDPASEAEYYRDGGDEPGDDYDLRKYSRAHDDD DDIETSEEERDANDDDPGAQIMNPEEDDLDVDQDVDQDVANQEGYPGDVSDEYEEEMY EEQFEGDRQSYEGSEDDAEGEYYSDEEDYTDDEENEEEEAQTHPPAAPIAREPVFIDL LSDSEDEDKPAPKEEPEATLKEDSKEELREEVEHESEEHDSRSEDEDEAKANPENAEE KKFSPVPEERREAEDLEADEEMVEQAQSPPKPAEETGEDTETKHITEETTQMEKTSVP TSPAPKDSSPDQDEVAEVPMTSEEIKDGEGPSHIQESAENQEPSAASHDIEMDAPTLT EVSDKPDDGAIAPEDTTRMDSPDETSPAVVEEPGEAMDVDALLDAPQEDAAKPTETDN VEVVENSVAVSDEVQTTITDAQEDTSTSLQVTAAQEESLTLPQKTSKNNAEPSNIESA EDSFGESDAEAGEAQEFHDAVMQDAPSEDVLGKPTTDAAVAEDAVGHPAKDGQISPPP TQIPQAQTLQEDNITISVHEHDQLLPTPGETQVIEVEVSDTLQTVTYDDQTDEEDANP EDQIMAEILQHSPVKQDTHLPKESIAFSPTASQTELSAPTEQADETHERAASQSESVP ESAVGKSLRPRRYKPSRASDDNDREDPSMALIAATPATGPTDSGSKHSSPAPAPAGPS SKTRSKTHDDPSIQLAGGLEQAETKNKRKRKAADDESIASLDNSPTGSQRVLRSMTAD HDDPSLLLAKGSSPSARQTRRHKTPDLKRETPRRETRSVSRSFQLQEESPDASFASLI SPSIAGSFATVPEDGEEDVKSLKLRLVKNLRTDLPDFLSLKKLTGNINKMVDVLAVVT QTPPQPHRPKNGPRDFMLTLTLTDPSTAPTQVRVAHIFRPHLASLPDVESGDIILLRR FKVVSMKSRDFGIRSEDSSSWAVSKPNDEQVLSQVKGPPVETTPEEIAHAKGLRHWWS LQDDNAMNKIETASRKVTEAGKENTK FPSE_03193 MVNASRQLGELVHPKPVDSSHSIYYTTNQCLSSDASFCRTSIAA GIDADDCRPQSQKTEKTTLKQTHEVGKQRYSRRDMAPTTGASPKWIMIVALVRSSSST SNQ FPSE_03192 MGLSQTLSNMTVASPSGPGSAQNVLNRLFLASGPLRSVTRTSST LTPAAATVLTATTNNRRPRPSIPKSSYSSFFPFTSPHLSFSTSMASSSHQSTASSEIA TPRSHSSTTSSPRLANSNPMSSVDLTKIEQQIKMAALDQHRGYVQDHYQEVKQERSPE YVDENNAAGYQIVREPLWNKGLAFTPEQRANKNLTGLIPHTIESFDTQCARAMKMIQT RQTPIDKYLYLSTLKDQNTDLFYRLLIDNVRELMPLVYTPTIGDVCLQYSSIYTRPEA LYISIKQRKSIRAMLRNWPCQDPEICVVTDGSRILGLGDLGINGVGISIGKLALYTGA AGIHPSKTLPIVLDCGTNNEENLQDPFYLGLRQKRPSYPEQQAFMDEFMEATREVFPN MVVQFEDFDSEKAFGYLDRYRDQYRCFNDDIQGTGAVVLGGYIGAVEQSNVPIEEQRL VFMGAGSAGVGVAKQLVEYYTRRGLSEAAAREKFWLVDTKGLVTKDRGDRLAEHKKYF ARTDNNGQQFRTLEEVIEYVKPSALVGLTATFGVFTEPVVRALKSSVQEGGLERRPIL FPLSNPLTKAECTFEQAIEWTEGTVLFASGSPFNPVKAKFGDETHHTVYHPNQGNNVY IFPGLGLGAILAKASRVTDEMVYTSAAALAGSLNADEVHKGLIYPRIERVRDASVIVA REVMKAARRGGVSELPESQWAEWEEWGDVALTSFIKQHIYNPLCFADAKL FPSE_03191 MDVGPGVSVEIKSCPSTMYDEDPLLHCLEYLGIVYSSTQMPEQT DILDAVTHCQM FPSE_03190 MAMTTESSQAAAAPPSPTSTSKTITEKNSKKRSSPSGDSDQPEK ITKRRAARACVSCRARKVRCDVVEGAPCGNCRWDNVECVVQESRRRKKNLYTASTAGQ SVSTEAQLRCKTTPSISNPTGPSASASASKNTNPGIISTADLRRPSSGSVISSSSIDG PSTFLNSSALDSHVPHMIYQRSGYRRDSSSLNKVHPIESNAHRSSWSSIIPDPAFFDS LRNTQLLGSLEEKELPKPQFPSFLRPLPNKIAPEDVDYLKIKGALSVPTLPLQNALLQ AYVEYVHPYMPLMDLNAFLGVISSRDGQNGQTSLFLYQAVMFAASAFVDMRYLREGGY TTRKAARKSFFQKTRLLYDFDYESDRLVLVQALLLMTYWYETPDDQKDTWHWMGVAIS LAHTIGLHRNPGSTSMAPAKQKLWKRIWWSCFMRDRLIALGMRRPTRIKDEDFDVPML EESDFEIEMLPESNTVIPSTCALVRNTDMQRELATMCIAKAQLCVCISRMLKAQYSVL IRDKMKPENTTNSTMMLFPNKQLDNVESVTEVDHELMAWAESLPACCQYRTLTPLDVK DGRSTVAVQRTLLHMVYYTTISALHRPQFLPSSPLQAPTTSRQVQDMSRLRVRDAAMH ITRMATELHQYRLERFLPTTGVTVILPAMIIHLLEMKNPAPQARERATRGFRQCMRVM EKLREVYAAADYATGFLDAALRKAAIDINSSVAPSTLAMMKRVPIEFSAQTPPPENAP YMTASESLFNERPKEPQPAAATPTMMPPNTVNAAALEMPTQSPPTTEMDSPAAGLTPS VSAGSEEIQLDVGNMDLDFMQGHDEFDWNAVAGTDFDVDQWLQFPPEGVNNQDDNLIA GVLGVEEPTMSAEQALTWAMNAETDAAARQPENRDITASA FPSE_03189 MSDTPTQVARHARHAHSHTTSTSPPPRNRISDDILTNLGPRNVV DALNTATGALRASLDKFSTSDREFTMRTALASHAIWVWLEELQSWPWPSGSGSSGFEM PSEEELKRKTMQLSVPEEGDDQWMGSLLARDVATYDRRVADIQRDLSDLGIEDIKTHI RMNHIDLMSRPGTPLNEFNAAGQLSRSSYNRMEDHTAVIMTIVMNALPVLNNLRRLLQ TWDLRLCALRRVPTLLLALEDAEVALQSAFKAISLHTRDTPQKDNASPQDSTLTRETF DVMKKHIEQLIARPGRSLDYMLDCLEGLPDTLPGDWLDRMEAVESSYSDWVVVCEQKI RETERNTPAQTDPPPQSTEPPRSPSPVKQDAEAKGPAVLSESLAADESYSDDDTPINT SMGVVPIPLLLPPKIIGSESSDDVNMSVEPVKSRVPSGLSEADTIIPGWHKRDSSSES EPESPPSFLPIQEVDETFEEENDTFDGTRDVMPGTPKAPRQSIEEDLISPTDLTRTPE TPDYSYSFSHDYDREISPELPPLRPLPLPRPRMDPSRQLAVRSSSMNFATLSSDPPEV SGSPDLPRNRIREAEYFQASPPSSPPLDSRELLPAPLGSPFRGPDRTVHDLDMSPMQM QQIEESFEDEFDDSFSISEYPTPFEHRPSAGDQHLQQQISDIINSIPAKIKLSTESKV NLNPPDLQLPRVRKRPSIEPFRRSTSGLSSTSRAGTPSFTLSPVKNTRVRNRGHSEIK VYHLSRSTGEAPIKLFIRCVGEQGERVMVRVGGGWADLGEYLKEYASHHKRRSAATNA KVEVLPESPNSRRTNVGSSPGGRPQSAVETSPMSPLSVRKTRRSVGAMGSEAPQLSFG TPGEEGPSEVFGRSRSNSHLSWKEDDSSFLGLAGPTGKKVEMSEENKAWVASVKEKVR IASVGERRASSTTGQFGELGKVGGTKRLFLKSEDRRESKGGRESRGGRESRGSVR FPSE_03188 MVLAKSKNSVGLGNALMNDRFGKGKGTDRKRTSAITRTNHATGE QYLVNEKKDAAWVKMRSVTEQGDLDEFLATAELAGTDFTAEKTNNVKIIHTDQKNPYL LSAQEEKDVLGKHKQHKGRLSVPRRPKWDSTTTPDELDRLERESFLNWRRGLAELQEN NDLLMTPFERNLEVWRQLWRVIERSDLIVQIVDARNPLLFRSEDLDDYVKEIDPKKQN LLLINKADMMTPKQRLAWAKHLTEAGIAYRFFSAELAKAENEARNLEDSDDEAVDSPV EEQGESSGQQEQEGASLTEESKESQIDQEAKAAEEGDEIDTQILTVEELEGIFLKHAP ADAGSGHKLQVGLVGYPNVGKSSTINALIGSKKVSVSSTPGKTKHFQTIHLSPDVVLC DCPGLVFPNFATTKADLVCNGILPIDQLREFLGPVGLVTQRVPQPFLEAIYGIHVRTR AIEEGGTGIPTASELLRAYARARGFQTQGLGQPDESRAARYILKDYVAGKLLFVSPPP GIDDAADFNRGLYDEEHLPEKRRAALSAAMSHLSVVDPASSEPFLADDDEAVDLASTI SVPLPAGPKSQKLDKGFFGPGSNQGHVSKPFNYQYSDQGKEDPLAGKHLSGRKARTMV ALENGLDPKDVAKTSSKKHFKGNPAGGKGKRRIARKLNAEED FPSE_03187 MPRKWIDKKSAQHFTLVHRPQNDPLIHDENAPSMVLNPTQTKKG SSKSKDLDDLASELGYEAEGVRANEGEAASYGVYYDDTEYDYMQHLRDLNTGGGEVVF VESSASANKGKGKQKQSLEDALKKMDIEQSAEDILDEDMLPSKNLTRATYEAQQDIPD SLKGFQPDMDPRLREVLEALEDDAFVDEDDDIFKELAKDGRELEDYEFDEAGFDEDDG WESDATAKPTKEFKDDDVPQLVKQMEQPEEGPSQDWLEDFKQFKKEQKVTKAPVAPSQ SEMQSMWTTTTNGGRRKKRKGALTDNSSYSMTSSSLVRTEQMTLLDARFDKIEEAYNE DMDDDMQSVSAVSTMSTVQGPLRSDFDGIMDDFLGNFTKPGKRTNKKNKAQTGLEQLD EIRQGLGPARIRGRVR FPSE_03186 MSATFVAPSNQMFSAFGQPTRSPSPAFNPFATKSDDKGSAFGVD GASDSSKTKRNKRTSAFGDQSDSEAKRKAKAGFKGKDANLSDGGGRPKSRKGDDKKKI NDPKKNPFGATPSTKKPAGQNARNNGSNPFATTRDESRPTSSSSADAQDDHVPETHNS NDPHAKRVYEQLRKDGIHPPQWPSQPGNPKNKGEVTKFREKYETYRSKARASLTKAGL IDDPEKRKTLQDAIDFKGICEDMCPEYEKITRINEMDVHQPEKNPKTTFANTSRMIKK LARSAAGQEAPLPMDVRSIPALKRTLDYLIDDLLREDGKLPGLHGFLWDRTRAIRRDF TFFSSLTPDEMKLQVYVLENIARFHVTALHLLTQEGKAPEDFVEQQELEQLGKALLSL RDAYDDCNDQGIRCENEPEFRAYYLIFHAYDSNIIETLQRQWKPNLWRDSDEVRTAVS LVEALQNTQDFHGPLKDAPSLAASSAYQSYFRIVEDPKVSYTMACFAECHFPRLRRCI LSAIKRGLARPRETSKDVTAAELNKFLRFDTVEQAIEFAELHNIEFGECEENPFDISR QYAKLDNRAALPHLRLQHQFSHSLVEKKRGFKTLPQLIHETVYEDTTYTKPKTNGSVG ASAFAPSTQATNKPAIPTGPESTTPSPFSSPSVSGGSWGNNKAVNGTSGTTFNGITPT NGFAVQQPTPQPNTFSSGLTPAAKQPAGNPFAPATSASTPSLPSNAPAKTDTVSQMTQ ASGTTQPLNPFASSFKPPTTTDTSTTSSPFGQPFAPVNKENGPAAKPTFSFPSIKPAT PAAETASSKEESTDKPENQAITAESAKPPAINFIPPTPQLPSFPSNTTTQPQNPFTSS TSNSAPQSTPTTGLGLDRPAPRNEETPKPATSILGSQPPAASTPQFSFPSIGTPAFPT PAKSNDVSQPSTTLAAAQPPKEPSPPLALPAPPRDLLGDFTKWFVVGDNGLMDEFQAF MLDNILQGVYRKYVRDEEAKRKKEEEVQALAKAEQFRIYNLSVKYFYRWKEIARDLRL SRLRRSGREQMRTYYEEQRAAHAKAQKDAARRAAREKAEIAELNRPEELKDFLRHKKP SKRRQAEEENALLASGVLSGIDNEQEAITRIVRRAPSVASSVSSKQSSASRGGSKTRA LRQQYGGDQQATFRRSLPPMTSRNTSSPEPGNRVSRVSERWRLKAMGIVQLPDGTAVP ESIANEMHHDKKRLGGSIMGPPSSYPIRRASGGDFARSEARQRISSSHDTIDTSESDS GTTKNKRKRATEDDDEAVQERQAKSSSHKRVMSDAQNLINELRAMREEMEEGATWFKD QNDRLQSELISRSSTPWDQDA FPSE_03185 MAIDMNNTNNKPIWPQLARTTETSHHIHSGIASGCRLGLKPTPE ERLLIIRNYRWTPTTD FPSE_03184 MPAQLNGSMTASIPIRIPGDQTQPQHQPQTGVFYKQHKHSRSSY SDSSPLANSRNNSLTFRPYKRLMSNPDKTIAVINASGRQAASFIRVATAVGYHVRAQL RNLDGVIASEVSENPNVTVLVGELYTRHQPSEANRDVTKHGPLTGVGVNYDLIANLFR GAQLAFINTTFYGDEIAIGKALADAAKRAGIQHYVYSSMPDHAAYDTKWPSLPLWAAK HEVEQYVRKLRMPATFVYTGIYNNNFTSLQYPLFCMELQKDGSFRWQAPFHPDAKLPW LDAEHDVGPAVLQIFKDGPAKWNGERIALAYEYLTPKEVCRLFSKGVGRPVRYVHGPI EVKVRIPEGYREQLVALEQLYDPNRKDPRKQPPYFGDPKVELSCPSEALELWEGPRGI EEYAREMFPIEEEANGLTWMLEDDSSDDEEVQHAAHSVEQLRIHEGDDDDSDDDNDDG LVIKGRKRDEEEWLA FPSE_03183 MELELSPNDNEQSSTSEARQKRSRILLSCAPCRNSKLKCDREQP CSQCDKKGRASQCTYAPKPERKRPAKGMSARLKRLEGVVREMMDSEGTLQTEVPISVN SSRVTNGVTGPEVQGQVVGGDKTTTYVGATHCMAMLEDIEDLKSYFDQPDETEEDQIL ADEIDPMELMLNTRAGPRNRDELLGRLPDRKVADRLISRYFASMSPSQHILHRPTFTR QYAKFWQDPEGTSLHWIAQLFMMLSLGVFFSKFINSSELEGDSPLPALDRVRHYKACA GWALVWGKYTQPSSATLPAFLFYVESHFLFNRAAQMNCYVLSGVCMRLMLKMGLHRDP SKLANISPFEGEMRRRMWNMAIQVEFLVSFHMGLPSMLQGIETDTAVPRNLQDEDFDE NSTELPAGRPSTDWTSVTYPIHKTQILRVFGRIAQQAHALTPPSYVEVMKLDNLLQQT WQQVPSFMMVRPLDECVGDPPVLLIQRFGLTAVYNKCRCVLHRRYLAETVPQREHDYS RRQCLEGALSLLNYQHIIWEASKPGHVLSQHAWFVSSLSVHDFLLAAMVIYLVIKNES CSDIGSDYSAPTKDELIDMLKRSHFIWSDVSQNVIEVKKTADTLATMLTKLGVPVDQS TNTHKQARPIFGIENESSSLGAPSVDPSTMGSIGADPGLLSTLGLSTSTGSTSEQTPL DLGLTGDAGTSMSFPSMGTEGLDFDPAWMDTAASNMDWRYLDISLAHSHDAGRNEDTG QTWIERAPPLEEMDIMGSGNWIPSHPGSS FPSE_03182 MGVQKKTRKFAEVKRVIGRRDARLKENKLKAELAQKEKEKKTIN GEVIREAPQMPSNMFFQHNTALVPPYSVLVDTNFLSHSVQRKLSLLDSMMDCLYAKCN PIITSCVMAELEKLGPKYRLALRVARDERWTRLECDHKGVYADDCLVDRVQKDRIYIV GTNDKALKQRLRKIPGVPIMSVARAKYVIERLPGAPDS FPSE_03181 MPSQKPEERGESSNSVTKAALAASTNGAPNYELPWVEKYRPVFL DDVVGNTETVERLKIIAREGNMPHVIISGMPGIGKTTSVLCLARQLLGDSYKEAVLEL NASDERGIDVVRNRIKGFAQKKVTLPVGRHKLVILDEADSMTSGAQQALRRTMEIYSN TTRFAFACNQSNKIIEPLQSRCAILRYAKLTDAQVLKRLMQIIEAEKVEFSDDGLAAL VFSAEGDMRQAINNLQSTFAGFGFVSGDNVFKVVDSPHPIKVQAMLKACYEGKVDSAL DTLRELWDLGYSSHDIISTMFKVTKTIPTLSEHSKLEFIKEIGFTHMKVLEGVQTLLQ LSGCVVRLCKINMDPKRFRV FPSE_03180 MRSHDAMKSEEANDAAWEAARGGVAGAVKWGIGAAILGAAGYIW SPLYRSMTVQFKVYLQMCGMVPGAMIEADSRLRAYEQRVRMQRRLMGDKAKWERYEEE YIKGDGK FPSE_03179 MAENVMAAYWDLPAISRNLITALVLTSVTCKLNVVSVYSVLYHP TYLWMFPPQIWRLVTCFFVELNPINLLMNSFFLYRYCTQLEMGNARFPRKVDLVFYIL FVCSVILMIDYVTGLNSMLYLNGIILAMAYTVTQDQRGQKTQFLVLTIPAQTLPLCMI VVTALMAGPSAALVEIEGLFAAHLYDFLARIWPEFGNGPQLLRVPAWLERLVQTPRVL NRGFGTAIRPGNSASTGSSSGVDRGDPLPDSWRTRGRGQRLG FPSE_03178 MTGFRLGLKASILTLLASTAHALRFTPDSECAALCSSESNSTAS DSESSITKPSDVVCEDNGYSDTGNGIRFKNCLNCLQKSDSTWKEESDVSWFLYNARYA FDVCLYSYPDAVDSGTINSPCNIESSCGSLQDALTVSLLKTDTDNQFDYCEAEDSIIK SSSYKECIGCLRSTSNQKYLANFLVALKAGCIQKPKKGDVIGLSGTTFTTSLINITDP NTNETLPGDDGAPVGSMTTGTIVGIAVGCGLAFAGAIWLLFIYCRRSRRRQGAGIKIE SPPPDAPMNDRSMYGIQKSSYFIDQPGRPLSTMSNAARFGAHSRNASNAEYYDSLEDG RGSSETPVTYHYAPHSKYNAPNGALPTHPAYIPRVASRLPDPKAPAPSGLRNVKTNVP DSYALQTYLNANGSESRRAADTPSGSLTSSLAGSRNPSPVPPQYVPANPSASVPPPPP GPPPMPEPQKSRLPSLAFPSLTKLSIPRKKTVPQLNLLEATPVSAVDNNPNHELRISK PLAVLDPRFQDKPLGGGQILSHEIPAGFNDEYLKRRDANISPMLSGNSRVYG FPSE_03177 MPAKVASHWRFNGGINTLAAPSGNLPEHNMQCLSLSHLASSPLQ SAGARRAIQCWSNSGTQRACGSLSVYRVAMDLAGVDGTRSKCKSRSNQQDPLIYRPMK PIPDYQQSCIDSHPLMTSLSNLEITRFREVERMAAKTAGVCRVILDKLIRGWLFQDPC MASSLTAADAHQYP FPSE_03176 MRIGCLQFAPQVGDVNNNLNRADSVLSKANPDDLDLLVLPELAF SGYNFKSLQDIAPFLEYSGSGITSLWARTIALKYNCVVTVGYPEKVDLSAHWPANPEY YNSTIVVNAEGETIANYRKQFLYYTDESWALEGNQGFFEGWIPGLGNASIGICMDLNP YKYEAPWDAFEFAFHVLEAGSNVVIVSMAWMTREEPRKFTRMPNEPDMDTLTYWVTRL EPLIRQDTRDEIIVVFCNRCGNEDEVLYAGTSAVIGILDGEVRVYGLLGRGEKDLLVV DTNDKPYAKMVHRTEQGRGSLTEVRGVLQDQEQAKPDESIPSPKQDNNESTTDTQNTD GHESFEHFREQDIHAPQPNVAAHPFNRELPLRSRLYQEPQNERLQTSDAAVPQQLDLK KSQSIRTPALEILGVPTPSAPSPTPLALRPRLIIPESPRSLMHQSPHGSPPTATSMRS DRSIQSIDSTISGASVSTVRSNHRPPEDSTPYPDSAIPTAHPTNFSKLRSQLYGSDLS VSNENSYTSPISGVDHMSKTARWLWDPSETVGATPASASWVEETPVGRKQRAFPWTNI KTEPRPQSTDTVKNSSRLATFVHDSRGLDSHTPLSNTSSNLTNATIISDSSATSQRAN TPSNKMKTQLQTVSSRKSERSSHSKASERARSTNRYHRGTAGDSSQPSMPRSRRSSSR TSRTNEHRQQHAARASIDERLRSSRSRSRHEYNKSSDSAVDGLMIPILASPSILPENE HRQHRSMSRSSHGQRNDSIVSSSKAPGRVGRYHSGSVTTDSGSMPSTSRTASRGRAPG PRTLSTSEQPREAFKSPIRSPSTDSTRNAVLHSRIDHHESNSSRQSRSSRTSTRHQSR HRSRDNRGDHFERFEAVVCPNCPVHGRSTSSGAQSVPLHLAVDTLHQRGHSAGEVAAS DANPGHEILQPIQGLEELDLLMRYREDQSDCVLTPASNFSAVFEQSDRSSSAATWDTS SPERSPGTPLFFNPAQTPRAMVFATDDSDIEALPGGSLSGDAGPAKPSTAPKQPPTTV AA FPSE_03175 MRGLTLITTGLSLLYPATAILVADNSPCGTVCGNVLDSTSSDDV VCHEGDYSSGSGIVFQQCVTCEQNSDYSTKNNQTDQQYFLYNLRYAASYCLFGIPDNK DTIDTPCLTSKACGPFRDSIIYNNLSTEVNGYDYCDTWPVHDTADFVGCTECLQAGDN HFISNFITVLQAACEQKPKPGTLVSTDGNIFSTENVNITAPTPVASLNPDWLDQGPIS LGAKVGIAAGGVALILFTLGFCIIWRGRRRRRAFLSQLETKHANSWPTPLTIPRETRE TRDTPLSQKPLRSWDESPISVRSEQLFPPHHVSPYASQYSSPVSATELKLAHWPVMAP NQQMTAAMHNQAPFPPMFPSMYQPDPGSSQIGVALGGDDSSVNSGNSKGKGHSTEEYE MTPVDNPHAGIGIYQPEPYRTGEPSTSIPQAGYFPEQTYGYPNPGYGYRDDHYRGRSP FPSE_03174 MSTDVAVVKKVTEEEYIIKPQAHTPTLDTSQWPLLLKNYEKLLT RTGHFTPIPNGCSPLKRDLKQYISSGVINLDKPSNPSSHEVVAWVKRILRCEKTGHSG TLDPKVTGCLIVCIDRATRLVKSQQGAGKEYVAVIRLHDKLPGGQAQFARALETLTGA LFQRPPLISAVKRQLRIRTIHESKLIEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLL GVGGHMQELRRVRSGAMDESKGLVTLHDVLDAQWQMDNTRDESYLRKVISPLETLLTS YKRLVVKDSAVNAVCYGAKLMLPGLLRYEAAIEHHEEVVLMTTKGEAIALGIAQMSTV EMSTCDHGVVAKVKRCIMERDLYPRRWGLGPVALEKKKLKADGKLDKYGRPNDATPAK WSSEYKDFSADASAAAAAAAAPATPAKASEAEDTPMDEAASPAADDSKDKKRKKHDGE TAEEKAERKRRKAEKKAAKAAKKAAKGEAMDSDSD FPSE_03173 MPSLSRQIIVCLLSLSLLDVAAALPSSPSIRPYLLPPPKQLIGK RSESSPSIRPYLLPPPKQLISKRSDNETNFMPRLDVNFPDPCLLQDQDSRWLSFATSG NGHHIQIAMAGDPFGEWTHLEQDALPGDGWTSGRNFWAPDVRKLADNSYVMYFAGENP EGGHCIGVARSQNSTGPYEIDPEPFACPKDEGGAIDPAGFYDKTTNKRYVVYKVDGNA LKGGAGTPIRLQEVSTEDGSTKIGEPVDIMHRIPAEDGPLVEAPNLVHTNDGKYLLFF SSHMYSDDAYDVKYAVAEQVEGPYVRGPAPFLKTPALGLKGPGGGTSAEDGGFLVFHA WCQERRRCMYAIGYDIARVDNSA FPSE_03172 MSFISAFLALIFLSSATALQVTPNSPCAQFCHGAPDSKSNKTHG DEIVCNDDDFKRQVEGQKFQRCLACLQDSTFEQGDENDQDWFLYNMRYSFDYCIFGYP NATGISSGPCVTSEACGPIEDALKEGITEPNDRTKYGYCDTDGKAMLGDSVAKCQACV KADSSQTIISNFLIALEAGCQQRPNPSTTLGLNDTVFSDRSISILDPSASLAPGDNHE LPNTSIAAIAVGVVAFLLITAGCIFMQYRKRKKLSPRNRCSSLSFRCQTRLTPRTPGF HDLPPYMDEERNISKSSLWSPSSVARSPKEGHKLGIITTIVSIPHPPPTKAPLHTPSR EDSSPAESVSSCCNAVLLSNGTVQGCNTPCIASPLFSPGLSITTPRSGTFPRDCHDSN NPWAQQPSSGTSKGFLRKKQSRDSQAPSETRNIRIVFDPPPKKPRKG FPSE_03171 MAPTGDAMGETVAAAGLHAEMVDEKRASVAAERRSSVVTDPQAP AAAVSSGGVTADGQFPTPEEMQSLRRIANKIPMKLFSIAFIELCERFSYYGCTVVFTN FIQQPLPPGSTTGADDEQPGALGMGQRASTGITTFNQFWQYLMPLLGAYLADQYWGRY KTISYALVVDIIGHLILIVSAVPGVIQSDGALGAMIVAIIVIGFGTGGFKPNVNPLIV EQLGEQYMHVKTLKSGERVIIDPAVTIERVYMWFYWAINIGALVGQVTMVFAEKYVGF WLSYTLPTFMLCICPIILFFNRKNYERRPPGGSVLGPAMKTFFLAQKGRWSINPFQTW KNMHTGDFWENVKPSRFSHENRPKWMTFDDAWVDELRRGFNACAVFCWYPIFWLCYNQ INNNLISQAALMQRHGVPNDILSNLNPFALLIFIPLNDRLIYPALRKAGIRFTPIKKI TAGFFTGAAAMIWAAVVQHYIYQKSECGMRASGEDCPVVEINVWAQTGAYVLIALSEV FASITSLEYAFSKAPKNMRSMVQAVALFMTAFSAALGQALVGLAADPLLVWNYGVVAI LAVIAGTCFWFQFKDLDIIEDELNALPEGQAGTKLDEESPMDEKRVDG FPSE_03170 MQSLELTLIVAATRNMGIGAQGGMPWQGLRKEMKYFARVTTRLP PQAPSPSLNAVIMGRKTWDSIPTKFRPLKDRLNIVISRFAPLNFPEIVEPTEPVRVQS LELALQYARARRDINRVFVIGGAQIYDAALKLPEARRILLTSIERDFDCDTFFPVDLK GGKWERKSREDLQEWTGEEIDEGGQEEAGTKYEFQMWEKNE FPSE_03169 MAQNVPDNYIPKYAQQDEWEDKELQKFAIEFYRISDKPEENQRW VDSFCEDARVQIGADKARGTNDLAQFRTRMWKLVKERKHTVLKVFPGRFPDSEPSESE VMILGEVGVTTTDGRLKQAAWSAHAVVKKVDGAWKFKEYRVWLQDEGLL FPSE_03168 MTLSSASKKDRKHARESGGIAAAVTKQTAGKFAVANNTSTNPSN KDNVKSTNNNGAESKSSSTSVASTPPVDTPEIKPSAVPDNDNPAASPMLTAMSTTPAS VSTALSMNNDWIRSGIAGSPGNLISIMGDSPPTQPSSYEDSGRLHHGWAVQRPYMSPS PSSISPPSHGRRPLSFQMDAQYQSPETPPLPGHYRRSSVASPFNGARIGNLPPLPHQP QAHFYGAPDLDLNLTNNSGMKAGERGYFFGFDKLPECPDFPPSPSNVVIAGYEGGLDV YTVGKRGLEPAASLKGLRGGVHYAKILPWTLDNDKKSLFPLVAVVLHGPVLPAGASDP AQDDGPSPRPDGAASPRSVYTHQEGFQGRQSIDAYQTSVEVYSLRTNQLVDVLLQAPK IQINPEISVTNPIFRPPPPSGTFTVRADSGTLAVCSGVTGECWVYLQLLEDQNGHAFA CVGKIWTSLQQSARGEVPEETDKTRTSTASPRSSPQTPILAISGRWIAYCPATPSSQV SLRAHVPLPILGKAPGLATVTPPQLPSSSASVDLPISDSVVNKIMRETTQELIQGAKW VGQQGWQAWNSYWNKSSPQSQTQQARSPPQGWAGTRSPHGDSSQFPPTHGSTGQTIAK DPGLVSIIDTESLVASSSIHPITTFAPPLGCSFLSFSPSSLALFTASSKGDVQTVWDL LRIQHTRSSPLQATVSPNASTGPQVRQIAQFSRMTVARIVEVAWTQAQGERLAMVTER GTVHLLDMPSSAFMWPAPRRRQAIQESGTATPEQPSTAVSLATGAFGAAYQAAKPFVS RPRRSSGNVSNIPGNSLKDSAAMGGRVIAASISSSLGKTGTAINQLRHTGENRVSLPL SSSLPSTSCVTWVKSRRHQGLFVVGNGLVRAFSCRTRRSSVQAGRKVTRASRYHDYNV PNLPSDLVAPAVRQMVDLGAQDEILDLSDRDMDAGNTLTLNARPRVASADHHMESSIP QAEIESSAPYQPFHTDRRVTLCEYRRGTIDQLEVVSAMLADANLEENALSKTKKKKGQ PTETYASREVSSTTAWAFGQDIPVVRLDLGLPTVTEEEYDGPEDHIALPPSAMERVMQ YGDEAQIVVTTRRRRGAQGGGQGEDGFFEDDCEVLDFADQRV FPSE_03167 MVSTLPVMSTPKPRSTGLSPLRGSAWGHRSSPSLFFNFTNIIPL LQLDKIVGLAMLVAASVVFTYYTIWTLLMPFVDDDHPLQNFFPPRVWAIRIPVIIILL GSAVVGSFLGMVMIRSNQKKAAKAKAAAKKAN FPSE_03166 MASAEVDLPRRNRDRSDKPDGDSYRPGRSQRSPSPRQKRDVAPV RTEEEKQAAAKAEYEKLLTMRSGGTYIPPARLRALQAQITDKTSKEYQRMAWEALKKS INGLINKVNTANIKFIVPELFGENLVRGRGLFCRSIMKAQAASLPFTPIYAAMAAIVN TKLPQVGELLIRRLVLQFRKGFKRNDKAVCLSSTTFLAHLINQQVQHEMLAGQILLLL LHKPTDDSVEIAVGFCREVGQYLEEMQSSIANVIFDQFRNILHEADIDKRTQYMIEVL FQVRKDKFKDNPAVKEELDLVEEEDQITHQVDLESEIEAQDGLNIFKFDSEWEEHEEA YKKLRAEILGEGSDDEDDDEDEYESSSEDEEDEKTKAMEIKDQSNADLVNLRRTIYLT IMSSADPEEAVHKLMKINLPAGQEPELPSMIVECCSQEKTYTKFFGMIGERFAKINRL WCDLFEQAFAKYYETIHRYENNKLRNIAMLFGHMFASDALGWHCLSVIHLNEDETTSS SRIFIKILFQFIAEETGMPKLRARLTDETLRPNLEGLFPRDNPRNIRFSINYFTSIGM GALTEEMRTHLQNMPKPALPAPAAAANSDSDSVSSYSSYTGSSYSRSRSRSRTPRKVA DRGRSLSRSPGRKSRGRSYSSSRSRSYSRSVSARGRGRSQSGSASPPRRGHRYTSESR SRSPAPRNGKGRARSASYSSRSRSRSPPRQARGAPPPAKDGRSHSYDSQSRSPSPVRK RERSYSGSPAPRGRPRGNVGPGTQRRNSSSVSDGPRDKAKQYSRSPSYDSRSPPPRRA NPQSVSPPPARNGRQPSYTPSPSPPRRGGNKSLSPVPPKRRRYSDSVSRSPPPMKRGR RGS FPSE_03165 MEVITFFVILSMSIAFIANDPIQTPFGAIVASFVVAFFALIGGA SFINHVIISVQERLVVPPEDDIFSRPSLRRVTGYGPAEFDTHDKFYHTYGICCGKKGQ CHSCLKQHAEQLRDEQVEDKFEFDRKIKQALAE FPSE_03164 MLASAKDTFFKAANSTIQYAKENPGAAAAMGTAGIMIAAPVLIA APAAAIAGFGANGIAAGQMALCPGSRTYSNWNEGSAAAGMHAGIGNIIAHSTFATLQS YGMAGYGVPIVHGIVQAAGVGLGAGAAYSEAKGDSKCDEKKSSDGGSNSKDSKGGKKL FPSE_03163 MPPRSNPGAGAAIAGAVMVAVPAIVAGPILGALGFGAAGIAAGS VAAGIQSGIGSVGAGSLFAVLQSAGAGGYGVAAVHGVVQVVGAAVGIGAWLRGQGDKG GDEKGGSDHNAEDGSGDSGDENSGDKEGPEKDNSTNNEHSKSPN FPSE_03162 MTSKENSPAVERPTKLEGRAFYESIGSPKFIVAPMVDQSEFAWR MLTRSFISPTEQKSLLAYTPMLHARLFSQDDKYRKAHFQAVKTDGETPWLDGNPSIDR PLFVQFCANDPDALLSAAKQVAPYCDAVDLNLGCPQGIARKGKYGAFLQEDQDLIFRL INILHKELPVPVTAKIRILDTKEETLAYAQNVLKAGASILTVHGRKREQKGHLTGLAE WQMIRFLKDSLPKETVIFANGNILQEGDIEKCLEATGADGVMSAEGNLSDPAIFTKPP PVGEEGREYWRGKDGKGGYRVDAVFRRYMDILHEHVFGNKSPARRPLFMPGDDTEWMK ESEAVEEEPPSKKRRKDLGKKGEQGPNMAAMQPHLFHLLRHFVSKHTDVRDMLGKSRA GDIEAYERVLSAVERKVAEELLEYERTNGESIAETPLTEGEEDPPETESSKGTQRRCK RPVWVVQPIIRPLPNEALKKGALTMSKKDKAKSQEKKEEEKGKQDDIKAKDEALAG FPSE_03161 MAALVQTYPQQTATVTMLQTRPASSTGMIPTNQGQNGHQYAGTS QTPRYAAPSPTGYRGSSAPVQQYAFTSTPTLNHAQTWQPQQTQPSRTYNPNANYGGRQ FSSSGTNVQYNTMGVGQTGARDDSAIPQRRNVVPAPRPHSAFLAGPTQPVSPTSQSKA APDRYRRSLAPQQNQHGRSQSTAVPVNAGMPTANQLYNGPNPSRSAIPNRPNSFYNAM PGMSAEDMQFAHMEDPNRSRRRSVRANSDVTRPTMQDKGKVDGNSQSLRVVANAAAHS RNASSESVSSSRSSHSRPSSSANLNVSAPTGNPSSQANGETTSKQDHAKVNVPPRGSS SDALKRTTNPSPLSRPATMASEVAEDRSSGAGNMESPAAKQLAAINKGRKSKSKTSRL RRAFSFGSAADFRKADEEGEASDKTEPSKLHKDPTTDEAYDAEQARIAEAQEAAGLGH SIYGGRFFGGSTDNLSISSTASSASIMIRKMGRGMKKGGRSFVGIFRPKSVIGVAPAD GPVKPEASQAAVSRVTVEAETQRVNVSADPRQTDTFPHLERNSIDTSLGIDIAERLGS SGTDNSNSRRSIVGGDRERAEVLAAVRKGILKRPGSASPSIRPADASPGLDLPSLPAV NDSPNSSAPSTPNDDSQGHRRTGSIAIGGEDYFMSALRLRQDSKSAPNTPSAKRNATF SPRIIFHDTWPSQEYDRRGEIATCNRLTPLLAQQIKEELNTFKMEMEVHENSKIYTHF F FPSE_03160 MVEASSSYSQSTDVFTLLSWLNTDRLGKRALLDGAVCSFALHLA GKANSDEALVAQSRTIYGLALSELQVALIHSTEWKTPETLCAAILLCYFELFAGTSSS ETWLQHAKGIGTLMEQRGPAAHAEGWDAAMLLSFRGVLIIGDFFYPGEEELFLSRPEW NQVMFDGGRRLIYSADTPIGHIRVGDGFLANLTQLSPIIHWGYLVREANRAGISVEPS KISALAQMALVSHARFVQWYEDFEALEFPRPIETLPTNPATSLFETVIQHQSAAAGSL LMGYWASMLILEETLIECGTPRENSDVSSRHFVERILRSIESVAQGAMGPYRVGFAVR IVYEFATGEEQRWIARLLDKFSKGYAAVDKRTYPKPKEDVIESVRGVSR FPSE_03159 MVFGSRNNRASEEEQRGRSREPVPLMESKQRTNSYASELSTSTT KSSASSTAKATRSWFKPAPQPANVNVHTYCGRHSSQFLFGGPSLADLARAMLGKD FPSE_03158 MVSKRKRVLEDFDPNKSDPEDENFDPTEAGPPRKTNKKSSRSRS GRPGRRRRNGYGGSDIDDDDDDEVSDSNEEDFFEDDDEEEDDEEAPVNAAGRRARKAA TQHNTYFESSPEREAEESASDDSDNAGNDDVKPEEDQDNKEDDAEGEPVEEPVEEEPV IPTKTRVVKLKISNKLPASRRATRARTEEVEEFYELSNSGKHAVPSRDSRSRSPETFA RNTRTTRGLKSLKTAPETIQEATQESSFREVREADQPDELTQDPEEITKQEVVDQDMQ DAADQDAPGEDAPVQTVEEDEDDDDVPITRRTRGSRANASAQPQEAESDAGPRKSRRL TRKSSKKSQEVSSDFEPGDESDDAEASDAEKPRRQDPDDVDSPVPRGRRSRPARSRRG GDSGDEEPDFDLDELNEEARELRQDSRSRRRRPRKESPIVYQENTRRARTRVNYYMPP LTSANIEEEEAEAPTAQPRARRGRGGASQGWERSLNTTFGPFGGGGGAGALLNGPWGT GAAGGVDSDSSDDEMVHRSSVVGNIGMTPTSAAAPGGLLPGAPGMNLDGAGAAPNVGK IKDRKALADADPLGVDLNVDFSKVGGLQGHIDQLKEMVQLPLLYPELFTRFHVTPPRG VLFHGPPGTGKTLLARALANSVGSGGRKISFYMRKGADALSKWVGEAEKQLRLLFEEA RRTQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGATNRPD NIDPALRRPGRFDREFYFPLPDIEGRKSILNIHTADWGLSNQFKDSLAENTKGYGGAD LRALCTEAALNAIQRTYPQIYASKEKLVVDPEKIGVHATDFMLSIKKLIPSSERSATS GAKPLPKSIEPLLRDQLSAAKLALDGLLPRKKKLTALEEAMYEQFDDDDHGFGREAMQ QEFERSRVFRPRFIIYGQSGMGQSYLSSAILHYFEGVHVQNFDLPSILTDGRAMEQVI VGLFTEVRRHKPSVIYIPNIEAWYAALHNTLALTTFKTMLRSIAPTDPVLLLATAEGD RDDLPNELIRDFFGFSMKNQMRINNPSKECRMEYFSTTLQYAKKKPREFPDPENRKKR VLEELPVAPPIEPAPPTKADMKAAQKRDHQLLNALKIQLQPIMDQINRKYKKFRQPVI PPAQIEYLFVESEPNFVRPDIEAGQLRPYEIVKDKYNNDVLRDTVSGKCYYNLETTTI EERLSNGFYARPKDFLFDIKALARDAKNIGDKERTLKANELLSNVEVDVASIENQASQ VDWEGLYQRQLQRAKDATEKERKRKAMQSLVDRVQSDLGGNDSDSQGPVTLGVVVPGS RTTARFQVRSPLSNDHGTSGQDLQLLTNGINNTIGEDTQMSGIDNDTQALSEMGPPPK SHEQLSVAHTGMTQISQKSAVTPLPPGVSPSAVINEASTTKTSDPSTHRSSDFSQMTN GGHRESRGVDDDSQADIPDTLIEGGHNASGDDSWLHSQAQAAAAGGAHMQVSSISDSP SSGRTSLGFKAQSAGGMASILNDHISDEQSARNSGSSTSASQPLTLGEVEGMLNNVTE ATSGCTIEQLEQINRELMDEIWRTRSEWNRSRVMDQVMAVFNRTMEEIEAFQGIEPPS QARDY FPSE_03157 MVSKKPVALIVGASRGIGRQVAVDLASNGYAVVVAAKTISDTSD LPSPFPPNPNSSASTITTVAHEISLAGGDATAIQVDVRYPESVDSLIAQTISTYGRLD VLIYNSGAIFWAPVSSTPLKRFQLMQRVNPEGLYATIQAALPHLPSTGRIVVVSPPIY SRFFRGKTAYAMGKVGMSVLTKGLAMDFEREGKNDMAITSIWPSVAIESAATEQFTRK NPEEANDLRKATIFSDAILAILQASPPVVNGELLLDEDFLREHAGVTDFSKYNVVPGT APRRIMPASLPELTVAEQADEGKRYDSTKAKPKL FPSE_03156 MGGRIDCYLDIVSFYSYTGFIELRRSMSELAAHNVQVNFIPVFL GGIMSESGNKPPWVLPAKSRYLTKDSRRSAERLAASYQGSPPDLLAIAKTISPLRALH YIKENYPEATFLAAVRFLFHKIWLPPHVNLAEDANLIAALKEATDELDGGSDSKLFSD EDIEKIMKGRESMKERVKEVTGFALKKGAFGAPWLIVTNADGKSEAFFGSDRFNHIYR FLGVPFQDVQILPPSKL FPSE_03155 MACESCRTQARSVLRAAMRAGASRASAPTTRNFLPPAATMISRR NFTNSSSRSLLQGIGKSMAEPYRVLGATEQLFKASSKAADYHITEKERKEDHVKLAED GEEIGHSLNPESPWHHTFKLPPTFSTWSHVTMLHLYLINARIRCFDREGFHNWQHQLT DHFFFECEKKMHIDHHITSSALRQRYLKDIFVQWRGLLLAYDEGLIKGDAMLASAIWR NLFKGSPDADPRALLAIVGWMRSTLLQFEAVSDNNLPAQLPTIMAKPVDVFWTRLEQQ LGGQQEDSIPASTPVREAEDGPAGPAKVSTN FPSE_03154 MRRVVVTGLGAITPLGVGVKRTWTRLLNGECGIVSVADLEPQTR WQELTSTVSGLVPSGEGEGRWSASDWLSANEQRRMSKFTQYAIAASDMALKDAGWEPK SEEQLEATGVCLGSGIGNLDEIYDTSIVHHKDGYKKVSPLFVPKILINMASGHIAMKY GFQGPNHAATTACTTGAHSIGDASRFISMGDADIMVAGGSESCIHPLTFAGFGRARSL STAYNDNPTWSCRPFDADRNGFVVSEGAAVLILEELEHAKARGARIYAEIKGYGCSGD AHHMTAPREDGRGAFLAMKKALKNAGVKPSQVDYINAHATATNVGDVAETSAIRRLML GEEGHEKESDITVSSTKGAVGHLLGAAGAIEALFSVLAIHQGVVPATLNLQKPDVGAA FNFVPNAAQERNVGVAVSNSFGFGGTNSSLVFSKH FPSE_03153 MPENRKPGSALPVEPLGQSISGPSGRLSTSLEIEDPPPENLKHR LHEWAGTGARNDDEDDQGEEYELLMDPNLPREYETRRKNSQESGDEAALIKDDEDENE EEENSPYPEVRAAVRNFDEDLPCNTVRAWTIGMLLVVVGASMNTLFSLRQPSISIGPL IAQIVAWPMGHGWAKFVPEREFTTFGIKWTLNPGPFNVKEHAIIVVMASVSFSVAYAT DIILAQVVFYKQDFGIVFQLLLTISTQSVGYGIAGMLRKFLVYPASMIWPENLAGVTL MNAMYERNDAPDPSVIGGAMHRYKWFAIVTACSFFYYFIPGFLAQFLSIFAVATWMAP QNPIVNQLFGGQTGLSLLPITFDWAQIAGYVGSPLIPPWHAIANTLIGVVVFFVIGSS VLHFGGAWYGEYLPMSDSGTYDNTGARYNTTRILTKDFTLNEEEYKNYSPLFISTTFA ISYGLSFAAISSLVVYTYLHNGKQIWQQWRNSTNEKPDVHMKMMRKYKEAPTWWYMSL FAIMLLIGFYTVLGYPTNLSWWAFLLAIAISFGFALPIGIIQAVTNTQIGLNVLTEFI YGYLQPGRPLALMIFKTYGYITMAQSLRFVSDLKFGHYMKIPPRTMFLSQVVATTFSC FIQIVVLNLSLNNIPDVCEQHQVDHFTCPGGRVFFAASIIWGLLGPARMFSPGQVYSG LFVFFVIGAVAPVVIYFLAKRRPKSPVRFLMAPLIFGGAGAMPPATPLNYLSWGAVGF LFQFWIKKRHFRWWSRLNFLTSSALDLGLALATLFIFFAFTLHGIDPPSWWGNDIVSS TMDVQGTAIQAHVAEGARFGPKSW FPSE_03152 MRNVKVPLEKRKRVSKACNTCMKRKQKCDGQKNCSCCRKKGITC VYRPSKSTESSSDSTVSCSPPNDLGEQSPEAVEYSDTPDISGKVTMRRSESPGTMSRF RIVSTSRPGEFGKYTHPHTASDHQLITLCLPLPEPPLFSHCYATESFVALVKKHVERG SGSSGFTESSCIRSESQQTSPASPYPIWPPDLPRITIERLVKSYFTNAKTLGFIDVIS SESVGATLRQMYNGPSQVYYSERCIIYLVLAIGLTFDFGPAEGALQDPNLKEQFFASA EALLQPIETQRGTKSYNGVPWTLQALVLMSLYMLCVSRRHAAHSYCGRAFEVAQSFGI HRGKEAEGERFSGTQSWIVSRRSVWRSLFVLDRFLAATLGRPLTFHQHTEESLKLKKR TPLNFVVDACRIIDETVKVIYSGSEISMKKVLLGIGYLDLLTDANVDPAHSVAQVRVD PLRFYADILLCRPFFLLRFIASTQEREALDDIEPQINKLSQRCVSKSMRVIEMLKEGR RPHSSFPVDPFTPIYASIHFREEHLSLVNDAIAMLGAVKETNSYAKHSASVLDLFLRD CRNHARIVKPKPEGHAYQTKTEPITAYESSQYRSPFYPPTQGSLAPAYDRRAAIGDRN VIKKESHDHSNAFSSTCFSSPMDSSTFHHQPSAEYSQRSWHNEHNGDMDLDGSYDSKR FPSE_03151 MASLSAACMASARAVTARSSIARGFTTSTRCLAAQNFTMPALSP TMTEGNIATWKVKEGETFSAGDVLLEIETDKASMDVEAQDDGIMFKIMVADGSKAVQV GSRIGVIAEAGDDINTLEIPADEAKEQPKEQSSAQAPKEETTPSQSKPVEKTSAKPTG NDTYEHKHPLLPSVQHLVKEKGISEADLKKIKGTGPHGRLVKGDILAHIGSINPETPA ANETNFNNLFRLDLSNIKVATKSAPPSKPSPAEESKAEESKAPVVEDLEVSIPITLTK VVEVQNRIHETLGVFLPISTFVGRAAEVANDDLPLTKRAPTANELFDQVLGLDKVKAS KASRGVYLPQISAIPPPSMLSPRPAPKQADIIDFLAAPSKKSAPKPASVRAVPGLSSG ANVFTLTVPKAEEERALVFLARCKAILEEEPGRLVL FPSE_03150 MASLARGFCLPGLRPLLVPRAESLLLRSRFFSTSLLRAAEPAIK STQSPNTSRAAAAAAAAAASKIAPSRYAFMKSLASKPTPTVIYEGPSHFWFYFGCWSS GISLIAWTGLTAPYAVQQPEGVPQWIGLVFGTAYLLLASMGTFMITKTPNIVGQIRIL PAQVSKLAAATPGPAPIQMELTIKRMVPMLKPKVVVAPLNEVALASRFSLPEEYVPGL RRIELEQKTEAQRKALHKFDMQHLFTMPFRRMGRALSAMFNGVKSAWTDMGFGIIIVD GKKYKVDVTKGYAHDGFRTLEKLVEIKH FPSE_00203 MDSEDGELFIKQLAGFVRTHEKALANALQLRRQVRHKPSQSTGS AALLSQTSTSLPERPSTSASTSSSLAAALSLGSLNFTSHNVKSAKLALTPHHLFYLLS RFEELSINVGPMKVRLENLHDSSSSANYVSFLSNTQRSRSKGSDIDSIHSVSSMRSVM SGMSALWSSFGIGASISAARTERQKAALEADMKYLYSAFTKIPCLKLAPDWRARLIRG YEEFPFDSAVPLYVFKNLQALEVSSIDFRQFFGWDRLADQLRSLTLRRAGIEDPADIL IDIVLDDMDKRRRRVSKSQSSPTSVWAGSGSPRRNVAHPELNRAVSAPSSPGPHIDMR VGSMTPSEHAIEESSRRQSLCKEDENNDEPQPSHKPSRPRSSSPPRPTSSRTQSHPIR GNHHRMRRSGSGSSQSSLSDSWTGHHHSRGSSGNLLAMGVLPASKWRFLRHLSLADNS MTCIPRNSLAPLSNTLYSLDISSNLFSQVPDSLATLTALRALNLSHCMIDSLQSLTRN PLPAITALNLRANRLQSLAGVERLVPLERLDLRDNRLVDPMELARLTGIPDIHEIWVE GNPFTRTHKDYRITIFNLFRKAPGYTEDVVIDGSGPSYVERRSLVDRPPMPESIPVVK PLAPEVPTVDVSKPTVVYNIPKESTVLRKERPTPKAVMSEINTSSTRRRKTPKRRIVD LATPDAPPFPTPVDVQVAKLPNAALVNDGNYRIFQQPEAQPLPAAVLSPNSHIVTSPE VPRIDTNVFANIPAIYSPSDDAPNWTEPQDWDAGGEMYRQKIEALRSSVGNGYLSVLS EESWTPDRSSDYSPPSIPSGAVMHTAHPPIHTPQAQPIHSGRTLG FPSE_00202 MAPASPTPRRPVTGRRRGRPPGSTNAARAARAAALAAASATEPP PKRRRYAPAGSRFIAGGAGGGGRYVTSDILATPNTAGPSTSSRSRAAAREAINGPSPS LMPRRERGARTRAAGNEDLEEMQWGSAAAMATAVKQAEDYKPREERSWEDFHPNLDIE ATFLVLRSEQVDGIPQEQPDMSTVPMLTTPLDDTRTPSRQPNPASTGNTPNPQGRSDS NAADAPNETPLRRPRRPTRDVVSFYSSRPLDLMTTPKTPKILPIQNQTPKEKLDLKLP SYRKTNRIELFESKTFGQARYVDKAMSNVGYQESDHYMRPDQSLIKSSDLYAEDDADL TDATASEEPVSHRRIGRVEYDMDEQDDMWLERLNVRRKEDELEEITREIFEITMTKIE KEWHALEKRIPKPNPKPPQTHRPRSSSAAAVNGEPQGGEEPDSRCAICDDGDCENTNA IVFCDGCNLAVHQECYGVPFIPEGQWLCRKCMLCGRGVPTCIFCPNTDGAFKQTNSSK WSHLLCAMWIPEVSLGNHTFMEPVMDVEKVPKSRWKLTCYICRQRMGACIQCGNKNCY QAFHVTCARRSRLFLKMKTSQGALAVLDGGMVLKAFCDKHCPPDYAQEHNIHQATKAA KKFYKRTMRNRIWADNTVAANNIAARHRDALAEHPSEETQLTGNKSSISGDKKKGQPP KNLWKMPSGAPVIPQVVFEIVEASIQRFPFRKRKDFLSEACRYWTLKRQKRRGAALLK RLQLQMESFSSMELTRRDFAAMGPSGKARLTRRIEFAEDLVKELEQLRNLASQVVERE QIKVDAGELEQEFVDECYFPVAKLLDPAIDRAISLDKDLFSDGLDKLQTRINTRFYVT VMSFAVDLCKVISDGVATTPELKLSTDATQHESNDASPAKHTFSDIRERRKLGKRILK AVQPFLEAALRVESEISQKPFEGLQKELEDTIDKSVEYRRPLTATSQDKSTDPSDEAN DTIMVDAELQITVKADSTEGGDAMDTTSDDGNIDVSTNIDVDTSEIAKAEAGEKQESL PNTVQSSDTPPGTDGYVSKPQIAQSGPPTPPQSNGSLGLDPSDPLTDGGILWYLKGLD PKGTSVLEEQWAGRDAVRTLSEDLTDLDDEALKGLGMDVDNAVASAAVEADEKEEVKT VAEPVGGKTRASKAKKRRASTRRR FPSE_00201 MADQHEVDLDSIIDRLLEVRGSRPGKQVQLLEAEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKYVQKNGSKNTPINSPSKMKK FPSE_00200 MASQTPLPRVSVQFCTQCKWMLRAAYYAQELLSTFSTGLGEVAL QPSTGGTFIVSITHQAPGAEAASTKILWDRREDGGFPETKELKRRVRDVIEPERDLGH VDKDYGKKKDEGRKDDAVEEKTAKTEEKKKCDDCQ FPSE_00199 MPQYTSRDVGDPSQIKKNKQSMADLKLRRLTELNNRLREDLERE RIPVSTASKSIIAYCNGTRDYMVPSVWGAVPKGEDPYAPQQSGGCCVVM FPSE_00198 MVSARHSRLEIPPNPQVKAAPLCMQATTAAFREREVTETETVDV SNTIFSKKNVNRLTKKAVNGSDTDVLGSKLAPSGRDEIKSSVPMPTLEVPLVNVNMSL LGGRALVADLVISAQ FPSE_00197 MPPPPHQKPENVLKRAHELIGVGQASAALTLLHEHITNKRSRNV PIMSLEPVMLLLVELSVEQKKGKLAKDALYQYKNISQNTNIATIELVLKKFIELAVEK VTAAQQKADEVQESIDATAGTSNIDDLEATETPESILLATVSGEQSRDRTDRAIVTPW LKFLWEAYRTVLDILRNNARLEILYQSTATQAFDFCLKYTRKTEFRRLCELLRNHVQT AAKYSSQMHAINLSDPDTLQRHLETRFQQLNVAVELELWQEAFRSVEDVHTLLNLSKR PPKNVMMANYYEKLTRIFLVGGNHLFHAAAWSKYYTLLRQSSILVASGQGKKSDNPPV SDAELQKAASFVVLSALAIPVISTSRSRGAMVDFDEARKNKNSRLTHLLGMSQAPTRA RLFRDALSKSLLQRARPEIRELYEILEVDFHPLSICKKISPILTKIGADSEMEKYILP LQQVILTRLFQQLSQVYETVDLSFVESLAQFPEPYQVTRGTIEKFIMNGNKKGDLSVR MAHATGVLSFDNDVFSSSKASHGGSSAGSAESETGTVQRLQSTPSEIVRSQLTRLAKS LYTTCHYVDPNFNKSRIEAREAALARAKAGAEEEHLAILSRKDLIQKRKEVASEIQAK KEKENARQKRLRDQALQEAEDLRLQNEQKEREAKRLKAERDRVRKEELKKQIADLKMG DKAIDIDLEDLDNLDSNRLRAMKLAQLEREKNDVNERLRITGKRLDHLERAFRKEESK KLSEDYAKQVEEDRKIYDQMKTQKLRESEQKHKESVELKHRLSRLVPQYEEFRDSLHE RRRDEFEKRRRDAERELEKQITQRKKEVRDRRLREKREREEKERELQEAEERAAREKE EQRIRDEARKEELAKLKEQREKERQETLEKAALQQRREDEALARRKAEKAQAPAAGFS RAPERTESSEARRPVFGAGKWREREAAREGGDAPPPARAPPAERSDSNDRPSAGGPPR IALAGNKPSWREREAAKQAAGGSGADTGSSAPPPRFAPRGGAPPMDRSGSGRGEDDRK PSPAPPAESQPASRTAGKWVPPHMRGK FPSE_00196 MLSLRTIVVGAALALAGVAQAWHTEYPPCLDPFQPYVAAGCFQD GVQGSNAGALIYRSGQNQYNMTVEKCVAECKGNGFRYAGLKYYGVCYCGSTVGGAQLS DDQCTYPCTGDNTETCGSDNALNIWQDPTFKTTNLGGVITNLPGTVQSIAGYKPNGCY TDSSSKGRALTWPMDVDGSKMTPTTCLNACADQGFPLAGLEYGGECYCGNVLANDTVK ANVGDCNVPCNGDKTLLCGGPSRLSVYISMDLLSLQPCGYTPGSSSSSSSSSQSSTST TSASTSSSSSTSSSTSSTSSTSSTSSSSSSSSSSSSSSTTTLSTVVSTTTNNGPYPPP SSTNGPNPPSSTSNGPNPPSSTNNGPNPPSSTNNGPNPPAVSTTTTSCTTTTGPAMCT STVVVPNTCEYKCGNWCAPSVPDFQDQNSCQTAYNNCAKNIASCFQNAGWPGVLNCFD FSKWCDGLQGYCASTCSRGRNCSKAGCFNNNAPSGGNNVKSTTSVYPCAATSTSTTAS SASTSCAPQPTNICSQPSSRIWGYGPGNPVGGIELPLVACNDLKSDFSQNPFKLYTDT NSNSCSSYSRNQQSSACADACKTQYNACLNTYVQSCKKLNTRSEGNNYFDKRSHSHFH KRALEKSGIEPRFFNLFGNVQWQTAQSKCGIQYTDCLLENKNVYYSNRCQNFGTGV FPSE_00195 MAEFLYQSIDLVSNLTPVQWCQTFFALSTAIVLGIQALPQDVRR ALMDYGARRPKDAKHERGRKENKQKVFVPLRSFMKNLTEYGQVPHSWFLHFYIVSVAL SGFWAWQYLTKGHVLRTVARWQDRAGGPSMSLEQIFVAWLLMALQGSRRLYESLFVFK PGSSPMWFIHWALGLSYYIAMGLAVWVEGSSAILAAWDSPHQPLRIPRRLPSALALYF VAYFKQNQCHRHLASLKKYTLPSEGWFRYIICPHYTSECLIYLAIAWIAAPHGQLFNK SILGAVMFVAVNLGATAKGTKAWYEQKFGVDKVAGRWLMIPPVY FPSE_00194 MDAQNAAQSDQTRPAGDSPHQRPESKPETSKSTPPPVSSKPSEP IGKDKDKDKDARRNANSSVVDQDASEDRDSDAETIVLPGKDGHSPSKARKVRQEDKSD GDGDNSKSSIKAAGYRPLELEKQASSIHARGDPVKNKRTPAHLEREKLSRGKDAAASS GLSSAPASPPHHRQQQRRRFVEDTHSSSDSEPSSNRLIARKVIAKKLKSSETSMPNKR KSLKVESDDEGEGRKIRRQRTTSVSIDSTRLPKEHKPFSAKAQHDSQTRSISPQSRTH RRSASSQLPSYTSNGFGQKKRRLPPPLHSTDWHSDDSSASGSPHPRSSKLRSLATPAT DTNASPARMAPHKKHLDAHGQTFLARACARGEYEGAKTRLTERPEDLNVADYAGNTPL QIAAINGCEDIVKLLIDAGCNLDCVNYDKDTPLLDAVDNGHLGVVKLLLEAGVNPRKA NVNGEEPIDRVSEDTDNADEIKAALMAARKRVGDRRRTSEEHHSHQDQDARDSHAPDS PRRSPATNSGSGRRTGTVRATKTRNDLLYMPLDEKTLRQAAGRGDEETVARILQVKEG FNDPESMVAAARGGHDLVIQLLLGLGGANPDPRPVYNAQPEFATPILAAIGQENIKVV ELLLEQAGFDPTRRYKGETYYEIARQRAGPNWKDEEHMLKNAYDEYKRSHKDSARAKS PSRRERDQERGEREEREAKRARRVETKDKEEARQHKRNLSSPEPKKKSAASKLTSPRE KRRSNSITNQGDETSKRGPGRPRKEDRIPSINVSDREVSPTISHKQLKAKHVDSDAAG VSSEGETVKPRRKLVSKGELRGERERQRRASMASNASSFKEPPTSPHESKHEEPVDKH DKNRVEKLSEKYHDRTKALKRDESRDRLSVSGDGSTKRHRASITPDRPSNGDKDDSEL PPKRRRLEGETKEKRPKQTLSSDERPRKPNNAQDSSKPVAKSIQKKHDDDKRERRANS HRADIDRASSAERQIHVKSEETDVEMRDADSIKAVSESELQAARAKEAREAKEAEQEK QRQLDAEAAKKEESRKKEITRKKEDERKRAEAEAAKRHEEEEARKKAEEEEKKRKEES AEKERLRKEQEEHEVERKRKDEQERQRREEDEKQRREVEEKARQEEEEKRRVEVERKK REEEEQKQREEEERLHREQLEREAAEEARRQREEEERKERDRRERAHREDQERKRAAR EAEQRRFREEQERIRLGKLPPLLRWLDTCPNPKLPVLADKFKRIQGCRYDTIRREANG TPEGREQWVLNTHVALLLGEKDLELSRYTAWERAPVSQLAKMSLWRVEWRLYSLVDDK VWDLGRQLPEYYGDEDPSELCFHTKQRLREEAWEKFRNMDMFFVKLSDLMYTVSNIPH LRNTQLAVEYRELLESEAQSMQWGWGTCQKWKQDPDAARYHGLAPRCKYYLNGALVGE DMPQLAQTSSTPFPDKKVPRRGLVQVFPEDPDYARLCLEQGLEHLINGHLSSPFINGI HSSPISQKSMISGGHAVNGTFKALTPGSAIESLPMASAGHETLTNGINGKTNGTSH FPSE_00193 MATTVDKIKDIEAEMAKTQKNKATSFHLGQLKAKLAKLKRELLT PSGGGGGGGTGFDVARTGVASIGFIGFPSVGKSTLMSKLTGQHSEAAAYEFTTLTSVP GQVVYNGAPLQIIDLPGIIEGAKDGRGRGRQVIAVAKTCHLIFIVLDVNKPLTDKRVI EAELEGFGIRINKEPPNITFRKKDKGGLNVTSTVPLTHIDHGEIKAVMSEYRINSADI TIRCDATVDDLIDVLEAKSRSYIPVVYCLNKIDSISIEELDLLYRIPNAVPISSEHGW NIDELMEAMWDKLSLVRVYTKPKGKQPDYSQPVVLRATRCTVEDFCNAIHRSITEVFK TAIVYGKSVKHQPQRVGLSHELCDEDVGRLNRNPRNQLWAPVAA FPSE_00192 MNHVNGTSTMGQDNTMAQSNGYGGDVWTSISPYSQSPYSASPLT EYPSFGAFGVHGLPSESMNRMPPPQTHQMIQPAPPPMAHPQLPLLNTNQTWPSQLTNP APQQSYPAPPVSMAPATSAPPVEPPRLPTQHEKSRKTLTTEQKRAMCQFHEDNPGTRQ ADIGARFGVERSTVSKVLRHKDQYLKRDQEPENAAVKRGKGKHPDFDRTLSNYVRRQQ QRGFQVSDEEIMEQARLFAHASGNQESVLNNLNSSWLQKFKQKHGIGAGKLMRRASEA NIPDSARLSTLATKTGDLSPVSPTGQLSPLSGSRSDEEAHVDGIDFDFGYKHAESQST TSLSSDFRDNGASSFSTGTMSPTGTFTFSPDPNVGGFPMDFQHREKRSNTFPSLNIDY VNQVSCSAEPMTPRYPSSSTAPSSALESPQHELQAPFSIDTNVNSPPPVLRRSSSNSS INRSAAATSATSSTPIDSSPVSPSQEDARRAAQTLLNYIQSAGTFDTSDYMSIVQLTK KLKIHQGRQSIGGLSRIPEGDVEAPVLLSAKMEPA FPSE_00191 MTATSSVAPAVSPARPPAPRPKSVTFDTEPPPSTTASSTATTTT GAYSRRSTRPNTTDPLSDRATSLLIRRTLCSPQLGDKGRDAQVPIDELLPPLTSRNDV DLQLYAILAIVLREFVQSWYNKITTDENFVAEVLHIIAHCSRALEQRFRKVDIETLVL DEIPDLLDKHITSYRISHSTIARAPVEVDPREAYHALCPLPHLAPVPHPDKPDTISDQ AGNEALYRQLLVQGILAILLPTEDLENPCLTSLVEQIFSELIIGNIIANKASQPWMLY EGICITARVLRQKKDEGPSPVGTQKETSESKLEVKGRRAWSVRSSVRSIFLGVIQLGM VVVASLRFISTALVMASSLPARTTPIDEKEVLLGQDKHSSVSSIHESSDYIKAPILSC RVWTCLGNLLELSLRMPWLDGFLSLLQYGAVNGPGRIAGHDGPVDRLLSHHINSLFSP SNLPPLLRTLRGVLFPNNAPGKASLFPPSSEAELHALRRRAAKSLWELLPKGVGRLYF GGRLWRRGTKAEGDPSDDEDLVDEMERLLLVLDDEYCNKHLMYSILELVLARLMPELT EKGVTELWEERLG FPSE_00190 MATTSNMFLYSLTVQPPTNVTQAVLGQFAGTREQLIITGAGSQL SLLRPDPSQGKVITLLSHDVFGIIRSLAAFRLAGSNKDYLIIASDSGRITIIEYLPAQ NRFQRLHLETFGKSGVRRVIPGEYLACDPKGRACLIASTEKNKLVYVLNRNSQAELTI SSPLEAHKPGVLVISMVALDVGYSNPVFAALEIDYSEVDQDSTGQAMEELDTQLVYYE LDLGLNHVVRKWSDPVDPTASILFQVPGGNDGPSGVLVCGEENITYRHSNQETFRVAI PRRRGATEDPNRKRTIVSGIMHKLKGNTGAFFFLLQTDDGDLFKLSIDMIEDEEGNPT GEVKRLKVKYFDTVPVASSLCILKSGFLYVATQFGNYSFYQFEKLGDDDEELEFYSDD FPADPKASYEPVYFHPRPTENLALVESIPAMNPLLDCKVANLTGEDAPQIYTICGNGP RSSFRMLKHGLEVNEIVASELPGIPSAVWTLKLNRSEQYDAYIVLSFTNGTLVLSIGE TVEEVSDSGFLTSVPTLAAQLLGDDGLIQVHPKGIRHIRNGNVNEWAAPQHRSIVAAT ANAHQVAVALSSGEIVYFEMDADGSLAEYDEKKEMFGTVTCLSLGDVPEGRLRSSFLA VGCDDCTVRILSLDPESTLENKSVQALTAAPTSLAIIAMEDSSSGGSTLYLHIGLHSG VYLRTVLDEITGELTDTRQKFLGPKEVRLFQVTVQGKTCVLGLSSRPWLGYADPITKG FVVTPLNYVDLEWGWNFSSEQCEEGIVGIQGQSLRIFNIDRLGETLIQKSIPLTYTPK KLVKHPDQPLFYTIEADNNTLPPELRAQLLADPGVVNGDSKVLPPEDFGYPKGTRRWA SCINVIDPLSEEGQVLQTIDLENNEAAVSAAIVSFSSQDNESFLVIGTGKDMVVNPRS FSEGYLHIYRFLEGGRELEFIHKTKVEEPPLALLAFQGRVLVAVGTSLRIYDLGMRQM LRKSQAEVATQQIVSLNTQGSRIIVGDVQQGVTYVVYKPASNKLIPFVDDTIARWTTC TTMVDYESVAGGDKFGNMFIVRCPEKASEEADEEQSGLHLINARDYLHGTPHRVSLMC HFYTQDIPTSITKASLVVGGQEVLLWSGIMGTIGVFIPFVSREDADFFQNLEQHLRTE DPPLAGRDHLMYRGYYAPVKGVIDGDLCERYNLLPNDKKQMIAGELDRSVREIERKIS DIRTRSAF FPSE_00189 MESCSTCATILSSTPAYTKEELSLPQDRRVACCSRIICGKCIHK NERFADYCPYCQVSTVPTSLPQGLKDPPAYSSSSSTAHIPGAPPPYSAASTTHHVDAE KAALAQDDLKEDAPDILHFLDHQHDSVSSLSLRYGVPASALRRSNNITSDHLLLGRRT ILIPGEYYKGGVSLSPRPIEGEDEEMRKGKIRRFMTSCKVSDYDIAVLYLEQSNYDIA NAVTAYLDDEKWEQEHSVPGKGKKADKYKNRGPFWRGL FPSE_00188 MSKPGLSFGLNLTKKPGASKPAPPKRKPLFGDDDDSDNDGASGQ GKAEEIGEFDDFDSVPKSKTTTSKPTKSKNGPPTQPPKLKSKGQPNMMFGDLSSSLTS RKNAEAAAEVDASVYEYDTVYDSLKPKKQATKEDQEKRPKYMKNILQAADIRKRDALI AEEKKIAREREAEGEEYADKEKFVTEAYKKQQEENKRLEEEEKKREEEEAKKNKTGGM SAFYRKLLDKDEQRHSDAVKAAEEKTTSGALAQEEEGDDAEKEDDKTQADLAKELNEK GAAVAINEDGQVVDKRQLLRGGLNVGAKKKDSAQRDSERQAELPRKEGTNPQFGRRQA QRERQTRMMEQQLEESMKRSRDEEAAQREEVERAAKSRKTEGEISSAKERYLARKRAA EEAKKAAGGA FPSE_00187 MDSTQVKAAVIKQVQQEANLVNARTLIEKLQETCFEKCVPKPGT SLSSGETTCMTSCMEKYMAAWNMVNSAYIARLRQESGH FPSE_00186 MTLSTMKAMVTEVPENGSKPELIKKDISPPMLEPHEVLVKVATV AQNPTDVSAFDLNLFGNGAVLGCDFAGKIERLGKDATKVAEGDTIAGLIWGGEIKGLG AYSEYTKAHEGICFKVPKSISLTEAATIPLASLTAWLAFFSKDSLNIDRNKPDTTVLV WGGSSSVGQYAIQIATILGFKTITTCSSHSFDLVKSFGATHVFDYNDPNVVKSIKEAA PGLKYVFDTIGKQTSSAKASEAIDENGGTLCTVRPDKSFTENVTEQTKVTPVLVWTTF NRVIQYKKASFPASEDDHKLGVEFNEKLPGWIDEGKIKPNKPKVLGGLDSIPQGFQEH RDVDPGRECVVQAHLPMKL FPSE_00185 MRLSSLSAALLGAFAWTTSASEFDDENIRSISPYLDSDMQSRWY DFGGDTIIRTDSYIRLTSDRPSQSGWMYSRVPLTATNWQVEVEFKISGKNQLYGDGFA MWITRQRAQQGTVFGGPDNFEGLGIFIDTYKNNRPGVVFPYVMAMYGDGKTSYDKSND GKHTELAGCSARGIRHASIPTKLRLTYFQDKQLKLELQYKVEDEWQTCFDLEDPPAVP NIAYVGFTAETGELSDNHDIISVAAKNLYTQPGTSSNTGSKSSSSKGKSRKGSGKTTS GQKEGGSWTWFFTKIILFIIVAGGAYVGYTAYRSKAKSHRF FPSE_00184 MPSLGFLKKKRTREGNSDPSASSPTSPVTPTTSRSKSISKAFHL PRNNRHSTSAPTAQPTNAQQQSQQQPEHQHQEGAAPQSNQPPAQNQQTAGASDGQQDH DMDTVKLHKPEYGTNSMSPHDHQNLPSINNLINQPQQQNAQGNSYNNAQSNPQFLAAT IDNHRPQTVSPGTDPATLQQQQQQAMPQPPQQQQPSDNQQSQQQYQQQQQPQAQQQQQ QPQQQSQQFQQQQHQEQNQNEAQQQPQQQPRQPGHQYTNSVMRVTKGKYSLGDFDILR TLGTGSFGRVHLVQSKHNQRFYAVKVLKKAQVVKMKQVEHTNDERRMLADVKHPFLIT LWGTFQDSKNLYMVMDFVEGGELFSLLRKSGRFPNPVAKFYAAEVTLALEYLHSKNII YRDLKPENLLLDRHGHLKITDFGFAKRVPDKTWTLCGTPDYLAPEVVSNKGYNKSVDW WSLGILIYEMLCGYTPFWDSGSPMKIYENILKGKVKYPAYVNADAQNLLERLITADLT KRLGNLYGGPADVKNHPWFAEVTWDRLARKDIDAPYTPPVKAGAGDASQFDRYPEDPE KYGMVGGTDEQVMSTEKR FPSE_00183 MEDYLFRHYVDQCRVVKEETSYVEIFNYSDPFYNVCEEHPLPDD EFHNFVNQTGAFAPPENLRPDTKLLSGVRLIVQKNAKHPDTFLTKVISLPAEKYGTMV RAMKLPHRGIETNSVVGPFFWCAHDQDDDDPHLQIIHRKSDVLKKGRTRGWEMLLSYS FKTGITTGFIKGTPSSEIEKSLNHLKACTDQIGHPILLPLIILTYDLSPENDEKQRKA RHWLRRLENAVSLRNEVEEQEQYFQNGFIDIDGLSRDLVECHGNVMWKRPQAYEALVK EMEKAMETFRFVWITLCPDAEKQSEADRKHRKEVEKLHRSMVSRLDFYKVKLKGLENY IHITLERLKVQREALYNIMSQREARLNLEIAGEQRRIAHASKRDSTAMKTLSLMGALF LPGTYLASVFSMTFFNFGADADPVISAELWVYFAITIPVTALIVFSWMFIDKRRQEQH KKDDADLEKNIDKMEKEIMFALRKRTMSKANTWNTVSPPPKP FPSE_00182 MLHITSSSSPVGSAVFSCIVLVVISLVVLLILRYYLPLRTTPAF YLIPIFFALWLPTIVVILVPIDLASSAVTGDEATRGIWLPERVILVSWRISYWLTFAL TWFILPILAEYSDSGYREPYDKFMYSVRSNAQFHAIVLGFSSIGLVYFFIKFGFKVEA IKGTIMALAYCWGLILAIYLMGHGLVSIPRRLMRGASISGRLRRLQSKAPKVYEEMED SIAKLEDIEVQVTELGRRKTGSANVYRDWIEELQEMANVPESQPRSTRFGGGSDTTII PHVITEKYLADLTRKYVRAKHARSRYVNTWSDLVQEATETQAILDSAASKKLDFGDVS PHATFWERTVILTPYTRYLYYYQVLPYAQVLLGLFLAAASACIVWSEVVKVAFPKLSV IRLTVVHHWVGDKPEVGFAGQAISSLWICYMCAAALTSMTEVKVWRGRALVRRNTAHE SAFWYAMQVAKLTIPISYNFITFLSSQVYKKTVFYHFLGQLIDFTPLGHWFDDLFPVV VLFPVFATLFGIYGRVKRIFVGMDVIDDEEETGITYGTGSWREGRDLISRELGGSTLF HRREDALSRIGGAGTTGGRSAPVLSIPSARGAASSPARSPARPSTTSSRRGPEHRYGP NWNDEEPEDDNLFAIIGHRMKNTMDGIEAPKWFSDIKKPKWMGGDDNDAGPGPSSSGP DFRRWFGGSGDGQIHI FPSE_00181 MEDTTQWLSDASAAIHISLVSPSESGLQHVATFNPRHTYSIFGD DEKIFGYQDLKVNLRYRANDMRPHLNISYSKKSGTINELEPTDVAAILDEGNHLPKIA FAKARDFEESSKQLSDDWTPPGKLHTTFDSPGGQYEIWCGNLADPAVKQLNSRLQIFV PLFIEGGTYIGQDPDDDSAELDLSDADRWTFFGLYQKRKVGDKTAYVFVGYSTIYRFY YFQPPTPPASPRSDDWELPNGNMDLGELPCRTRLSQFIILPPFQGKGNGARLYKTIFE HYHKIPQTYEFTVEDPNEAFDDLRDICDLQFLRKIPEFNNLLVDTNIKIPKKGFLPKL IIGFSLLEEIRLQAKIAPRQFGRVLEMHLMSKLPVSVRPTMAIEEDKPAATKADQHEA KVWSLIVKQRLYRHNKEILSQIEPAERVEKLDDTLHGVGLEYARILAAVDRSDKYEGQ TTASSKRKLDAEEIAEDSSNKKARVEDA FPSE_00180 MPNSMALTPYGSNGSTDLTMAVLGCGTMGVAILSGILNSLAEMQ GPKPLQTLTSSPGDEVPDRLPSRFVASVATPAGAKRVKGALWEHSSILKVVHNDNIGA VQQSEVVILACKPWMVKEILTEPGISKALHGKLLISVCAGITVEDIEIALHGAVPCKD PEEDGRCRIVRAMCNTAAVIRESMTVIASPNSPLDHATESLVTWIFKRIGDVVYLPTR HMDASTALCASGPAFFALVLEAAIDGAVAMGIPRPDAQRMATQSMRGTAGLVQNGEHP ALLREKVCTSGGCTIGGVLVLEEGKVRGTVSRAVREAAVVAGQIGKGAEGVNGTRFHY E FPSE_00179 MADPFEVRMRFTSQLQHLNASVASAQKAAQYALRYRDMDEDLHS CILEQLERVNNMNIRANIMYFIEHFLDMAQRDGHPDYIRMMQRDIIRVVDAVAPDDGS GAANVRVVRKVLQGLQGKGYLESQAVIQIEDVIKERETNAADFASPNGDVEMTDMPIS QSVTKPGRRGASNQFDKRQIEQRIEEDRERHKRERESIWAIPKIDNAEMDRLWEETSD FGEDDDRLLTEEQRDFEKEMEMQSGCQHNQGAKNGNHY FPSE_00178 MAMSCRYAAQSCARQLRSARAARAPAQLVRIPATSRRWNSTEAA PVNPKIEAIVDQISQLTLLETADLVSSLKNKLNIPDMPIGGFAAAPAAAPAAVEEAEE AAPAAAEKTLFTLKLQGFDAPSKAKVIKEVKNMLGLSLVDSKKFVESAPKMMKENVPK EDAEKIINTMKELGATVVME FPSE_00177 MAMIDVSRQRRSLLADASVLELLPAEILAIIQTESSTKLLDAIA EAALCPPLTERIFAHFEHVFPDVCARWILNPGNEQKHIRIYSALARILPFAPYLSTFI EYASSTAEQPTNSHSLRLPPLKLDQDAITQDGDVLLQSLLVAWRLISFDSRNFGPLTS AAFMQTLFNHQSTAVRYLATRIFIQLLHGSDWKLESLIQEHIGKSEAILADFDGRSID YGFLSLYEQSRVKSFLTLRQEVQAAHEADGNDSPYLQALTPYVVSYGNAILPRPLGPT GEPSNLVLTPTTISNLEKLATMLREPDPVMLHGAPGVGKTALVHEIAKQLGMYSNMVT LHLNEQTDAKMLIGLYSTDTKPGSFQWRAGVLTTAVKEGRWVLVEDLDRAPTEVLSTL LPLIERQELLIPSRGERIRAASTFRLFATVRTSRGMNGRENLPSMVGMRFWQTLNTQA LAASELEDVIVQTYPILRRLVPDILAVYTRLSQFSNKPGALTRGRNVMDRQMTLRDLL KWCRRLRESLIAAGSKTGEEAITNTTEDQMFMEAVDCFVGSCPDPQIGKDLVFAIAEE MRVPKSRVEYYLSTHIPPLQESENQFSIGRAVLRKKKQSNRVQKSKRPFASTAHAKRL LEQIAVAVKLNEAVLLVGETGIGKTTVVQQLAESLGHKLVAVNLSQQSEVGDLLGGFK PVNTRTLAMPLKEEFEDLFSATGISASKNQKYLEQVGKCFAKGQWSRVSKLWKEAPKM FNKIVKELERVQAEQTETRDGDEQPTKRRKTQSKLQTLLDLRPRWDLFARNLEQFDVQ ISGGSGSFAFSFMEGNLVKAVRNGDWVLLDEINLASPDTLESIADLLTGPEERPSILL SETGEIEKIEAHPNFRIFGAMNPATDIGKRDLPVGIRSRFTEVYVSSPDKDIKDLLNI IKTYLGNSSSKNDQAADDIARLYLNTKRLAEEKRLVDGANEVPHFSLRTLTRVLSYVN TIAPYYGLRRALYEGFAMGFLTLLDRESEKMLVPLISHHIFESHGNPQSLLSQTPKHP NDGKQYVPFKNKNRDRQYWLFQGNETPIERDDYIITAYVERNLLNLVRATSTRRFPIL IQGPTSAGKTSMIEYLANFTGNKFVRINNHEHTDLQEYLGTYISGSDGKLRFQEGILV QAMRQGHWIVLDELNLAPTDVLEALNRLLDDNRELLIPETQEIVRPHENFILFATQNP PGLYGGRKVLSRAFRNRFLELHFDDIPEDELEFILQQRSRNTSPPDCRRIVTVYKELS RLRQTSRLFEQKDSFATLRDLFRWALREAETREEIACHGFMLLAERVREEEERIAVKE IIEKVFKVKIDPQNLYSATVAPELKQFTSQKNSQGVVWTHAMRRLYVLVSRALRNNEP VLLVGETGCGKTTVVQLLAEALKRELHIVNAHQNTETGDLIGSQRPVRNRGAIIDAMD VDLKSVLQTLGLDISGSVEERLERYKALDSSIINSIPQDARERISASETRSKALFEWA DGSLVESMRDGHFFLLDEISLADDSVLERLNSVLEPSRTLLLAEKGVDNSFVVGADGF QFFATMNPGGDFGKKELSPALRNRFTEIWVPALSQSEDIYEIVKTKLNSDSKQLTDII VQFAAWFGDTFRSMASAPFSVREILVWVQFINNFQSNQPVVSLVHGASTIFIDSIGAN PSAILATDPKSLGQQRQKCLGKLSELIGEDISGIYETQPELSMDDTSLTIGHFSIPRA STGVADPGFAFHAPTTRLNAMRVLRALQMQRPILLEGSPGVGKTTLVAALSQACGQPL TRINLSDQTDLMDLFGTDVPVEGAEAGNFAWRDAPFLQAMQKGEWVLLDEMNLASQSV LEGLNACLDHRGEVYISELDQVFKRHPDFRLFAAQNPHHQGGGRKGLPASFVNRFIVV YADVFSDDDLNLIAAHNYPKIEPQAISQLIQFVSQLDHKLAIEKAFGSQGSPWEFNLR DVLRWLKLLDSSDPLLHNAHVDDFLDIIVRQRFRSQRDREEVDNLFTLISGSPPRQHS LYHDINPMFGQVGLALLDRHPHSQPERLPNIDIVPRLAELESLMICVKQNVPCILSSP SGYGKSVLLEHVAALAGKPLVVFPMNADIDTMDLVGGFEQADPLREVNAALRALQQDL QNSIMSAVPEDIPNQALHLLHLLDGFTGDVASLPAITEAAKELLQKVPEDSEVATTLT KALVPLQQNLVLENPRFEWLDGVIVKALQMGQWLVLDNANMCNASVLDRLNSLLEPNG FLSINEHCGPGGEPRIVRPHPEFRIFLTMDPRYGELSRAMRNRAVEIHIHTPPPALDP SYTRVVSVESTDGSVEIVERALETLSISDVALLPRFADTLLKSTADKAAFEVGVAELL DFLHSSNGADGVNAVSGLYASLNVSEALKPAQPLHPLSNFPVAQLLSSPDHARWLGAC LEFYHDLYRLQNSIEDQRRQAQVNKLNALNRLQRSLVSDRVAAVSKDATVKLASFLVS TINTIKEWLGFSFESSESWMERVRILRGVVRYLERTVRLTTEDNFDEAKFQAHLAQGA NFLQKQLSLSHGTQEREFVLHLLGRLGKDFTAGFKLSTGLSMELLWHVFRPTPLPTKI LLDQTLELEKLGSRFDTLRWKAGATIPDLAKAMTTLQKASGIISSDVPNVDSLLEALT TEIESLESRIGADEAERMPFMVEEFESLRQVAMLSPTNSDHAELQVLSNIPTQAGMML ASSEHQAALLQSVENLVYQSQFSWDGKFVTSFWSKLQHLGSVNLGSLALLEAELPIAG RQLAILSADLVQNPLIRLNNVLSNLLLEVFNAHGQGLKDAVVEAAQLVSQDPATNSQA FEGILTLIEQDHFRQIAVEHLIPAMKALTASQEDLQKQAHLSAYAWIHFSIGTVKLYV PDRVFDPQSRPKMEREFLQEMHALLDERTGSLRTFEKLFTGQDTNERIRLLEAEATAL GPLPEEVRPIYRPDQSELSRLHAEFSNVLKAVTGPTLSSALQTIDNDPSRAAEELQLV KENVLRLLDRLSARFEAYQDMTRPASSLLRCLMIGLSLCDAASARNLASASQQILEVT PFLGGAMWNQKDGDFSSRTFEFLNYMSVVASVEGFENIGHGARDMVFKSFHSLFDEWT KRLEVDRKEQAAKGSLYRFRGSLEDEEEIDTEEFNELFPTFDDEEAANVPSAKKQDEV RDQSLRVAQIHKNLFLTRQDFATTIKDVCKSVGDRVTSETIDHKNIDRNLTSKMLAGT MFFLNDKLESLVSPTVDKGYNFYTDANVPEARQLVALMHKIKARFRELQMVDEIGHMQ PLADVIQACDQVLELVHSEPLAKILPKVEYLHGHVYEWQFGGWASKIYGVLALHNLLT DTVIRWRRLELSTWANLFDMEQKKCQDDAYSWWFVAYQVVIAVPLSLVDVPKEMEEYA ASLISSLEMYFSTAIVGQYGARLALLRQLQSHLKLLTEDYPVLQVILHSLSNFIQYYS RYEKIMEETLQKGRLPIEKKMKDVLLMASWKDTNINALRESARKSHQKLFRIIRKFRG VLGQQAKQIIEQGLPDEEVCRMAHIDNKSPAPEVPADVFSKLNETMPEWINGHKRLAN VSKTVSVMRKISDNPDLSSDVPQTIDDYVSSLSESMVELRKETPPFLNDENKDQVKHL KTRKRKLFADTLRDLRQMGLNYNLGQDKLAEQESLSLILASIQPVQLPEDASTDAMDY HFHKILDLAPKVREASRDHSEDLTSAEIARSVGFVEGMLNLLLAQRSLISNGGSSLSL LKRSKSQFHHLSLAQEHGALIRQTTTSDWTRLLPWLVQILQYTIRLVEIHEKLGDAKH TMTLEHLKSWYGRFESLHTSTAGLKQLPEGVSSEEAALAEAKFSSELTSFRTSLEDLN REQPKLSFVFMQIAGWTNISDEVLNLTYNRMELGTFANAISTLCDKILVAVEGAKKAA KEIPRKENEPGWLTSHSDGFANLFTKLRTAEVERSVTGCINMLQQIQLEDQHLGQAAM SLMAIASPILSEYVDLSQQILMQVVDIHSAIAHMAYNMTKTFTQISSQGFCTPQEKSD ETSGESGKVESGTGLGDGEGAEDISKDIQPDEDLSELAQEANKEENGEMEDEKDAVDM ADEELEGDMGSVDGAEDDEEGSKNGDEEEEENDMDEEAGDVDDLDPTAVDEKMWDGDD EEKAEKDQQGEKAKGQKKDDEQLAGDEDAQKQDGEEQPDVDDSGEQDAEEEDEADAEE EDVKTQEEMNKQEQTAQENDTLALPEDMDLDLGDEQEPASDSDDLDDLSDIEEEKKEV EDQEMGEDGDADSDDAAAETQLQEEAGEEAGIEEDEDTPEEKAGGKDEVDEEVKPEEE EKAEEQEDATDQNQPPQDNATTDTDNAAPSDVKTSGQDQNADSMDVDDNFQSNAAQQE DGEMGEGAADQDTSAGNKGSTSQSKEIMDRADQEQDKPDSARSDPFKKLGDALERWHR QQEDIKDAESSDANEQNAPQDPSADQGRKEFQHLQDDETATDTQAMGTADDEQVQPMD ESMAIDEEKQDPTSRLMDEDKDETEQDPDQMDTADTAEAPDASNQDADKDQDDTRSGV KTRQGNYERELSPSEQEAQMLEEDQDEADIQETSTQLSITHISDEERPLRDFGESMQQ WTEFQTKTHSLSLALTSQLRLILTPSQSTKLSGGFRTGKRLSIKRIIPYIASSYKRDK IWMRRSIPTKRTYQILLCVDDSKSMGETSSGTLAMESLVMVSRSLTMLEAGQVGVMGF GADVFTAHGLTEPFASDAGAKVLQKFNFSQDRTDIGLLIQRTIETFREARQQSNGNAD LWQLALILSDGLTPSSAHEGIRRMLREAMEERIMIVFIIMDDTGKKKGDSVLELKEAK FVRDGGESKVVIERYLDTFPFQYYLIVHNLEELPSALAGLLRTWFAEVNA FPSE_01121 MSSSAQNELRFKNPRIFVCDIQEKFRKVIYEFDSIVLTTQKLVK FANSLSVPVITTTQTSAKLGPTVSSLAQLLPSAPHDKTKFSMVIPSVVADLPPNSEIA LVGIESHICITQTALDLRNAGHKVYVIADGVSSCNPREVGIALDRLRAEPGITVTSSE SWMYECVGDASHSAFKGLFGVVKESMADTKKVWQTLPPESKI FPSE_01120 MDPRFVVSREDLYTLQMEVKQVQYAQSNHAERLLRLEKKQADDA ALKSVWNSPFPGVLSGTPQTGPVSIPHNDMFDDLDEQGEELLGSLHLGPAEEEPVRRG AASRANSVRFDESALHGSSWGGPGNRHSGDFGPVRPGSGLMMERSLSHKSDGRHSSAG HSVHSHHSVASGRASSLGLDNNFAVGDDDDDSFDIPGPPPALFVLGTVPSIVRCWLTP NFGHSTLLYADVCSGSQKSTVDFSLLRELDLVDEIQRDVDNIHRVRLNVYFAEALSTH HGSRSSSPRPPVPSITVLFEVSGITEQPSHAADRKAIRIYLGSDVLRAHSADILFSQN TMTLRGDERERLQIPFVRPEDENTFRNICTTNLVPEKPKLNANAAPFVSGDFSQASQE SIHDMERTNNQGYESRSEMSPMASEAALNKRPGSRGSLSGAESERSRRVTNGEVASPK DGITEHGASETGQREPPSGIWGSWRHGPGNGVDGPQRDGSLSGYQPAGRGRNMKVLKP QKSASRVGSSYDPSPSAKGSMDSRRKSQAGAGENGVTPTNRWEAKRVMSVGSAEHKAQ SQSQSSLSSVNREGHNSNGNSNSALPRSANPVGVASAFSWMTPVSKPKATAGSE FPSE_01119 MASTVPQAVLFGDSLFQQCSGLQEGFSFQAALQSDFIRRLDIVN RGYSGFNTDIALRYLPEIFAERTASSPKMDYLVLLFGANDAVLPDAFTKQHVPIERYK KNLTQIVNHPRIAAHKPQILLVTPPPLDEIKATPRSIANGHKGAVRMSTVSAGYSEVA REVARENPGVILVDLWKGLMDEAISLAPSDYTPDGPWLGDPRNGKQGGLDSLLHDGLH MSGAGYQVFYETLKPFIGKEWHGLPGEDRRGFVIPDWRELLELQADLNTGD FPSE_01118 MATSLAAQLAQVAANSKSTLNVKAQKAAHSKSLIWEPRVAATQS YQNLYTTCIQGFEELCQLDVRFAPFHSTIFSEESQNQDRTQLTAAENEELDRRVEAFL RLAGSRLRLMPAIKSIEWLIRRFRIHEENTQALLLTFLPYHSIPAFATLLSILPNKIP HDFRFLDPYIRSVTSPPRSVLVRQAIMHPAFLTLISEYTLQSCRMQYNYPTLISFWAG IMTEAVGGILDKTRSGRANVQSENDQNLLHRLGPIFAESLVMKKVPSIQVASYMAIAV FVAKGNLEDNAVTAFMDQTVYGWTTETVRPALVVLTTLAQYRSAKQMSGKTTKALMKV TDIGNLLVEIGQDRRVDKLANGLCLALIERLTKKGDARGLATIITILSSSVLKDKQIA VIFKSLILTAHRLNDDNDEDGALRQELGSALIVLSQNEGKSGDTIQSVIEEVKFDIEE LEMKLDLSFRSRRLPESKNDQDMSNGIEEKKTPQDLSLLLKELSGRSESLSPCLVPQP SEIFDEFSHIFFTVVSESSQNTELLSEFELNPKLHRQAAFKDCTYFSFFIRIWTGPYP ALARVAALDMVKKRLKAGDAGKTDLQALLPYCISALSDPSKRVRKSAADLIAVLTGLY IPPVPAKGLEIWGERGLYGKSKEFASLASDVVAKVLHLQILPTVEECVMDPEHITAVL RAAIEQGKYQSKPDSSLDKKDHLSQPGRLSFLQFLASHVVHTPLILVKSRLLKAVNEI RGVSTTTRTQLLLPALQWWAGLDEDEAAKLCSSERLEKSDMDNCFVDVVVANDVAGLE FFMEFLREPEHTEKAGLIRAIFARMRKIWSSMKPDVKFTAAEQLLEISQDSAVSADSK SIVSTEAADFLRNVRLTTDILDYFLQSIPTGTKMITEPPANKRRRISSSGIDRGLVTQ VTPEISQELRKLTFILQLVENSDPAEHPDLLNGLFTALSELQHFGTVVGSELGYLQNL ILRSLLAMMPVYKSNKKLKIHSSSSYGDLLVSCIQKSSSPVVQNAALLLVASLATAAP NLVLHSVMPIFTFMGVSVLRQSDDYSAHVVSQTIKEVIPPLIQSMRQGQKNPLAGACD ILVSFTTAYEHMPPHRRQGLFVALVETLGPEDFFHAIIGMLVDKYGSSDALLQFVIEL LNHFSIATQLQTLVKLLDLIADLFKPKPGISFVLLGISENGDDKDKDLQEIALKQLSA FPVFLANKRLRSQIGGLMENDDMEASRLRELYATLLENILVLADTVKADTTLHGRCGQ ALHNHLNLLSIGEFIKAVENLLDRPDMGLRQKVLRALEVRVEQESNTDPASRAVLLAF LPQLTAGIRESTDVRYKHTAVTCVDKISEKYGKKDIEAVVAAATTIAGEHCLGQSEQR LRVMALLCLTSLVDVLQDSIVPVLPSALPKAVKYLDESLEDDARDEELHVASYGFISV LAQYLPYMLSTYLDRILEVSNKSAEIDLDDNTRESRVDCLHFVAKQLEAKEIFSALDR NWESAKSAGFSAIAEFLDVLGLAIDKHPKSSISKNATLLSSIITKVFDLRRQERVKGE FGEQDLLRLSALDASANDKALKMIYKLNDAAFRPVFVQIIEWSNSGLPKNNRLGRSLR QFSVYGFLEAFFGTLKSIVTNYATYIVEDAVKILTSVDLKNPEERQLWTRVLQTLGNC FEHDQDDFWQAPAHFGAVGPVLMDQFTHAGMVEVTDDLIPTTVELASAADSQAHQKEL NSALLKHLRSESAAVRLAAVKCQQALTDRLGEEWLSMLHEMLPRISELQEDDDEVVER ETHRWIVKIEGVLGESLDAMLQ FPSE_01117 MSFFSAHKDRIVVLGSGWAGYALAKKISPSAASCVLISPRSHFV FTPLIASTAVGTLEFRAAVEPCRKLTHTEFHQAWASDIDFANKSITVEANNRDGVTAR SGKDLKGPEFQIPYDKLVVAVGCYSQTFGVEGVKEHACFLRDATDARTVRLRVLQKFE QAALPSTNTAERKRLLHFAVVGGGPTGIEFAAELHDLIHEDLSKLYPDLMPHVAITIY DIAPKVLPMFDQNLAAYATNIFKREGIHIKTEHHLQGIRRQGDVLLMRIKEEPEEVAA GVVVWSTGLMQNPLVGKTVGREVEGLGKIAKNDKTGGFAVDSHLRVQVESRDPNGKEI TKSLPDVYAIGDCANIEGLALPATAQVASQQATYLGKRFNSGVSSQGPPTAPFHFRNW GTMAYLGGWRAIHQNGADELKGRAAWILWRTAYLTKSMSFKNKLMIPYYWLITWIFGR DISRF FPSE_01116 MTTATKPSVNYGLYLVTDSTPEILGDRNLEQVVEESLRGGVTIL QYRDKHSERSIAVYTAKKLHAIARRYNVPLLINDRVDVAVEVGCEGVHIGQDDMAYEE ARKLLGPGKIIGVTASSKEEALKACKAGADYLGIGTVYSTQTKKDTKSIIGPSGVRDI LSALHSAGYGSVPTVCIGGINASNTAPVLASAGSPSKALDGVAVVSALIAAPDPAAAA RDLLSKVVIAKIPEVIRAVADKTPLSHNMTNLVVQNFAANVALCVGASPIMANYAEEA ADLAKLGGALVVNMGTVTPDGLKNYLQAIKAYNEAGRPIVLDPVGAGATVVRRNAVKT LLDAGHFTIIKGNEGEIQTIAGATITQRGVDSTSSLSFAQKASLVRSVALHRRNVVIL TGAVDLISDGTRTLAIGNGHPYLGEVTGTGCTLGTTVSAMVAAYGADPLLAAVAGTVM FGLAAELAAKRPEVRGPGTFVPAFLDELYAIRKSTASGDLLWLSMAQVKAVEVNVDDT AAN FPSE_01115 MSPGSAQAKNKGPKACTTCAKAKARCVPGPLGSLKCDRCHRLDK QCVNQTPAPARPRRSPKLSKIAALEKRLEELSSHVRRESSSEEEESSPSQAEGSRSEL LSRSDAWRFGHIFPSKPGTESNPGQHPPPSAPNKIRPWDSWWPTPQEAELLLNGFRTI HSCLFPFVCVPAHMTALELREDRPFLWKAVMMVGCFLDGARQVKLGQELLAEIGRSAV VDGLNSIDLLHGMQILVAWFHYGLKGSQLTSLLFLARAICANLRFKDDASLKGEEFDR NLDHMRVYAGTFYLNTLVFATNRRPDLLMNTTHLDMCCRVIETNMQYPSDEYLIKLVR IQQLTHSISITMAEDNLSQTTSKLPLTMVVQSFEEQLRQYRESLIERFSDNDNLKSHA NVAEVLLYEIALSEQPAAASYLPLTDRLQLLWSCLRSLRTFFDLRFDHRELERPRFLC MSATEFVYTVIVGIKIVTLQLPGWNLINVYGELDVVEVMSQQAQDLDIIVQRRKHGNM LGTATPAGIPTPPPPPDPFERLVRQLKNVRDLVRAERQRLLAGAGNSDLVNFSQEFLL DDSGTDFWQAAATRGYNVWHIIGDPSVLNEAS FPSE_01114 MDAVLRQSKAMCPFMKTATPATLRALSTSSRALPAPASPCGGTM SKLQLLGQRCPVMGKAMAVQTAKNRAAGSVRAFSSHSKTGKAKIHTSSNKEARAVERP LFEGRDNAPPGIHANRKAASASPTASAAAAGFQSPGKFDYETFYNTELEKKHKDKSYR YFNNINRLAKEFPRAHMSDKEDRVTVWCANDYLGMGRNPHVLNTMHKTLEEYGAGAGG TRNISGHNKHAVELEAILAKLHAKDSALVFSSCYVANDATLATLGSKLPECVILSDSL NHASMIQGIRHSGTKKIVFKHNDVQDLEAKLASLPLHVPKIIAFESVYSMCGSIGPIE EICDLADKYGAITFLDEVHAVGMYGPHGAGVAEHLDWEAHANGAPRGTIMDRIDIITG TLGKAYGCVGGYIAGSAKFIDMIRSLAPGFIFTTSLPPATMAGAQTSIEYQMEYDGDR RLQQLHTRAVKEAMNARDIPVIPNPSHIIPVLVGNAETAKAASDMLLNDYGIYVQSIN YPTVPVGQERLRITPTPGHVKEYRDQLVQAVDEIWTRLNIKRTSDWAAEGGFIGVGEE SNVQNPLWTDKQLNIEQATEEIKATGQAVNGITEALLELEIKQSSEVAVTAA FPSE_01113 MYGYDSVTLVPRSLTASPSSVKGPAWPQEMHHELV FPSE_01112 MGSTGEDDPYSWDIDVVVQRLCVPGWAWSGDIDSLATRIREEEI DGKTLLTFEHVCSRQELMDCLHIKKARHKAAFAEVIVTLRSKSKGYWLWEQDFKRKRS SYWDEQVEEPTICTTDRADSSNLPSGGHQKQDETSTLASASNATLHNADERTTQEHPS TNQMSGLQKDSQPNSVGNSNPPLIHERPTQGTESDERSTKRRRMAPTLLEEKPLNIAG AFLPTEADVLTYAAANANLVSEKAFPWEDAPAYAYLGDGKVSPEAIISPDNALTSLVR EHKDSFDSISLRRIPPARRLVVNKALRRLFTGRYRIHQHSSSSPSVLYDPDDEILELA ELGGVGDETDDKTWDEVEKEESEYKNALHNGGEPDPLVTPERIAEILNEAIDAVEAKW REKKLPKYERKAHGIWQKSRRHGTKNLQIQGAHELAKRLINRIQKLCHEIQKQDWTSE SSYRDAAKSLEQSLEDKLYQTWLIKMLESSKPPPKPQGSPRPKPTTRWKPNELLDDEV LTSSDEDDFVVPDSHVGIVEEGLGREQRSPIRTMKEEPMDAETTFVDLTQDDLDDLDD RDGCDMTNPIDLTSPVKDTNNNFVEETQSEALETHTETALPESTVPGGDVSETNAPET QAPASPTMESRDLETQLDELPLEISPCPRSPTNLEPPPIENFGDLQKLASESLNKLAR NSDRWRLLIGEMWQMEHERRKAAVDLILSEEPGVVWSKHIVPYLDALVRGGQESDEEG SKGVLFDVTRLCHCFFMCQHKTDEHMSSMKPAKIKKHLMRQQSRLNMFEPFCMFIKLL VPHFPQDSQIYKLETYVLGDHFPEEEDDLDMLDDLNDIDTEADRDRQRPPAKEIIRDK AAVDLREQEKQRIEEQELRRIKLRTALASQPLAAGDGSRIIINESKEEDQGLIYVNDH IARSIKQHQVDGVRFIWNQIVRDASVRQGCLLAHTMGLGKTMQVITVLVALAEASESQ DPSVVAQIPKDLQNSRTLVLCPAALVDNWMDELLKWAPANALGALRKCTANTPEHERP SIVTSWASGKGVLLVGYKMFQKLIDMSPELSNLLRDRPDVVVCDEAHHMKNRESKTNI ACSRFQTKSRIALTGSPLSNNVLEYFAMIDWVAPNFLGPYSEFREIYSAPVERGLYHD SSPAEKREAQMVLKALEQMVAPKVHRRNIVVLKGDLPPKQEFIIFVPPTELQKKLYRL YIKGVSREGADTQAGTFAAIFHLGLICSHPKCFQAKISEIIQNQLMSKVGEETDKSFP KTIIPEFTRTLESFADLDSPAFSWKTELLTTILNEAREVNDKVLVFSQSLDTLDYLEK MCKTQGRTVSRLDGKTRVADRQQQVKDFNQGSKEVFLISTAAGGVGLNIQGANRVVIF DIRYNPSHEQQAVGRAYRIGQQKKVFVYRFMVAGTFEDNLNNRQVFKMQLASRVVDKK NPISWSKRKGDVVAEIRDRPAADLVPYLGKDPILNKLIKLRENGEAIRSIVSTDTFEE EDLNATLTEEENKAVTKMIELNQLRVSNPEEYARVRSGIDLTEQARLRTEQELLLHPT QAQPVHQSFDGAMDMPGDAQFLPASSTARRHQWDLPGINRPPVLLNQGLAPMPMTGAN TFFRGQHHTETPSTQQPVPNATFRELTHPLSSPNPSAGSPRIAQITNASLNNPPNRES RSTQSPALPSHPSPIFKQNGMFNAGAEIPARTKFENRLRESIENLQKCDLWPMRYYTF EDARALTTRIDRIREEGRYGLLPDIQQWNMLDEFISRQKFVIAIISGYISPEYVAQAT AEDLEKRFEAISGFNEAEIFAKAREKAKDPDPINLQNIGRHNSHQDEERSKATDDMKV MREAMDNRRSRAVRLPTWANEALENAQKYRASPAVDTPKKQSISKSGTPHGT FPSE_01111 MASLTSGEYPPTLKAEEKDALIETVKDWSIGNGLAVRPPPTVIA AEADPKGIAATNVPVTLFPSPFPRQCFSQGKAVQKTYNELYASNLSLGLFRSDYMVHQ DTHTSPPSLQVKQVEFNTIASSFGGLSTYTSALHKYLATTEYPILENSITQGSLDLPE NTSTRGLAAGIEAAYDVYPQSELGHQKCVIFLVQDGERNIFDQRHLEYQIIKSSPSIP VFRLPYSQILKHTKIAETSKRQLLYHLPRNPTKVYEIAVIYMRSGYGPSDYPDQQAWD ARYHLERSNAIKCPTVLTQLAGTKKVQQILATPRPSSSPSALSRFIRDDTDDAAELWR TFTNIYPMDTSDAGLEARKKALDPKACQDYVLKPQREGGGNNIYRGAIPDFLKSVPES HWGSYILMELITPPPVNNIILRNGNLEQGGVICELGIYGTCVWDQSTGKVQHNEEAGY LLRTKGDKSEEGGVAAGFGCMDSCTLV FPSE_01110 MSFSTVFRRAAVAPAFSARAFSTTAARPLAKITIIGNLADTPEV QPTSNGRDIVRYSIASNSGHRENRKTSWFKVTSFAEGPSRDYLMSLPKGATVYVEGDA TMRSYTDASGQNRTSLSVVQRQIEVLRRPQAPEQGE FPSE_01109 MADSIHLFGRDDLSIIESPGPGTTTTPSLTANLVCRVLFGIIAN FACIVPLKNLYRNGEFAAVVFIANIQVSNLDTIINALIWRDDDTSKWWSGQGFCDVSP YYTNFLNALFGTCLLAIMRNLAQQVGLLRANPLSVQEKRRRNLVQALIMFPLPILQVA WVWPLTMQRYAVATLVGCSWVAWPAWPYMAFFVIAPVVVALITSGYAILTYIRFREIA RTTRTAINSSRSANQRAQRTKRRLYLMVLAILVPYLPVVITLAVLNILGAFPLQPFDY DLIHNRTWPYPWSSVILVPSNGFTFILLNNCYINILAAIPVVLFFGMTKDAINSYRRG LLYFGLGHLFPKLQEEYDPDRTTYGSNSGTSHLMDSSVSTTSSIPKKIKSLLTSRSLT TASSASLNPVSLQPTQSATIPEVEIGHELREFQTSQSPLREIVYPPLSASPSTDPITL PPRNPFLFRTRFDLPTIPLPPLSSFTFRRKKDRRPPLDRGLPLDSLPSVVNSRMWEDN VSVQPPRNQTLVWADIEDAPTPDTITSDTRLLVPMSSTFSVTAEPLEETYRR FPSE_01108 MLAPWSRLWTLLCLLLVVQLVSGDVFRIAPRADDDEPQKTTAIE SGSTSTRAHSSTTETAAASGKKTESSEPTNSQSKSETTSVTVTETEKSESSDPTSTST GGPFQSGLDDSSYYNATIPAGQLPIEPRLTPGWGVAGIIMLLTGVAYALVGIKNRMIH TFFSTAFAASLCVTVLIVYVMKSEVSDALQGGYVVAVVISGCILGAASMFFREITESL GCALGGFCISMWLLCLVPGGLLGPVVSKAIFIACFTVGGFAFYFSHYTRDWALILMIS FSGATVTVLGIDSFSRAGLKEFWAYVWELNDELFPLGAHTYPVTKGIRVETAAIVIIC LFGIISQIKLWKLVREKREKKAEQAAEGERNLREEEEQVGRNIEEANARERRQWERIY GDGDAESSTVSHTSNDGETPNEKNNRISQTDSSKRQSASIVEVIEMTNMPDSEQFKKQ PTTTVNLMSSEHNEDGRVTVHVASDDITPSTTDSVNHDVAGNNLKTSAPESRRISTQS SIARSVAPPVIPLPFTIPVANDDNDALTNDDRSSVATFADEDENEPHTPSHRRSLAKR LSRLSRGSMELLGNMSHRSSRVLGEPQEHQHGESSDGLVIPQKQHRDDDGSVAATIDN ESVSGDDKSHATHGMRKSIQINAELSGKDSDDKRNTSEAQQESSSANGATKPDEFKRL EEDAAEGMSKSKSVTSGSSARVSLTKDRLPRSLSKVALSYRTNEWAKHLSSAEAPELD EIHITSPRSPAASTVETPVPVHVEELQKFSNEGTPVPAITRSDSRASSASHTAPRRGS KQHVPAALALLTGEGQHRSPGTTPTSANMPRSSSGGLRMTSGVISSIAEEQAVSNPML SGPSGEVMGSQTLNIPGAAEGQRSSAPGIVSYSSPQTLLGQREMYLRNKSAGNLLAIS SEANLPARHRASSDAGSLHNYQMYAAAAGVDVDDLPLSKRKELIRQSSLSPSNSTPSL QRLSGSSNFNSFNTSEALLNSHQPQRVSTLPTSAARENALANFRQSVQQELRSGTPVI STSGRETPFTPSSLLASREVEVQRNVDMSRNILLSQKQAEAQRRETQQREKEWADKAF DERMRNGDLLDVHREAMRKLQKNARDK FPSE_01107 MADENTASNPSGRPAAGVSSGFNAPPSGQGLRRAMTVSVAEEAA SASRRQYPASPSFDSIPPRRSSNFSEYSLNEARDFLNPQPRDPSSADSSLAGESSSLP SLSLAFAFLPAISGLLFKNGSAVVTDFMLLGLAGVFLNWSVTQPWAWYHSAQQVRIQH EVVADSVIDDDSDLDSSIHGPGPNSPLDHVPEDEAVHTEPTEEIHEREPSKQQKDALA ELYFYEIIALASCFLLPLLGAYLLHAIRSQLSRPSEGLVSNYNLTIFLLMAEFRVLSH VIKLVQSRTLHLQRVVQGGPSKFQQVNKNTEQLETVLARLERLESRVTNDETSTVQDI KQEISKTKQKDSAVARDVRNAIQPELDALNRAVRRYEKKATLLQIQTESRFSGVEARL DDAIALAASAAKNSTSHKNVFVWAMESLTAAFLLPFRTLLRIMLLPLSTIFALMSKGK KRNSSPVKSSSRSSRNGKTVVQTKYNGDRVPSRVAKR FPSE_01106 MLVFRASSSPSSTKTPVVLSPASLCRFHWPTVSEFPLDVWSLRR FFSFFSPLTINDSSNSFARCRSITRYDESETDDDFTDITLFDPSHSLSIAEKSLATSR NRRIPSFSVSGSVFHQHPLRALPVSAQPQPELQRQKHIQRDLAISPERGRLGGRADNL FPTDSLLSRPPTNPVPPALDAPGNTLILSLDPPEPAYPRTGSSSGRAAALNSRQQQGR TADGRQPSAFHPSPFRPQKATGTAHRARRLSAARLWNPTNSTPRPHTKPESSRKRRPS TPPPPSIPLLHPTFDHRAPPDSLGAGPSDYPLLTLSEQHQIKHSLSPRASLQVDRAGS SDRRISLPNSVRASYDEKGSRRGAVSAEEYRLSRELLAQDSVVENPVVKIDKGKGKAV MMPENTDPMPSYGTDLERGPDIMDPRMSNVSAGDGIGSALSTTNSSIMGEEVEPDAAG EWGPQHPCYPHRNPHVPVDSPEYTSTRIIRIRRDWLIKGDLAPTFSNLYPEILDPAGL SEQEFRRIIEKLNGELVPAFDPYSARNIIDSLLGLVTGWVWDDMGLTGAKSRLNNLEK WIEQWNCEMEKTMGAEDGVMPPKIMPLRQTGYMTLDIQIPDPEIMPAPSTANPNESRT ALPMTPSSAIVA FPSE_01105 MSIQEKQEQPKRGFIGWVKKVINVILAQYLVIGFATACVLGYFF PSVARHRGVIRSEYSVLYGAVAFIFLVSGLQLSPEKLRKNVTNWRLHILVHGISFAVI PAIVLAIVHISIAAGALESQTPSPPILIGLLTTACLPTTIASNVVMTRASGGDEAAAI ISVVIGNVAGSFLSPLLIYGFFPTGHPEVFDNWRPADPSTLGHMYADVAKQLCLSVLL PLIVGQAIRWKWEERTVKVLNTLKLAKVSTICLVLLVWTTFSGAFGTGALEDLSTSNV LFLVFMNVALYALFTIICFVLARPPQRLIRMVSSSWLRVLFQPMSKEQTIAVCFCGAA KTTSLGIPLASSMWARADDLTRAYIQIPVLLYTIEQVFMAQGLVYVFKWYMRRDAKGS LDEETKQDTSGAQQSTATQMEASGLSREVVSTDTEEKLQRST FPSE_01104 MSLPADFKWGFATASYQIEGAIDKDGRGPANWDTFCAQAGKIAD GSSGVTACDSYNRTAEDISLLKSLGSKAYRFSICWSRIIPLGGRNDPINQAGIDHYRK FVDDLLDAGITPFITLFHWDVPDELDRRYGGLMNREEFPLDYERYARVMFEAIPRCKN WITHNEPWCSAILGYSTGSNAPGRCSDRNKSDVGDSSTEPWIVGHNLLVAHGRAVKIY REEFKPKNGGEIGITLNGDATYPWDPKDPRDIEAAERKIEFAISWFADPIYFGDYPAS MRAQLGDRLPTFTPEEKALVLGSNDFYGMNHYTANYVKHCEGEAAPEDFVGNLELHFW NHRGDCIGEETQSTWLRPCAQGFRDLLVWISKRYGFPRMYVTENGTSIKGENDMPREK ILQDDFRVQYYDDYVRAMADASRLDGVDIHGYFAWSLLDNFEWAEGYETRFGVTYVDY ENDQKRYPKKSAQHLKPLFDSLIKKEENGLNGVGKVKAGQT FPSE_01103 MNTLRPLRGGCQCGRNRYIIAVPQDGINEAQVLFNTDSAHQTSL ATPLAAYIRVPLSWYHSTTYSFFPDETHALIRRVYTHPSQEHSKRHFCGYCGTPLSYW SENPHTEADFINLTLGSLLREDLRDLEDMGLIPEEEDSKTLATQASDVVGRNTALRQS YGVPWFDGMVEGTRLGNMRRSQGVKQSQDGRVKVEWEIVEYSGNGDEPKGSPRHDIDV EMSVPGKRKMKDRDDPDAATGGN FPSE_01102 MDTALTAASRLSLRNSTSSIPCIGFGSYKIRGETCAAAVLAALS VGYRHIDSAALYRNEVRVREAIEKSGVAREDLFLTTKVGSPRRKAERTEAYEDVIEAV ERIAGKDGYVDLLLMHIPGPSREHRQSLWEAMERVKREARARNIGVSNFRVRHLDEMK EYAAEWPPSVNQIELHPWCQQKEVVKYCQDNDIIIEAYSPLATGARLEDSVVEGISTK HDKSHAQVLIRYSLQKGWVPLPKSSRPDRIFENFNVFDFVLDQDDMETLDNLDKGPAG AIFKMNVK FPSE_01101 MSDPPSRIRSILSHLRPHPAAPVNYHTLSPTTFLERAAAIEPDA EAIFHITVNGAVLRRSYAEFADRARGLAYYLLKHGYRRVGVLAPNTPAFLESIYGIVA AGAVIVPANYRLKQEDIAYIFDFAEVDCIIVDNEFVGLLDAYKEKHKNVPLIVDMVDF LPREQAQACFEAIANLAFQDNDATVGQLSGPFDDAVLEGLKHDIAQGSQGWAGLHSQA RSEDDMLAIPFTSGTTSKPKGVVYTHRGSYLATMANIVESGLNIGRCKYLWTLPMFHA VGWTFPWAVVAVRGTNVCLRKIDYPLIWKLLKEEGITHFNAAPTVNTLLVAAKEAEKL PHEVKVTVAASPPTGYLFEQMTKLNLIPVHVYGMTETYGPITKCYTLPEWDNLPPTEK YAKMSRQGHGFITSLPIRIIKPDQPQGVLIDVAKDGKEIGEIVFMGNICAKEYYKDPA ATRELFAGGVLHSGDLAVWHPDGSAQIQDRAKDIIISGGENISSVALESMLAQHPDIL EVGAVAVPDSHWGERPKVYVTVKDGKSVTGDEIIQWAKHQSDISRFMVPREVEIVDEL PKTSTGKIKKNVLREWAKHGRT FPSE_01100 MIVYSPNQRSMASRNPSESSPARPSATRTASHSHTSKTPTSTTG DRLAVPAVPAVPKRAHTFANATPSLDDSATSPDAFETGEHSDSDDGAEVTRASVELDI LPIELITLTDRYAEECAASLKSPLLNPSSIHSFIESLNAKVHPTPPNIENLSQKFQDF YTQASSNINTHINALASRQSRDASPTPSISSISSAASRLRSKASALSITKAEPEQQMI TAEELASRKRNRKALEAKRSLLEEAVERRLCEGIYDKIWRHRSTQDEAHDDKLRSKTA ALALVGIGPSDLGIDLTGEADESGKKTRPTEHDIKEKLEPARRDIIMMTQKRYPLGKL NHLKAAHRSIVDTLAHFHPSASADEIMPMLIYTLITLPPENLHVISDVHFIQYFRWEP KLTGEAAYCLTNLEAAISFLQTVDLATLRADEQLSGPTKSTLQRTETFPPAYQQGEAS ASLDVPKEGKADADTAKISAPDVKASQVLRNRRLSDLINGPTQAFGAASDAVFNTADQ GLKNISNSLEGSYKFLVGKLREHQDSPRESITVPRTLEDARKLVSTPSPDEEDTTGLN RLVVLDDADPLKRPASREDRVLNLIGGLRDRSTDSTRSGRSASSSKKVLFAAEEPKAA SQTPSQNPAVLDQMRNLGNSFNPMARLPSISMIRGFGRNTPTTPPVPTAKEAVKPADG GDLGTAFPDIAPALPPKEIPKIAPPNKRFMELQTPGELKLGEVLDLLRDYRRLANALK DIGAFETK FPSE_01099 MAAEARASIWARLKAVKPPFVSKKPHFNFISIHYTWIIGATLCA SVIIYGSGRGQTSYIDSLMFASGANTQAGLNPIDVNLLNTFQQVVVYFFTITSNPITL HTSVVFLRLYWFEKRFQGWVTDARQRRATISKAKTRPRNDDRQNEEGVNGRHITIVPP QGRRQRITNDGILLDGSEAYQSQAIVDDDDDNDHQRRQPLPASSDESDTVSAGRSNSI ELSPLEKPDQAYRDNRDEWKEEQSRTQNTPSGIKFAETVKRSDGVDDDLTKFPQRRTH AEHIAILERQRNQDNEVLRIPGPRETERGLGPRRLEEDDGDDDNNTIAMTRTVESRPD HATIDSRGPPGRRPTIVIAEPKRPLKHELTDDARAIGGTLDAIRMRKPRILNRGQKET HDDGDSTMSRAFRTRTIDTIRSALTNDHTKDMPYLSYTPTMGRNSNFVGLTLEQREEL GGIEYRSLRTLAVILLSYFWGFQLIAVTFLLPWILHTEKYGRIVEASAVSRTWWGFWT ANSAFNDLGLTLTPDSMSSFNTSEYTMMIMWFFIIIGNTGFPVMLRFVIWVLSRLVPT NTGLWEELRFLLDHPRRCFTLLFPSSANWWLFWILVALNAIDLLFFVVLDLNSGPVAQ LPVHTRIADGLFQAASTRTAGFSCFSLSDLHPALPVLYMIMMYISIFPIAISIRRTNV YEEKSLGVYSSKKDDDEEESASALNYVGTHLRRQLSFDLWYVFVGFFLLAISEGGSLK AKDFNLFDVLFEVISAYGTVGLSMGVPNVNASLCSQFTVVGKLIIVAMQIRGRHRGLP YGLDRAVLLPSEARFQKEAEENQPILIRTRTNASMGAASGMEPPSSPGFPGRRGSVSR FRERANSRIISQFLYPGPVIPSNEIHGHKRATSNNSNNTRDFPRFNTEPVFEEEKDEV PPLRPIESHQFTPRRAETG FPSE_01098 MPPKRKAAGAVQGGAKVGRSSRMTTPGAATPRTIDSNEEVPDED EAPVDEALERDLNKNIDIFSLDRYQKRHAIRDPLPHIFGDRDFSYLVLKKDHQNRPLW IDPQKGRIILESFNPLAEQAQDFLITISEPLSRPTFMHEYALTTHSLYAAVSVGLSPE DIINTLDRFLKTPLPDEIRNFITSCTQSYGKVKLVLKNTKYYVESPDPNMLQTLLKNP RIGPLRVQGTEEITTSVAPKIGGLVIPGTQNAAGAKQANGLGQSGEPGKDGQPVQEGE VFATLNEEDDEDQEVTHSFEIADKDVETVQKECLNLGYPVLEEYDFRRDEANANLDID LKPGTQIRPYQEKSLSKMFGNGRAKSGLIVLPCGAGKTLVGITAACTIKKGVIVLCTS SMSVVQWRNEFLKWSNINPDDIVAFTSDSKNNVFTGSTGIIVTTYAMVTQSRARSYDA EKMMKFLTGREWGLMLLDEVHVVPANIFRKVTSSIKTHSKLGLTATLLREDDKISDLN FLIGPKLFEANWMELSKQGHIARVQCAEVWCPMPTEFYDQYLKAPSRKKGLLYIMNPR KFQACQYLINYHESRGDKIIVFSDNVYALKAYALKLQKAFIYGGTGQAERLQVLENFQ HNPNVNTLFLSKIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFN AFFYSLVSKDTQEMYFSSKRQAFLVDQGYAFKVITQLANIEKTPGLAFADVSERRELL QKVLVENESMEEDDPNDDMFHQGTMGRRKKKGARRTAGTLGELSGGQDMAYIEQNKKM SAKRKKADSNAFFKKIGRENARRAAAQ FPSE_01097 MCKHILNAQVAVRSPCCRKWFDCVECHGEQEDHPLKENYEMIFA CKKCRKCFRKDVTEFEEADEFCPHCDNHFVIDAKTPQAALSVESEDVRMDNKMLRDDR QKTKTKGIRSIFDPSDDADKLG FPSE_01096 MASGYDRALSVFSPDGHVFQVEYAGEAVKRGTCAVGVKGTDVVV LGCEKRSAMKLQDTRITPSKIQLLDHHVALAFAGLNADARILVDKARLEAQSHRLSVE DPVTIDYITKYVAGVQQRYTQAGGVRPFGISTLIVGFDHGSDVPRLYQTEPSGIYSAW KANAIGRSSKTVREFLERNYKEDMNREATIRLAIKSLLEVVQTGAKNIEISLMAPGAT IETLPTSEIEGYVKEIEQEKQEEAAKKKTGRTPGTGSAAILTRSQDDSAAE FPSE_01095 MSCRSQLFRALRAPTSGSRRTASLRANALGRSLVYSQSPARCLS TTAARLVSPTRAQHGKLTSEMYPQLERDSRFAQVTPEHVARFREILGDNPSAIIDGVT SGAGVDAADFETYNEDWMHKYKGQSKLVLRPGTTEEVSSVLKYCNEQRLAVVPQGGNT GLVGGSVPVFDEIVISMARMNEIRSFDEVSGSLVIDAGCVLEAVDTYLAKKGYIFPLD LGAKGSCHVGGNVATNAGGLRLLRYGSLHGTVLGVEAVLPNGTIINDLCTLRKNNTGY DIKQLFIGAEGTLGIITKIAIQCPQRSPAVNVAVFGLESYEKAQLAFREAKKQLSEIL SAFELMDGRSQRIVSEVKGQEHPLEGEYPFYCLIETSGSNSEHDYAKLEAFLEDVMTR EVIADGVVAQDETQLRNLWGWREGITECLGHWGGTYKYDISIPLSEMYKLVEDTKARM TEAGLLGDTPDHPVVDVLGYGHMGDSNLHLNIPVRRYDPAVEKALEPWVYEWIQKRNG SISAEHGLGIAKKKFIGYSRDDTTIGVMKQIKNLFDPHRLVSILQVQICRAAYRCFLY YPVLDRRTMWANTSWSFGICHSHPMPTEAITTLPHMLCFPVSLMGLLHFRNTFSTHAD ALNRKFFFIRLCRGPLPIDIVTHTLVPVDSRHSPFRHIIEPQPLPAKSRQAGGKECEG YQRERVFITGTPQNKGRVASHPKKGSPSKKKGSPSFKEPPRALDLTPTQPLTSAWDSH ALISNHGAEYSVLVSAINARLPYPLPNNSALDEPSSPFQITFPPYTPTEIQPLSGGGD LDVRAQCLARIPSVHDQDDSAQSYCVFFFESLTPVSMKQHMRSANLDPIDYWRTIPWQ SHPKSLLDSLLDYVLFLPAILAQVDRITPMEATLSRRYHAQQLLRNCLSLQKQFNAWF QVATRPSYGYPMAYWADEFSNPGGLLPFSNLYTFKDGSTGLALLYYWMTQIIFHRCIE NLHRIIYQPAIDAYPDMWPNLPYDLQIDITQYQHGCLFAEDICRGLDSVLHETDQPDM LILPMKVAMDFYKDIHATSQDGLMEIMWIDNFRSRLAEKGQHVAGVLQSQKWSEVATF FPSE_01094 MDAVRNSVQAFQGVLPLQPGNLDGNEKFPDFCLHSEALCSNDSQ HKDLEKEQEQQHDKGLHNNKSKQRHPHFHYPRPHSPSASISESSRLSCISTASVSASS STSSRVSSASFDSFSTPISPTLDSSLHSPRSSLANNTCSSPVSEFIARNRSLTGASLS SVSSSPPSSPTPRNRWDKPQPYVGRAQRSGSITTNASSPVGVHKQEQSSSPRSFRSNP IPADALAAVESHDTKLIKMAFAEQQRWVTVQQKTFTKWLNTKIEARNLEVKDLVKDLS DGVMLIHLLECLSHESLGRYASKPKLRVQKFENANTALDFVKSRGIQMTNIGAEDVVD GNQKIVLGLIWTLILRFTISDINEEGMSAKEGLLLWCQRKTACYEEVEVRDFSGSWND GLAFCALLDIHRPDLIDYDALDKADHRGNMQLAFDIAHKEIGIPKLLDVEDVCDVAKP DERSLMTYIAYWFHAFSQMEKVENAGRRVEKFVNNMQGAWEMQSAYERRMRALLKAIQ ERIEVWKEATFEGTYTDAKAQLNQFFDYKRGKKREWVAEKSDLATLLGNIKTKLGTYR LRPYDPPAELSLDALEQRWAELASNEMRRAQLINETIRDIKNALRKSFADKANDFAMA LNTMQLALSGLDGDVEDQLHHVRKLSESLSPLDQYLDKISELDQKCQEANIEENDFTT YTYDELSYELGLVKTSVQKKLAFLENQMVARSMTNLTPIQLEEFESVFRHFDRDDTNS LQELEFSAALASLGLVFSEDEMHDYFHATSGGRDYVTFEQFIRFMVDVTEDQNTAEQV YQSFREVADGKPYVTEMDLRHSLVPDEVIDQLVEIMPAHSGPDMSEDRGMPQYDYISF MEKLINEQNRQGGSETAQPDRSDSEPQSPHTNGV FPSE_01093 MNVASLGRPVGCLKTALRRTRFQRTFQRNASSAAAVESTSKRML NAFSAEQRADIAKVSKFYVHPRVPSIRSTHPDPMPALLEKQITKLDPTGARTRLFSRE HADSAKVGDVLMVTTKSGEPFAGAFLQIRRRGQDTAIQLRGQMMKVGVEMWFKIYSPT VTGIDIIWRRPKRARRARLTYMRKPKHDMGSVDQMVFAWKKERYTLRSRASQTGRPVG KQHAKILGQKKP FPSE_01092 MPALRPLANLRVSLANTAPQYRRFSATPTRCLSAVFAETENPEL NKILIDVQEKIILPAYLPETQKKIVYNTSKGDYMRRNPIVIELDGLEHTFSPIDIKKD IPNSKTALTEATKLMKTKEEWDNIGTFLAGYQKAGIKLDQEHYMSLIRRACAAQQEYS IIECAKQADKTGLTLHRDSSIALLLSSINQKIYNSAREDGDTLQAFKWNRLIWDIIQR PEHVQNRNSDSKLAYGSPVTRGLLLFTQAHTIQAQQTAGESVEKLTQELRDNVEFIAG LWKVQTTGHELLRSWHLNKLNVRSDRGTAARKDPLSPYYYIRVLAQNIRAMELAQEII GDDAKNLMPYHDMLEKHAGDFIEAGSKNRKGFGLEYESITGRKPEWEKHLTMD FPSE_01091 MFRPTARRLAASAAKAAAAPTSYTLNVARAQGVSRGLTGAIGNT PLIRLNRLSDETGCEVLGKAEFMNPGGSVKDRAALYVVKDAEERGLLKPGGTVVEGTA GNTGIGLAHVCRSRGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNPENYNHQ ARRHAERLDNAVWTNQFDNTANRRAHIETTGPEIWAQTDGKVDAFTCATGTAGTLAGI TRYLKDVSNGRVKSFLADPPGSVLHSYVSSGGKLNERTGSSITEGIGQGRITDNLQPD IGLVDGSLHISDEKSIEMVYRCLDEEGLYLGASSSLNVVAAKEVAEKLGKGHTVVTVL CDGAYRYADRLFSRKWLTDKKLLGAIPKHLEKYIVLP FPSE_01090 MNAFHCMRPVAARVPFQRSTLPRVARAYSSKTYEYIQVSQPKPG VGQVALNRPKALNALCTPLIKELNQALLDFNAADDTSVIVLTGSQKAFAAGADIKEMA PLTFAEAYTNSFIESWSDLTTQIKKPIIAAVSGHALGGGCELAMMCDLIYCTENANFG QPEIKLGTVPGAGGSQRLTRAIGKSKAMELILTGKNFSGVDAEKWGLAARTFPTHEAL MEETLKLAETIAGYSKVAVQAAKEVVNKSQDLPLRDGVEFERRVFHSLFGSQDQKIGM KAFAEKKKAEWSHS FPSE_01089 MNAPEPEQTPFEAVSVHTSRIQRKYQALLDQSTPFVLYRWVGTV VCLVLFFLRILVAQGWYIVAYALGIYLLNLFLAFLQPKFDPSNEEADNDMEDGSVGTL PTKSDEEFKPFIRRLPEFKFWYWATRAIVIGFTCSWFEVFNVPVFWPVLVMYWFILFF LTMRKQIQHMIKYRYVPFTVGKKNYAKNSS FPSE_01088 MSSTSRPGERMVHQDFIARIRYSNALPPPPHPPKLLDIPNTGLA SGQYTTPGFASRLAREQPLNIEADAELGMPLDLVGMPGVFDGDERSIQAPAHPSALHP HDRPLLRPIAALGKLKAAEANVSFLRRTEYISSGSSKRKDGGMPNAMLKKINRIKRVP EPAADSPHAIKRKIDKGFEIAEQEFNDPKRAKHPSKKNLKVVDVSPLIPDLDAFPDTG AYVTIKFHQAPVVSAKQYDRRLLSGLFKPIDRTPDEEEAYEAAVAAHEQDPDNIPKPQ NTMNYEYYLAASATAGERFRRKFDVEDADHNDDELYTHQSDAGGCFQFRRLRGYETAQ EVEFEAATKYDEEIILSYNEDTFYPNQKAVYYYPVQQKSTVRPQRTKNIARNIGIAEE EQVIDQLDITVEDPTEEVREMIKAHHDHPLGGGPAEEEEEHHEGQDAEGEEDVDHRGS SPAARRSRSISEERDAEGEDDD FPSE_01087 MISLLSFRASQRRQLLSVAALTLFFFCAYTLLRIPPEQAREYQI KTPASSEPLSSPEPASQERQLVIGSSQPRQVPTPEVHPISYLASNAQQDLEQIKARQS KTLDEAVKEYRRRYGMPPPPHFDKWFQFAKDSNVKLIDEFDTIYDLITPFWGLKPRTI RSRAREALGFDNGLIGVSIRDHRITYIQNGVEWQQNATKGMMSKFLKYLPDMDLAFNF HDESRVILSHEDLARLVKTAKEKNMPVAFSKSQPANDFTQTNEELHDGKSFEETTLTR FNSFAHQSTWAHSRLSCPPDTPARCLEEDETVDHRSRYGMSDLGFIYNTTAMSDICLS PSLKSNFGFFGGPNTYRIVQDLFPIFSQSKISSYSDLVYPSPWDWAGMVEYDEEMDME WAKKKSKLYWRGSTTGGYSRNGRWRRQHRQRLVQKLNARDQAQILMKQDKPSWGSSEV PRGDYSDMVDVHFSHIGQCDPGDCEAQRAFFNVTEAVDQQDAWSYKYLLDMDGNAFSG RFTAFLRSRSLTFKLAVFREWHTEWLKPWAHYVPLSIQGDDWLESVRFFENEEAGRQE GERIAAASREWANQAVRQVDMEAWFFRLMLEYARVIDDKREIIGYDRSSANAKLPKDK VVE FPSE_01086 MVRTSVLHDALNAMNNAEKAGKRQVMIRPSSKVIIKFLTVMQRH GYIGEFEEIDDHRSGKIVVQLNGRLNKVGVISPRYNVRLADLEKWVVKLLPARQFGYV MLTTSAGIMDHEEARRKHVSGKIIGFFY FPSE_01085 MSDVEDNTPEVADVVEVSGDAPKGQMSILDALKGVLKLSLMHDG LARGLREASKALDRRQAHMCVLNENCEEEAYKKLVVALCNEHNIPLIQIPDGKQLGEW AGLCVLDREGNARKVVNCSCVVVKDWGEESQERSILLNYFQTEQ FPSE_01084 MGKNDKKGADNGGKAKGGDKGKDAKDAKDSGSGGKAKGAQNINV RHILCEKHAKKEEALAKLNDGVKFDEVAREYSEDKARQGGSLGWKTKGSLDPKFEEVA FALETSTTNSPKFVEVKTGFGYHIIMVEGRK FPSE_01083 MAEQNHHTARGTTSYTRSIGISQRVTPLAISWTGEMRTRFSDFQ VNEISEDGSVLHLQQIGIAGEEAPATETKKEANGESEVKETNPEEPARDAEKQPSNET TAETKPAAQPEQVNEVSAEDAAILEGLTDQRFAQELIGVYKAGSGPDNDRRKTVTSEA MDDRAKRGQVHQEIRRIFKSRVDTNTGDDGAIIATLIPPRKANSKKRGRRGGRGGGGR DEKPAGEYLHFTLFKENRDTMDAVNQISRFLKVKPQVIGYAGTKDRRASTVQRCSVRY MRPRNLAGINGRIWGVSTGDYEYKDKPVYLGQLLGNEFVIAIKSCQMVGENSDESIAQ QVEKLKKNVDSSLSHMNEHGWINYFGHQRFGTHEVGTHQIGQLILGDKYEEAVKSLLH YDDEIAQKAEAGEIPEEPSKRDEYLRNQACMLFLTNKDVRRAIDIMPRRFSAENCIFR HLNRQGAQSRRDFIGSLVHITRGLRSMYLHAYQSYVWNHAVSRRWELHGENVIPGDLI IAPAETTPLVSGQDQDGDDIINPVEDDEDTPVRARPLTAEEAASGNYTIFDIVLPTPG YDVVYPENDMGEFYKEFMGRDENGNLDPYKMRRMRREFSLPGRYRKIMNRFLAAPSAE VRAYSDDTEQMHPTDLDNIKASKSANRKRSRDDAESDSTAKKAKVEDSTEIEMTDASG QVGEGETAPSENTSEATPAVQEPSKIAVIVKFQLGRSAYATVALRELMGDPPADSE FPSE_01082 MADQQSQGNDPTPQPQQSAAPTPISLPTHNSGPSPVPLLRPAIP GARNGGARTPRLGLAIPPSPNAKPVGNQPIAAPSRPPLPTLHLATPMGSQVAPQEQLP RSQCVTQASAGGGSESSAAHSRSGSFGPLDGRASNPTSAGSQYSALSFASQYGIGVSR PQGTPDPVSAVGSMYSERSEGGVSMERDGSLQGLEAFDKLTIEKARTLDVDDLDEEGW RIASLEKRIVEIGNLGEGAGGAVTRCKLKGGNTVFALKVITTNPDPDVKKQILRELGF NKECASDHICKYYGAFVDPSTATISIAMEFCEGGSLDSIYKEVKRLGGRTGEKVLGKI AEGVLGGLTYLHTRRIIHRDIKPSNILLCRDGAVKLCDFGVSGDFGTKGEANTFIGTS YYMAPERITGQSYTITSDVWSTGVTLLEVAQHRFPFPADGTEMQPRANLIDLLTYIVR QDVPKLKDEPDMDVYWSNNFKYFIECCLEKQPNRRASPWKMMEHPWMVEMRSKRVNMV KYLSYVWGWGDQPKDS FPSE_01081 MEPSVESDHATSASDEEILSGAASSSDESDYLDSGASRKRRRTA EISQDKAGKVEPEDDDDDDDDDEELKRVLSTIAAPSRIKRNVPGDKKEVQAKPAVAPK NTIQAPTDPNTTFSALNVRPWLVQSLENMAIKRPTGIQKGCIPEILKGRDCIGGSRTG SGKTMAFAVPILQKWSEDPTAIFAVVLTPTRELALQIFEQFKAISSPQSLKAILVTGG SDMRTQAIEIGKRPHVIIATPGRLADHIRTSGEDTICGLRRVRYVVLDEADRLLNATG PGSMLPDVEECLSVLPPATERQTLLFTATITPEVRALKDMPIKPGKQPVFVCEVDTQT LAIPATLKQMYIKVPVTHKEHYLHTFLLTEANVDKTVILFCNRTSTADYLHHLLRMLE HRVTSLHSKLPQRQRIDNLARFRASAARILVATDVAARGLDIPEVSLVINYDLPRDPD DYIHRVGRTARAGRKGEAVSFVGQRDVELALTIEKRVGRDMEAWEEEGVNLETRVVRD ALKLVSEKKREALLEVEEGREVGGKRKRTKQKLRVD FPSE_01080 MSPVNTKILILSDTHALSFQPGAEPLEHFDMAIHCGDLTNDSKL RDYKATIRLLEQINAPIKVAIAGNHDFTLDDRVYEQKIEESCKASQEDISADIKVEYG EYGEAKQLLLDAKDRGIIFLHEEGTHRIRLDNGAQLKLYVSPYTPSNDNCSGWGFQYA GAHDFAIEEGTDIAITHGPPHGIMDTTSKKERIGCPQLFAAVARAQPRVHCFGHVHES WGARQVSWRPNISEKPHHFSDIDNNKSHVIESLSRLRGSKFGSPGDKKEREDKIERYR LQRYCEIDKRPQLGETMFVNAALMAAGKLSQFPWVMNIDLDGYDQGNKEKRKRKASDV GLTAQKDRY FPSE_01079 MSTFTTSKTSSLHQSTLKKNMSVTQTYYLAHKARAKLSREAAQA DHDLRLLVGHANLLDSLMLELADAEREQERWFNQSVRGANNTQQERRVQWADEVVQEE DEDDYDSDSSDSDDYDSEDEDIEMSNTPSVPIKAMSPRISHIEIEDDMEEDDLEEDYA QLELVRTPSHSSSPPELVDHDSDSSDEDSMPPSPPQAILTFNEKDAKKQSQQQQQDSN SLYAEEYYLPPRSPARLVSAISVY FPSE_01078 MRILPRRALAYSQPGFTICRSGGRLHRRQFATSLSPPPPPASGF AALTSRRLISVTGPDSAKFLQGIITANVTTKDGLPRTDGFYGAFLTATGRVLYDVFVY PNHNSPGLSSEEPAYLIEVDAGHAPTLAKHIKRYKLRAKLTVRLLGEDEASVWHTWDD SNGANWDSIVKSTNLSLQDPRAPGLGYRLLQLDQNTPQVDLEKTTEEAYTIRRYLNGI AEGQDEISKEHALPQETNMDIMNGIDFHKGCYVGQELTIRTRHRGVVRKRILPCVVYE TEHAAPTTLQYHADGAASSLESVTADMIPRDTSIGRFEKRGRSAGKWLKGVGNIGLGL CRLENMTDVTLPGDAASGAFNPEDEFVLDWGEEESRNRVKVKAFVPDWLRKGLDAEHS RHQK FPSE_01077 MTTEPTPKMFKRLIACCDGTWMDSDKGYQEPGLFEKEGSLQVPS NVTRISRCFEKRCNDGKLQVVNYESGVGTGSNMLDSITGGAFGQGLAERMRETYSFIC SNYMDGDEIILVGFSRGAFTVRSVAGMIGHLGLLTREGVEFFYPIFKDMQHWMDDDYE DPFPNIPFPDKPKGKDAADRYRARLEQLGYTRVRREQGDEIITIRAVCVWDTVGSLGI PKIAWLDKLGIHRIEHAFQALALDETRPPFSPAVWERLPENRYTTDLRQVWFPGNHGN IGGGWEDQGIANCTLAWMMDQLASIGVEFDLPSLERCFVQNVKYYHKTPSKLSIKSRK KKQKQKIRKWAMSPIYENNRPFRPWGLGAINKSPGILYKLSGQTIRTPGLYRPTDRRS KSDKSRYLLDTNERIHSSVRIRLACKGLSLDDEHVWDCPALLGKWKLKRTREKYNDPV PQEPGWCPHSAKDNMGHPNDWSKGRWVWEYIGSEKNGPTDKRQRVMVEEPLGPYERYL LSLSAGTPNVYHFADTIDF FPSE_01076 MTDSKSADLLQYAQEYASKDEDLYELLGVDALTPKEEIHRAWRK RSLKYHPDKAGDKFDAEVWEKFERARDILSDPGARGAYDGAIKAALLRKQEYEARDKK NKALVDDLEARENAWKVQREEKEQREKDEIEKERSRLVEQRRLREEEEQRQAAAAQEV EDLAEAKRRLKEKKEKKKQDEAREKFLRKSRMAAEATDGKPASGPVNGAMNVPGDYSV DFGTEQKLYWELVCDKLRAVQAVKNLQKNQATPEDYQQAEQGLLEAKTRIHQAEVRFA EQASAS FPSE_01075 MSSSSARVVDIHTHMYPPSYIQILESRSTIPLVRKFPQASDPRL ILLEAEVKALEEATRDPEAKPPGRPLTSHYASLAQKVHFMDTHEIDISVISLANPWLD FLDPSESGSIAESVNQEFSRMCGEHPGRLFFFGTLPLTANLETILESIKSLPTLKYCR GVILGTSGLGKGLDDPDLLPIFEALARAELTVFLHPHYGLPNDVWGPRASAEYGHVLP LALGFPIETTIAVARMYLAGVFDKVPELRMILAHSGGTLPFLAGRIESCIMHDGQFLR EGKLAKGRRTVWDVLKEQIYLDAVIYSEVGLKAAIQASGADRLMFGTDHPFFPPLTSD EQGEWESVSLNAEAVARAVGQGTGDCENVMGMNAVKILRLDSKS FPSE_01074 MASDLAVSKEGLSIPLIDFSLFLNGTPSERNNTANAILEGFKTA GFIYLKNHPVSPKDLQHAFDMSARWFDQPLESKMKVVWTTPEANRGYSAPGREKVSQL TDLADVEKMRAALPDIKESLEIGRENEAGHPNYWLEESGELVGFRKDMLGFFEQCRQL HVEVMKAIAVGMGLDDGFFDGFVDVGDNTLRLLHYPSVQSDVFKMNPGTVRAGEHSDY GSITLLFQDARGGLQVKSPTGQFVDATPIEGTVVVNAGDLLARWSNDMIKSTIHRVVE PPQKEAESYPPRYSIAYFCNPNFKSFIEAIPGTFETEKDKKYDGINSGDYLVQRLTAT Y FPSE_01073 MAADTAAATKRPLKPASTVNKAYLIFYNFVSAVLWSVVLGRTVM LLGLHGPEYVYPNVGEFTKWTQTLAGLEVMHSLLGVVRAPLFTTLMQVSSRFLLVWAV VDVFPVLALSPFYSSMLIAWSVTEIIRYSFFALTLSGFQPKFLTWLRYNTFFVLYPVG IFSECWLIWLATAPAGHLNELYKFALQAILAIYVPGSYVLYSHMMTQRRKVMRNMKAQ GQKAQ FPSE_01072 MFDSSSYIKSGVLPEFGLCQPSPARLVLVRHAFNTHKQAQTFQR HLPFPPCSIGLDGKDLKMKKTLLLCFIHGFKASLPLPRIILVRSRNQLKLTRIKGGED TFGVDYQFTKDLRDLVREALPKIKVESIVYPKYETRGDLGNCVSHFRDWLQDRVIDME VAAGTPSPTIEPSVRTILIGHSMGGIVAAEMLIGLASEKPIYTEDGIQKSETPSFNSL MFPYIQGVLAFDTPYLGISPGVVAHGAEDQYQTASQAIGAISQLSGLGASFWGATQAA SATTTPALPKTAGALPAPSASGGSQWQKWGKMAMYAGAAGAVAAGGAAAWMNRDQLGE GWSWASSHLEFVGCLARGEELRKRVSCLLQLREELDVGFANMYTRLGKAAPSKEINLV GTVLGKNRTFCNLPKKQPGGVWKEAINDKATDEAGAHMNMFTPKENPGYNKLTQDATQ FIHDWLQNDWYQTSAAEPLLLKEAPKPGDNPLHEENPWAEEKPDTEDAGVRDIL FPSE_01071 MASVHASPAVQHPGAPMPAGATKQQAEEVFRKLKQMKEQGVPPT DPEYIKASQFLMNFQQQHNMRRNQQQFMQQQQLQKQQMQNATNGSPANGVMPGRPLQQ GSPQATQSASSTLNASAMPNQSSSTPASAGASPSTGSSSNHFTQQQLGLLRQQIHAFK LLGKNAGVSNALQQAIFHQRQRKQAAIPETAQAAQASKHNQPDSEPNKDSQNGPETAT EDDGSEIPKAHVFKTVKSPYGTSMIRPEIKYFDHSQRKNRWFIPGVFPTGIDFDHLRY EREVVVSNRMRQRYAELKNLPGDLAHWDSSKENLEADDSLKRKAIIEMKSIALYAKQR ALRDKIGRQMMHYDNLAMTTNRSSYRRMKKQNVREARITEKLEKQQRDARENREKKKH TDFLSAINNHRKEIQESASSQRNKSHKLSRLMYQQHFNIEKEEQKRIERTAKQRLQAL KANDEEAYLKLLDQAKDTRITHLLKQTDGFLHQLASSVKAQQRQAAERYGDGDELPME ENSDYDEDDESNKKIDYYAVAHRIREEVTGQADMLVGGKLKEYQVKGLQWMISLYNNN LNGILADEMGLGKTIQTISLITYLLERKQQPGPYLVIVPLSTLTNWNLEFERWAPTIN RIVYKGPPNTRKLQQDRIRQGGFQVLLTTYEYIIKDRPILSKIKWFHMIIDEGHRMKN SNSKLSYTIQQYYHTRFRLILTGTPLQNNLSELWAMLNFVLPNIFKSATTFDEWFNTP FANTGGQDKMELTEEEQILVIRRLHKVLRPFLLRRLKKDVEKDLPDKTEKVIKCKFSA LQSKLYKQMVTHNKLVVSDGKGGKTGARGLSNMIMQLRKLCNHPFVFDVVENVMNPLN ISNDLLWRTSGKFELLDRILPKYQATGHRVLMFFQMTAIMDIMEDYLRYRRVEYLRLD GTTKSDERSDLLREFNAPDSKYFMFLLSTRAGGLGLNLQTADTVIIYDSDWNPHQDLQ AQDRAHRIGQKNEVRILRLISSNSVEEKILERARFKLDMDGKVIQAGRFDNKSSETDR DAMLRTLLETADMAESGDQDEMEDEELNMLLARSDDEITVFQKLDEERMKTSPYGTGP GTKGRLMGEDELPEIYLNEGNPMDEETEEVILGRGARERTKVKYDDGLTEEQWLMAVD DDDDSPEAAAARKQARRDRRDNNRLKKSAILGSMDESPSGSRASTEEIEIEIETPKKR GRKPGSKNEKRKAEEGNDEPPPKKRRGPQGRPSKVSLESRIPAHQREVLQKSLRSLYD GLMTMEVDDIEPPEDDESDPGKRLIIGPFIKLPPKRDYADYYLIIQNPICMNQIQTRI KKEEYTSLSGLRKDIELMIRNCQTYNEDGSILYQDAKIMNEFFNSKYQEELAAHPELQ ELEEGGKDSSVAPSGSGGTPQPSGTRIKLISNSAREANGGSTAAQSDEE FPSE_01070 MSSDDDFEIEVPDLAADDPMRAFLPTSFGKKSKEADIAAQFDRS RRTVTSTAPEASGGQAQEPKKKGNSSDDSDSDDSDDSDDEDEFPVSHELVLKTHDRAV TTVSLDPAGGRLATASTDCTVKLHDFASMTPTTLRAFRSVDPYEQKASSVSSEAHPVH RIEFNPHAGGVFLCVSAHPQAKIMSRDGDIVTEFVKGDMYLRDMHNTKGHISEITTGT WHPTDKNLCITAGTDSTLRIWDVNNKRSQKDVIVFKSKAAGSAGRTKMTAVAWGSSAQ GGSNVLVASALDGSLVMYSGNSPFSRPAGEIRDAHKPQTWTSGIDISSDGRMVVTRGG DDLIKLWDTRKFTKPLVTVSHTSTSDHYSTSNIKYAPNSTSIITGSITGHLHILNPGN LRAEHVTPITPGSPLITVDWHPKINQIITGSANAETHVLYNPQMSNKGAVDVMSRAPK KRHIDDNPELTMDQSVGVSGDAIMVPGATGGSRKAGVTVSGRSKDPRRPYMPQQTPLQ KNAPDEKSIAENIPLARMLHEDPREALLKYADKAQKDPMFIKAWSKTQPETQYAELSD EEDGPDKKRLKR FPSE_01069 MPPDPLLDEDEQYASSEDSDFAPDDAPNQASDQSDVEDEKDEKD EGDKSTNKRSRPATDEGAANAGYDNSGDEAIIKRGEKRRKKTQTRGVNEDEDGGEGGL IKTRRQRAAEKEERKYATTNNEPVTIDVDALWAQMISKTPIPGTKVDESTDTDTNTDP TNKEVESAVQAAGSIDSDDPSAMIRIKRTYNFAGRVHTEEKLVARDSAEAKLYLASQG DAPPTEGREKRATRKAFKSAFEPQVDLMPQRSDLNLGMAARIKAGKEVQAKKLNVVDK SRMDWAGYVDKEGIKDELALAGKSKDSYMAREDFLAKSEAMRDEDSRRARLAGKV FPSE_01068 MAILGKRKADSEPAISEEDAAAIFRRHFEAQFAPLPDAKEPKSS SKKTRHDEESDEDDGGEGSDSDSNDGSDNEDEGEWGGLSGDDSSEEEEEEQPRVIEVV DHSGKQPTATATMSKRELKAFMSSRPPDQTFTKSEPTPAATSSSPNDLPEDAPALLAQ DLELRRLIAESHLLAPTISASGTTVTPKTFAAGRTRQKATDMRVQALGSKVSIHKQEK MPMNMRKGIVAAAEARETKRRREAKENGIILEKETGKKKGRQDRRRDMAVDRPGVGRL RGAELRLSDKDIKGIEGTRDTFGRRGRR FPSE_01067 MYSQHSAMAPQKPETFMLSTEAQQALPHDAQVALQQVDNLKYFL ISAPVDWQPDQYIRRFLLPTGEYVSCILWNNLFHISGTDIVRCLSFRFQAFGRPVKNS KKFEEGIFSDLRNLKSGTDASLEEPKSAFLDFLYKNNCIRTQKKQKVFYWYSVPHDRL FLDALERDLKREKMGQEATTVAVSEPALSFQYDSSQSLYEQLTKAQQANSSSFSAQQS AFSQSQSTSPVMRAMDSMPPPPTMMPQSMAPLAEGMDAMVPYGTMAVPHPMHQAVPVK REPDFTRVQYNQNGIPITTGHQRHASMPAYALEYSPAPSFVSSQYEDYSNRGISFEPI TPPQQALGISAEPAYIANEETGLYSAIPDHMASMNGLNGMVQLPPSNLAGPQFSRPYG TNNVYSVIEGSPTYKQRRRRSSIPPSMSAIAPPTAPSHPAHSHVRRPSDLRRSVSASV GPVAEGDESADNSPPGLSYSTSGMSMNSHHQNMSRHGTPLSTVEGSPATNSMGLHQDF SHLAGDEYNGDGSMSEQRRSAPASNGAVRRARSATVMEVGPYPQKSHSCPIPTCGRLF KRLEHLKRHVRTHTQERPYVCPHCSKAFSRSDNLAQHKRTHGREDGGDGSLHMSGDEE EDFSGDDHLGSLEEASPHSDSAYVTGSLNVAAHGSTPPSSNAPTQSFNSLETLSMPMT MSQPAAINASGMM FPSE_01426 MAGRFVRASKYRHVFGKSTRKEFCYDNLHISRNAWDTNLIKVNP EYLSVNWDASGGGAFAVIPLNERGKAPDQIPLFRGHTAAVLDTDWNPFNDNIIASASD DGKVFIWEVPKDFTLLTDAEEIVDVTPVSKLAGHPRKVGQVLFNPAAENILASASGDF TIKLWDVNTGQSPLTLKHNDIVQSLTWNASGSMLATTSRDKKIRVWDVRQEKPVHEGP GHGGAKNSRAVWLGEHNRFATTGFSRMSERQIALWEPGRTEPIGGFTMLDSISGVCMP FWDDGSNCLYLAGKGDGNIRYFEYENDKFEFLSEYKSVDPQRGIAFMPRRGINTHENE VMRVYKTVNDAYIEPISFTVPRRAETFQADIFPPAVGTKPAASAQEWFDGKTAVPNKI DLESVYDGTAPKEIASDYKAPAAPAPVAEKPAPKEEPKREEPKPAPALRSPPPTMNEQ KGSISAMASRYQDKHEEEEEDDDASSFEEVSRPAQRQAAPAAKFTPPAQSQTASSFTP AVVKPSSPAKTPTTPAFSAPAASSSTAHITSDSSFAEIKQLLEGQTKQIGLLTAEVEA LKRKVSTGSQDQSERIRQLELELEEARS FPSE_12226 MATGLLQRCEGMLTWSCSWFWTVPGGELLQQGRASSCTPCPSHF PDKMSLTNTLGASLENQQDHHSRQISLHNGKRNPSSAVGTLTDRDEKKLAQLAQTIEW FSQGNPDSSPFQPSPSEVSSPRLFWQNRNTENIFGPGSPSSHRRSSIERLQKASRVKN SNILALEQKQEYDPTRLPQIERPLAKVQANSFHGSTASFRSSTTDRPNFGHGRSESAM TIPTISPTRSTTSQSGGSPNRPLTPSRDQVSPTKSSLSHSRFKNSFDMETGTWTFGSP EEEEDHPMGRRHAKSVTFDAAPPQVNEYEMATPDLSSIGTNSREGSYESLDYDEEDSD VLYDPGHADLEGDSFDASLEDTDKTPVVGPDDWRGDSPMTARTAPREFDGSPLPETPR ASLNGGRPLHVRSDSTASSGEHRPLPPLPGFLSHSRNNSAPSSPASPSLSATAERMLG NQRNLPSPPAASPASKLDIQSIGNAKMTLEERLRLMMLSDDSDGKTAAEQQRERRLRR GNGRERLSSPISEPEESMMSFNDALEEQDDMVGEMSALEDYQLPPRISRDSIMRKVNG NNTSADQDKDCIFTSPPASRSPSRSPERQIPDDPDIPIPSTEDSLLSEDDETEDDEEQ EGSVIIRRIPDSEIEFYEDSDIDFDEDESRLELPVDDDSESHYSSQEPTPQEIKLEEV EAKLQEQIPEPEEIQEDVTTPTTATPTQSQSMSSLLDIASHDKDASFSRDFESYMLPE PQKPEVDDEPVKEDKEPQPEAPKMADAQAFLQRPFTPEQPLSKPEYDGTGWGDPEDEY DDDCPSTPDSVIHHPVPAIEEPELPAIPERTATIKATGSKLKTRLSNTPSDLNAMREA RRIVSMEVPDVPPIPDKHSKRLSRDNTGLLVTGDEFINRHPSFKNRSLTLDLDLGLSL DQDFERVIEAQKVAYNDPFLTQPPTYNSSPSRQVSATRTQQHNNDELDANLTFRRQRG YLMRQNTKVVTASDKDMEEYRVTRSVGNSPVKEDRPMSCIVEPWNGKPRQRSVRKRVG GSIGPVPPLPGQESNATAVSQAAVDDDLTLEMATPESGERGRLFVKVMGVKDLDLPLP KSECQFSTIGKTYLTKADERTWFSLTLDNGVHCVTTAWLELARNAPIGQEFELVVPND LEFQLTLNVKLEKPIERARPPPPAAKVHKHKTSTFSRVFASPKKRKELELRQREEEER AVQLQKDAQAKQRNIPPTAYELLSPLAAEDGSFGRAYVCLREHETRCFGRPYLAEVAC FNEWATEEAGFASSVKSKRGNTNVVRRAPYKVGKLELQLLFVPRPKNATDEDMPKSMN SCIRELKAAEERMSRNWEGHLSQQGGDCPYWRRRYFKLVGTKLTAYHEATRQPRATIN LANAKRLIDDRRALTEKETTGRGGRRRRSAFAEDEEGYMFVEEGFRMRFNNGELIDFY ADTAADKEGWMKVLTEVVGRDSPEEESSNQRSKAKWCQLVLRREEQLRRRASGRRVNS RPKSAGRRALYDDYLDLAFRTSRIFTIDVSVRKSSGIGLYG FPSE_12227 MTRFRPCIDLHAGQVKQIVGGTLDSTSAALQTNYVSQHPPAHFA QLYRDNKLHGAHVIMLGSGNDGPAKEALQAWPGGLQVGGGINDKNAKEWMDAGAEKVI ITSYLFPEGRFSQERLDAVLEALGGDKNKLVIDLSCRRRGEDSWFVAMNKWQTITDME VNQESIKSLEPYCSEFLIHAADNEGLQKGVDEKLVERLAQWCSIPVTYAGGGRHLEDL ENVKRLSNGKVDLTIGSALDCFGGSGVKFDDCVAWNKKQDN FPSE_12228 MSDRGIINLALSKRQPLLKDKPAAGDDQSLLPKATKTHPRGSKG DENANVYFIGTATTVIEWQGFRLLTDPNFLHAGDHVHLGPGVTAQRETNPAVDLHELP SLDGILLSHYHEDHFDRLVEDSLNRDFLIVTTPHAHKCLTAKDPPFTNVKALDFFDHV KLKSDDTEAGKQAPVIKVTGMPGKHVPPGPLAALNDLLGAVPPTNGWMLELGCHNDGD ERVQTGYRIYISGDTLLVDELKDIPKWLQQKRIDLMLIHLGGTTIPGPSAPLLMVTMD AKQGVEMIQMMDPDVTIPIHYDDYDVFLSSRNDFEKAVKEAGLEDRVVLLDRGDRYQF AVRKD FPSE_12229 MAKTKSKSSKPSSASKSQGGISKLILVLGLLTALLSSVVYFVEQ NLEQFYIFDLKHLDDLSKRALAKHGEDTRAVVKHIVDELSEKNPEHVNVKEEWVFNNA GGAMGAMYIIHASVTEYLIIFGTAIGTEGHTGRHTADDYFHILSGTQLAYVPGEYAPE VYPAGSIHHLRRGDVKQYKMPEGCFALEYARGWIPPMLFFGFADGLSSTLDFPTLWDT TRITGREMINNLIKGKL FPSE_12230 MASPASLAATSELDVPSSPVQPEAKSFVSRAMSENDDTQMGNGT PDPQTKSSRAKSKAPQSKDKDKEKEKDAANQSTVGKIRHLKKEDGEPLWREDIQYDFL KAVFDNEQTVFTNSYEPERLQRQCFADLYIDTMSRSSKTSKVLRDKLLSDREAAKGMA MVCLLVNMGRMNTTLNFFPEMRAQLRTYHAIPSLQARQDPHAYKQLQDAPRLKSILKG GADDREEPNSLDKIKSLDTPRTNPVNLIFVMCNAAQKIAELHFPRAREFHDLIMKTDL TSQSRANAFLWLMWFYLESDFTEEGCEENPFGAGVDYGLDVANQGVPQLIGMDKNEEA AENVDTEEEIEFGRTKQKMRAKILEMDQAYLNERDTKRGKMRPSFAADEGPAILPRIR PSKHESDMDSTRSTPPPRALGGRGLNSSRRGAPLKYQIFEGASSPAHHVNEGVVARKP RPPTAHQLAVERNRSQRVEYILDRGLRRQHHKSRKLRRQDGAIFRSYQRVNAQEDPFE DSDDETSLPRNLTYGDKTPGLFREKGVGGLCLLTKEKDDFGEEVSAYAAALRRATRRL RRWRPHAHELGVIPTVKRPRPKKAEEDDVDLDLDDDLDGDKQNGDLNGDVTLGDVTVG DMTAGDMTMGDVTMEDAELEDQTVLHDDDEVDDADRTEVMGDSDID FPSE_04122 MATPVRTATIAGPSVTDSPGTWRHPRLNEITRRRNATTFSEKNV RQIAYNVIALLGFWSAQLLAKLNIGSQALPSTFRVYLGWAWFILQLIPFVNIGVACLP LIRPKDDLSDIPLTSAQRQLLGLDPSSAAPTPDAKFSTPPRYSRTPSLGGSVGSRGSY NSSPLSGRGSPFVQGSPLGSPLFQKSTNSFGSSFGNSFNGRRSSFGSTSPFAASSSSN VFSDPTSPGTPGGKRTSVGLNSKWLYEKGRRPSGSAWGK FPSE_04123 MASSTLQRKSLISVSSPSKILIGQPADKSIDVGAALRKGQEVAV NVWSGSSVLAPGSSTGTTETIDRVLSPLAQNEVGTIRCVGLNYRKHAAECGLEPPPIP VIFMKPSTSLVDPWPAKGTVPKLSQVDSSGDYEAELAVVIGKTAKNVSEAEALDYVLG YTAANDVSSRTQQLNQSQWSFSKSFDGALPLGPALVLKSLIPDPSKLHMRGLKNGEVY QESGTDDLIFSVPKIISWLSQGTTLPPGTIIVTGTPAGVGMGRTPKDALRHRDEFAVE ILPHIGTLTNIFENEKSEFMTKL FPSE_04124 MASKVDRIVARLQAKIEEGDYYEAQQQTRVAASRYIKTSNWPAA IDILSSVSQALLRAGQGGSGGDLCAMLVDVYKQAELKPDANTKGRLLTCLRLFEAGEP TRKKFVGEIVGWSAKFGDYPAGDPELHHVAGSLYAEEHDTYEAEKHLLLGTKDSPEVL TKMEYTWYKESEPHQAALFAGRAVLPYLLVGNVRAANTCYRLFTSSLSSDNPNLGVQD VSSPAGDIRIFPSLPLLNFLGFLLLAIQRGAPELYRALVSKYATQINEAGSWAEALEM VGEMYFGLSKPRQNNPLMDMMSGFFGGGGGQPEKPKRKQVTQRQDAPAAEGLD FPSE_04125 MADRSRATTNGNGVSRTRNGASSAGVRRNLFQSQLTRRPTAGST STSAETLHLDVDVLSDTSEIVVRDKHGEIELGDPPTPMMDDEDELPLDNAQECESMQC PCLRY FPSE_04126 MEEERLWKFRRPEWLNSVWARNSGVYGAGAIFSIAFYIMLDSAV WSKSPRNGSDIHVKFVDWLPFIFSSLGMLIINSVEKQRLSADSFSYSGNGVAWKARVV LFLGFAALAGGMAGGVTVFVLKFVVPSVAMPALGMGIENVLSNALVGVSSVVLWISQN MEDEYAYNLSL FPSE_04127 MRPTNYLPRPNLLVSAFTSFSIYGAVAMAQDNKDDDKNNDPSAV PAPAAEPTDAKNSDAAKPTDAAESAKEASDEKKPEPASETKDSAKPASTLETKKEAPS KTEEEDSASTVTGEGPSKTEDIQSKITAIAETGTDEAMPTLTRYKPIPTYPAPSVPPT NNAPFMRHSSAPDGTVFIAVGAILGALGLGILLWRLIVGILLHRSVDRAAKAQHDETA KTAFPAPPAPFYKYTDTGSTMSLSAGRGVRRTTRGPVLSSAPSASNLFFSPTAAASSN GPGNRGSAFLPSGFYAAGTSSPGGQHDHSISMTNLRPDSRGYFGTPTRPSPPDSPSFQ ARRDISNSTLNLNRPPSQRAPSAFLEDLLADDPSSLPPPHMPGAAARRSSHGSGSPGA HNRI FPSE_04128 MVMTMATPTLSADSTPCCPGCGYSLPLDHSQVQLLEAQARIEDL ENQVRLLNEKATAAVDRWADYEDEISILRAQLPSSTTAQKAQAPVTPTNTRMSFLQSG TSRLSSFLSPRKPHDLPHIDTNPNPSRPPNRRSISSQSHRPQHQVQAQAPPSPSAEDL LAALNREQSLRKEAEGKVAATSQEVEELSAALFEEANKMVAEERRARAKLEQRVDELE RRDIEKRRRLEKLEGAMSRIERVRLMLAEAEQDVESSKQTVKEIEALERQQEEEERKE RESKAKLSGHNDRDSVYSVATDENPERRSIDKPNKQEKSEDDTPGK FPSE_04129 MAVDDIPSVALPGKVLGPVTKFAPGAGTHVYEGNVVSSLLGQVT VTPAAKGPGPQKRLNKITAPTSDELAAISVSRHGRKREILPDVDNIVLARVLRLMPKQ AIVVIQQVGDTVLQTEWQGLIRVQDVRATEKDKVKIYESFKPGDIVRAQVISLGDQAN YYLSTASNELGVILATSEAGNDMVPISWKEYKDPETGISEPRKVAKPS FPSE_04130 MPDPVDKELQPSDTQAAPLAPTDFERSGKSDEDQIKESEEKRSA ERRQSEEFNEKNESRLADLEEVNTGASEAQTISRATSGPKDPPKKSWHKKMNPLRWGS PPPVPEKSVKSREHEAGFLSRLTFQWMSPLMHAGYRRPLEPNDIWTVNPDRSVEPLTL KMKESFQRRVEGGEKNPLFWAMHETFKAEFWTGGACALYTSIIQVISPFTLRYLIQFA ADAYVANQTGGPPPHIGKGVGLAVGITLMQITQSLGTNHYIYRGMTVGGQTRGVLIGL IYEKSLVISGRAKAEGALQSNVRDAEDDAKAKKKAKKAKKAKPDASDGTGWGNGRITA LQSVDTYRVDQASALFHMVWTSPILCLLTLALLLVNITYSALAGYGLLVIGMPFLTRA IRSLFHRRRAINLITDQRVSLTQEILQSVRFVKYFGWEKAFLERLGDLRNKEIRAIQI LLAIRNALNAVSMSLPIFASMLSFICYSLTHNGLTAAEVFSSLALFNGLRIPLNLLPM VLGQVIDAWGSVQRIEEFLLQEETVEDTVFDAKGDDAIRLEDASFTWEKSHKEEAGRE EKGKKEKTKQAPPPQVESSGDDTSTLVEEREPFKLQDLNFDVKRNELVAVIGSVGSGK SSLLSALAGDMRKTNGQVTFGSSRAFCPQYAWIQNTTLKNNIIFGKDIDKAWYNKVIQ ACALQADIDMLPNGDLTEIGERGITISGGQKQRLNIARAIYFDADIVLMDDPLSAVDA HVGRHIFDNAILGLLKDKCRILATHQLWVLSRCDRIIWMEHGKIQAIDTFEKLMRDHK GFQTLMETTAIEEKREEVEKPIDGDEPTADEKKKKKKKGAALMTQEERASASVSWSVY GAYIKASGSILNAPLVLFLLIISQGANIVTSLWLSYWTSDKFNLSTGVYIGIYAALGV VQAILMFAFSVVLSILGTKSSKVMLRIAVTRVLRAPMSFFDTTPLGRITNRFSRDVDV MDNNLSDALRMFLLTMGMITSVFILIIAFYYYFVIALVPLYVAFVIAAIYYRASAREV KRFESVLRSHVFAKFGEGLTGVASIRAYGLQNRFINELRDSIDEMNGAYYITFANQRW LSMRIDLIGVLLVFVTAILVVTSRFSINPSIGGLVLSYILSIVGMMQFSVRQLAEVEN AMNAVERLYYYGTELEEEAPSHTVEVRKSWPEKGEIVFDNVEMRYRAGLPLVLSGLTM HVKGGERIGIVGRTGAGKSSIMSTLFRLVEISGGKITIDGLDISTLGLHDLRSRLAII PQDPTLFRGTVRSNLDPFSEHTDLELWYALRKADLVSADAETPEDARRTNDPSRIHLD TAVEEDGLNFSLGQRQLMALARALVRGAQIIVCDEATSSVDMETDDKIQATMAVGFRG KTLLCIAHRLRTIIGYDRICVMDAGRIAELDTPLHLWKQGGIFRSMCDRSGIRMEDIH GAREELSSAVGESSGQ FPSE_04131 MATGIIREGVFAINKPCGQSSAQVIRECQQVFNPSEFFKPLIQS EVAKRMNENSGESARRKALKRASQVKIGHGGTLDPLATGVLILGIGCATKALPQFLEC TKTYETTIVFGAATDSYDRVGRILTKRPYEHITRELVEKEIASFKGRQIQIPPLFSAL KMNGKPLYEYAREGKPIPRQIEGREVDVKEIEMVEWYEPGEHNHRWPTEEAEAAERNL AEQVWRVKKQQESNKPLSPEEKQQDDKAIAAHETFKRNFEERQDALIKDAPSKKSRKS KEPRMMSGALGKLPQPTYSTKGQNLVPDAPDSSTPPPWSDQGPPACKVRLTVTSGFYV RSFCHDLGAKLDSAGLMAELSRTRQNHFEVGSENCLEYGDLAKGENVWGPKVAGMLKK WNEGLGAGPRGPAGPSEKSQKNETKRSGSPTRKQNKGEKRRRSESPNGAGSPRRKAAA LESKQDSKPVKEPVKEPVKEPVKEPVKEPVKEAKEEATPDARNRSDDEKSWNGIED FPSE_04132 MTGRRRRASTSSVDTEDERKVSWCKEKSVLRFNHSGVDPETCFE LKNAIVLNKDGQTLENALDVATRGPYIIRGTLLVEDPAQKAHLIMKVRRSIELEVTKC ELYSIGEDENGSPIIWVAGTCAWFELNPSKAYAPIYRQMREAVVLYYRLLAIYSERQP KKAKKGKKDIERDLYQVFHEYATRIGDGSTLEEVISRCDQHASFLISQCTQDSSELDW RNTPFYQWLTKRHKDTYDKEIERLNKPRQPLRSPSMEIIEPSAPSVPQALPSRTRNGS SATSVALSNATPEIIEIEASPPPQRASRSRSTSRRADHEIIDLVSSTQISRDTSAIPR PTSVHSSTSTPSFTPHMSDMSSSVTGANETPFQSVLNAIEQVYADTAHSKAGLTLSAA MNKLWTKYRFPYHKSDQKAAYRVPIEEVLHYNAGALLEALDIDKYKEHGFYTWLVETS GKPFHPVAIRPSDFPFRLVRRKQSSNANSNKLAQPPPLAQIGTPKGPANEEFSSPARS SPAGKSIRRPGRPSGVKSSLRLAAASRKRAHSDVDSDSHDEGAEPKRSHYFSDEDDAI ENEGQRGLSEDERAPSSSEELVKIFLRADNIPTTVPRGPDETWVCEENDCGYIVRGGD VQDCGERIRQHFNEHEQQMNRVSLAMTEGSRGHLPVKYVLFKPFLILVELNQSHAFPA PKPRTPSPETVDEVNTAASTPTLTNSPVRVHLYQSQTPISSPKAPIPITTPNFRSMVD EFRRRPHPVSDNIDRLTLRK FPSE_04133 MADYDRRPPGGHRKRRYRDDDDHYDRRNQRRRIDSAPLPVRVRR QLLSIADSPLRRWAEEVQSIAQMVAENHDDENLRKTFLELVTQLLLEQPLKTPFVAAV VHIINTLKPEFVDEILGSITQITAEKIAQGEWRDVKLLLKFLACLQSLLEGDGLFPVL EELFSRAADLQTASSDDTIGTEIVKIILLTIPYIMVSAPGQFQPKAAELMEKTDIIAS EPHALQALVDPYHPEGKEESPGTSLSVCMLLQKQLQSEAANNWPLSCLPRPWEMPLED IEAQDKLSNAPKHALPEMSIPATVIAGPRPLFPEIYFSVYTDQDIPSVPAVTDIASSL IRDGLLDTINILDFNRNVTARYLMDLDCYFADGTFVKRATPFDELKNFPTGKNTWKPE DVAVDTVFSQLFQLPIPERKVVYYHSVLTEACKLAPAAIAPSLGRAIRYLYRNSPRLD LALSYRFMDWFSHHLSNFGFTWKWAEWIDDAQLPDYHPSKWFLKGALDKEVRLSFAQR IQKTLPEPYLPLVGPEKEKDVPDFKFKNPDTPFSKEGMEIAGLLRRKAADEEFQPFIN SIQSQASELSLDPLVASTDVFMTAICWVGSKSLSHVLACIDRAKGRLLEAGNTSEAAR AQIISALMSYWHAHPGIALSITEKLLNYSILTPLTVVDWAIVASTPANGANGGGSLAE PHIFELVSNTLTKVATRSRQVISSPDTDDETRAKEVKSIHDLFRATNDALISWAGGSK DELMEEGDGSSDREAMIRRWGQRWLRVFNRMGAVEEAFALEAAKNVGKDRMATDGAEN FPSE_04134 MSAHRPNAFNSLRMGEVIREKVQDGITGETRDLQYTQCKIVGNG SFGVVFQTKLSPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVQLKAFYYSNGERK DEVYLNLVQEFVPETVYRASRFFNKMKTTMPILEVKLYIYQLFRALAYIHSQGICHRD IKPQNLLLDPNSGILKLCDFGSAKILVPNEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFRKADANAIDLIARLLEYTPTERQSAIDAMVHPFFDELRDPNTKLPDSRHG TGQLRDLPALFDFTRHELSIAPSLNPKLVPAHIRPVLASQGLDIDHFTPLTEQEMMAK LD FPSE_04135 MVISVAYEGLMTICIPQSLPTKHDWPPPGKLQLPRPLRSKWRRW CQGLDGLRILSPQAERHQHVA FPSE_04136 MDTLLTAEIAANAPRYRRKSSTFIDAIHDIPHGQDLAPAQLYST MSGRLFHSGRIVIVMVGPPARGKTHICVSMARYLSWLGVKSRIFHLGDYRRATVGPDG SIPDDYFFPNASPASVILRQKILKKCREDIYSWLNHDNGQVAIYDAVNPTANGRRSLA KEFAKHDVQTLFIESFVDDERILRENARNVKISSPDFAGMEPDEAAKLYLQRIEMKIP VFETMNEKELNYIKMINAGTKFFYNNVSFNYLAHRIVFYLTNLHIKSRKTFFARAGTT AEEDSYKADAPLSLEGRDYAQKMSEALLKHREQERLTTIAEGGTDAPLPPLTVWTSTR MRTVQTSDVLNEKGYKVRQRSQLSQINPGVCEKMSERMIRQIYPDEVEKHELDPYHHR YPRAESYHDLAVRLEPIILELEREQTDLLIIAHESVLRVLYAYLMHCSTTDIPVINFP RDEIIEIVPAAYQNEAKRIHIPGLNPQIVPGSPQDIKIPVPSSGVVSAQLSPIPSGVG TPAEHAERPPEKVINTAKDMVADKVNDED FPSE_04137 MASAAALDPVKKALLSNPLAGAFGDVFNSFNERRAKLGLSNPGT IESLAKEVQRDVFLNNYMFTGIRADLTKIFSMAPLFQVSHQFAMGERINPYTFAAMYG TGKVFCQGNLDNEGSLSGRFNWRWSDKLVSKSQLSISPGGQDMAQFEHEYTGNDFSAS LKMLNPSYLEGGVTGIFIGSYLQSVTSKLALGLETLWQRPSLSQGPECAVSYAARYKS ADWIATAQLQAAMGTLNTSYWRRLSDKVQAGVDLSLGLVPSPGGLMGGGLQKEGVTTI GAKYDFRMSTFRAQVDSKGKLSCALEKRVAPPVMMTFAADIDHFTHQAKIGLGVSIEA APEELQEQQEVLGAQAPPNIPF FPSE_04138 MKEFPRKFLSLRDKNGSSRRSKSAAPASPLPAISDKNKPRPLST GAFMAMFKSDSAKQGAKADGDKEKETARAEEILRRLDELNITDVTADHVIDIMATKFA DYDSDKTVEFIDMEQKAAAGIITPYDPNVDMVGAENRGNVTCYLDALLFSMFAKIDAF ECMLKNDFPEEDNRNKLVNLLRMWVNMLRAGKLVHTDMTKLIQDALADCGWSDARMLE QQDTSEAFAFITETLQLPLLSLQVDLFHQGKKDKDDHKVVYERLLNLAIPPDPDEKGL KLEDCLEEYFNAQVDVKRDSEDGKKLGVEEKSRSDTPTLKHRDTIRIITEERGESSTP TSPLPITPMERTPTLEKPPVLSLVDPDTKNGNVPDDEAEGEVTAVELVPNKPSLRGRS TSVIQRVVLDEEGRPSTPDTTTMLQKAMRKGSTVVKAVTIPAWQFFRLIPWHALSSTE PRNNTEVALNFEQRPVVGICLKRYAMTESGQPKRHNTFIDIPDSLRLPHFMLADEPKA EEDMNVLNTDYKLVLQSVICHRGDSLQSGHYVSFARVAPKLLKDNRRHDFDPPPDYEE AQWVKFDDLQIESRVTYVDDIRTALKEEMPYLLFYQVMPMVEVAPPSVDDTETEPPSY NDSKVSIELPPTPSRSHRLNSLEEGYFDNTPTLEDSRRLSSKPPSIRLSMDGERPRRS EDGTGRFPGSLNNSRRPSAVWTESAPHTPNSHSPAITPSEEPTASRLSRAASRFTLVN RSRPSSQSGENRLSFSMSRLGGLMRPSKDLTAESKDEPNGIGMSSPNSTGVLTAETSK ESRESKEISDRTEVPAEVEPETEQRHHRHHTHKHGHKRVKSKDKVKNKSGNQPERECT VM FPSE_04139 MATVREGARLASSLGRRQITLRAAPLCARRYASTGAEKSSSDLA DLDNASSFATGAPDQAAIEAFNARENAKGTVNKLPGNRYQYHPPKYYRGPLHPVQVPK SSDPTARDFVPGPFNFPRLRHTYETTVAPDLITMTYQHIPPGTELPVSNKGNLREWDG SSPYHKNRPRRGPRGGGSSRLGLVERPIEWHNVPEIEAITINSFAPLSSQNKEYLHVC RAVIQAISGAFPEVTRVKNGVVQWSVRKGDKTGCKVTLKGAQAYEFLDKLITLVLPKI KDWPGIEATTGDSAGNLAFGLQPAWMAYFPEIEFNYDMYPARLMPGCDIFIKTTGTSD RQGRLLLEALGLPFFGKAGH FPSE_04140 MADPRLNELLKWSIEQSEATKNDPEAPPAKTQLTPELMAALMGG PSDADLMKASMEIIASDNAEEVSLDDKLVAFDNFEQLIENLDNANNIANLSLWTPLLE QLKHEEREMRKMAAWCVGTAVQNNEKTQERLLAVGGVPMLVDLATKEDEPVDVRRKAV YALSSAVRNYQPAMDLFADELTKKGHKTDKVDATSMEAVDEVVNGLREKIGKA FPSE_04141 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAIARVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTAAQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGLSDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF FPSE_04142 MSRLFRSFCLIAPRSPFVSPTRTFSSTSIYLAKALPPRPKPPPE SEIEESYVKGSGPGGQKINKTNSAVQLKHVPTGIVVKSQATRSRDQNRKHARELLAQR VDELRNGDQSRSAIVGRVKEKKAASASKKSRRKYKKLEEDKAIAAAGGEAEAPLEATS DLSTENRSAAHKTESSEATEPSDTTSKLT FPSE_04143 MAVFGSFRKWLALKQYQLEVTFSVYMFTPWEKFFFSSIVFLLFS LTFIAACLYLPHHISFLVGRAWYYMNGEQIDVAEVVQEAVKDMSASALSGATASAVDV TKETIEIVKEL FPSE_04144 MGSIPASVEYLASGANRQTAVADWSRCGILAFGADINVALWRPL SDPPKGVSKLLNGHKSTVKALAFLPEEDQDENSYLISGADDKALIVWKAQRDSEEFKP IHTSNEHTAAINCIAALRIKGTQKWVIATGGADACIKIWSFDNDNFSLLQSVKTTPKY FPMCLSLGYAGDADDVLVLASAGTRDIVQIHTTEANFEKIHFEVQATLTGHEGWIRSL SFAKETTAPDSDLLLASASQDKYVRIWRFHQGKELPVASANSSDPSSDAYLPGKSPSN KAHRLNSAGKDFSVTFEALLLGHEDWIYSARWQRQEDKKLQLLSTSADNSLAIWEADP SSGIWISMARLGEVSREKGATTATGSTGGFWTGLWSHDGKSVACLGRTGSWRRWEYIT SEDFWKPCVAISGHTRAITGVTWAKNGEYLLSTSSDQTTRLHTRWNRPGTETWHEMSR PQIHGYDLNCIDSVGASQFVSGADEKLMRVFSEPKAVASMLNRLAGIGGGMDVENMPD AANMPVLGLSNKAIDTVEDDQEIQPIDDRDREAMDPASIVKKSHLEIDHPPFEETLSR HTLWPETEKLYGHGYEISCLAASHDGTLVASACKASSTNHAVIRLFETTRWTELRPPL TAHSLTATRLRFSLDDQFLLSVGRDRQWAVFNRAPEEDAAYKLLQINPKGHTRMVLDA AWAPSPSSAPVFATAGRDKQVRIWAAKPNEEGKLQFTQTASIASASPVTSVDFIPQVV EGRFVLAVGTELGRLSLCLIKDDGTDVVEKPACEELYTNLLGVLLREKVLTGHTS FPSE_04145 MAESASSPMLSIDVEFSGGLEMLFSNKRQHALSIPAAGQDGKPA DIAYLIDHLCQNVMDDSRKDLFVLDSHLRPGILVLINDADWELEGEEAYEIQSGDNIL FVSTLHGGTHTYIRCWDMGTDVDLNMDMVGIECIHNQAHIKNVSGSLQVSTRQDGRL FPSE_04146 MSLTTQTSSGPNWGRWPQQLPGDYNMMESPSMLPFDSRANTTSS IQRPVMSPYMVQGSYSSGQVSNIPAPHYQVSNPYQFAAYQGPPTPPHHSSPFKVEYND RPLGQENDHGRVSSYLREPRYAYVEQAPSPARSDSQASTARSTGSNPTLASKTIISNS TVNGGDQINFETEVDELMKAIQRKAEMQPDAGHQPLTPGMSPVSDASLESHGTPTPMG DKSQRKRYHCNGPNCQKSFTQKTHLDIHRRTHSGEKPYSCDFPGCELTFSQLGNLKTH RRRHTGERPFSCDKCDRQFAQRGNLRAHLQTHQGLKPYVCILDACNKTFSQLGNMKTH QNNFHKKTLKNLTMRFAQILNSGEEVPDVDRELFEYFATHYKNSNKGIKGRGKARTVA ERKPKLSHSPVAHPISSIPQYPLPQIASGHQTPSPHQPHGLPVSGSLAAYSMSRAQHN SHTNGYEVYDIQGGHHHIQPPHNAGMMYETSHVREMNYNERMY FPSE_04147 MQKLTVDLEGQYNLASASPEFLTLAHFEVQCYIHSAPMQWAMNG NAVASVVFEQNVIYRLELPTATDAGFYESCLTLLCSNRDKDEEDQLRFVICHLPMLL FPSE_04148 MCIDECIKYDCTKCQRRIRATEQKLLCPEAGSGTCTTTIDFITY YLPASQCETCENKRKEEEAKARKRKRSGSNANKV FPSE_04149 MFALSEESKERIAKLIDVSRVAIHYGYLPLILYLGYTRSEPRPS VIRTAVSNVIIVYRSTAPGAVRPTTVSILRALTSTPHPIASLYRGLTPNLVGNASSWA SFFFFKSRFENAIAAWQGRPDGRPTPGDYFVASALAGASTTTLTNPIWVLKVRMVSSD RGSQGAYPSMLAGARSILQTEGIRGFYRGLGISLVGVSHGAVQFAVYDPMKRLYHARR REKYGLERDHMTTEATIGLSSLAKFVAGAVTYPYQVLRSRLQNYEADKRFGRGIRGAV VRIWTEDGLRGFYRGLVPGVVRVMPATWVTFLVYENVKYYIPYWV FPSE_04150 MAQNRHWEQDKEATVYIGNIDERATSAMVYEIMLQMGPIHNIHM PRDRVTQTHQGFGFVEFRTPTDAEYAANVMNGIKLYGKSLRVNKASADKQRAAEVGAE LFIGNLDSMVDEKILYDTFSRFGPLLSLPKVAREESGASKGFGFVSFADFESSDAAID TLHGQYILSKEVSVQYAFKKDGKGERHGDQAERSLAAEAKKRNIVPEAQPVPQAFLQQ PPAAAAPPAGFDPSVMGRMPVGAPPQVAPGGFAPPGRGPSPYNAGVPPPGMPPRGAVP LPPAPSGLPARPPQSQAGYTNPADFHPGSFRPPSGSPAQGYPVAPPPGFPAPPQAAPG APGAPPPGFMPPPGFQPPPGFRR FPSE_04151 MSYRPFHGQGQGQQGSQQPLPVPGMNPPSSYLPNGYQQFTSPQQ QQQQYYSMSSQQGQQQQQQQAYLQQQQMAQYGGMQAAYQGYDATGQPYGNHQLQPAAA QYAPPSNVWQHQQSPGGQNMMYQQQFQQQQHQQQQHQQQMYQRHVASPQQQVQQRRPS QHIPSPQQRTPSISQTQGYRLPQPQAQAQQQNHHPQYSQHTPQQQYQQQSQQQQQQQQ QQQQQQPHIQQQPQTAILQPSPQPTQRQISSPVQPYVLQETQHNQQPRQDFQRQQQQT IQQAAQKPVQEPVAEIKGENNPEPAPYQPIQVEPEQLHFVNLQDIQKLPSHPPVAMGQ KQSTVAPAPQPEQPRNWPSQNDASTINPSDIMLSSPQAQPLPPQAQPQPQPRRQSTPQ QYPQQQQQQPAQVQVQVQPQMKTPRIKPSPLSSVANSPAISARSPSITKKSPATTPKA RPVDTVHVMVSIAEECFDKARGSVHDVAMSMNSTRIDEYQNLISTGLACLEACLQSNR LSPRQEAKMRLRYATILLEETENSMEAETAITKGISLCEKNGLADLKYCMHYIRLKLL FQRNHKAALNAADRQIADCETYKHPHWVYAFRLLKAAFYMELDRTADASALENIRSVQ VTASNRGDNAVSVFASILEGFALLKASKDGNLEKVQACLAQVAKFQFDESVHIMQLDV LTLLLDLVSSINHSNLELTLQKLKMLQDRMDGCQDWHTVKSDFLIPVKMQPSTGHTIS SDTAGIIRSGGDAPVNYLVMTFMTKMELTSLIFTFTGLVNMHKSSAHGRRTAEFWQEG VRVLDTWDNTTVGIPYGPSTSLQDAISQREWRLEAQCYLNVLLGLYAASHCQWDSVKQ YIGKLEALVTSSSQGILRLLACYLSAIYQQAMGNLRLAMELFQGPQFDLDQRGTGVKA AHRELAMLAGLNRLWIMQHPSERNDPETLDLIDRLHPLCANHHNVDLRTAWHNVMAAI VTEPSQQLNHQKQHIQAAMAGSKSTNNVLGAAVTLAIMRSRLFENVIGEQALKSAMAA AKQAQRSGNVLWQSVADGMLAQSYEVQGHRQQAAYEREKATREAQEAFSGFSGS FPSE_04152 MPPAAPPLPSRFPCWCRAVYSWGGESKRDLGFIEGDLIECLNAG DGSWWVGRLYRDRRTVGSFPSNFVELLPSQFRPTTKSVPTPASNNPSTAPSKSRTFRK PFEAYAKAPHYTSAKQPEVFKETPKPPKERQNSGASFAPSIHENERGPSPAPPRHDHR APSPAPSHNYGSRAPSPAPPMHNYHSRAPSPAPPMQNYHSRAPSPAPPMHNYQSRAPS PAPPMHNYQSRGPSPAPPPHSYGARGPSPAPPMHHSQGYAGRGPSPAPSFNRAMVPYR GGQQQRGDSPPPPPPPPHRQIARGSMDLHRGSFDMSRHGSNASFNPLTPSRQNSGNSY RQPQPPNPPQAHVRHGSFDDRSYTPYTPGKSTPVPPSPAGGMTPSPLREAMDGVMEQL DVLGGGLNRTAHAPSPAPPADPWSPESFDMLSHGSNRRIPDRSRPKSSMGVTPRDEGY ETWSGESSQEVSHQNGGREDKLSSYVDRMEKRFQQMHRHNSRSGEQDDDQPPPPPPKN MPYERPKSSMSRSAEPERKLRARKSAYEIGRGVARTLTTKTNSTNSSSGKESNISAST QSTSRTLWSGTSAGAFSTTSAGSLARLGNRGRAQSAIGARDLDIDRPDSPFTGVTYHS SHASDSPAQQQRPQTQVGFHDDPSLELGGLVQPKPPKRNIFRKIFDTAKTGVANSRGG VVGGGMDSPRSPFARSMPPGASPLSGMGSTPSGRDTATEMGLGAGVDWVQVRRDVNRS NSLSSIELTERRERCQMMDHPALNPVDELYDGVEGDEGADGEPVPEPVDYQAINLSQV DKNSRFISGLPPAITAIQLATTYVCRPYRSDVQRLRAIFTWVSEKIVWEEDFEGEINT TRVIQSKRACAEEYAVLVMEMCAAIGIHCEIVRGYLKTPGEVSEINIMPRSNHWWNTV LIDNEWRMIDCCLASPSYPRRGLYSNANNVADTWWFLTRPLEICWTHIPEHHGQQHIV PPVAHETLLNLPCACAPFFRNGFEMVDYNTASTRIEDLEMVHVKFSVPCDVEIAAEVE VRGYSRDSDGDVFESGEIVKKRALAQAEWFNGIKRYTVKALLPGDEGQGTLKIYGGKR GLMHSIKDIPHPLAFALPIVHTGENPPYEFVTRHPTPHAQRHDIYVVQPQCQRLALNN TFVFAIRQHPSSLSGASALTPASNPGATSPIPFIRPSSALSMGASSVSGSTPSSATGT VAGKKPAKLAIQTPGGKILRLMRKEDRKGIHVGGRSLSGSDTASDGGTWETIIKCSEK GVWRGLVLADRTARWCVFAEWVCMG FPSE_04153 MADEGVAEHYQVLEELGRGSFGIVYKGIEKTTGETVAIKHIDLE SNDDDIQDIQAEIAVLSTCASSYVTQYKGSFLRGHKLWIVMEFLGGGSCLDLLKPANF SETHIAIVCRELLLGIQYLHNEGKIHRDIKAANVLLSETGKVKLADFGVAAQLTNIKS QRNTFVGTPFWMAPEVIQQDGYSFKADIWSLGITAMEMANGEPPLCHIHPMKVLFHIP KNPAPRLEGNFSKDFKDFIAQCLTKDYDRRPTAKDLLRHRFIRNAGKVEALQELIARR QMWDANQNRQKHPIYYQETLKSMTPKDEQQEWVFDTVKSVAPPPKRPTVKQHRNPSTI FNAEEAMKKLDVKDGPLGGTSPAPGTVRKSTVRRSSLAQSTTSMRSSGSPRGSMAPKR PLQTDMSFGNSGSTMRLFRRVPSDSSSQGSRPTSSDDVFCDENSHSSSVTTPIEPYGK EAVLGRRLYTKAVEPSLAELHAQTSAMQKREALAKLSDAFAALDAVDPEGAYHLMTSM VSSMSQDNKLSASILRQPVQKIPDDGTPQGTVIVKSTTPAASPAKLVMASGNPHLRSH RRRHADTPTMYEKDFNQEKLAIENKYPGQEARSGMEHSKQLSDVLYSRWSDGLRIRWP AV FPSE_04154 MAARFSIQPIGRFAGESQPVKRPKEFACFSYDDNHEFRLDDSSL KYYYTPQLGADLSKGFDTFQKLDDTGDDHLDSLLKTIVAHEQETGKKIDANVVTWRGM MTKIMATPFDNMDGFEMNATLYQDCIFIEENNAYKVASRSNEGSNRRRRGPPLEVMQF WGYKFETLSTLPAPWAETPREFIENREDEVVNNKAQYCSVVRTGIGKSVLCLGGEVDA IWDSKPEEKGSPINWVELKTSAEIRNAGDMENFNRKLMKYWIQSFLLGVPRIVVGFRT RDGILVEAKDVETHRIPETVNSYPNPKWNADMCVNFAATFLDWLSANITDEGVWRIKR EPQSPTIELFKVEETGHGDILSDEFKNWRIKLALGPSNES FPSE_04155 MVKTRKSTASIQTRNQRTSLNHKSKRKRDQDSESDVEPPSARKR RKSSSSRSHAQVEYPDQDSEEAEKETSEDSDTQEDTVLKRGWYLVRAVIDERFEASDG ETRHEYLVDWEPGPQETYQPTWELAENLNADALRDWEKKKDKRERQKLRREAQRQNTR SQRGLRSLTPRTASAEPAAFNERQQPETFNASFQNRSRSNSINQDVRTRKRPRLEQES VFSEEPVPSIVSASSVDVESVVSLESPARPGRNFAIVLRKPDDFDPSEYQSVHGSSQK ISDLEDDDQHLVFTSQLTQDTIPDSQDLSGRWDPRNLGSQAGVQEPDSLEPPADQLSV ALSHPEPGDQGRGTRDSEIGTPAKVIADPEIEIPQSIDCSAEFDSPSSDPGQEEACLE DEQPYYGNGGDTGVDNHSDDENRETDDNQAGQNFEVDDSDIEDSIRKQLLEDNQDFHR NDSNHGRHFSEDSEYSLNQSFDTAISGTTQELPNSADSYVPPDQQTQDNTLTESSGNG QRVAELGFSQTIDSPLSGRATDSARRIDSERSSPRYRGSVDALHTDTSQSQQPDINLS SQPSEQGHFDTSGTKPSHRSGSLSGKTTQLEAQPPSSNEVGRIIPDSQEFSNSTAELQ SNAPQTLTASNKAQITPFASQAELVPDSATTSSHIPSHQPDQPPLPSLAREDVFDSLT PTDQGLHRVPTASIREFSTSNNTQNTPVFFTQPQGIHESPVISSSLSRLETSEVVQGS LDPDSSTKSTDVPHKTEQSDFNELQAARSQPQRHASSQEPGPTSDPQFARDKPGINYD IERRSEQPSQTYSACIPPSELPRPTTETLKMERSHSQPRSLAADELKSFVDFGTDSVS GQVGDGESLDAASYGVSNVQESILSASTTDLDIAVSSSEAIIQSQPIYSVDPWKPEAL GTTPEAPAPSISPASIMANPHRSAFDTMREMVDRAFVNSRESIACSLMSQEPRDRTPP ATISPADISRVIDPLEATHTLNLTNRGTIPSEIGSPGPSITMGQIPEEQNSDTSSQSS HREDGYTQHVITLPMQASKRPYYGEMIKDYKTEIQAFSASFTGEPGEQPSELLVQKIH DLFDRLFDICDYPPNVVGTVLESQPSADIAKFCCDANPKFNFLFELMTGLDEKEKEVL IVIRNQELMRLIFALTEVAEIECSAEGINRRTDFPSAIRITLALWDEDFNPFNFDVVI GYDYHYIRSRIAMQLASGTGRKSPVVLLLVTTYSVEHVSLHPLNNASKLEETNAMLAC TVSAGRYLEDPERGYSEPHEIAEIFARYLNGITDTLNWEPQGIPDDVLDIFEGPMSQN QLLFAVDDLHGNGHKRKFSDGGEAADAKRTRTLPLRDPPVGSNNPPMPLATRQWLDSA LLRGEANNREATTSVRVAVLDSLREQADEYKRRVLLAAEVEVEQKNHINRLDKELKDY RKTTTRIGLSNRAAIQDRTVFEKEKSKAEAALQAATAAAHKESEKYKLKIAELESTIG HLREAPGAAERQDALTTAQKQLRITEDKLKAALTDVEFMRSRYQDVGSAAAQLSNEVN ALKTQNVALEQKASANLLAIHAQQASGERQAMQQTIENLQAQLQQRDAELTAAHQKLN SFANGRNTRGGSMPRSPRVPSGVSPRPNRAYTGSASRGTSPSGPGTQFMSQQAQNTRW NSLQ FPSE_04156 MSAFIRVARGVRAAPIRPAMQPLVARSAVAHFTTSMPRRSEHAE ETFEEFSARFEKEFDGVQDVFELQRNLNNAFAYDLVPAPSVIAAALKAARRVNDFGTA VRIFEGIKTKVENKGQYEQYLEELKPLREELGVPLKEELYPEEK FPSE_04157 MSATPATFYEQLPLPTIDRPFGIHLWPIFDKAFTAVVGYSANDF KFVPFETPMSTLKSTSIFIVIYYCIIFGGREWMRNREPFKLKGLFLIHNLYLTLISGT LLALFIEQLLPTVVRGGIFHAICDAEGGWTQPLVVLYYLNYLTKYLELLDTVFLFLKK KPLTFLHCYHHGATAFLCYTQLIGSTSVSWVPIVLNLLVHVVMYWYYFQSARGVRVWW KEWVTRLQIIQFVIDLGFIYFASYTYFTSTYFQWMPNAGKCSGEEFAAFSGIITISSY LVLFISFYFATYKKQGKAPTGRQSLRRMSQAPLPDPHLVQTTPVKKSNGASTTGAKTN SASARSRKA FPSE_04158 MRRFLQAALRSHLNKLRYYPRNLTGQRCSSRLYKPLNPETSQIR LLRVPQDPSSGFELVTASLDNKPRYAALSYLWGNPEHYGQITIEGNTVKIPDNLASAF LCILSDEPFRSQSDCQYLWADAICINQNDHEERSQQVQLMRRIFQLAHFTLAWAGPKD YSLAFDTIKTIGKIIDKNATKKGIVSTTPFDVEWLRGYPELCLDRGSNLPNNHLVDPW SAVADFLQHQYWKRAWVFQEVVLADQLVFISPGKSSLEWSTLRGIPHGIDSIMRNDTK RPDFLSDTAWDLLSSRLSWGKLSWLLLAQARTKIPDPDGFKGWVISTFAVNLEATDHR DHIYGLLGVSGIPMSPNYSPENRASHVYTKYIAGWLKAARTQKTMHVRTPLAFLSLAG VGKFGESDLPSWVPNYPEKKDVAVPWCYEASDMKILAHGAPSSLPADLDTYPFVVEET QSLFTWGVDMGSVTLTTEYPRGEDYAILASFMSLASSFTARNSRYVSGIPAAQAIIRL IRVNSLQHTTRDLIDTAMNLAMLMAYFNQEPSDTVQKTWGSDWDKDILKLAFPTIDVT QLGINPDILSELSSWDRQRRIDATFAIVPLLHHYQFFETSEGYLGAVDHQVVKGDRLC VLAGYDKPVILRPNVDGSYAFIGSTLVIDMDDDHVLQTHKAQGKWLELQ FPSE_04159 MRNPFRRRNKKDKAGSGGQSSDFVVPFEFRPQGAGCPPLYPPTR NSAYLLAHLPPKVLERIFAFVCPHALDESYETCEGSASSSDSVCMLCDLRDVAHCVQV CRAWRPSAVKVLYHSVRIDPVHYCRLEEWLAERRKKTSRFDRNGIPEDPAQARLRLLR RTVRDDPTRIGKYVQYLKLPYMLRESSQVELAQTIAVLPNLKYVDLPEGMFCDDPHYS TLRLEVQARCPNLRKMTYVGGSERSLAMLASGQVWPNLEVLELNNLNVDPAVIRAVLG SLDNLQALKVSNTPGLSDEVLSSSDGMATLPPIKELVLKDTPGVTLRGLIAYLAWQET QQALTVLTLKDTGVHPASLQEIFTMASSLKTLAIQSKVTEQFPSSIVTRPFSSRSLET LRYEISPASTGPFDSVTMGYYTFLATSILGGGFPKLRQLYVHDESFPDQLQGLPPPNA AFAGGHTRSGSNSSSKSNPVFGFSPPSNLLPASANLPMNRRPVSHVQPPSKRFSSNNP FAPRMPTSAAPTHTLEVFTKSDEFGKWNFVSVDPMFKSGSTTSRRPMSSYGLAADVTG PGWDRGDARRSVMVGNGTGVFLAVPGQGGDDGAFGGSSDSWRPQSSGGSPRRSRELW FPSE_04160 MKFSSLGAALALSLPLAKGSPQYTNSKPPSCRFGLEWSQKDVLQ RPDDFTWDLLYWEGKFHQNDVAYNTKNGMSYDGTQLDWKTGMRTKKHTFSAASKEALQ IMLYAQAISGSKEAARFLTPENLQAAPVFAASIMETKLNTYKQFNQTYPGFGGFLPWI KTDVQKISPQDDWDDRVPGLDNGELIWAVYACIEALQRQSSAKFHKIADGWQHWLEYV ASTAPKIFYMGKGKVCAVTAISDQTLSVNHKQQSYKCETETYLDDPYEGELLTYFLQF FTNLSKKDKQTLWEYKRPKLEKAEYNKGGVGPITVRKGFWFSSHEIWNQLELPYHDVE IVRRLFKNGERARTCNSVVTRSPGLYASVNNSTDPKTDEIIGYISPAGIPSIASQKDQ ELDVITPYGVFPVVLFDKATGLAWWRNMIVAKKMQNPYGSTESTRIDGEGVSALVTWD SKVTTVVALMGGVVDLVRDRMKSDGVYKEFLEITEREHVRVFGHDLKGEDIKFCLPNN QVPDAGLKDFTACQK FPSE_04161 MVTSPTPTSPDFPDTNTPSQKGPASRACDRCRRRKARCVLRDLD DRCNSCRESGARCTFDLPISRRGPKARTRKSRSQGRGQGQTGENSTTELTASASNDSS AGWDSSAVHLGLSPSLMVSPVSVSVSSDATTSQQRWQDLSGALAFITNDLHHFVTQCF DLFFEYLYPLTPLVHEPSLRDSLSYFTQFPIARGTGLLELWPETTFTLITAVCAEAAF LLPKDLFPEGQSVADIFLNASRACLNQFLEAELESPNANSITIRYFHSNCLHAAGKPK YSWHIFGEATRLAQVMQLNLETSLEGLQPVEAELRRRAFWIVYMGDKSAAILNNRPIT IHKFSFEAGITTAYPSGIEDEAPVLSPGNLVTNSDVVRITCIEGFNANLRLWQNASDL LLELRLMHDRGHQDLGMTNLQSLLGAQDKDRLDELYIRFITCLDDLPPYLQSYTFAAL VDGSRETAKQFLIQCANLQVSIHCLRMVITQKLENLPFYKAEMGHSDLRKTEIARDML RVIQEAPFWSLQVNGEPYLVNPLQLGRKDKTYWSKSFGDYTQKWVLAHRYESPERFYS PARSPYSARFQGF FPSE_04162 MAPKVAHATEDIRSPIDLTTIAPFWKRKNGVLLYFLLTSSLFAS MALGIDGSMTNALQTLESWQDRFGHPTGSKLGFFGASNAIGGVIPFIFLSWISDVAGR RVPTALGSVVIIAGVMVQLFATSLNMFIGGKIVLGIGSSLIQMGAPVLVTELSHPKER VQITTLYNTNIVLGYVIGAWATYGCFRIPNQWSWKLPTLVQVIPSAYQLILIFFCPES PRWLIAKGKVDQAREILIKYHGEGDANSELVKFECAEIQQFIDKEAEQNMTWKEFFSS IPNIKRLALCFATALFSQSSGNLLVSNYLTQILKDTGIKAEKDITLVNGMVTIWQYIV AITMTLLVDRFKRRTFFLVGSGGVLVTFIMWTIAAKQYLEGSLAGGRVVLACIFLFQG FYTFGWTNLVVTYPLEIVTYQMRAKTWAFVLLTIQVASIFGGYVNPIGLEAIGWKFYI YYCVWVAIIFLVVYFFFVETSGPTLEELTYLFEGKSTKQEMTKEIEIKKEEHDFVHEK GV FPSE_04163 MASLPRNFKLGFATASYQIEGAVAEDGRGPSIWDTFCHLEPTRT KGANGDIACDHYHRLDEDLDLLKRYGSDMYRFSISWSRVIPLGGRHDPINEAGIAFYD RVIDGCLARGITPWVTLYHWDLPQTLHERYGGWLDVEESQLDFERYARLCYERFGDRV KHWITLNEPWIVSIFGYATGGNAPGRSSTNPQSTEGDTSTEPWIVGKALIMSHARAVA AYNKDFRQSQNGSIGISLNGDYYEPWDKKDPQDSEAAEIRMQFHIGWFADPIFLGQDY PRCMRDQLKGRLPAFTPDDMQLLRSVETDFYGMNYYTSQFARHRSSPALDTDFIGNLD ELQTNKAGEPVGLESGLHWLRSCPDLFRKHLTRVYRLYGKPIIITENGCPCPGEEKMS REESVQDEYRIKYFEDHLDAIGKSVTEDGSVIQGYFAWSLMDNLEWSDGYGPRFGVTF TDYKTLERTPKKSALVLRQIIDRQKGEQLKL FPSE_04164 MKILLAIPVVASFLQAAVGQQLGWVYPYDSMALSEKCLSMLNTT IPACSPALMENAPGPQIMYDILDSETLSEVCHERCYTSLKEFRAKVVSACNTEMDAVA FKHKNTIFPPTYMADLLLLSYEVYCYQDRDTGKFCDLQLAEWRNHRESDKPLRCEDCL LGPQRVQLQAPIGYDQDDADKFHDLTSSCSATGYEYATPTQYATGSTDCSGPGDHDDY VVVPRLHLPLE FPSE_04165 MAPKSASARGHAFSRVFRLVLTLFIFLSLFVLVQGSADSQDDGN LDKPSMGSAVSEYLFTGKENTTDTCASSDSEAILGTLQRRDTRYFGLMDDRCYKSDTC EAKSDNSCRLGYKKVGFEVCGYWTSKGKAICCEETIAPKTCTWRGSGGDCNGQCHTGE VRLFQSKRGGGFKTESSNYGCDRGWKAFCCEEEEYDELLSGCKWTGCGGKCSEDENSV AYSTQFPRHCSILWFGTHLCCPKSKPEPLIDCHWVGQGDCAENSCANYEVTVGTEGYG GDKDSCAWGRRKALCCQHNPKAITCSRGLCEIDKSYDCGPDKFADWSEASGGLEERGR TSSGRRKLSRKFTMGKNYYFANVTARGYPGSTLQYATALLETAMTGILPNGTQMVTQA IDYKDIYDYWSKDVLPKLPSAGSSRVVKSPNDYFMDRFGSKGNRLPFLLLDRNLNQVK GRIFSDGATVQDPSLFAKLLKATIKGGNGHDGILDPIKWTISVFRYLNRAEVREQIQK NRQGLLAASSQISGAVPRLKRLHEIHQEFDYNWYRARTDNARKWVIGQLDLIENAFQK ASPVPANYKTVMDTVAEFKTEARLIKPPPEDPKKYH FPSE_04166 MRPLPQPHWMPRGYRGEIPRIITSIRELPSGVAPTLDKIPEINH TMIKTEPLSSLIRDMQATGFKRWGFVIFRTVYTEESQRLWESYIEFLRASVEEYLESM ELSTLLKPLLEWVFIEDRETLDNATKQHVRESFSEWTSQHSVERDGPGVEDAFQQQTP RFRYCIYVDQKCLDTVAQYEAWADAGAEGDDKQVVCVVLDKNCHRKGEGEGRFPRIEG CSREYTGWQYTSVHCLAHLYDKLSKQELSGYDYTRPPMVFPADGPMPTD FPSE_04167 MSLTVTQQLPQRELDAPKLDTSLAQRHSQLRPQIESLSVEPPIY SPSADADESSRESTPTTMVPTQRQLASAPALPAKSALRASRCLDGLLAHKLNTQGQSA FSAPHDVYLSSEEDASSSADDFSDFETDSGSDESLASDRRGSREDTAKMVSVVYAGKP SVIDLPRRSVSPSLISEASSRPSSRMSTLSRPASCLRRTSTLPVTLPVLDRRMSFCTT SSGSVMHPPRTSSMGTSRLEKQKPDFLSIDPFATKAEPETKEESSERPKTPKSPGVFK RTLSLVKKRSRPSLNTNFASQSRDNLSLYTPSLHMEQVQEEPGTDSDDRAPKPILNKA PTYHEFAQRRMSSAPMSPMSPMSEPGSPMTPNSTRSRLRQGLAAAARRRSVKV FPSE_04168 MAPKTEGPPTLVIAIDFGTTYSGIAWKFGDRELESIQTVTDWTT VRNYRIDAPKAPSAIFYGDNVNRDLTWGYMTPFGDGILRWFKLLLVNDRDLPENVRDC EHLKSARDLMHKLNKTPVQVFSDYLRNLWEYSRERIEAAEEKGWTNIYRIHFVVTLPA IWPHYVRSRMLEAMKIAGLFEVTLEGKTTYGFISEPEAAALTCLRENMGRCTLEVGDQ FVVCDAGGGTVDIITYKIEKLTPFVVSESVKGDGGLCGGIFLDQKFLGLLRRKFPKDI TRMLAREAAQRIVKDDWESGIKTAICKESDKYEINLVYKGPVDPQFFPSSFALQADEI REQVYKPVIEEIKELIITQIRQVEEGYQKPPKFVFLVGGFGRSKYLYQCLDETFGKKT HIIQKRGADPWSAVLRGAVLHGLSRTGLADSITAVVDSRISRHNYGTVFNDCPFDFKK HDIRDREYCPYDKNWIAVDQTEWYIGIGDAVSTYKPASFSCYQALTNPDQGLQIEIVI SDSAEPPARRDESVKRLCLIKVPLPSKVWGKLRKEINEDGKAFRRINYDLRMISDGSS LEFAIWFKEQCLASQYVEFESTQMQKEEATDGGGEADAEMMEPEESVSVIEVEDDTDD EYMDCPDREDESTVGDRSTVRGRHDSLDDDFLSE FPSE_04169 MESLVEACIKSTNVTPRWLPSGNTFWYKQSHEPDKHQFFFVDIV QKSRELAFDHKLLAEVLRQKTNEDVNDLALPFTWIEPVPEESCIRFRFDNRKWQFGPD DKLEEWNGDFETEPEGLLKKEVASSHSHIAVTVDFVNRTGKTLKVYWIDWDGKPVYYR TIKNGQTKRQITYTGHVWRLVDVSDEKFRAVFEAPDVGIHAAIIEDLSDSIPEASPSS DDGKSEADEDTAELENGTCHIKEFNLWYKDDDGQDVQLSKDGTKENRFDKGRIYLSPD KKYVVAWQYTPAQDHKISLVESSPKDQIEPKLHTKKYLKPGDRVRIDRPRLFYLKSRN EVPTDNTLFKNPYSLNHLKWKEDSAEYLFYFNGRGHQNVRVIGIGVDGNVRVIVEETS NTFVDYTKTYYKFLKESDELLWTSERDGYNHLYLVDIATGTIKTQITKGSWMVNVIEF VDKDARRIWFQAYGLRDSEDPYHGHLARINFDGSDFKILTEGDGTHSWTLSPDKRYLT DTWSRVDSPPTTVLRDGELGELIMELEKGDLKDLENKGCSLPERFVVPGRDGETLIYG IIVRPADFDSTKKYPVLDDIYAGPHDFHVPKAFTPLSRERLWADKGYIVVVIDGMGTD WRSKSFHNVCYKNLHDSGLPDHVAWLKSAASTRPWMDLSRVGIMGGSAGGQNAVAAML HHSDFFKAGIADSGCHDNRMDKIWWNELWMGYPVDEAYERSSNITHASKLKGPLMLIV GDLDKNVDPSSTFQMANALNKAGKNYEFLFIPGGEHCSGGGKYGLARQAEFFKRNLQQ ESTFRVDMYDDST FPSE_04170 MKISYLSVGLLALFSPLAAAWSKEDREIFRIRDEISKYETDPAA NFYDILGVPNSASLDDITKAYRKQTRNLHPDKVRQSMRSKAEKDKKSGANVKPPTNAE IRTAVKKAGEAQARLSLIANILRGPERDRYDHFLSNGFPLWKGTDYYYNRYRPGLGTV IVGLFLVVGGGIHYLTLYMSWKRQTEFVDRYIKFARETAWGGGFNIPGVEAAAPAPTP PAAPESEEEEAPPLPQNRRERRMYEKAQKRDGGKPVKKTRRAQVASGSGTATPTPTAG PTGARKRVVAENGKILVVDSLGDVYLEGEDEEGEVHEYLLDPNELAKPTIKDTAVFRA PIFLFNITAGRFLSKKSSDLELEVSGDEEDSDVPQPTPSTDSAGEDFELLDKSTDSLS KAKASGASQGKANKRKGKKR FPSE_04171 MTSSNRPEGSNVADDSIKETIPVEDSTEETKVQSSATPTEETGS NNVVLQSSKYESSWVRRILTPRNCRWSDESPPALSIGHCLLYAMASGITVANLYYNQP ILNKIAATFNVSYEESSQVATLLQSGYAAGLIFVLPLGDILERRPFIISLVFATATMW IGLCVTNDFTVFRALSFLCGATTVTPQLMVPLVGDFAPVHRKASLLAIVTSGLMLGLL MARLLSGVVTNFTSWRNIYWVACGLQYLMGVVLFCFMPDYPSTNPDGLNYFRALASIP YMMVTEPVLIQACLIAFTLSAVFTSFWTTLTFLLASPPFDYTSLQIGLFSLTALATIM SIPLIGRLIDRYVALLPTIGGQILALIGAIVGTFIGNFTVAGPVLQAIGIDVGMQTAQ VSNRSAIFGINPKARNRVNTAYMALAFAGQLTGTAVGNRLYASGGWKKSGSLSIAFVV LSLLFSLARGPREDGWIGWKGGWHPRREKTVSPPTEDEERGSELQDKEQK FPSE_04172 MASSMAMRKLALGPAFTRAARFPTRAFSTTRPVSRVITGNGPLR AKEASPFVSNKYAVIDHEYDALVVGAGGAGLRAAFGLAEAGFNTACISKLFPTRSHTV AAQGGINAALGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASIIELENYGCP FSRTEDGKIYQRAFGGQSKEFGKGGQAYRCCAAADRTGHALLHTLYGQSLRHKTNYFI EYFALDLIMQDGECRGVLAYNQEDGTLHRFLANHTVLATGGYGRAYFSCTSAHTCTGD GMAMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPT AKDLASRDVVSRSMTMEIREGRGVGAEKDHIYLQLSHLPAEILAERLPGISETAGIFA GVDVRKQPIPVLPTVHYNMGGIPTRYTGEVLTVDEKGEDKVVPGLYACGEAACVSVHG ANRLGANSLLDLVVFGRAVSHTIRDKFNPGDALKPTAADAASDHIETLDKVRTADGPK STAEIRLAMQKAMQTEVSVFRTQESLDEGVRKMKEIDGMYSQVGMKDRSMIWNSDLVE TLELRNLLTCAIQTAESAANRKESRGAHAREDFPDRDDENWMKHTLSFQKQPHGKVDL SYRKVIGTTLDEAECKPVPPFKRTY FPSE_04173 MPYLTAELPVVMVIFESHATHPWYGKKDPKSRVNTTRSRILAMA AETGDTPAVVEGAAPPAPSTIDLPVRTKQDATLTAGQALTGKQEHYLKRELISEQVKW EINELNSTTALQRFGAPFRSDQGEVPPEESELPILRYIFVHHIRDFPFLDKAREKEFW QDKLQVFLESFAGKQISSSEDRLEETKRRKLAIKARKLVELMMVSGIGTSSGFEERIR FSEIEIVDSNAIETGVMHTMPEGNYINGWDVNVAGVRVTQVKHTIRKHKHAEFILRIK KKGELEHFVGRRYSDFAKLHSRLRTELPGRVVPEMPKKNKSDTTTTIAFTSMFSNGND SDASSISSVSTRPNGYTSMLSPGHRKKDSSASFRSNRSKGLNSPRLPSPRVSTEGGRL SPAIRHDSDKSDQSDQPIVLWRENQRISLRAFLRSLLHNPQFANTNAMQEFLSGEPIT PTDDDVEDIIRRKALDEKRMQEQKQFYEIARKRAAELDEYMEQFRRDIVERNGLTMLF QEIKEKETIQDLSIQYRKFAEWLRIEIAAVIYHLFLAEDNSPELFAQARRIHSLIPYS VIKNVIRIANPAAVMSAVLDIFLAQPFGTRSLMQRIFSLTLNDGIKSFQKSIDILATK IDDPVFSQKLYKYTHAEEHIKIAIREEAEADDVDIIVAVMRSDLIEPGLTGEQIQRLY NAYVAFNNAVENVDEELRQGAQLFSYMKQYLKLCTRQRDKEMMLQLIEEPVTLQLFRD LFTIFYEPLVRVYKSANVYNSVTDFAVFIDDMIQVVDKCREQDASADPNQTVQAFIDL CQRHEHNFYKFVHEVHTHDNGLFTQLMGWIEGILEFLRKGPKNGTLNVNALFEGGVSA GVLDKEKAMAEINSLIAWQEARKKWHNDKTRQKMAAEGHAGNDLMPAGMNFNSADFGL DSEDLEDMAYDDGSDDEAEAQEEDEMDPIEAERRRRAKRQDRLRRSAGEPAKPPVGEV HKLTENFLIMLRQVLSN FPSE_04174 MASAGLTSPRDSVNSVSSIRSGGRHQLKRSITELASPVKLNRRK EKERDRYNEDRASHAHHISISHPLSPNSLYQSRASVDIMPRSEGVTPYMSPDQSRRPS LMLSREEENKVVSVPAPVEPKASRERIQEEKVKTSLQVEGLKQSLVELGTFSTSTARR LDETYYAVLEKMTALQSTVSALKELAEESQSIHGNFEKDACEIEDDITSQIAAMGQFK EHQENIESLTTRVQDGRARIRALSDRVDIVREQVELWERHDKESQDKTRLRLRAIMIC ITVVFLAVVILFVGAHYVSLNSAGMGEGSSGTPRLAESIIESHKEAGYTSLPLQRHER SESPYLKRKPAHTPKHRGDDLRAFDEL FPSE_04175 MSDTENTNLTPAPAAEKTLGMRKNGKQWHAPKKAFRPTSGLKSY EKRSQERAIMIQIKTKEKEMKEEKEEERQRKVQAIKEKRAKKEEKERYEKMAEKMHKK RVERLKRKEKRNKLINS FPSE_04176 MATAFGPERLSGPSSGPAFDSPADRFAASMNSLNGSQSIDCGAQ ADEPPPNPPFVFPAQPPVSASAPSSFSRATGRRPMSAIETPNFSFGFSAENAERNARS ALPDFSFNPGAMLSPDRENNFLLTPPISPHSPRNGASQQRPGGHGHRRGGSEFVGGRL REGNSIAIMSTSPTKSESGLVSPAFQIPPRRGHRRGISGAISTNDLPILQPPVFDSAG RGNSAPTSPTDYEQPNNQGPLKLDEQITPDPEPVPKPEASKVTTDPVAKSSPDSSPKN PKARVGFSDTLEFIPRPLSLVSNETSSTVTARPGHSLSGSISSIVSANSPSGRDSPSP LSQTPTREISDSRPSTAGAILENTNDLANAACSPKRRNSIPTLLNFADTPKAEDPEPS PTRKRWSFFAREHSSGNTSPGKARPQSAGSLDLLTKIAPASSSRFDTATETPDVVPAK PKSSKKSKKKKKKVKGWAGAILPRKPKSHNKRSKSEGGCSPSPPAPSVARYEGADQMY GEPELLQISTPTLTVTESPDPPQEDEKPKRSADESSYPMIDLDAALGPFNTPLPQNPE WEAAQRAAGGVGKRRLHSAQGMKGFSGPGMHYHRRAESAPDLPPFDPGRAGIHRYNSS STMADVFEEDEEDDDNARSGTTDDSSEEETDSDSDATPPAGVVRDPLRNSQDKLSVHN MRRTTSSLSDKDQISGSTVRGERSRSSLHESVIAEEFPSVIFRSPFMPAERCGTADSA HSSLKRFSANNDLSPGEVSPLHLPAPANVPNSPYAISYSSSFPSPRSPMSVDVQRIST APSSVADENNFRSLLLMGEPGPEVRVSVDYDIPSLTSSNSTMTRESMFLPGQRQSQPT LREPRPVSVSSAFGRRRSSLASLSRLISSSHGERSKLSMEVTLDSDENKKPKSSRTKK LGRMMQFWKPTKEKEPIKEKEGDTK FPSE_04177 MGFLDWNDGASVLSTRTSSRRHKSSRSHKKRSRSNSSERGRGFA ESIFGGGGSKHNASRASFFGLGGGNSSRSSFFGNNRSSYYKRSPRQGFVQKTYKQLKR LLRDLVHWAKRHPWKVFFMVIMPLVTGGALTALLARFGLRIPPAIERMLGVASKAATG DSSGLVGEAVRMASGFGGDTSVRMERDTHTFSSGGGDGGGSTWGGLAGMAKKWM FPSE_04178 MVCHHRKQLGAVEARIWTVLSSAYQYSWLPTAWGHVLLFKAALV VQIPVLAN FPSE_04179 MTTQLTTLTQFLRDFRPRNQLIVIAVTSAALGIPVLSIAIEDYR GYIALGPGGPPHNVIGWFGQILLKPFKKEPFHTSCYDKKAFQKAGPNGSVAFLKGEDV PAREAPRPTVGSWTAPSRQLTDLASKDIIDRYQSFLSSLASESPSKLKIATSVVERHG QALFVASENPSHPIAKRMYGEIGHMHGSDGSMHLNLAPRDAKLVLEKGWGQRHPLGGT ILYLGDVMIYAPRNEEELEVVKRITRGAAKFMLGEES FPSE_04180 MNEEDSLSNVKFYGVSDSNLCWDKEVRVKYH FPSE_04181 MSLRIMICIDFDALISNAFLELEFDRFKRSPAAARTDIPGRLQR SVNSGLGALVPEPKIDICRLRHIRLIPGPHHRAIAGLPLDLTRIKIKGLKVPISWRGH DLATYTYGLSHRVVLAATDGDVERNAVCAYAI FPSE_04182 MQLAKSGSVESQEPSYVVWAPRITMLGYHVFPALFHDGTLDWLA SLALQPCESGAH FPSE_04183 MELRQSVHRQKVSDEFHLLTIFWVMLGLVYSRPRLVFPHTPPEL ESPGASSYCTNSNSSADRPWISPAPTSPSMNNYDKSLTTDMPEDQKMSAEQTRPKSAT QTAPRQQQLPSLSSIFGPPATGRPAHSPLSEHNNNSYSTSTPRERTHAPSGDRNHSAS YFPQTLSPSVSQPRSTYDNKFETDRQPIHALPRSYSGSASPRYRDGEHSRRESVVEGQ SGRWSVQQENRTEYSLGSRDGSFRPPQDQFRLQFPDSKERVVPTYSGQRSPQGSLIPP PTPSTTATDGVPSKDGLGPKIWTGTHFLPRFVRATEVPGEGMCYFYDDGSHCKTVIDG EAVNAHWGVTKAGKPRKRLAIACVTCREKKIKCDPDFPRCVQCEKFGRVCKFKNAPRG GHNTSPSTPPAELDDGPSRPTHSENGSPVSPRTTLRPTSPDTGSHKRLRVGYDSYVAG EPMHTVPSAEPPRPQMPIQPPAIELPRISEEVLNQAWRTDPCLSDPQSIRSVISHFFV HLDSTMIVRFIPENVFKTWVMNPGLRKSPEDLMLLYSILAVGVALSGGPKAIAFEYAS VAHYAQKSTTSSCIQLVQTRILLALYYISISRSAEASEMISAAVATAACLKLHVEIEE SREAGLAIFPFGMNKAGYCEARRRTFWSLYMLERLDGRFPDRFAMINAEDIYTRLPAD SHSFERELDGYAPTFNPYVSSFTTVHDRELGISAYLIEMVHLWSSDVCRIYRMARRSN FAETDLNSSPRILKRIQEWRNALPSRLAFTASNLESAALAGELGPFLTMHLLYNHAII KLNRHTTAAGRCSPQTASLHIQTCYEHATQVLDMAKALVRLHRGGQTVLSAPAPVLAM VLTEAVDVFTASGRLSHVNDIIENVHMVQGVVQAMFAIWDNVRNAQEVIDGRIGMLHR IRDRGSLPVSPVEGYRVFYSSEGREDEKVLRWQINNPMEKLYPRDMDTIYSTLF FPSE_04184 MGFPDKPSAHLLGANGPVHALAYSASPGTYILTGSADRAIRLYN PFPSTSAPGDDRHSIPQGRLIQNYAAHGYEVLSLSVAADNERFVSAGGDRSVFLWDVS TAVTTKRFGGNAHGHTSRINCVSFAGEGDSIIVSGGFDTSVRLWDTKSSSFKPIQVFD EASDAITCLAVRGPEVLTGSVDGRVRSYDIRMGQVTTDVIGAPVTSLSLTRDGRAILV GSLDNKLRLMDRDNGSCLRAYTDPAWKNEDVKLQALLGGKEKYVMVGDAMAGEAASKG EGRIWAWDLLTGKLAAKVTVPWGPQGFELKKKAVGRDGKEKARSNVVSCMAWREDGWG DQFCVGGTSGVVTVYGAL FPSE_04185 MTVARPVRALIAGGCIIWCFFMWQIFAPSWGLSGPGDRYSNFER DPMLDPTDEPEGKLHRTSPRYAHDAQNTERIDATLLALVRNEEVDAMVMSMRDLERTW NSKFNYPWTFFNDKPFTEEFKRKTRAATKAKINYEIIPDEHWKMPSWIDEQIFEESAK ILEKNGVQYASKISYHQMCRWNSGLFYKHPALKDIRYYWRVEPNVHFFCDVDYDVFRY MHDNNKTYGFTINLYDDPKTLPSLWPETVKFLAEHPGYIHQNSAVGWVTDDIRRPQSN RKAQGYSTCHFWSNFEIGDMEFWRSKTYEDYFNHLDRAGGFFYERWGDAPVHSIALGL FEDQSKIHWFRDIGYQHIPFFNCPNSPKCKGCVTGRLTDGEAWLHREDCRPNWFKYVG MG FPSE_04186 MAFAAKLGSLTGELVEAVTGSSAQTNPRYKNLYNSSLQRLKSHP YLRTNQFEVEHQLDGLEERFRVNGREALADALAERRGQLLEIPDKFHPEILYLILELS DQPTYYAKLSDLDALKTGPKDSELELRWEDIAKEDGWEDNDDDAAIWKTIKYSDSSDD ELYKEGSKSESEASTDPSEVPALGRTAEDLIIHPEDYGQLNEIRAAQEWRIEKPTDAS GHAQKVPVTEFHIVREVLFMLQGWKTTLFGPNGVVDPAFQIAHLKWDTHRALMSYFSE AGRQLAILRSFVDKPQRASHLQVFQDTVAKRLADFEKKATGIESRLVAPENNVVVSLL SVKGELATSLEPLYSLSNIIAQVQNIPNQGTFRYLEFLFDDASMAQLSGKLDIYEFLA RIFVECFNVYLRPIRLWMEEGKLIPGDKIFFVSEAPSQVSPSKIWREQFRLRRTTDGR LHAPNFLQPAAAKIFNAGKNIVVLKRLGRWVSSGSEWAVQEPPLNYESLCPKGLELAP FSELFGTAFDGWLQTKYNTTSTTLRNTLFEECGLWSALEAMERLYFMSDGAATEAWTS SLFGKLDTLDPNWHNRYTLTSVAQEAFTSLVDMTRVSIFIKPTGLRIPVLAARDSVKV ALPSTRVNYRLAWPIRMIISEDSNATYDTIFVLLLQLKRALYILRKRKILDNDWADNE NWDERALYYSLRNNLLWFCTTLQTYFATLVLSPNCAKMRQNMHEAHDVDAMISVHAAF LKHVVEEACLGSRLTPIRECFLDMLDLAIRLEQAQTVNVTKEAERIQHVSRLSTRNLS PTPGTPGLKSRYVVDSSDEEDEAMYESKTLKMGKPFMTVLKDIKSDFDRHLRFVCGGL RSVARATSDVQSAKWDILAEMLQTGCRDKNAGLA FPSE_04187 MPPRVPTEEDFSPLKSTLPHTLHFPDPPESTTTFLILFHGLGDH DVPYAGFAKNLNLPGVLGISVRGTSVLPAALMGTDVPGYHFGDDLTVDPNTGDIADDS GFEKARKLVMEKLITEVLIEKCGWEMRDIMFFGFGQGGSLALGLAAALDTTSRVTDVT EGENTTAAGNKTFKGLISLGGPLPQSMVSTVNNRRKSRTSVLVCQLDEDAVDAVKQEF DDVKVVQWKRRQLSMPIDRDEVLPLMQFFADKLRNEF FPSE_04188 MVSHEEEESQPLMAGPDPIDVSVVPVSGSNSNSNSDNEHDRSNA NHPDAPLESNSRLEVDDSDPPTPRFIQDESSWKRWKWVPYPVRRSVNWVAKWSHGPTN PQPYRIKPFFPTVQEYPLFLLERFIPRRKHRLWLVFFYLSIWIVTFALVKRRDSVASE IEGWGMPQTIGCGVSYWGRGNTCGLDGTDCRPFNGSGFPFRCRANCESYHVLNPRAVG NQEVIYAPMVVGGPGTNGSDEPVYRGDSFVCAAAIHAGVISNENGGCGVVELIGRDQE YTASKHHGISSVGFDSYFPLSFRFAPDVTCSSSDSRWELLAVSTVFTGVLSLFTNSPA LFFFSVFTGIFWTVGMALDPPPHVSVAALFSNLIGKFLPAMFCAWVMYDKMGVRRTLR GLTAQIEKTILWLGGCWVGGMENYTLSFIPIQRLNKHDLDQQPGARGALAVIIILLAA IITSQVWFFRQEGRLIKYLKLYALLVGAILISLTIPGLSLRIHHYIIALLLLPGTSMQ TRPALLYQGILVGLFISGIARWGFDPVLQTSAALQGDAQKGSRLPTIPTPVINLGVGT DAVSNITFNWNEPPAQLYDGISILVNDVERFRTYFGDIDAKRDFVWTRNSSLNLPEYF RFAYMDGSDSGDYTKAGIWTPDGEWTKMKPGPSRVKARSLDEDDLVQRK FPSE_04189 MNSLSPRLSRVAQSAVQSVTMSQISQMLDQTRTSRASHSLFPVC GPAPMQHSLFPSIDRHQVRTQHTTPTARQRMPYQLDREIQKQCFDYGGLKGESHQIRS SSEANLLVGIIGRVLGLISDESDSETHENEVLPLPGFLGKSVVEAGNAVATNHEEEKE IRGTRRNRVAIYSTRKPSSKRFAKKATSPTVGNRYWVPGLETMMFIYPRVL FPSE_04190 MTQPRSQESTLTMFELLKPASGEAGVARLGRLAFAGGRRTMQTP NYIAVASRGVVPHLTPDNVTKHTTFDASYLAIEDFLEKAQPPVLQLPPGTPRNLQSFT AFPSDRALVLGPRRFPAVVTPVGNTDHHVSIFTSTGFRKLTIPEFAKTIELTQPDIAI PPADLFHSSTTPSSKRQIRMVERTEEWVDKFFHILDPKGRLKDMGVSVFAPVLPVEYP IQWDYLRYLAEDVRDSLSGLAVYDVNLVPELVNYPSLGDLPRLSFGPSKSPQDLLRQV ALGIDVCTVPFANTASDAGIALSFTFTPPELSILQPLGIDMWSEEHTTSLQPLVDGCQ CYTCTKHHRAFIKHLLNAKEMLGWSLLQIHNHAVLSAFFAGIREALSESTEKFEELHK KFLAVYEPEIPIGTGQRPRARGYHFKSIAGQTKINEPSWQLYDSVDVSPHPEAIAEPL AAVEGTLPIDGVAPSLDK FPSE_04191 MVKFNAIAAFAASIAAASAQTYQRLGTCPTLGCVLPPDQSDFLP GQLFDFRVEVHAPVNGSEAAHDGKPDQKFKVTIAKEGEKAKDFAKAFGLKEPKVETWK FDWYEDLFAEDQNKPSIVNVASKIYRKISLNEPGKYTVTLNYYNGEKTTAEWTVRPLQ TKRKAKNVIFFIGDGMTTNMITAARLLGHKSINGKYQTLMKLDEFPVLGHQMTHSIDS YITDSANSASALYSGHKSTVNAMGVYADSSPDPFDDPKVETIVEIFKRIWGGAWGAVS TAYLADATPIALTGHTRLRGQYGPLIDQALNGNSNYSWTKHEGPDVFFGGGAENFIAG KGSYQGKDYYKEFQKKGYTVSHNKTSLLKADKRKRALGVFCRSNLPVWLDRNVFTDNI EGLENDPTGKEADATDLPGLKDMTLKAIDVLSTRGKDKGFFLMSEAASIDKQMHALDY DRALGDLLELDDTVRATIEKLKKLDILDETLVIVSADHGHGFDVWGSADTEYLSEQED DRAKRRAIGTYEKSGQSQYTKKAKGINYGTGANFPTNWEPRYAIAGGVGAAPDRRENY KVHKSPREAAVELKDGDYYVNPEDAPDGFVINGTISTDNSQGVHSLTDVPVYALGPCQ DTFGGTYSNIDIFYKIANCLGLAQGQKHGY FPSE_04192 MSSPRLYKLYAPPTGPFRPIPLEVTVYGPHNALKAANYGAKRLL LCRKDSACVGGLTPEIEELEYLKDKLHIPISCVIRPRGAPDASAVGESQDYIYSEDEF AEMCDSILKLKETGVMNPLRGDSFVFGCLSRNQEGSTEALKERIVLNRAQSTQLVNAA KPYGCVFNRAFDHFAQSGDWSDIIPQLVNIGFTGVMTTGGPGKFNSHVELLGAICKQS RGIQLIIAGGFRCPEALKLRAYAHENSANTIWINGDCLRRRRHDDPETVDMDGVMDML GLLGLQATD FPSE_04193 MSAGDMQDEQLKEALITYEKLQGIEDDFEDVELEILRQQDKLTK DLYVRRAEVVSKIPQFWPLVFEQSPPEVDEYIQPSDSELLLNALTGLSVERFELPNGD PRSIAIKWEFKENEWFEDKVLEKKFYWRFHKDGWAGLVSEPVDIKWKEGKDLTNGMLS LAKKVHDEEKAGQKPGETENSKKLLKLMEETGMGGVSFFSWFGFRGRKVTPEESEEGR KIDEQKKADRKAGKIEEDDDDDMDEDDDDDEYEYEIFPTADDLAVFIAEDLWPGAIKY FTNAQDADDIPSDLEFEEMDEDDSDDEAPALAKA FPSE_04194 MATPFQIQVEPQNSGLLGFKVGQSEASKVTDLLQKDLENHHVFF NESGFHDHLVHQVLTLYGTGATTDILSKAYDANKTYQLKAMKPKSDVIDKLEHGSDWS EYLGKGRNYATFFRFFQDEIERIGWQDTLKEYLFKDDARGRDMQSRLFAGVLHPLIQL LYGMEWEQPMIIAAALAQTAVHRDDYHEFLTSAAEKAESDSAPPRMKTIPGLYEEAVK NEKLVNSSHWEDSNRVFDGVLAREKEEMINLAARVRIDENELDERAAEMVHNAAFVVA GAAFHPPYHPRFDFILMHHTTSTPFFLVLNKQSWIPASTKARLLENKIRMDLLQYIAR GSPTLRVDMLREYMPKDGDKLVERSEDLFPRIHKIMDDGHTVKLARALMLAQRVTKPY QDRDWVRIKDDEWLKAVYVLMDANEEADSQEGTMWVRSAGFDEAWEEIPKAKM FPSE_04195 MKTDFKFSNLLGTVYCQGNLLYSPDGTHLFSPVGNRVTVFNLVE NKSYTLPFAHRKNIARIGLTPRGNLLLSIDEDGHAILTNVPRRISIYHFSFRSSVTSL SFSPSGRHFAVGLGRRIEVWHVPSTPDASAEGELEFAPFVRYHSHTGHFDTVTNIEWS SDSRFFLTTSKDLTARVWSLTPEEGFTPTVLSGHKQAVIGAWFSENQETIYTISKDGA VFDWQYVKPINRIEDEDKMQDDDDEDMRWRIVQRHYFMQGSAHVRCAAFHPETNLLVA GFSNGLFGLYEMPDFNMIHKLSISQNDIDFVTINKSGEWLAFGASKLGQLLVWEWQSE SYILKQQGHFDAMNSLVYSPDGQRIITCADDGKIKVWDIQSGFCIVTFTEHTSGVTAC EFAKKGNVLFTSSLDGSIRAWDLIRYRNFRTFTAPTRLSFSCMAVDPSGEVVAAGSLD SFDIHIWSVQTGQLLDQLSGHEGPVSSLAFTPNGNSLISGSWDRTARIWSIFSRTQTS EPLQLQADVLDIAVRPDSLQLAISTLDGQLTFWSVTDAEQTSGVDGRRDVSGGRKLTD RRTAANMAGTKSFNTIRYSTDGSCLLAGGNSKYICLYSVTTMVLLKKFTVSVNLSLSG TQEFLNSKLLTEAGPAGELDDQEASDREDRADSTLPGSKRGDPSARKKAPEVRVTGIG FSPAGTAFCAASTEGLLIYSLDQDIQFDPFDLNMEITPASTLAVLETEQDYLKALVMA FRLNEAGLIKRVFQAIPSHEIPLVVADLPTIYVSRLLRFVAAQTEESPHIEFCLVWIK AIVDKHGAWLSANRAKADIELRVVARAVAKMRDEIRRLADENVYMVDYLLGQAQEKTE KKDVKALDSGKDVDVKLMAVDGDEESDAGSDEWIGLD FPSE_04196 MASAAAENASPIGIANLPNQRHKIVAKRGAGFTIMVAGESGLGK TTFINTLFSTTIKNYADHKRRHQKQVDKTVEIEITKAELEEKFFKVRLTVIDTPGFGD YVNNRDSWMPIIEFLDDQHESYMLQEQQPRRQDKIDLRVHACLYFIRPTGHTLKPLDI EVMKRLCSRVNLIPVIAKADTLSPADLAKFKQRIVSVIEAQNIKIYQPPIEEDDEAAA QHARSLMNAMPFAVIGSEKDVKTGDGRIVKGRQYSWGVAEVENEDHCDFKKLRSILIR THMLDLIHTTEELHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEEALRKRFTEQVKI EEQRFRQWEQKLISERDRLNKDLEQTHAQIKQLEQELEQMQGSAVRSHGRR FPSE_04197 MPSIALRQSRRCRLIDPRAYLTNTPRFSCTNIQSRAATNASWRP VSILDDWVAKEARPISLRQLMVFGRSLTESRLISSANYVRTELPTRIAHRIRDMQRLP YVVTTNNHIKEVYDLYYHAFDTFRKVKEVKTLDDNDKLCELISHNLKSHLTVIPQLAM GILECGGLMSPIDLDKFMNTILRSRISRRVIAEQHLSLTETYNSPNFSPGAKLSESDF IGEVFIKCYAKDVVERCSKAITALARTTNGPDVQIPDITVDGHLDASFPYILSHLEYI IGELLRNSVQAVIDRHLKTHPDPNNVKPPPVEITICENQQHVIIRICDRGGGIPRAEL PHLWSFSKGPQSQRRLNNLAQVPKMAATMQELHVEEELGRADLKVPPYQSSLSSLTSR PPNLRLGMGLPLSRVYAEYWAGNLDLHSLEGYGTDMFLQISRLGNKNEQLTTRASMDA L FPSE_04198 MTLLSSRLPHRANHFTDRRHTQQTHDINSPNDMVMDTDDYIGVA SEPEKVAIIDPDSFEQSEADQLQDLPLATDHEAMKEICLPPLIDEPKILGDYDYTWTV DNWRSLNKKEHGPVFQAGGFPWRILLFPHGNNIDQCSIYLEHGFEADEVPDNWSCCVQ FALVLWNPNDPSLYIHHTAHHRFTKEEGDWGFTRFVEHRRMFNVPWEGSSRPLCENDT ANITAYVRLVEDETGVLWHNFANYDSKKETGYVGLKNQGATCYLNSLMQSLYFTNKFR KARAIYEIPTEADPSMHNSAYTLQRLFYQLQTSDQAVGTTELTKSFGWDTRHIFEQQD VQEFSRKLMERMEDKMKGTPAQNVLPEMFSGKIKTYISCINVDYESSRIEDFWDIQLN VSGNKNVLESFEDYVQVEKMDGENQYFAGDQYKLQDANKGVIFNSFPDVLHLQLKRFE YDIQRDTMMKINDRYEFPEFFDAAPYLSEDADKSVPWTYQLHSVLVHSGDLNAGHYYA FLKPEKDGWFYKYDDDKVTKATMREVMEENFGGEYQAANGYPRATVQKKAPIMRQNSA YMLVYIRQSRLGDILCPVTKDNIPLHLRQKFDEETVQREARKKEAREAHLYMWAKVIT DYSFQQFGGTDLCQFDANPESDPAAPKFYRVRRAMTMEEFVAQVAADMNEDPRRVRLW LMVNRQNKTIRPDQPIMDLRPTVDETYSRSAAHRDTSLRVWAEVAEEVNSDGEPIWPS YQSQPNGVIVKNDTILLLLKHFDIDAQTLRGVGHVYISKEKKVEELLPMILKKMGWGE KLPAEEKLLLWEEIKPTMIEPLKPKQSLKIAELQDGDIICFQRTKANGEKRAGDKASQ ESNNTSDHFEDAREYYDFLEHRRTVKFHPHPTRCDPAQYPPFDLVLNSKITYDILSER VGAYLDAQPTHIRFWTVNASTQNPKTPVRRGANPTLRQILSPMGSTALNSTQRNDAFY FEVLEMSLTELDTKKSIKVTLLSEGITKEDTYDLLVPKTGTMDDLVEALIKKAQISGE AESGRIRIYETSSNRFYREPPRDHPVINLNEYATVYAERVPQEEVSADDNQFVQVFHY QNDVSRVHGVPFKFLVIEGENFADTKKRLEKRTGIKGKSFEKIKIAVVRRSNYSKPQY LNDDDVLSTLVQGEDDYLGLDHVDRTRTLRNGVGDLFLR FPSE_04199 MLGNTVDGDALRRTQSSMWQQQQPISQQTVEGGQHNPTSSRSSD TDVVQEGKWGERGQEDVTAQEAMQEFESLRHNLMSLHKTRTTETQASRGNASRRASTA ARVSSAKNRHNVDDDDSKTDVEAGPEESEGFELGQFMREGHFEKRSEQDGSLKRVGVV YENLIVKGVGSTTSFVRTVPDAILGTFGPDLYKILTRYFPSLRFGKAPTRTLINDFTG CVRDGEMMLVLGRPGAGCSTFLKAISNSRESYAAVEGEVSYSGISAADQKKHYRGEVN YNGEDDIHFASLSVWKTLAFALMNKTKVKEKADIPVIVEALMKMFGISHTRGTVVGDE YTRGVSGGERKRVSIAETLASKSTVMAWDNSTRGLDASTALDYARSLRIMTDISNRTT LVTLYQAGEGIYELMDKVLVIDQGRQIFSGPANKAKQYFIDLGFECPERQTTADFLTA ITDPTERRFRPGFENRAPRTPEDLESAFRNSPNYQELLADVAAYKESLQQSNYEDAKR FQGAVQEAKSKHVSDKSSYTISFPRQVLACTKREAWLLFGDTTTLWTKLFIIISNGLI VGSLFYGQSEDTESTFSRGGTIFFSILFLGWLQLSELMKAVSGRAVVARHHDYAFYRP SAVSLARVLLDFPVIGVQVCIFGITMYFMTGLDVEVSKFWIYLLFVYTTTIMVTAMYR MFASLSPEIDTAVRFSGIALNLLVIYTGYVIPKTQLLKDYIWFGWIYWINPISYSFEA VLTNELSDRNMTCAPSQTVPQGPGVEPGYQGCAISGASVNARSVSGSDYLEAAYNYSR SHLWRNFGVVIAFAILYILVTVLATEVVSFAEGGSGGALIFKKSRRAKEQAREAEAPV DDEKAVGNGASGSSGVVSDPNLGSEEEALEQITDSESIFTWRDIEYTVPYLGGEKKLL NKVSGYAKPGIMVALMGASGAGKTTLLNILSQRTNVGVVTGEMLVDGRSLGTDFQRNT GFCLQGDLHDTTQTVREAIEFSAILRQDASVSRADKLAYVDKIIDLLELNDLQDAVIM CLGVEQRKRLTIGVELAAKPSLLLFLDEPTSGLDSQSAYSIVRFLRKLSRAGQAIICT IHQPSSVLIQQFDMVLALNPGGNTFYFGSMGENGQDVVKYFSDRGAVCPPNKNVAEFI LETAARPHRRPDGTKVDWNQEWAESEEAQQVLEEIDGLKRVRSSATEGTISAKKEHSE FAASAWLQTVELTKRMFRQHWRDPSYIYGKLFVSVIFGIFNGFTFWNLGYTMQDMQNR MFTCFLIITVPPTVVNGVVPKFFTNMALWQAREYPSRIYGWVAFCTANIVSDIPAAIV SAVLYFVLWYWPTGLPTESSVSGYVFLMTLLMYLFMTSWGQWICAFAPSFTVISNVLP FFFVMFGLFNGVVRPYTSLPIFWKYWMYYANPSTYWIGGILAATLDGAPVRCSSEETA KFDAPPGQTCQEYAGNFASSAGGYLLNPSATSSCEYCPMSSGNDYLASLNISASDKWR NFGIFLAFCVSNWMLVYFFIYTVRVKGWSFGFGKVFGALGKLVDLIKKPFQRKNKE FPSE_04200 MGNSGSKFNTSQLSAEELQDIPNVIRMAYQNSWKKYACFTSMNI SLGPPDAEPMYTISFTKGLYGDIVLHKGPTPESPAIATSSRIKQYHDDYLITLPTGKG IHEETLRRPKGLKGRFWFGCLVGNGSDQRVERFEWRRSRGAEVKSVGQSKWGGYKLVR LGSTNTKEEYSSSEEDFPEGSSASGEGYTSDGKEVVGVWGNANCWKTMSGVGEFQFRG SGATGELGNMWALMAVMSCMSIWQKVQRDNATAGASASSASSSSAAAAAAVA FPSE_07565 MSLHRYSGTHGVPPLPNLGALQQHHAPNPNSAQSASNSSGRIVV VNGFPGTGKFTILKRLKEYLPSDRTCLFDNHLLIDPVAAVIPDRSDRHHELRRLVRAP IFAELGKRAKDGDTILMTACLAADNQRDEDFLQEHLDIARKNGVPMYWINLHCDTTIL EQRLSTPERQQGSKIKLTDIDVLRNILENNRLLQPGNVGDARLGFVFESLDVSGELEF NVSRLMGIIEKQPRP FPSE_07564 MTTVGVSASASGPGPGPVNFDDSSSVASDLSTLDFQGDFHTDLI DIILDIKPPGKFAFGAGIKTPSDFSISVNEVGKVALPLGESQARQITTKARQAPFGKG TDTFVDTSVRNTWELDPSQFTIGSVEWPAYLQSICRTAAQQMGIGTPIRAEIYKMLLY EEGAMFKPHTESLACLVLVISLPSKHSGGDVVLKHCGEKMVYKSSAYSISCAAWYSDV THEVLPITSGYRWVLTYNLAVNQSQPAPSAALRRSASQPLRHCIRRWLAQDQDSRQSP YVYHILEHEYTEANISYKTLKGPDMTRVAAIRQACNGLPVAIFLALVEKMESGSVAFD PYDLQYGDDSDTSYHHIDDVLESELSVKITKDLDGNVVSGKMYIEEDHLLEPDAFDNI TAAKEDYEGHTGNAGVQATHWYHIGGVIIVPYDSIGDFLCQSQSRSGGPIEKIPQTQI NWLAQLCSKPDVQDYLLATMVDLVEYAVPHLESRGSRSTDTSMVSNILKAALLHKKHM LFQTVLAKLSSIVSSEWYGWLREWLIRDDGEAKTLERFNTVKNGLSLAMSSHDGLSHK FEAISNLTPLPKDLSPNALPTPEPIIDWARQMIHKFLDGNGPRDATIKDGSSVVNMAM YFEDPILFLTESYVPLFPYCLTAALVHQTKLESRLVPVFKKQISAPSFRFKVLSHLVV VTIEEKLPSNESMNLYRTMARSLIESQDFTLLRDARVLSAQEKKRTREPWRMGGVGID LCRVRRELETAITHETLAKFFVSLLKVSTEIYNLTDEFMAKLIKQADKLPVVELSTMW IPFLQCSIEQMGLGSMLLTTPLYQKFFSALVLATLERYLGPEPPRPANWSMAGSKCSC ADCERLSAFLAHPTQMSARFPMNKSRRYHLHRNIEAAGIGCAHVTDRSTNPNTMVVTK TTRPEDVILQQWQERRDQCAAKFGKFKVEHLMTLLGPNYAKIERLGPGPAQTPTSQSP VVGEKRTAATALEIIDLTED FPSE_07563 MSPKELFTKYLKFQRKKKQEETDHSKPAPAPAPAPVKSTPTRIP DVVEQLQKRPVNRPRSISPRYTSTSPQAANDGGSYLVGNIYGGVSSTGAHHGGGHKDG GQWGWTGVEDGADGSHHDSGGGSGGGSGGGSGGDSSGGCSSGDGGGSGGGGGGGDGGG GGC FPSE_07562 MENPAAAGDAMRAAIDYWCQFVARCVAERLETDKFEAYVKVVHD QHPLPPTLVADFFLRPHPSDDSSLDPRIPPYLQVLTKLGYVDTPSILRALYKYSSSHA HAQAQKDHLQSNTEEGKGKEKEKAKGDDKEKAEGKEDAQPKKNITRWKSSYWAEEVLF YRLTKSVVEGRAIQDSRTALEVAMIISKFMELFTTALPATAFAADMLEQQFPNGQLRD EMESSRAALVALLLRLCENNILVSAISKPLAKDVRKALSTSLASFLPALQLIPEIADK LELFRTEILASSDPADKKKQVANAAMDELLDSTVGLENFVVAPISVSNTRAGLYIYLN AALIGRPILDDHALFSYMSNKYGADIQSSAIDLVLASFDILANAVFRNEGQKDAHLLR SFLINKLPLLLYQLLPPGFSGTSAEFCITEALTHVDTSLFPTASLMFDESRNNNPYTE SIREEFCAACVLHGLVQREHVERILGEISLSYEPSLQKHSKDKLVQDCLSDTDKIQGL VRELDKTDGNVGAVCQALVEVLRQSCHNKETMSLKLLCSQLAAKPQSLDVILLFEKLP NILEPLCQLLDNWRYEEDQGEYQPVYEEFGAVLLLVFAFTYRYNLNAVDIGITTPDSW VAKIIGRGHIGRQGDELTQRENDHINGWVHGLFDTEAGGLGDELMSSCPPQEFYLVVA PLFQSIVVAYTYGYLNDESLKGGIEYLVDTFLLPSLVPAIRFLADYLWIDQKEQKSII KILQLILLPSSISGEASTMLSSVKNLIAKPLEHALRTYQRRDPKNQDIEPLLRTLKES IPLSRRTGGTDLNELESWTATPPSGLSSAVKLTIQGLVHWSIHPAMNSMPTSYTHRQI LAGLKLLGPKRLLHVILEEVRQHTAAGSANIIYDVATSLICAPDAVKDASVTDANGNI LPPIQRQRTLRDLLKVEVEGCRKLQKEDPVLAEHVVRLHRRVEAQMIIPQPQGMLQPA DMSLNLADDTAALGDAMAAAASGVQGDSMSVDNLALDVSMGGVPSDMGLGSATDGGSL DPSNDAAMFEGFDTQDMENFDWDMNSFS FPSE_07561 MSLFLRMIRDKFTTIPPQEEDCTGKTFVVTGANSGIGLETVRHL TELNAATVILACRSIDKGEQARKDVEESTGKQNVVQVWQLDLASYDSVREFASRVNKL ERVDAFINNASLLSFKREMIEGHESMLTVNFISTALLSLLVLPALRLAAIRFNVTPRM VIVSSDAAFDCRLTTEVPNIFEAIDAETSVLDHYSKTKLLQTMFISRLSQGIDASGKG HIIANAVHPGLCGTQLFKHIDFPFSLFLSALVAVLGRTPEMGSRALLAGAFAGEDLHG KIMFNGEEHQFPKCMQGEMGDKLTGRVWDELMELLEGIEPGVRNNV FPSE_07560 MSGIKTIVVLGAGPAAMPIIRQTMVNQVLKRDDLKIVVVSPNTH FHWPVAMPRVVVPGQVPDDKAFVPLEPTFADYPAEKFEWIQGKAIALDTSSNLVRVEL NDTPTIREVNYHTLIIATGSRTRDGMVWKGIGSTQEAKDKLHDVQDQISKAKTIVVSG GGTTGSETAGELGFEYSQRGEKEVIFIYSGELPLSPPATDTVRKSIVKELENLKVKNM PNTKVISATPTPGSSDIVLEVRSSDGTTKQITAQAYLPATGIVANTEFVPKTLLDSNG FIKQTTRLQVEGHKNIFVVGDAGNLEASQLGMAENQSIHLFKALPAYLEGGEVPEYAP ATKPMFGVTLGRSRATGQLGTWKLPSILIWFGKGRAMGTDKSEGWAAGKRTIMHTFEK FPSE_07559 MPARSDYGSVSMSMSPTPPSNLSSYARFMHDHTKRQMEASGASP PPSNATAQRARSSMTNGNTSPNYS FPSE_07558 MSERKVLQKYYPPDFDPSALTRRRGNKDAGPKTQKVRIMAPYAM RCTSCGTFIYRGRKFNSTKEIRPEEKYLNIQLIRLSFRCTGCPSEIILRTDPKNNDYI LLRGAVRNNEPWRNRETENEDDEQRLNRLEREEAEAAGEEEKNAMEELEAKNMDAQRE MAAADALDEIRHRNARINRSEKEGVDFADTIVRTEDEEREKQEKEDEEAAKKAFAAAR ARMEMEVIVEDDTPVELAEPEPIAPAPAPSFKRVIKKKKDHSAALGLKKKV FPSE_07557 MGKAEVGSTKFVANRMKAKGLQRLRWYCQPCEKQCRDANGFKQH TMSESHVRQMLLVGEDPKKYINDYTKQFLSDFILLLRTGHGEKQVHINRFYQEYIANK EHIHMNSTKFGSLTELAKHLGREGICRVEETEKGIHISWIDKSPEALRRQDALRRKEA QDQGNEELEQRMIREQIKRAQAAAGNDEEKEEDPEARELKRQEGEKIKLSFGAKPAAL DTKASESPAPDTATTEADTSKTEEITTEKGKEPDTAPAKPSGFRGISMKLAGKPQTKN VFAQAKKNALSSGSKKPAKIEQPKKMSEAERIMKEEMEKKRPRDSTGFSFGMGSNKKQ KNN FPSE_07556 MSSSNYVTTGQARNLRACMICSIVMTSQRFQNEGCPNCEEFLHL QHSPDQIESCTSQVFEGVITLANPTKSWIAKYQRLDSYVPGMYAIKVSGQLPDDVRST LEDEYRIQYIPRDGTEVENDA FPSE_07555 MTQNPNQSSQKNIFQEKGLEKSHDILTCTIKEPPFSYAHLELVT DVPSSSSSVTLDDLSLKSYCTTALRQFLGTTGAAVSIDILKVENNHAWVRIPRPDLGS FAAAITAWRGTSDNGEQVSLQLRQCSDWLGAMVGADGQNRLWNA FPSE_07554 MDAIELKNKGNKAFQSGDYPSAVDFYSQAIEKNDKEPTFFTNRA QAYIKTEAYGYAVADATKAIELNPKLIKAYYRRGLAKTAILRPKEAIDDFKACVTLDP NNKDARLKLEDCKKIVRQMAFFAAIEVGDEPSAAVGLDLDSMAVEPGYDGVRLGDEMT QEFIDDMIERFKTGKKIHRKYVYQIILAVKKIVYDEPTMVEVEIPSDVKLTVCGDTHG QYFDLMELFRRNGTPDEKHWYLFNGDFVDRGSWSTEIALLLYAYKWLRPKQFFLNRGN HETDDMNRVYGFEGECKAKYNERVFKLFSESFSALALATLIGKKYLVLHGGLFSDDKV TLDDIRKLNRHGQRQPGQAGLMMEMLWTDPQEENGRGPSKRGVGMQFGPDITKQFCEK NGLEAVIRSHEVRMDGYEVQHDGRCITVFSAPRYCDSTENRGAYINIGPDYKLDFEQF DAVPHPDIKPMAYAQSSLMSSLM FPSE_07553 MESGPGTGTPNALVRKRTDTSLMPPPPPAKKIKRPKKVLEEDTY TETLSQIIARDFFPGLLQTETQQEYLDALESKDAAWISSAGRRLQHVMTPGRQNTPLP PKSTNSFAPGDRTPSTYGGDTPASVISNVSNFQPRLKTNMSLSKFQETYTSEDNESFC KLVDKQNQKKAEKYAWLWRGNKLPSKQMIKQKEVEDRIGQTRSLTDDGSKRDRLAIKD RDDRPARPDTWISNPKNNLMFRPDGVEDGVVTVSQKAEESSKMAPKSIVYANTRMPEP RVIQRPPSPTMSAVRDAIAGRPRKSDQDSSVAGGETPRVNGYAFVDDEDDEDEPILPV PIINLGPGDDSPNPFRLQEQRDRETLHERMVGRIAQSKKESTRNGLTGKVDQTPVPKF PSSPRVSAGGLTPAAQRLWSKIGTPGRGSSGSFGQSNKPMTPRGSLLRSVKRPGSTSG SK FPSE_07552 MRFVDFAIDLAAVLNASRGIAAKHVALRGRQIDTYSRTSSVAAA LRKRNAQKSPPTEDATHSATRPNDDVIYEQTTPAAWQTETIVDTSNDGQNIQAGFTRA DRAPFNSPTSNLGPSLQQQATSKPAKEDDFDLPPGVDVNIFHTARGSKVLDSLRKQGK PGAAPVRPGVGGPVKAHPMKDWPPRPPPELSFGAQPEKPQGSVARHAELSESVKPVEQ EATIVQEKVKEEKLVEETPAPEREKASPAVPEAEKKIDEDVIQAAKEIAGNSVAPSEA PHALRESSVPSSRISRIWNYGGLAAGMLGGAMTEGFSRAFGGGGEGSVLLSERNMERL VAKLSRMRGAALKLGQMMSFQDTKMLPAPIQQVLQRVQDRADYMPAWQRDRVLVANLG PEWRELFSEFEETPIAAASIGQVHKAVLKNGKRVAVKIQFPGVADSINSDLDNLSILL TATKLLPRGLYLNKTIDNARLELGWECDYERELQCAQRYKELLGSSEKDVFMVPNVYP EASGKQVLTMDFMDGIGVTRIKSFTQEQRDWIGTQLLRLCLREITEFRFMQTDPNWTN FLYNAGVNKLELLDFGASREYPDEFVTQYVQLLAAASRSDKAAVKELSESLGYLTGHE SRTMVEAHTKSVLTLAEPFLASAPDVYDFKDQTITERVKALIPVMLQERLAPPPEETY SLHRKLSGAFLLCAKLGSKVPCKAMFEDSLAKGGYTR FPSE_07551 MADLITLATCSLNQWVLDWEGNLGRIRKSIILAKEAGATLRTGP ELEITGYGCLDHFLEADVYDHSLESLLAILTDTELHGILIDVGLPLMHRGCRYNCRAI ILDGKLLCLRPKIYLANDGNFRENRFFTPWNRPRYVEQYNLPPALQKHQGVRQVPIGD VILSLNDTTVAAETCEELFTPQAPHINMALNGVEIFTNSSGSHHTLRKLNERLALISE ATRKSGGVYLYANQSGSDGDRLLYDGSSLIMVNGNIVAQGSQFSLDDVEVITATVDLE EVRAYRFAPSRNFQAVQAPVYERIEVDFSLGVEDLDLLRAPTPPRPARYHVPEEEIAL GPACWLWDYLRRSKASGYLVPLSGGIDSCATATIVFSMCRLVVAAIKAGNEEVIADVK RIAVYSDKLPETAEEFCNQIFHTVYMGMEKQSSKETRQRAKDLSARIGSYHTDMNIDD TFNATKNVLTQATGFEPKFKVHGGSATENLALQNIQARSRMVVAYYYAQMLPTVRQRP GGGSLLVLGSSNVDECLRGYLTKYDCSSADVNPIGSVSKTDLKRFIAWSAKSFNMPIL EEFIHATPTAELEPITADYVQTDMGMTYDELSRFGRLRKESKLGPYGMFLRLLEEWGG EGKMTPRDVATKVKRFHGFHYINRHKQAVATPAVHVENYSPDDHRFDLRPLVYPSPWN SWSFEKIDKRVEAIEKSMEKKKTEISK FPSE_07550 MAAPYYTMGRCGKRHFHSFYVCKRPSSTASTQRTIYYRDPGDSD SDSDMEAPDDFEHANQPVKRVYWASSFTYSPQERMSGWNSRVSGRLISHVVSQPGCAE FVVGLNANIHDSSGLRDSEYALRTHHFINVFEKVESTLPKRVDCIFRNITETYTEAST GGGKGMGYDAERPLSARNVCIAYLDAGEQFIGGNSIGTTNSLLE FPSE_09359 MAIKRKPPSSLGLERRVRPRRDDEWEEEPESQNSSSEEDDDDEV EEEGIRGDSDDEEDQESGDGSEDESEPEQNEPKIDLSSVSFGALAKAQASLPSGRKSK NKKSTDEDTPKTETPAPRKPTRSKDDPKPKRSSKHAPQEQTSKKPVSRRREILPENKR QYRDPRFDPLVGRVDEEKASKAYAFLDEYRDKEMADLRVQIKKTKNFDEKENLKRQLQ SMESRKKANVRRQEEENLLKEHRQKEKELVAQGKTPFYLKRSEQKKQLLVNRYEGMSK GQVDRAIERKRKKVAGKEKKELDFLQRRGPRG FPSE_09360 MWRAFRNLVSRISQATLSQPPPPPPSQHALIVRKATAMTGYMHS GVSKAASRSTNIPGLTLAQSGAPENAPRGPKNGQADPQHNVRKGIKRKGKRHPPEPRK PRSESPPKVPSKGSGGVPEPTPEYLAQANLAPERLPQPRRILVVIDLNGTLLHRPNKK RPFNFTERPHAMAFLNYCIETFHVAIWSSARPENVERMVEKLLAPEQREQCLVTWGRD SFNLSPEDFNSKVQVYKRLTKIWTDPRVMAAHPRASEGGVWDQTNTILVDDSFEKGRS EPFNTLTLPEFDGLAGEIPNVLPQVHDYINELSYQADISRFVRRSPFQLNPNYVLPE FPSE_09361 MAFGTLFTRENNCRSTAIKAVAKANDIELNIVEAEKGNATAEHL KANGLGKIPAFVGEDGFALSECIAIAIYITSQNEKTTLLGKTKQDYASILKWMSFFNS EVLPNLIAWFSPLKGDSPYNKKTVDEAIKATEKNFAVVEEYLLHNTFLVSERITLADL FAAAIATRGFQYFFDKHWRAEHPAVTRWFDTVRSQPIFSEVAEKVELLETVTLTNPPK KADQPKKEAKKEAKKEAKKEAAPAAAPAEAPAADEAPAAPKAKHPLEALGRPSFPLDE WKRQYSNIKDHNEAMKYFWENFNFEEWSIWKVDYKYNDELTLTFMSNNLIGGFNNRLE GSRKYIFGCAAVYGENNDSVIQGAFVIRGQEHVPAFDVAPDWESYEFVKLDPSKPEDR QFVEDAWGWEKDITVNDKVYKLADGKVFK FPSE_09362 MSTFTQSLRQLRCQGKAFRAPWPQPARQRASFPLAIRTIATETS TPIVEPKPDALALSTDASSKFTMPFQGVDHARAVPLSASYFSREPQFNEMYLRIARLL TKYHALPIVPSSNAPPTAWVKLQEMRSKLGEPIKASHYAKVIRVAKRLNQIEPSLFPE EVRAALEEFKRDINPFMNVPHEVIIDKYGRAVGVGKRKESTARAWVVEGTGEVLINGK TLSQAFGRVHDRESAVWALQATERLDKYNVWALVEGGGTTGQAEALTLAVAKALIVHE PALKTALRKAGCITRDPRTVERKKHGHVKARKMPAWVKR FPSE_09363 MLKLGLLFSSTLWMIRDVQPQVQDPHDGIVIRDRRSLRLILAKE PIVVDWIFQWTTGLGRVFDDTCRRGVLGTLNLVGLRVFHVIDLIRHSTAMIVCGTIKI VVRLTATCDISGPERRNAISKDGWMHDIWEYFNALNVNGH FPSE_09364 MDDTSRLRRQNEPSVHSNPNQRYSLHDPSQQRRSVAGASNDRYR PASLATPSSSGRGMGAAGNYSSYYSEPSASFPTANMPGTAIAYGSEYSHDSRQQQQGF GGYGTAATMMYNVAQPNPQNPVYDAQHFSSRQPAGLQMMTPDVASTYFASETGSAAGP SLHQSAQSSSGSANVYQQSNAMSYASNNMSNVNAMPQPTASADVSMAEDHDYAEGALE EKWVSYQRQLGSIFQDISNGSLESASETLLSVTSWLLSQVADLGLNLDDTNLHADRIQ LWNDFNHAWLGLGQQQIDLMASSQQLSRSQSLVSKAMIKKMGNELIRLCDGIERHGLV DYQYGVWEDQITEVLEDILDLYEDSEEASGSGNR FPSE_09365 MVDHGRNQGDIYDTAITESVLYHPYTCSSLGDAVECVTGRYAVP RIVHSAAIATDEGQYNIMDLLDKFIRSIQQVCNIANIMLVACLFTQVRNKSTLQTQKT IDY FPSE_09366 MGNTTSAVLDNLVQGSNFDREEVDRLRKRFMKLDKDNSGTIERD EFLSLPQISSNPLATRMIAIFDEDGGGDVDFQEFVTGLSAFSSKGNKEQKLQFAFKVY DIDRDGYISNGELFIVLKMMVGSNLKDQQLQQIVDKTIMEADLDKDGKISFEEFTKMV ENTDISMSMTVDQF FPSE_09367 MSSRKVRVKKLNVKTTLPVLREDQIDPNEYEALTTDNQIATGVE QAEENEYHLQTILKEAGTSNDQEIPVPPPQESDINYDDLYPVPFHKPSSYIRFSQTVE ECITCLYDMTTEDDEFLKQYNSKPPATGVLSEDDFEHIMEVFEDTAAEQTPFAAVDNT VAAYDMMLPGLTHLNQSVSTDVLQHAKPVYEYWKSRRQEAGNKPLHPSLKFETHQETD DTDPFVCFRRREARQTRKTRARDNKIAETLKKLRRELEDGRQLVLLAYEREMVKREVM SMDRAIFEERARLKDMKLRLGIKGEDEDLVNQKPQKRKPVEPPVVRQPTGAHLRQPVR SDGRTLDADLVLLSDKLAEKETELRLDIEMKVQNHRKWNQNHIDLTREPLSPVKEQGT EVKFRQAKTQYLMTPPASTSSEMEVDEIAPDAMQLDKREPSVFQFTAGSGEQSKGSQP AFRRRIGRLNRLWIDRRGMVTPPRELGEDRSDRWKYDSDSDDEEPPVYEVDPFDTRAL KFRATIPLNPYMFRGRPAVPPEAVAAAQAQAGNRVLPSPAVAAAHAHAQAHAQAAAQA HLAAQAQAKAQAVAQQQAQAAQGVS FPSE_09368 MAFANKSSYIALGCEGSANKLGIGVILHTPTETKILSNLRDTFV SPPGTGFLPKDTAAHHRAHFVRLAREALAEAKITPADVDCICYTKGPGMGAPLNSVAV AARALSLLWDRPLVGVNHCVGHIEMGRYITGAENPVVLYVSGGNSQVIAYAEQRYRIF GETLDIAVGNCLDRFARTLEISNDPAPGYNIEQLAKKGSKLLDIPYAVKGMDCSFSGI LASADALAAQMKAGADFTPEDLCFSLQETVFAMLVEITERAMAHVGSSQVLIVGGVGC NERLQEMMGHMARERGGSVYATDERFCIDNGIMIAHAGLLAYETGFRTSLEESTCTQR FRTDEVFIKWRD FPSE_09369 MGNNTSSSSKPTTPTTSAPGSAHGGHLHGHESPRHHLRKDARNI ITGHTQRSAAPPEPSMAQAQGSTVINRPKSLPPTAVSSLSGSPHSNFTAVHKTASSSD AKAIAEKQGTATPESKSHHRDEPTKPLDVPMESSSLRSHQSAHVHDSALIPNSSITDM YLTRPPRLPLPIDEEVHTPGSPILAPENDQEGDMEPMDSLDGITRKSSALSATTVDEE DGEELRVDKTRPVVQTKLEWLSGGDKIYVTGTIFQWNRKQRLHPIEGRPGCFSTSVYV LPGTHHVRFLVDGIMQTSPDLPTTVDFGNNLVNYIEVSPEDAHKVPAPSAAAYAEAQA AAAAQATAQAEGLAPGAPKPPPQPKGKLVPPPESYRSQIPKYLVDFDQAEDSQAYQYA VNAIERLPNPPALPGFLSKPILNAATLMKDDNSVLNMPNHTILNHLATSSIKNNILAV SATTRYRDKYVTTIVYKPTASDES FPSE_09370 MSDHALPTSTVVAATHAEQASPTISPFILAFDIIVAVFTWLFSF DWSAFFLRIFAIVSFPFRLILIPLSLVTNVLLTVFAPVIYLFSYTVAGVRSVWAFLAG LEPLYTFFGAAAGVGIMAGVTIALFSSIITSYLGMQNNDPGPKRSASKESLLETSSRR DSLSSDTDLGWQWLDSSSSRRRPTSGLLSQTIHEEDDDSEY FPSE_09371 MEKLSETTPNGTSPRTFALNHSRPRSSFKGCSRISDYELLGKLG EGTFGEVHRARLRKTGALVALKKIIMHHEKDGFPITALREIKLLKLLSHKNILRLEDM AIEHPTRQTDKRKKPIVYMATPYMDHDLSGLLDNPSVQFKEPQIKCYMLQLLEGLRYL HDSRILHRDMKAANLLINNKGILQIADFGLARHYDGRTPESGVPMGEGKRDYTGLVVT RWYRPPELLLQLRQYTPAIDVWGVGCVFGEMLYGKPILAGESDAAQLDIIWDLMGSPN EENMPRWKSLPGADHLTPRPRTGNLETRFRQYGSGAVSLLKELLRLDWRTRINAVDAL QHPWFKMQPLPLEPHEIPTYEESHELDRRKFHDRKAALPPAPKGGTVGVGPDANGATA GFNSNEPYGNGRNGVNGGRYRNGPDDRRPAWQRERGAGLPPRPPPNNDDADFRERGPP RARGPPGPRGPDVDTYIPAYNRDDPGRRRDDRPPPPRDDRPPPRDDRRRRNSREDRRF DRDRGTMSRSRSPRHDRSRDRDRPDHNGYRR FPSE_09372 MSRAGSPGLPVKVVPETSYPESRVLIIGTGGTICMQQGPDGLQP TDNFLENAMAPRSSFNDFSNPGTLPAVRDGAKIQIDSLRTPATAYNRHVRYGIIEFSP LLDSSSISAHDWDAMASCVEENYHLFDGFVILHGTDSLAYTASALSFMMENLGKPVIL TGSQAPIFALQSDGVDNLLGSLIIAGTFTIPEVCLFFHHRLYRGNRSAKVSATEFEAF ASPNSEPIAKVNGLGISVNWPLVLRPTSIAKFHVTKGLDTTHVACLRVFPGIKPEMID AVLHLPDIRGLILETFGMGNIPGGSDGPLTRIIKDAVERGIVVVNVSQCVNGFVSPVY APGTVLGRAGVIFGLDLTAEAALAKVSYLLAQTDLSTKEIQEKLSRSLRGEMTEIAHQ SFSNPAGSLDFAAAHLTASERAFSALGYAIENGELKLVKELLQGEGAQLLKQADYAGN TAVHLAAVAGKTDILLELLRLGASVHERNKANLSPLYVAVKSGQEPCAQLLRIAGAHL AVEERETMPGYVTPKYSHFGKHEL FPSE_09373 MTPSTPPEYLPDLDSSEVTYPGSEILPQAAPINPQELKYDKYGK NRQGWRRIVVNFGPSWFTVTMGTGITSILLHNLPYQGRWLFSLASDYRTIPVGLATII NMIVFVCVPTWGDKVAHLAWALWWIDIVMAISCNLYIPHCIMRTEGITLEQTNPTWLF PVIADIVASGTGAIVANVLPNDQHAIWTVVISYILWGTSVPMAVLILAMYYNRLMIHD VLPSQSAVTAFIAIGPLGQGAAAIQLLGKVALKIFERNDFIPMAPIAGQFFYLTGILT ALIMWGFAIAWLFFAFATIVRREFKFSISWWAFTFPLGVFTVSTTTLAQELPSRFFKV LGTVFSVVETLLWIMVACRTIKATLNGELFQPPPLEAWENKVAETAEDEKVEDSPA FPSE_09374 MFFKASLLALAASQIFGAVAQDAPDANAAPKAPLKAEIKTTFPE TDILGVKLVNGRPTKAVIEVTNQDANPIQVSFVSGSLNTLKDLPEDSPRYASIVRNLT AVQYNIEVPAGEKKELPFSFAQDMQPQEVRIELQALVSDSTGALHEVLVHNGSASIVE APTSFLDPQIIFLYLFLSAAFAGTLYFVYKTWIEALFPQAKRPRTNKKAKKTVDVAEP LSGSEAVPVVDASGKDYDESWIPDHHINRPVARRVKSSASAKAKRVE FPSE_09375 MARAKPTARRRAPPSRVHLQVGTDDLIPAPLVERFVKIATSEKE SSPQSPEPPTKRRKLDTSILVAKTKLTVTRQCTASSQISVSCKGVEKLMSVHLRDTRL SFFSSEGCPVDPFRATINLRRLEIDNHLQILSNLFQSSKLSRPNELWTTVDMKLEWRE RQVKMSFSYNFYWNDSPSLDSHHRPAADRKESQKLINFILRGSPSAGPPLWSGWSPMD FYDAAFVPPKDDKVAETIHIAAMKSTLFPYQKRSLQWLLQREGVQWSESTGGLVPYIA SDREAVYDFEKFADLNGEEYYLSETLHTATRDLALFREAEASIKGGILAEEMGLGKTL EVIGLIMLHARSRPLVQSTDEAQAKLTPTGATLIVTPPSLRDQWVSEISRHAPSLSVE VYEGRKKISDDDEEHIINELAGHDIVITTYSVLSSEVHYTTAPPERSRRHARVYQRPI SPLTQISWWRICLDEAQMIENGYSQAAKVARVIPRVNAWGITGTPVKNSVEDLQGLLL FLQYEPYCYTKSDWDHLRWNKSGFQRLFNKIALRHTKSMVRDELVLPSQKRFVISMPF TAVEEQHYQSIYRDMAEACALSLDGEPLVEDWKPGDHAANMRTWLVRLRQTALHPEVG SYNRRILGHNKNRPMRTVEEVLDAMLEQSESAIRVDERAYLSSQLTRGQLYENSPRVK EALEIWKLVREKTRELVSEARAELQALLMDRGGGGSAAANADDLGMESEDDEEVEGKG QLNESRRRLRSALEMEHRAVFFCANAYFQIRENPEMVEPDSEQFYQMKKLEDDGYEEA KVIRREILRESHRRATRSMTKLAQKASEQSFVEIPDLTVSDERGIESRRIIDDLETLC GELNDQANLIDEWREHIIGLLLKPLVDEEGDAETTGEEYGESAEIQDELMVYVQSLGA VIADRQDALTGQTNELVKHETRTSLRQAESGEGPAPEKLIELMMLRDQRKPNSTSMRS IISRFRALTSKVSKDTTRNILEGRIVDRQLRATQEAMNTQSKLALEAEVDNFKTIMNL RLEYYRQLQAVSDSVLPYEGPDVTDVIEKKMKKTEDDFRKKLSAAKAKHRYLQHLKEA GDNSNEPRMCVICQTPFTIGVLTVCGHQFCKECIKLWFKSHHNCPVCKMELKPSNLHD ITINPLQLKLHGDDSDQVHGGTEKNSQRKQSGLQRKTGIYSEFNTDKLAEIQNIKLDG PSFTTKVDTLVKHLMWLRESDPGAKSIVFSQYKGFLEILRNAFARFGIGHASIDDSGG IKRFKEDASVECFLLHARAHSSGLNLVNASHVFLCEPLLNTALELQAIARVDRIGQTH ETTVWLYLVSGTVEESIYNLSVQRRMEHMSRASKGKSKESTPELLDANIEAANTLELE QASLTHLMSKDKTAGENVEEDDLWQCLFGHAAREGNEPERDART FPSE_09376 MKGERLRSTFGLLLQSGSAFSRTGQIRQLHKTRPAHPIPKPVPF VPDVPTFLTLIGRGLNKYANKFPSWNALFSLTSPELKELGIEPPRNRRYLLQWMQKYR KGSLGIGGDFRHVKNGEAVLRIATPPANTLKNAKWVVNVPHDIAPAEEGATETPSKKI KKPAAQNESELVRPNGYTIVGAQTIAGPYAQPLPGASGVVVKVTEGMWEDRQGRKIDG GERRRAETRFKKRSAERRAEREAEMLAKM FPSE_09377 MFLSEQSPPDLQTPKIHIQSPSPEVTNQETSPKKKTSHKHSHSS KLATSPGKRSIPSTSKSKEKESPAKHSQPPEKSKMGGSSRHSSHGSHSSHKGSSSSHS SKKSKSTPIDDLDWSDITDPEERRRVQNRIAQRKFREKARENKERTERDSRNQEYAGN SYRIPSANDFSSYSEPSGLPWGSMNIGPFAGRSREAESRHSSSRRGTHSAGDSFATAA YGASPSYNTQWTQQPYGESSGGDEMYYDDSYLYNPSPEQ FPSE_09378 MASHPAAKTKPAKTLKPRQSKAAMLEKRQAKRVAKAEKRAEKMA KAKANAEAKKAVGGTPRKEKYGAKKRVISDAKKKTKLKLRVEKLEKKANNLFKEAKKA AAQYQALLDAEKNAAESKPEQDSNNDDDNSSSDSDTSSESGASEASEASENKGGVPVN QEPTEVPEDTPADEIVMKHRRLSNAGSERSRISVAEVPSEAEEEPKKSKKDKKNKKEK KVKIVEPEEAKEEAVKSKSEDEDEAPEVSDKKAKKAEKKRKREAEAKAKAEKNSEEPA AQAEQWQVDGLEGGSDRQAKFMRLLGGKKAGAAAPTAHGSTSKGTSDSTKAEAEIQKQ FEAGMKMKNEGGSKRRGLGA FPSE_09379 MISCSQAQTPQQRRRNEAFAKVNENKMGKAEQQVKKRVEKVQKS PISMFWLSILGFVIFGGLVFEGLSRFFG FPSE_09380 MISTALARLRPAIAPKGAFNRAIYSTSRRMYASKSQPSPTSQFY RTFTRPIAKTLLIAVFSYQLAYWSWVKLETDEIRAERDATIEKLETTIKEYDAETKAK KD FPSE_09381 MIPNASISRLPISRKAYILTLVLSLFLCAFGNAHASELLHDSIF DAEALIERSDASNLIYEPDFGAFDRSILGRAPAEQSLLTNNGPDSRKLSPGATVCYVV DKKTLFGKDKRNDDGHEPRNAEDDPGHQNFRRAESKTVYISVNTCSRPTMKSKDKDNN PETAPQLSLYTSMSSKIKCPDSSNYNKTNSDLERIPFDEGAAMVTVNATDAIYISVAA PNITKKHDGDWEYQIAISFDEYYHNYDSQNGTARLLLMDTDSTSALLVTSNLTEDSSE TQQIMKRPPPYQLFVGDEKLRSIDGLRHSACGLKQSAEIWANSNRTGRHNDLVTTGVT TRGPGQLPKQQFLIAGLNHSSSYLGILVKMPEGNGKRAETTGGGGTVYRATSFETSSS SNCKMVTNLDFCNETQYAVPGNDKKFNNTALAKHYDDYARKMYANFEKVMMQMPCETT PESLYSLVRNCDECRAAYKRWLCTVTIPRCEDVMGGSRFSVVRNAFQAFPNGTTLPDN FRKGLNSSANNSSRNAWIDETVKPGPYKELLPCQDICYDVVQSCPSAIGFTCPQPGFP SFDVSYGERNSDTSSITCNYPGEARTKISAARVVRPGTFMLSAVSLMLWLAV FPSE_09382 MSAQDKAQQYLGQLDRELSKYPALNNLEKQAGVPKAYAVIGVGA LYFFLIIFNLGGQLLTNFAGFVIPSYYSLGALFTHNKEDDTQWLTYWVVFALFTVIES FVQVVYWFPFYFVFKFIFLLWLSLPAFRGADLVFRSFLAPTLGRYFQQSGSTASGLRA KADGLDKTE FPSE_09383 MYSGQWLPGDHAHMHAHAHGHVKDMSGNQMSPDEMLMQAATHIQ GGQDFQMDTSMSAPMGHHLQYQQRHPSQRHPLPAEQYNNNASFTEGDSQLIERDENDE ADSRMVMGGAPKNTSARSSANNEHEMRQLYHVNKHRGLDDVAKELHGNDRGPSSERAR QVINSVCSSGKGSVPRGRVYANYASKCANERITVLNPASFGKLVRVLFPGLKTRRLGV RGESKYHYVNFTLKEESPEVHEAPAQIARPQIESTSFTPDFNQLPAPSNTHLSQGALP SPHIGTNAKPDANTRQFVSPSHSLYNEHEVRSLESLNSTTKTQLELAFPPETDEPIDP GDPLPLPSIEQFLPQGTDPDAAMSLSALYRSHCTSLVECIRYCKEKNFFHLYTSFQGT LTMPVQKLLGNPAMAPWIEACDFVLYQRMMKIVSSLTLQVVPKPILDTFRTISDKLVP HIRESFQGQPQHVIRAKEGPATLFAGLLDRALRVNLTAHAAANMLSSQDNRNQMFSDW VTMIRLRKIAECVPTRGMDDVVNVLLHEIRSLLDNPEVPWELETITVYGEINAGNSRP DNGNTRASGQNVLDSWVEFLRRLPSRFPYASPTEIVWCIERIGTAVMRDITLGGGKSF GAWWVTKTWLDEMTCFLAETGGFMTQRSSHTTGINTAAPPPQTAKDTSRQNSRYSSGS DELNLNNASQNQGERAPFPPASNKVNQNSMSMSGGDMHDDSGIGIRTPEEEFNLDKFD YTGAENQEHDMLTGSEFAPGGL FPSE_09384 MGSLSYNNSPDLGWVVQKFGGTSVGKFPDKIAKDIVRATLSQNR VIVVCSARSTGKKAEGTTSRLLAVYVKLRGVGAAICSDEEQQNELVEQARGLMLDICN DHVFAAESFIKDQNLRETLIQTVKDECQELVEYIVAAKRFNLEINSRAKDRVISFGEK LSCRFMTTLLQDVGVESEYVDLCDAFHYDAAGRLDDRFYRTATEAFTRKIAACENRVP VVTGFFGNVPGSLIDGDIGRGYTDLCAALLSVGVKAEELQVWKEVDGIFSADPSKVPT ARLLSSITPSEAAELTFYGSEVIHHLTMDQVIRAEPPIPIRIKNVKNPRGNGTIVVPD RMRSASHQLTRGSPTPKTEAEHRKPKRPTAVTIKDHISVINVHSNKRSISHGFFARVF SILNHYSISVDLISTSEVHVSMAIHASSQQVEAFANAAAELADCGDVSILTDMAILSL VGAEMKNMVGIAGRMFSTLGEHNVNLEMISQGASEINISCVISARDATRAMNVLHTHL FTFLE FPSE_09385 MYRSKTGAANRGSILSTLKATEMLDTKASLPAEILVAILDYLPV ADQMRFARVSHRMRDMVYDDTRWVSRLKSMDCWDEVEARRRFEEAVRRRRESANIAAK QAKGIAVPAQSTGTTTLFDASLEEAKNRAVADIRDGFETMAVGASSDPTEDPAAYLDV FKKVRSIRGGARHEYGRIYGALAPFYFDLARAKSHADPIIFQAFRDPEKQAQMLANLK RFGHSDWSPGCHEREEKLSSMMGIFESAVLREFEQGYEFWDVDGRMHRYAHVLHTLDG ATGGVDLFIHKHPIFNDDEVLVVNAMDCLNQATEDESIILEPSRLFFEMILAKVNEQA SVMERIFPEPANVFWSFVEKVREDIIMEYATPLFDEAHERSMAAYLKAVSGVFEQTLL FFQSLTPPEGSKKDVKEMAKDFSLRVFEPHLDLYLQAELDFFTNHAETEVASWEKKLS EQDSTIESFYMSNINRSADKKDFLSSFKKVVMMPVTVLPSFPKGSPFAISKPSAAKPA LPASKELNGAATLSPQPSRPETPSLGIEGRGSPLPAQAPTDELAAKAAIMASKLEGIK SLFSIEVALNLVHAAKTSLGRASVFISLGGQAGGEAREQCATVFVVLLRILGQKHVKT GFDMAVDHLSHYNPREVSDHNKAGVAPLVTFIELVNVGDLISQMIDVFYEQQLATPKI ADRNDFLDPAGLAKKKFEQMLDESVAAGLNKGIDVLMDEVEYLQGTTQPPTDYNPIDP SAPSSSSAFGSGFNIGSSNVVEKPAAPAAEPDIGPTATAKRIVELVSSHTKMLVGTTD KSMLDVFNGEVGLRLFTAICKHLKRQRISTEGAITLIADMNIYYEYIRTLRNADLLAY FAALRELSQIFLIDPRHAREMATVIADGDRFGGVFRAEEVYEYAQRRADWYQVRKSVE RAMYGLECILIYVKDEAFGWDIHSLKFMSMN FPSE_09386 MAVSPVVTHTVEAAQAQTLGRAISSPLKNVTSQTSSSNGTPMPP VTSTPAPTSIPTTLDLAEQMNDEEKRKYVKGKKLGEGTYAVVFLGHLRSKPTTLVAIK KIKVQKEYDEGMAPDAVRELKHLQELSHPNIISLLSVFSSKDQNLNLVLEYLPLGDLE MLIRDTESVRYGAADIKTWMGMLTRAVWFCHENFVLHRDIKPNNLLIADDGEVKLADF GLARSFADPHQLMSSRVITRWYRPPELLFDAKHYSGAVDVWSVGAVFAELIMRAPYMP GNTDLDQVRLVCELVGTPTDDNWPGVTKFSGYAVPEKHPVRGKDWYEMRFGTVGSDGV DLLMKTLILDPKKRITARGMLEHPWWHSEPKPTRKQDLPRKGGTGADDKMGADLKRRP GVIDDDRGSKVARKLDFGGMN FPSE_09387 MRLSLPFVALCAIPAAVGIAIPDSAREIGKSDYKHHGEHYHGVH KYHHGEHKHKHKHLHPHEHIHHVHHKHPIKPCHILTKERVCKDFHKLTDEVEKVIHVV QTKDCDNDESCWSGVVYHIYKLEHHLDTYDKEIDYTTLKKCFSCGQESYVVDCYLGYA DALIRLLKVLKHKTKHLEGEVDRPVLTSINSLRSANYALTYEIGRRISCKKDLKIIME KQGADDGSTKGSVQQAFSKFIYTPLITGDDFENKGSYGDPREKGYEGKSYNKEVKVFK HHHEHHHGHHHGHKHGHGHIHAHEHGHKHGHVYHKYHHDEYKHKNEEYKHDNKHKDEE YKHDDGYKHDNKHKDDEYKHDDGYKHDEYKHDKPHYHRLSVRNYRDPQFVDKDNYSLE PSNDQYIHNPYGAYRNMEREKVWRDREDEEEKRANDLEWFRRENMRAYAQAWPREPAP FPPKWHSPNRSPYNHYNGNRRWE FPSE_09388 MAVKGVFSYWWFPVLSGLVWLGMLLGLLLEWQINQHGRRYPTQS LHSDVAYISNVGADRLWPLFIAGCVLTSIFLDTAFLSERWLRHKGRLAPNTTLMEKVL TWLSIAFAAVGTVGLICLSIFRTGRYTRLHHTFLALFIGGYLISAVFICWEYQRLGIN YREHRVLRISFWVKLTFILVEVALIIAFGVTSRIKQRNAAAILEWVISFIFTFYAISF VIDLYPAIRTKPRHARHPKYVPSAIADSSNPSHEGSRSDINDRRDVEMAQNTPPRDF FPSE_09389 MPTTSQQPTMIHSQPAAAPGANNSGRLKYADPRDLPSYPSAGLR PDGAAASAAASLGWSNQKPIELWKPDKTSSASAAAALAKNYKMAPSWEPASNSDGHKA ALLAVGSANAALNHTPSHKKTHEGWGNSAATQAVGSATAALNRASSQQKVHEGWGNSA ATQAFNTNRANSMRQANLTSPDASLQGQKSLAAAKGAMSTTRRRAKSTPSAPDSLATS SAQPNKRPDALSGATLAHKASMKSKPATENAGAVPVTTMTRNMFTSNPPVKPEVDERQ NNEQLHASAVAMARKMYLSQQKIIDEAKENHGRDSDVAQPKPYVNLQDAAYKQAQERL AKLEQEHQKNRDFQSYYGNDSASPKRRFTLTKLRRRSSSDGDIKNDRQQSEKIRQQMS LFSNKLTEVDQKKRQDDRNALLAAAQRNVKAQLQGMDEKVYNETGQVNPTLMSEWEVK AHQMANSSHEARNENKGKIDIGGGRFMDPHDVDAIAAKRMQPILDDINEKAEIERERL ATLKMEEEARKAEQEKQKAREREIKEINKKLKDQEKQEYKAKKAEEKAARAEERRHAK EEKQKARGDTAVSGEEAIDDGESTRSEDAASSPIVAVAPGSTEADAPAAIDTETGGTE RGRRKPSDAASPTSKVKGWIKNRFSRGKSLGEKDHESHGDKRGSFVGGAALRDPDTNH SAISLDNRATSMRDVALAGRGTNGEPSDRDMLHDSRGVSPVSSDSDDDEGVAAITPPP AIKDPVGRQSHSPSRDSRFRENLDN FPSE_09390 MTSPAGLQNVLNFRDVGKTVNDFLGTRRIREGLFYRSARPDDAT LPDRKLIRDGLGVKTVIDLRTKTEHLNQAKKRKEQSSIPALVQSNEALAEPLQISGLN YRDVKVTGRPFELFLLSQLSWWDFFRVVFLFLCGYRTEAINIIGEKVMIPRGLVGLGL DTLDQSTKEIHEALSLYADPSALPSIVHCTQGKDRTGLICALVLMILDVPTSAIEYDY GLSDEALISEREQRLIEIREIGLTDEWLHTADDMIVGLQKHLDSKYGGLNAYLDGIGF GADDRAKLCDVLLY FPSE_09391 MASSAPSGEAAASPTLESIPAPVQDMAALDVKDPVTEAVTEAIA PETEAAEVPPHRSHDPTNNLKRSDPFQFGSRYLSEGDDVFEFNAWDHVETDDAYKEYA EQQYAKQRQNPVSDFEKRKFSQDPARWWNLFYKNNAANFFKNRKWLQQEFPVLAEVTK EDAGPKLLLEIGAGAGNTAFPVLAENKNPQLKIHACDYSKTAVEVIRKNEAYNTDFIQ ADVWDVASDSLPPGLEEGSVDVAVLIFIFSALSPDQWAKAVDNVYRVLKPGGLVCFRD YGRGDLAQVRFRKGRYLDENFYIRGDGTRVYFFDKDQLSDIWTGNNANEETPAALEGG SDTPEGTDATQSTDAEEAKTEIPRFEVENLGVDRRLLVNRASKLKMYRCWLQGRFRKK FPSE_09392 MATLLARPQAQLSPAEALHLAQQAPTILKGNPKAFSASPLVSLF SAAETVELWTIYENLIVTCLQTGDDDSAHLCLERLILRFGGENERVMALKGLIKEAEA TNNNELSAVLKEYEDILQQDGTNIYIAKRRVALLRSMGKTPEAISSLVSLLEFNPTDA ESWAELSDLYLSQGLYSQAIYALEEVIVLAPNAWNLHAKLGEVTLMAANETSDGSPQK YLANSVKRFCRSIELCEDYLRGYYGLKKVTDKILAEATKFKKQQPEEDEFSLPDQDTL KKLNQAATQKLAEIVRRYGAQEPLWQGYNADEIAAARELLDKSSSEVVRVHKVKISTQ ACQEDASHRMRACTAWAMAVLPGPRRLTSGGSNAELGIAGHTARGAIQGHAGGVHPGL KRKMIKFIHRRDGLSSQDWGFSMLLLTSLDV FPSE_09393 MGTGGLRKPGINREFAQASCPTSARALAARLSRVPIDSINRATN QGSLVQPSPGPGQGRLTRQERGFGAQQVQLRNTWLGFTIQKRRYSTAVLMSNPYYLFR AKPKTTHNILPRPLCQMGTWLWFNKLSPLRYNGHTTLVPSISNHHSILVLSSPHPVSP HKQAFPPSHYAAFVTSRPLACHALVIFKWRTYQFKFTTPHSTPVAQVQFFLAPRTKPT LPVRLCSGSLLLIVVGRGHPTQVFAMLKQRPSSRAPTSASRPTETSTSSHTTYSDDSS QETGSQGYSSDDLYDDEDDYDDDDGLGPSDSASTSDHDQGYRHHVPAPRQHQLPSHHP YAQQQQQQQQHQFPPQQQQQLAHHQPPSRQLPPHQSHQLQTRQPPGPPQDPYSPEEEP RQPVRRQRRDRAAPPTANLEDPYGYGAPIGRGAYGHPSAAARPPHPGAMYGRGQQPGY QNQMAPYMGAGYPANGQMVPFGGYGDPTSPYGAPGYGGEGRGMFDMMPYQQQPPPQNG FYGAVQPHHFSLQAQMSGMQLQHRSPPPPPAPTEPPAKPATPAPPKEDPEKIRLEAEI AAFKAMEEKVKAAEKQKEAEAQIRKEAEEAFHRRMEDMRLAQEEAKKEIEKARLEAEK AARERMEAERKAEEKRAQEHARAMAEAEEKARLRFEAEMKAAEDRRKAEAEARIQAEE DARRKFEAAAKAAEEQRKAEAEARAQAEKDAREKYEAEMKAAAEQRKAEAEAKAKAEE EARLKYEAELKAAEERGKREAEERVRAEREARLRFEAELRAAEERRIKEEEERKQAEE LARVRFEKALQEEAAAKAAAAQKAQEEAERLMRAEQQAKEDAAKKAAEEAEKLKKLEE DARAKAEADTLKRIEDEKKKAEEAAAAEAAAKKAAEELKAKIEEETKAKLEESNKTAE KAPIRFKDAVGRKFSFPFHLCATWQGMEDLIKQAFMQVDVLGPHVMEGHYDLIAPDGE IILPSVWERVVQPDWSITMTMWPMDKLPQLGPKMPGPKHPHAIPIPPGGRPMGMGMGM GMGTGMPPGMRPAGLPPHGRMQGPGGMPPPPGWHGDMRPGPPPEIVTVNPGPNKSSKS KKTSKDHGSGMAGFLFGKPQKKRSSRK FPSE_09394 MALRFLPRRAAVARSSASVSNITNLTCAPTHLRRSFISFPSSEP QRLTAHRILPYPSEPLYDLIADVDSYSSFVPYCSRSRVTRWSDPDSTTGQRYPTLADL HVGWGGFDEVFTSRLRCVPGQSVEAVSGETVPGGTGPDASAVFRSLVTRWSVKSIAGP PSPRTEVHLAIDFQFTNPLYGAVSAAVSDKIASMMIEAFEKRAFEKLNTQRKL FPSE_09395 MFDTEADERATAARRGRKRRAIRRGQKFEKSLYDLIRGLRNHKG NEKEYIQKSLKECRAEVRSQDMDLKATALLKLIYLEMVGHDMSWASFHVLEVMSSPKY HQKRVGYLGAVQSFRPDTEVLMLATNLLKKDLGTTTPTVISLPIATLPHVITPSLALS TLQDLLPRLSHSHSNIRKKTLVTLYRLALVYPEALRAAWPKIKERLMDPDEDPSVTAA IVNVVCELGWRRPNDFLPLAPRLFELLVDGGNNWMAIKLIKLFATLTPLEPRLVRKLL PPLTNIIRTTPAMSLLYECINGIIQGGILGNSDDSGTDEIATLCVNKLRGMIMIDGDP NLSQQEDVILECIDSPDITIRIQALDLVQGMVTGDNLMSIVSRLMKQLKLSMPSRDKS QPGTPPTDFNESEDEYAESIPKPKSESIPLPDDYRIDVIGRILGMCSKDNYSSVLDFD WYIDVLTQLVRMAPAPRKVDDDSGPTDKARANVSEKIGDELRNVAVKVRVMRSTAVRA AEIILSQLNTDTPPGYSITSGALKSVAWIMGEYASQLAVTDEGLNGLLQLIPRTNTPD VLTTTLQAVTKVFATIVGDESEPWTAERKSRISLLMARIIHVFEPLALHPSLEVQERA VEFTELLKLTAEAASSQPASTDDVDQDPPLLLTQAIPSLFNGWELNSVSKDAQLNVPV PSGLDLDEPIHANLAKLLSEADSITLATDESDDFETYYHQRPPPTSIESSAPAISRIA EPKEDYVSSYQQADEETYLDADIVARRKAERVERNRDDPFYIPSNETPRTSTPIHNIL QSSNGPDLDIDSIPIMQLDLSRAGTPAAQPPRPQPKPRQKVVIAADETLEGSASGGLR SYDSENNSDSLSKPRVSKTKIKQGLLGVDSSGIGSFSLEGQPSTGLDYEQQQREDVEM QQAMKEVERLRLEMQRANERIDVAQGVDVEGTVVKKKKKKPKKDVTAEGAEGEEAKPK KKKKKTPRVVALDEGGDDSSARGVEPPASGTDVAVAKKKKKKKAPRAVEIQDE FPSE_09396 MPGFADSFWSSDYAAGLGVLFTKLQQGVHENRQVLTIARLRAEA EETYGQRLSDIAPAADKVAGGFSRDDGATVRKAFDGMRNEMQDAARNHRRIAQSIRDL VVNPFSRWCDSHEARIQDSQDELQVRIKAHDRQAEAVKKLRSVYFNKCRLVEDLEEEN KLAFQDPETSPKAGQNIPEIKVQPHKEEEPEEEELYEIGDDTYQPEQVKKIISQMLSS IKMGETKVPILGTYLNTSSGSDIVEYLQRSMGNINVAYAERIGQDLVNNGFLRLIGNV GSTFANSSKMFYQWQSKAFTMAGVPEKKSINRTFSLASTGSEGAESPVGTVSEYLANW KVLNNSHPGETPSQRMQREAREADEKYREGVRKLDELRCELEEAIHLHLKFLERCELD RLKAVKTVILDFSGTIGNVIPSLQSTVDQMMLFQETIQPQNDLRYLVETYRTGSFVPK VVVYENYYNKVDEQTFGIDLEARARADKKRVPMIVTTLLTFLDHHYPDLEGDEARRGV WLLEVPLSQSHSLRAKVNDGKPVSPDVFDDFDIPTIASLLKIYLLELPDSLVSSHVYE IIRTIYSTPSTDADESSRIAALQSTLSQLRLTNIATLDACMNHFTRLIDLTSADETYV ASLASALAPCILRPRTETSLTMEEKHAYRLVRDLFAHKDAIFSALKRMSMVTHSTSVG SNNRPRAISTDESNRKALMEERNRALLEKASASRGRDKSPAPGPRGHRRDRSTGGPET RFPIASPTAASAVDRHRTSLGGVIKRQSLEVPEPDSATPVNGEAEKDKSDADSDKRDS RDSTGRTPTKFVGGKRVPVVPSTPSTPPSDSTRGVQLEDAPMED FPSE_09397 MPSLNTIITAIVAGLAIGAQAGPCRPHRPLSSMIQSQATSTAYA QPTVETSAAYSDAASKSETEASLSTTAYAQPTTETSAAYSDVVSESATVASLSTTTQV TSSEKTETTTSGHPTEESTSTVSDSLNTDTTIDSATAVQETTSSLPSTTQEAISTFHT TTSVPSTTSQAEDVPSTTSAEPQTTVAPTTTSSEPATTTSQASTTASEVSTSSCANKS SLTCGKTGFFTNADNMLLDTIYDHDFEACKASCEANEECKAIGITTSDQCELYKTSVS ALGFEARDSWYFSVYDACCFEDEQ FPSE_09398 MALSNEERLGLIKENLAEVLNPEIIEGILAEGRNPKIYWGTATT GRPHCGYLVPAVKIAQYLAAGCEVTVLLADIHGFLDNLKAPIELVEKRAEYYKFVVES MLKSCGVSTEQLRFVLGSSYQKTSDYVMDLYRLSSVVSEHDAKKAGAEVVKQTGNAPL SGLMYPLLQVLDEQYLDCDVQFGGVDQRKLFTAATEWLPKLGYRKRAHLMNPMVAGLN GNKMSSSDENSKIDLLDSPEAVTKKIRKAECVPKEVEGNGVLALVEYVLLPASGLRTG TREFKVERRDAEPLVYSDINKVHEDYRNDVLTPQTLKAAVTEGILALMNPIQADYQAS KEWQEVTLKAYPPPVKKEKKVKNLGTRFPGGKGGKGGDAAEVTKKAEDLTIKDVQEQS PANPTA FPSE_09399 MGRQEPLLSYNTTRPSRSRGSNRSVKGGLLSQLHNNSYHEPPST PKLTPRRAILAIFLALLGLSLLHRPVSNRYNGVPRYGNGLRSPEERARHILTTTPLID GHVDFPIVLRFAYGNQIYDENFTQPFEQGGLFGHVDLHRLRKGQSGGAFWSLFAPCPS NDSDFSDGNYASSVQFTLDQIDVMTRLQAAYPSHFSEKVDSSNAFEAFKKGQLISPFG IEGLHQIGNKAANLRKFYELGVRYATLTHNCHNKFADAAVLENPTRKAEPLWGGVSPL GRKLVHEMNRMGMIVDLSHVSEDTMLDVLGGGKDWSGSEAPIIFSHSSAYSVCPHPRN VKDNVLQLVKERNSIVMVNIAPDFISCVDTGTENGIPEFYPQNSTLAHAAQHILYIGN LIGYDHVGIGTDFDGIPSVPKGLEDVTKYPDLITELLRQGVSNVDAAKVVGGNLLRVW KDVDTVAARLQAKGKLPLEDDLPKMKFEEAREASLEDA FPSE_09400 MVFLLGVNFGEQKLVKKALESFYALGPTTSARIMAKYSIHKLAK VGSLAPRTVTSITAELSQMTIETDARRLVQENIRRLKDMGSYRGRRHAMGLPVRGQRT RTQTASANRLNRVERRG FPSE_09401 MFNLRRLFASALFLGLGLLFLAQTAEAAKGPKITHKVYFDITQG DQPLGRVVMGLYGKTVPETTENFRALATGEKGFGYEGSAFHRVIKNFMIQGGDFTKGD GTGGKSIYGDRFKDENFKLKHTKKGLLSMANAGRDTNGSQFFITTVVTSWLDGKHVVF GEVLEGYEFIEKIENSKTGAADRPVEAVKIAKSGELDVPPEGLVGTSEFAAEEVASAG WSPMQKAGLFVIFAGVLFVGLRSARQHSRF FPSE_09402 MKVLSLNFLTCAAKACKSSKDSYPLHPKDAELVQDDIELNPDMI INVLPRLDWEALRTTASELGFPQLPEQAPTAVELKGDDKTLKDLHHLLLETQMSEGKL VCGSCGHEYAVKEGIANFLLPSHLV FPSE_09403 MRLQILASALALSVSAAAFSDSWPLVMFSTSKFSDATSNNQIQT RSQALEQVKDLLTSCPTDNYVLIAQEGANAADIRRTNANSNLHQAIARKEIQGKFIVS EVVGESIDADAIQDYIQTACYEQAKKVEPHFTLIKAGDDKEATEKWISNEQWMKDSYT VMFVGTPSTKAKAASASKKVSGSEKTIYESEFIEPLHMDLKRDVSEGSKAGNTTRDTR GLFEKYQFFTPGIFMAIITAIVLFSILGVGLRALASLEVSYGSFEKDMGPAAQKKQ FPSE_09404 MFAKPRPKKNILPIQHKKRKATSGVEEVNFDFDAREEYLTGFHK RKQQRIKNAQEENAKRARQEKLDMRKQIREERKRDVEEHVQTVNRLLRESEAAGAVEQ ESDEEDEEWGGIADQPELDIVDHEEEYIDEDRYTTVKVESVSVTRDGFEKPQIDSEDK SQDKKEEENKDDTKEKARREPKKKKKKFRYDSKFDRQLTERKLRAKKAARRSG FPSE_09405 MNQLRLEADEAAGKVEELQTKVKVLEQENLSKEQEITSLQHKNN LLEGEVEKLENTVKDFKKAADEGQQHGTQNETLQRRLQLLEEEAEDADKTLREANEKL RQTDVKAGHFERKVQALESERDQWETKYEEMSQKYTSLQKELEELQAEIGNI FPSE_09406 MLAAHRDQENLVLSQQVPTKQGHNSRFPKTPSRFPQRDENAPAA FTGKDGVKTINKGNGTRQAMITPMGTRSRAPLGNKTTNAKAKNGQLGGVKNLVKDIEQ TQLKPTAQKPKQRPVDLAPLEIRLKEEQKTIEPQEEEPEYAPPKPKDLPYESDCFPKD MLTLDGLKKGNLLKGYYQHFYNPVDDEGISRQDREFAETMKKVAARAEEKNKRETDAL TFNINDLSDDEEQVKKPASKTSLLDASERKTNGIRTKQPSSLASRRAASALSIHSDTS SNSKGPTMARPTGIRKPLTSSYQGRNPAGRNIVPAKRSHAESATGEAASRTTIGYNRG RSASSLISARRCGTDVRGGTQRLVKPSGTVSPTQSDLTITPARFSQAVQKDQEIKPLP QFMSIFDEDGDDDDLPPLSQPLHISDDEDEEFELKFDF FPSE_09407 MTRPPGASFAEFFPTAPKVKAQAQTRADQVRDRDRSKTASTPAT INGTIDSTTGTAVLEADANISLNGIVSASDTMPTQPDDTESPFTDIPNTVDSASSYSS AASSIFSTSTRHGAAATTASSRLPTSSLTPSAFKESPNSAPAAAKPDMSTYQTSDRAT RQSSRNSLATGPNVSISNGLPSNERIPARDPLPSVKGLRCTYDPLLDRVHNKSVSKSA KPIYKEFGREDDAPPPKDPRLARSDGRLGYINTDYYLPKSRLRTAPENIKPYPYDPKT SIGPGPPTQIVVTRYNPLIPFSKVTAIFASFGEIAESSNKMHPETGSYLGFATIRYRD SKRPDRPPVSGIDAARRAVRARGIKVDADIVRVEYDAEGRRSRRMLEEHLKREKEKFE KIEQERLALAAKAPPTGPKSGTAPAFTRPPPTAPKGPSAQRQSIPTSAPQIPLLGTQV QGLNLEPSNLAQKLTDDPYIYVTGDSVPVLPSILPHMKKRLKSYGFEEIRVDKSGYFI VFRNSFTGSSEAERCFRAVNHTEFFNYDMTMQLCLPRPRREDGSGRRRSSASLDRHTH AEPRYRDEKDRRRREEEADLEEEKKQRAKNFDPVIEAVEVVRREMMEHLIRHIRTKVA APALSDFLDPANHAAKRRKLNIEHPDDLQEIPSIEDGNDSSRVGTPNSRADPIERRTG RLEPKALPRIRKTKVKGQAQKSAFVDPFARKRPPVARNAFRSLHHRLRSLDSDAESDD DTDTRALLARETEEADSRPRSRMSTDDEASKDDFVPWEQGEDDSMTEASFAIADTAST RKRKLVASLESVFKRQKKSDEELFGVNLETLDSEFKGREDSVDIIPEPETGDDVESRV SRSETPASAISKPLKKRPGKGKKTKKASFEEPEASKIQPGTEIQPGDEATEPSKVKQE KAEKTSMEELIPEKFDEKLFATEPLTPALELPDGAKPDLPTFQGLTVSVQDIPDLTRL ARRFNTKDIGNAELWLWTRNRIRELNSARRTLDSPVTIGGYYVPNPTGCARTEGVKKI LNSEKSKYLPHHIKVQKARQEREARNKLGRDAAAEAADAARIAAEKLVAKGNSRANRA TNRRYVADLNDQKKTLGQDSDVFKFNQLKKRKKPVKFARSAIHNWGLYAMENIAKDDM IIEYVGEQVRQQISEIRENRYLKSGIGSSYLFRIDDNTVIDATKKGGIARFINHSCMP NCTAKIIKVEGSKRIVIYALRDIALNEELTYDYKFEREIGSTDRIPCLCGTAACKGFL N FPSE_09408 MSFQFQSPFQQEQFDIFEWHPKFLSCLRYFIDHAQYSPAVQTVA ACVNIHLPFQKGNPLPVTPTRNGPSPSSSSSRASGSSHLHLSAPAASSITLIPYIRRL IVTGFDTPAVLHGFFGDDWIQGIGRIHESERRNYLFAAKSDTWLRVKTQYDMEDGQTV PFLRPLQNVTEDEIQAAESNWSEWLAMQDWMLGPRAPDDPNERFVRIKKERD FPSE_09409 MPAEKSQAGQRSNATSWYQSCTGLTASLAWCQDDLTVSFEQQIM WRPQEVDRQND FPSE_09410 MADILPPLPAEYARGLELIDAAHAQDSRTAEGPDGTTIPYELKY AQKMTKWLARLCPEASPVLQLACRAQHFRRWEIPRSSYPMTRPGYLTWRAKLKAQAAS QVAELLASPEIQPPIPEEARSRIAALIRKENLKADEETQVLEDAACLVFLDDQFDDFE SKSDLDEAKMIGILQKTWGKMGEKGRQLALEMNHSDRAKELIGKALAG FPSE_09411 MVAPLSPQSFHQDIQNIIVMTPPPPSRARDRALRDRALRDKALR DKALRAPVSSSAGDTSSGYESGTFYFPHENTCNKNRTLKCTKPCCKGKGKAKDLRVKI VTSSEVESSENAEADSSENAEAAESVDDATTSRAKSSFNFKKNNRGKGKATQIPSETE TDTQTGEGSTDLETSVRYTNPPAEDPTWSISEDYRLRGLKENGESWKFITETLCKSKS DVRARWKILQNQTIISKPTKPEPVIVEVTTEPGSGETTTEPEAGEAATEEESDRAVAE VASGAETTSDNKTGDQDEESEESSEEDDEDEDDDEDDEEQVEDTEEETDFVPKAKGKA QMLVKNKWHRGPRNRKVAIENKYAKARAKAKVQDNDDSPMESGQVSDDSLEYRLEFID LEKVKQMKYLHEEIYDEMYPADIHPQPNGYFNAQDCALLATIDSKYKRSRWLEMQANF YNVTGRMVPLEAIRDRCERAEAEKEARSDARKIERRIKRVVTWMEKQVDEESSD FPSE_09412 MASSEVDQKFLGKLAKAVENDNPLLANMLFKILGLSLNLAEQLV AAKKQRRPDYEPSPNAIRRVLRIIWLSREGKIMLEQYVLPMVGNYVELKVLAYKLRAS FYHIFALFGNLPSVSHLGRQTPDVTAALASRMDKGKGRAADLEADSRPSSVQPTHPLE GGPVLPPPGFENIPLSPNFLIPAKDYLPEAKQHFQEAIQLADSMLWGSHSLRLSVKTE YAAFLYECAHDAEGSRKVAKDTIAEVYEATEGMDDDMFGDACELVTVLGKMMKRGLGT SGAMRKAPAMNQNLVPRAVPPPGMENPI FPSE_09413 MIWYFLYPLRGTTEAPILAPTHPVRRALYRYGSYAASHVVATLL VSVVVATILIYPIPYLFTSDYVNGASNLPHHAWTVAQPLPYGATIRPDVMMRSIWVHG SYMQALKQDLLVSALELQNELLGSTENFSPIRARNVARSLPEPGTDLSTTQRDAFHAI NGLNNQSWFFHSPLQYWDCTKENILADPAILATVNDKKTQSTSVNVTLRHSIVFSGKR FEERRLVAADALVITLIYLRDSPVGKQWERKAALLPDEMSSKWDIYPPDGRSTASQLY EFQFRPISSQDTAILAVAYGLTAIYFLLSLAKLRAFKSKLGLIITVISQIAFSVMSSF TVCAIFRLDLSRIPRAAYPLVVLSMSLENIFRLINAVIIAPAEDSTSNRIGQAFGETA HTAIASSLQNVFLLLLLSRWVSPGVSAFCMFVAVAIGFDLFYLSTFFLSVLSVDVRRT ELSDALAKASIRRYRGESDFKPRGAWERVLQGKATLSTRIAGTIIMLGFILIAQWHFF EAAAIFNFFKHLYQGHGALENTLSPQTSLDAIHQARSPKSWLRLQDHETAQEIISIIK PTAHSYVARVYEPVVFVMKGSDRMPHYKEPSLLPALYDFINHQLTRFVVIILVVIAGL RLLTSFLLWEDEADDDDRHSDTDPTLDVKSFHGGHSLDIAMLTAAPDGRIVSVGLDRA IRVWDAKSASLHYTIAKGEESEDAPFPVLAMAIDDSSKWLAILSPYKIALWDLKEDTW GPSLSVNLQGQKTEAFFFGSSDPKRNIPRLVLVRRNGTLTEYIPDAGEGADFGICRSP LTCVRPLIGKRKDCLQLKPGRDTDPMTAIGSKQASPLVAIVTASRRGCVHAATKETLS WNSRGVELEGIEHDGVHQVVPLASLGLFLIAGVTRVHLVNMEDYSLVHTFTTERMASR SMQSAFFSRSSSQLGADGLVWFTLCYTSAETGDCVVQTFAPLDDHDMIYYRNSATPPT SGWCAWESVKETKRHIQNPGSWSVLSDGGVVGIRQKSNRALDMQTNGRRKTEGLRHRS PRKEPGRDLFGRWEIWSAPQSGLLRTDETRPLFQANERAGHLIVTELGPVVRVGQRSI AFSFGNVVKLVTVGGHERFDSSATDNSSEHLNVGSRRRKPGALVRPRAWS FPSE_09414 MSGTKPENTSEGGTFSADKAIENLISTFNELNSNAIDEFQDEPS PLEFMRYVARNTPFVVRGGASSWKACREWNSAYLLSALKGQYVNVAVTPHGNADMPTV PPGEESLVFAKPHYEDQPFEELLEYVARQETDPDFPADAEVRYAQTQNDNLREEYISL FSDVQKDVPFARIALAKDPDAVNLWIGNSKSVTAMHKDNYENIYVQVLGRKHFVLLPS LCHPCVNEQPLKPATYKRGENGMKLEMDSDAETVPFAIWDPDRPEQNATKFSHLARPL RVTLNPGDMLYLPAMWYHKVLQSCAEEDEGFVLAVNYW FPSE_09415 MAVTKEKAKGRLDKWYRLAKEKGYRARAAFKLIQLNKKYGFLEK SRVLLDLCAAPGSWLQVAAEVMPQGSLIVGCDLSPIKPIPRVTSFQSDITSEDCRATL KRLLKHALCDTVCHDGAPNVGTAWTQDAFDQNALVLQSLKLATEFLRPDGTFVTKVFR SKDYNSLLWVFKQLFNKVEATKPSSSRNVSSEIFVVCRGYKAPKKMDPRFLDPTYVFA ELAGPTPNNEAKVYNPEVKKRKRDGYDEENFLQFKEMPASEFIQTTDPIAVLGSYNKL SFQQPRNGDVALAALDKLPETTEEIRNSCSDLRVLGRKDFKLLLKWRLKVREIFGFET KKALNTAETEEVAEVESMDEELKIQQELEDMKDKENSKRRREKRRENERKQREIVRMQ LNMTAPMDIGMEEAGPIGEGAIFSLKKVDKTDAMRRLNRGKMIVPSQAPQKQFDSGLG SSGETDDESDPEEDRLERELDSMYDHYKERKSEIDAKYRAKKARKEHGDDEWEGLSGE EADEKNDSSDFEEDDSSDDDDEDAAPTQGLIRDLDSSKGANGLSKRATNFFNQDVFQG ITGIVPEEEEEESAEDSADEEINRDAAAVVAQQSKARTVEKPAAKPAEINETTVDSDS DMEGNENGFEVVKRTEEDDWDKDQRRADGRLDIDIITAEAMTLAHQLATGQKTTHDAI DDGFNKYAFRDRDGLPDWFVEDETRHDRLQKPITKAAAQAIKEKMRAFNARPIKKVRE AKARKKFKAAQQLEKLKKKSDMLNNDENMTEKEKAESIGRLMARAQKKKPVKQAAKLV VARGLNRGIKGRPKGVKGRYRIVDPRMKKELRAQKRISKKKK FPSE_09416 MSRLLTAGLRPLPRRATVLPVRNLHLHRQSTGGLLQADAAIRAT RKRMWQGGNNAFHNAVTTRNASFARFLPKLMVKFLRVPAMFGGVAIGAFAWVQYQAAQ AGTFAVNLFNTTTDTVSGAASSIFGGAKDIADQVNRGWESTKEKAELPDWVKQILKLQ EDIGTGGSGGPGGGEPKQSKVGAAAAVGATAAAYGYDQSDDEDPRNVEQVVKDDQMML LTKKMIEIRTILQKVGQSSTLTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTR RPIELTLVNTPSAKEEYGEFPDLGLKHITDFSSIQRTLTELNLAVPDSQCVSDDPIHL TVYSPNVPDLSLIDLPGYIQVVGQNQPLELKQKISELCDKYIQPPNVILAISAADVDL ANSTALRASRRVDPRGERTIGVVTKMDLVDPVRGANILNDQQYPLRLGYVGVISKAPQ NQGLFKIGNTNRLAQINKQEKTFFDAHPREFGPGAEVSVGTKTLRKKLMHVLEQTMSG SLQSTSDAIRQELEEATYEFKVQYNDRPLSAESYLAESLDAFKHSFKQFAEEFGRPQM QELLKLELDQKVLDLLAARYWNKPIEDLSPINPDLDNLADLPKAPADSLYWNRQLDAS ASALTKLGVGRLATTAVASSIQAHIDSLISNSSFASHPFARQAILESAETILRERFYS TSDQVENCIKPYKFEIELEDREWTKGRDHVGGVLKKELQDCERALKGLEDSVGGRRKI KDVMNYIDKARKGEVVVEGDNRSGAGGFSAALLSKGREAVFLRDRADIIKMRLLAVKS KQCADPNNKYYCPEVFLDAAATKMASTAVLFLNVELLSEFYYSFPRELDHRLGRHLSD EEIEKFAKEDPKIRRHLEVIRRKELLELVLEKMESLRQLDGRERERTNPNARRDRKQG GRWGLF FPSE_09417 MSDYPGACPPQKLRPPSLVFQGDSGDDFDITNYETFPDGSADRD DDPFAINLQLNRHAVAQNSAAANLLVPSTTTTSSSLALPTMNAEDETADSPLSPGFKN PFNFQTQVISAGPVKSNIGQRRGHRYKHSSISSQHQIFKEPPQRPPPVLPASLPIPTV REAWKSMTKDQRIHCYWSMCHLTVATYIFFRSEGSLATTALSHLVFFDAGSAAVCVMV DVLGNFEVWRRSSIRHPFGLERAEVLAGFAMSVFLLFGGFDLVSHTLKHFLESLGNHE AHHEHGHDSPDGSIDLVSAAAMVSTLVSAYGLRNHARIAKLLRVSYLAALPSVLSNPF HFLTLSFSAVIALLPLLSISLYTWLDRLICAVIAFAMFALGMRLAVAQGLMLLMSYGG SDGNNGVSAVLREIETEPSVVTIDDAQFWQVHYGLCMANIKLSVVKGYDEMSISKLRQ RISGLIQNRLGEGYGHGGSIRWEVTLQMSTDGST FPSE_09418 MEGASQDRRGTSPTPSPSHRRNSSNSSILSKFPFLRTSPEKRRE QTENAIDDDAPATTPRPAARPSASVIQYQRTRRRRGSLRKAALLGRGAQRERRESRPL VIDTSHAAAYGLDPTAVQTQSPSPIESLDLNATPGSARRTHADGFTPLPGGLGTVPLL PTKGASLQTTARSTALADQDNASYTSTDDEDMLQIPGSSSTIRQSLSISPGPDSYFNS VKSASSQRRRLTNRAKSPLSFSGLSSNTLPAHEDWDYADTEWWGWVVLCVTWFVFVVG MGSCLDLWTWAWDVGKTPYAPPEFEDDDTLPIVGYYPALIILTGVMAWVWVVVAWVGM KYFRHAKISGD FPSE_09419 MREVNFSIPNVNKASVGITTALYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKQGRSKDMMGMWKWNLAFQCVVNIGVRGTENV RTRVVEADMVPVIATILDNYTKAVDKCREKAEEAKQKQNYDNHRHRGHVYTQNPKPSA SNSASANASASASSSAAVGTSAFGHRSGPRVDATEQRSRRHGPPPSIDVSASYAGPST AAPQTHPQQQSLDTAMGGSQWPQSATERSTSWGSARHQPLRVRGLEDRHATTSAPRQT MQPLATAVPATDAVEGFVRPVRDIDRLASMAPFGSADLVSQPTSPTTPLPPPQMRSPT VRPASALGPSGRSRRRPSIRHQNSTADADDINGDSMPSDESPEAEMTGTDNLQSAVGI QDITMEDGDTMLGGTALDLATPTVSETHQEASTFNINHRSPLDGSLTNNNAPTPVPAI GLSPNRPAMATPPQPPLAATTVPRYLLDRNFVPNPQMVAAMPREEDVLMSLQLLAYVS KYCGLRSYFQKSHLVPRLKIGKELAAIDKDITAMDEEEDVPEVYDEDADDEEYLLTND FNLFPLVEKFTVRYHSTDMQYWAGVVMRNLCRKDDTRGGIRQCAYYQCGKWEEYTRQF AKCRRCRRTKYCSKECQKSAWAFHRHWCVAATQ FPSE_09420 MVTKSKLKMALAAEKGTDFKKLKLLKKQKEAEKRNAAARGADDE DSDEEKEAKTIQIEADFEDEDNEEEDEDDEEDEEPQYDLQGINDSDDSDSSIELEEKI IRKPKRDTLKKTELAQLAAEKAAAAAAEEDDEEEDPEADDIPMSDLEDLDDEDKEDII PHQRLTINNTTALLVALNRISVPTDKSVPFATHQSLVSSSATAESIPDVQDDLQRELA FYTQSLEATRTARKLLRQEGVPFSRPKDYFAEMIKEDAHMEKVKAKLVEEASNKKAAQ EARKMRDLKKFGKQVQVAKMQERHKEKRETLDKIKNLKRKRSETGGAGLDTKEADIFD VGVEKEMKSHNPRSGAGRGAGAGAGGNHKRAKKNEKYGFGGKKRHGKSGDAMSSGDLS GFDAKKMKAGGRVAKPRPGKARRKTMGSR FPSE_09421 MPSTKPTSSQKPGYPLSCTVMAKPTAADQKPGYPLSCSVMKQPA QKPGYPLSCTVM FPSE_09422 MANEGRIATLDSTIADRLPAFSKTLFDGKAAKDLSFEAIAKHLG RSEVAVAALFYGQATASSEDVEKLSEILDLPKEALAAQLTGFPNRGQAGPMPPTEPLI YRLYEIVQNYGYAYKAILNEKFGDGIMSAICFSTTVEKEVDEAGSPWVVITLKGKWLP FSRF FPSE_09423 MPSSKTVPLNGASKGTMRSAAKTNPYIFAFGVVGAAISSMVVMF AFIITGTSFIWPHMSELRTSNHKVNSSPGLNSILPAPFHSHNDYWRLMPFHSAVEAGC IGIEADVWAVQGELYVGHDLGGLSTDRTLSSMYIQPLVELLQSQNLDTNPNLPPRGVY GRRPDQTVVLLVDLKSNPNTSWPLLLERLEPLRQKGWLSHAQNGKFISRPITVVGTGE TELHLVNEATPFRDVFLDAPLDQLNEGLYNDLNSYYTSVSFEKSIGKVGSKGLKPDQL TKLRDQVSQAHSRGLKVRYWGMPYWPLHVRNQLREVLIDEGVDVLNADDLWEAREIFS KRGYMIE FPSE_09424 MPHKHKRKRGDDESEFNLPPTQKARPLQVGKKNATTKPGAGKNS KTAKDAPADAKVKKSKKARKENDAPRAFRRLMSVAQGRKVRSGLDDGEDAKTTKQKSE ELKIRPGEDLRAFAQRVDASLPVAGLTKKTAIKDGKDEQGFKVYRTRKERNMHKLYAQ WREEERKIQDQKDEEADEAIGQELDEDPTGAAAIARATLNEATGKKVRRKGAKVDDPW EELRKKRAEAKIAVHDQAQAPPELNKNMSKQIKIKGATANVADIPKAAGSLKRREELQ EARADVLEAYRKIREHEQAKLLRAGK FPSE_09425 MASELEGLIASLLVHISCYGEEGCLVQDVLKAIQHPSARTEALP KCNSAVQDRTSAIIWSWLAARRDISVGTDREYNHLSLDEVLALSASGGAPEQDAETSG SQPENSTSSRNVRVRASEDTMWESLTGHAVDYKRVPKSEWMLLLGIASTTTEGILQGD LGRLTDQDKRSVPKRTDSLLKKGYIVKRTTLVRGTKTSKMWLKLFAPPLPKDSEGTDE ARPDMTLTRQVLVEDLAPVPWHTRWTGESIDYIALATTIMAIVKEWGVLQMKDMKSKL GVLGMRWQMKVLAKVCRFLNQRGVIQYVAAKLGEKVFKDCVKYVRDLNSEDWLLYLST GKRKSKTPRNPDLDGLDGIKQLIGQASHVPEISKAPPWTLDKPVPVIIAEMAKQLGDT GLTNPDVYALTLGPSYSRHLSSMTTALSVPDLQPPHLAHFQIYSEHTRSGKVASYRYF APNDLPDAYPVTTNTTGQPLSIAESYGFSPIQSTALSSEASPTLAELCNMGMARRTTK GRPKKFKAKKEKPLPTQKKKAGRKRRDETSEALTQLDATEKAVAPQEVAVSQEIVVTQ EAVAPQEAIATQTQETTAKESEIPSQEPPEHVEAAQKENDGTEQQDGAPEPEKANHLM VTLKVSSDALKEPIETPQAVLATPVRPTRTRPTRSSAKKPETGGTETTVENEESQTQE SISSESKEECSNEQLVNGRKRGRPKKGEFRKKRHEDQPEGNPTPSKSWVCEKCGKAWK NDNGLLYHLTKSRTACNPSFEEPAPTPARRGRKRAASEIEVEEEASATPIADKPQDQD DEAVTEDNIEPTTEEVNDQEKSPAKPLEKKQQDKEPSPVREDVPTPKRVSRLRGPVAA RPTWSSRPTVSFKVHTNAGLHRPPVTMFDSPRQSSLLNGTNGALVQGEISQPRPVLGS LNRFNFPGGSPKTHVHKSERNGSQLAATPHSERPKSLQPGTNETPCKPGSVAKAKAKT PTTIGTPLSKAVMISRISQIVQGILEEQQGVFPGGKPLWTAITLRWAESHSEVAPQIR TYQAALREMLKNKSVAEHWLTFRSRKGVTEKCHIIVRPGIDPFSPEADKVVQKIKDVH PDPYLPPPFDAQVDSDLLKRGRRDLPEEVEMLDAPVYVARAAQKRAHQDEYDDDGDDF PPPQKRGRKRKSMFAEGRASKSRAYQNEMGEPMRYEHFESSQGATEALQFLDPNTFLD EDDVEGSDHYFSNRFDTAWQQGFSAQVSGKIVFDKPILVSGYDGIWPYIGPGDFEMQG ASYTLKGWMPDGNWFAWSSMIEMIDRKAHSLNRQSHQRHGILSPYQSFVARTYICSDL ERAWSDSFIQAPPGAAGPHNIFVSFFSEAGGETIETPALSWPSEWQLTPKSFPESVPD RALLVDSPSEEEEDEDDDSFEWPSFPAFLQKPGYRLSRAGRRGRGGRPRGSGSRLRAS ARQIPQQPRVKRVRLITRALMPLPENTDQPQSQADPTPLIRGEEAERLLAALVAVRVL LGGADKSIDWGLLLKLFPDLSVKNMRRFWVDARRDQGAYIRKLTKDFQDRFIIAYSKN ELPEIDFEEPMDYDWYSLIDWTLELPRRRGIDLPATRAALQNDFTFQDVTPHDEEDPR EKFYNPQTSVFARFEAVATPSVVAVEMMLSGPVDEHIEISESDIARSWVRSLCCTDES RYTVEQIKQKFSTLAGGDQEKINSLLKEAIDTLTQQRIICKSKRLPLSGRPFRLNEAF GHVLGKLAQRTKYKDAADFKTQLDAIFRRGEAYRIPFTLNDGSVMALINLNASERIKL VPVNVPHIPLGFKPGQYEMRKLKKSLYSHDLEAVPTDLYKYDEEIDVLRRSVFEGPPA AGKGKTSVLPQWVDFFGRREAERWMDVLGAFCFVYANRGLLTIEGVCNALKPVLEEFE AKLIMDWGVKTGVLKHSEEGLGLMVGEWWWLAVPWQWGRQFKPTGYNEGMD FPSE_09426 MYGNVRVRKRGLTASYTRPPNRLSPYETGLQTSLPLLEDWGHID PHKQNTLNDVLDRLRSAHTEEEDPMDCPISGDCVTNCHANGSLKNHVEHNHRVNAHKC SHCGLVLRSVGSLLHHIKVTHDEADHRHPSPEQDCDKSYNTQNLKYHLDSAHKAALRK HVETGHSNQFPCADEKCTKLFETQQKADDQNLDCRFCGQNFSGPRLETPLKCGSDEDF CAAYEDSATHENDKNQNQNQNQNQNQNQNQNQNQNQNQNQNQNQNQSDGKDDKGESKF LLTTKFLQSQGLTTSSRLTHLYLSPLITPSYILLQFLLSKMVDISALLHSSSSLAHPV SSLNTFRPRMNQLSKITVTVQRLYPDFQFRVEHIAVILLADLKHFEKGYALSEEVDPG TLKGHLEDVSPLCKHYMHHVDPGNLRTETWKHAEDKSPTPQPPLTRKRIREKSWKVIE QSIYYDSRCETLPNLPVVRRSLAEERICFKRDGRACVLTGKKSDLTLFWFIPHTWNNS VGRNNATGNLLEMSVILADVDLFDDIFSATELGKTHKAWNMICVDRTLYRFLSTGWCA FKFQCAILGHDGKFEVLLRFYWMPQLTARFNKPVNAFDMENIIKEFKAFVQSDCPPPP HYPKESPVPESGQLIRLVMTQEEATKIESAVKLHWACVVYTALCGGAGRSQSLTGMDQ SDGSLVPRDKEFEQEKKQLELDHRVAEMGWKKAYRETRSDESASSM FPSE_09427 MATPKFSSKSPTIRRILREAAEISNSPSADYTAEPLESDLFEWH FTLRGPPNSVYSNGIYHGRIVLPPTYPLRPPSFRFMTPSGRFEANREICLSISGHHEE TWQPAWGVRTALVALRSFMETDARGQLGGLETTDAVRQRLAKESSTFKCPACGKTNSE IIQECEERAKEASSSAQEVEVPKELNMGWRDEMGAKKEGENKTEQTNDDAETAQLAEG FVQTVPDAGPAGDNTPAPQPQIDNRNPTPTRTIPLPAPAPAVQAPVHAPMAVQGQQAR RASDDGVPLWLDRAIVVLVVLLVALVLKIIFAV FPSE_09428 MAGHGHHSFNGPPKPPVAHVPRIYRFTATALGAGMWFFLMYRAK KDGAVLLGLKHPWDH FPSE_09429 MDEDGRRSPDTGQDHGHHDRRGHRGSNSNPNGNGNARRSLYRMS SNQSRSSIFEDVEMAHEELFSGPVAESLPTSVSAFSHRRPRADSTASFTYFDDENQGF PDDDDAESVAVQSIAGDYIRRSVSDIGDLEFGVDDTEEFDESPERDYRISEDDYVLRR HSSVYSRHSVNAHLLRRDSTATHGSFRRHGRTSQKVYLANEDLTIVIAGFKTSKIGSA IYTSLCILTCGLAYLLFRWLPRWYVGLLGQATALGDCEWVVIENQWGELITMPVRSEL YGRPLSSIFGLPEKLYSDALDEDVDPIVDDLRTLDYRYIRLCFHPLKDKFMLFSGWKD PNWTDVGLTRVGLDTDEKGVREVVFGSNLIDIEQKSTGQLLVDEVLHPFYVFQIASLF LWSMDSYYYYAVAIFLMSVGSIAATLIETRSTMKRLREISRFECDVRVLRNGFWRFIS SSDLVPGDVYELSDPSLTQLPSDSLLLTGDCIVNESMLTGESVPVSKLPATDETLRTM DLAASSVTPETARHFLFCGTKIIRARRPQEDQGDDAVALALVVRTGFNTTKGSLVRSM LFPKPSGFKFYRDSFRYISVMAIVAAIGFLASLVNFVRLGLAWHLIIVRALDLITIVV PPALPATLTIGTNFALNRLKKKQIFCISPQRVNVGGKIDIMCFDKTGTLTEEGLDVLG VRVVDRDSNKFGEIVTEPQMLLAEATRQNAQDTYRAALHTMATCHSLRSVDDELVGDP LDLKMFEFTRWTYEEGKQNTSEEDEEQGGLAPSIARPPNGTIELGVQKTFEFVSNLRR ASVIVRPFNQKSGDIFVKGAPEAMKEICRPESFPEDYDELLSWYTHKGYRVIGVASRH LKKLSWVKAQKMTRAEVESDLDFVGFIVFENKLKPTTAAVLEELLASNIGAVMVTGDN ILTAISVARECGLMDRKAHCFVPRFIEGDFRDAEARIEWESIDNSLYHLNERTLLPLP PPPEADASLPFDIANLRNYTLAVSGDVFRWMVDYGSPQALQRMLINGKVFARMSPDEK HELVEKLQSIDYTCGFCGDGANDCGALKAADVGISLSEAEASVAAPFTSRVFDIRCVL EVIKEGRAALTTSFSCFKYMSLYSAIQFTSVTFLYARASNLGDFQFLFIDLALILPIA IFMGWAGPAPRLCRKRPTADLVSRKVLTPLLGFMLICIGFQAVTYITVKEQSWYIPPV VHKDEPSIENSQNTALFLFSCFEYILSGIILNAGRPFRQKTTQNWPFAATIFIALLIT VYMILTPAKWVTDLMQLTEMSWDYELFLVALGAAYFIVAWAFEHFLALKLARLIGSIQ QSVTGKGKKRKEYKVIAEASRI FPSE_09430 MAEPIRGKRAQDAVAPTPQNTPATAAPISSHAQQPGVASIKEED LDRAAAASVFAQNPKLIQMIQGRLGSLVGQSSGYIESLPAPVRRRVAGLKGIQKDHSK LEAEFQEEVLQLEKKYFAKFSPLYEKRSAIINGKSEPTEDEIKAGEEDDEEVDEDDAA TKSETKSDVPDNVSGIPEFWLSAMKNQISLAEMITDRDEAALKHLIDIRMEYLDKPGF RLIFEFAPNDFFSNTTITKTYYYQNESGYGGDFIYDHAEGDKIDWSPGKDLTVRVESK KQRNKNTKQTRIVKKTVPTESFFNFFSPPKAPTDDDDEDAESDIEERLELDYQLGEDI KEKLIPRAIDWFTGEALAFEEISDDELDGADFDDDDDEDEDDLSEENDDEEESDDDDE SGKPKQEAAECKQS FPSE_09431 MASISVCTGGRSLGFCVIPFLAVPLAASLKSICGMVGAYAARQR DAAELSGGWMDSTLNLDIAGLLHLVVETIGFVYMRHVISARTWLLNFESNGGYVDIAE FPSE_09432 MAPAPQNIAGGLATGSHREVSHSWDQNAATSPLASFQSPPSLSA AIPNRGAPKNLKPFNTKDIKILLLENVNVTGQEILKAQGYQVEALKTSLPEDQLIEKI RDVQVIGIRSKTKLTEKVLREATNLLVIGCFCIGTNQVDLEFAAKNGIAVFNSPFANS RSVAELVIAEIITLARQLGDRSNEMHRGTWNKVSSKCWEIRGKTLGIVGYGHIGSQLS VLAEAMGMNVIYYDVVTLMALGTASQVPTLEKLLGEADFVTLHVPDLPETRNMISTAE FEQMKTGSYLINASRGSVVDIAALIKASRSGKVAGAALDVFPSEPAANGDYFTNDLNV WGEDLRSLKNLILTPHIGGSTEEAQRAIGIEVSEALVRYINQGITLGSVNIPEVQLRS LTSDEPDTARVIFIHRNVPGVLRKVNEILGDHNVDKQISDSRGDNAYLMADISNIKYE QIKDIYDSLEGLSARVMTRILY FPSE_09433 MASAYEVGTRAWQPDAAEGWVASELVNKTVDGSKVKLTFQLENG ETKNIEVSAEALQSGSDPSLPPLMNPTMLEASDDLTNLSHLNEPAVLQAIRLRYLQKE IYTYSGIVLIATNPFARVDSLYVPGMVQVYAGRQRATQAPHLFAIAEEAFIDMIRDKK NQTVVVSGESGAGKTVSAKYIMRYFATRESPDNPGGRSKRGSESMSETEEQILATNPI MEAFGNAKTTRNDNSSRFGKYIEIMFDEETNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLIAGASDQQREELGLLPIEEFEYLNQGNCPTIDGVDDKAEFEATQKSLTTIGVT NEQQADIFKLLAGLLHLGNVKITASRNDSVLAPNEPSLEKACDILGVKAEEFSRWIVK KQLVTRGEKITSNLSQAQAIVVRDSVAKFIYSSMFDWLVDIINTSLASEDVLSRVTSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYLREQIDWTFIDFS DNQPCIDLIEGKLGILSLLDEESRLPMGSDEQFVTKLHHNFTPDKSKFYKKPRFGKSA FTVCHYAIDVTYESEGFIEKNRDTVPDEHMAVLRASSNEFLKTVLDAATAVREKDAAS SSSNAVKPAAGRKIGVAVNRKPTLGGIFRSSLIELMSTINNTDVHYIRCIKPNEAKEA WKFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLVRSDGWTSEIREMA DAILKKALGTSTGKGLDKYQLGLTKIFFRAGMLAFLENLRTTRLNDCAIMIQKNLRAK YYRQRYLEAREAIILTQSAIRSWKARKSANELRTVKAAITIQRVWRGSKQRKSYLQFR KDMVLFESIAKGYLRRKTILEERLGNAALKIQRSWRSRRQLKSWRQYRKKVVLIQSLW RGRKARKEYKTIREEARDLKQISYKLENKVVELTQSLGSMKERNKGLASQVENYEGQI KSWKKRHNDLEARTKELQTEANQAGIAVARLQAMEDEMKKLQVAFDESTANIKRMQEE ERELRESLRLTNTELETAKRSSTQHEKDNMSLRQELESLRDALELARRNAPINGELAN GTTPGATVPSGLINLVASKKPKRRSAGAEPRELDRFSGTYNPRPVSMAVTGTAHRQNL SGSTFLPGVDNIEMELETLLADEDGLNEEVTMGLIRNLKIPSPSTTPPPSDKEVLFPS YLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDEAVNPGAFWLSNVHEMLS FVFLAEDWYEAQKSDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLQKMIIPAII ESQSLPGFVTNESSRFLGKLLQSNSTPAYSMDNLLSLLNSVFRAMKAYYLEDSIITQT ITELLKLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLEHLM QATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAVASR VTEKSDVLLLQAVDMDDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSAQAIA QQEKLDYTDGEEYENGHQHELEGVDEVEVEQ FPSE_09434 MAERKSPNIIITGTPGVGKTTHCESLAERTGLRHLSVNQVVKDK ECHEGYSDEFHSWIVDEDKLLDAIEDDVKAGGCIIDWHACDLFPESWIDLVVVLRVDS STLYDRLKARNYPESKLQENLDSEIMEVLLQEAHEAFDQQIVIELTSNNSDEMDTNVD RIVAWLDQWKKDNSE FPSE_09435 MAVHKVNFITGNANKLTEVKAILEPEIEVLSQPIDLEEMQGTLE EVTESKCRRAAELVKGPVLVEDTALCYNALKGLPGVYIKWFMTSIGHEGLNNLLAAYT DKSAEAVCTFGYCAGPGEKVIIFQGRCPGKIVPARGPPAFGWDAVFEYEGQTFAEMVK TEKNKISHRGRALAKLQAWFKDQQ FPSE_09436 MPVPDPCPFCGFEPEQGENETILQHIDKHHPDGGQPASTDNEAP LVCPEEGCGEILQPDELAFHLELHELEAQGATPEPGPEPAADQTPSVPRKPATPTRGN SRKNKKASTIQAWKDLFIGNSRSSQTQDSSNSSSSRTRHKTKREDAGVSKSPDRGSSS RTTNGERRRRSGQLGRSELGRFAHEKKMPPWLITLLQDEGQVVNNDVLPVLSQLLEQS PTTQYAYLCQPAVQHVSKLKQEGGFCGYRNIQMLTSYIISAQSEGSKHFGSSFPSIFQ IQDLIENAWDNGFNAQGRAETGGVKGSRKYIGTPEAQAVFLNLNIPCSVQAFKDPERG KSKQRLFEAIERYFQGGVTSVEDRIHRTDLPPIYLQHPGHSLTIVGFEKQMDGHTNLL VFDPSFHDSTKIRNLVGKTVRLKPSSIDGLVKPYRRGSHYFRKHNQYEVL FPSE_09437 MPSPKQRKVAIVGSRSVGKSSLAVRFVDGHFVDSYYPTIENTFS KMIKYKGQDYSTEIVDTAGQDEYSILNSKHFIGIHGYMLVYSVSSLPSFEMVQVIREK ILNHLGTDSVPICIVGNKSDLRPEQRQVTPEDGQKLSEKIQCGWTEASARYNENVGKA FELLIGQIEKSQNPGEAPAKSNCILM FPSE_09438 MASRNGTAAPMPRKIVTYGKPLRKRFMRDAIPLRTADTFSTPTA TESATKPSKPSLSRSASDPSTRSPADNRSDDPTRTRTGAERQTEARDATDTRKRKRPQ AALSEMISEEPTSIIQKQSNPTTTLPRRPLGGTSKNDGKPAAAPRRSPITKKSPKDKI ARSRPLSRSKSDASTIEVSSKPAPPAFRDRDDEPPRPKKRRPRLIDALAAQRAETDSE DSDQEKEGVRTLSRSNSGTGTEAETTSQQSQSQSQSQSQSQSQSQSQNSQNHSQDSTS WQRNRPTVALKGRRVKYTYGQSRSILSESSSKLSEPSQGITMPTREEELDALLATPPP INPDPFAMDDDDTDDDDIRPAIKSVHELRRAGANNRFADEMEDLLARIGTPSSTPSSL RRSALLELSQKLQRKEFISQFRDHATRDKVAANIGQEKDVISGFALSAVLVTFLNFNP TAHLLQQLINHGLGEMLSVLLRVPEDIDEIAAGKMRLSKTTRNSLNEVKNSLAKLDIW HGRRPEELSPQTLALQLLNIVCQQIDATHSSVVVKDVENDLEIVIGNCVSANPRTDPT FALVVSILESQSGLAMNDENEIPWFAQQRHYVARILENSLQQWPEKPGDVETATLKLS INMTNTKHGAAAFSDRLLLSSLSKAIISVFRSAIDTMSNQRLKEETYDVLLLVLGMGI NILERCEPARKNMAGGELDGLVAVYLQNQALVQKAASEENLKPSVAKLGVAFGYLSIL LGYLSLVDTARQRFVEQAGGEGLAGLIGSIKEFIDMHREVDNRVTELERLVNDLQRRQ RH FPSE_09439 MADKTQDSHTTPVGPAYRTHQQKPSATVSVDVNLDNVHVLPQTP QLIALLSMIRSKETERADFIFYSNRIIRLLVEEGLNHLPVIEHTVTTPIGRTYNGLMF QGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETAQPKLFYDKLPEDIADRW VLLLDPMFATGGSATMAVQVLKARGVPEEHILFLNLIASPEGVKNFSAKFPRLRVVTA FIDEGLDEKNYIVPGLGDFGDRFYTI FPSE_09440 MGKRKSSSKPMGPKKKDPLPTTFACLFCNHENSVSVKLDKKAGV GQLDCRVCGQKFQCAVNYLSAAVDVYGEWVDAADAVAKEDTAEPGYGGTSRGGGRGNR TAVEDDYDDQYGDDDY FPSE_09441 MAANDQQSDMLEALQEIQRTQALLVNAVESLSGRSIDEATGDHA KNNSGDLGSGEDANDGETPSVELPIASGDKLKAPAPPSTAQRQQGLTSRIILTGGSYS IYYALAVASKELDSDHRPDFTNTEPAANIGPFPQWGDHKKIVAMDPWGHLAPWLFKDT IEKDNVDIRPTIAITKAHMKLPELAESVKAGRLVPDGKVCLNDQGELAVTKFAVEPVW YLPGVAERFGIDEATLRRSLFEHTGGSYPELITRGDIKVFLPPIGGLTVYCFGDPAKM SDESAKLSLRIHDECNGSDVFGSDICTCRPYLIFGIEEAVKEAQNGGSGVVIYFRKEG RALGEVTKVMVYNARKRGADRASDYFKRTENIAGVKDMRFQALMPDILHWLGIKKIDR MLSMSNMKHDAIVGQGIPIHERVELPEELIPADSRVEIDAKITAGYFTTGKRMTTEEL QAVQGRIWEE FPSE_09442 MSNRSRPRLLRSSATEPSITVSNATGNSFAGPESPHRATDLLNR VAVYSPSPEQASTPAAIPRHNRPMSICRDHHEHSPSSSPGSQSAVMSDSPSGYYFSFP SFEEWNQDDITKDNDD FPSE_09443 MAPSLLLLPSPPHPSSPSTLSAAYNAPLTSALQKLKSASSPQTL VVGLALPILTGPSANSKQIAWSTAQSLLASLYTLTSVICAKEDIPVDVGAGKGSVDVR VVLIDHEKGRRYEPNFEGQFEANCTAVLDLAAFATKVRSWDMVYHPSCEEGYELLSTF LQLAEKKQTFTQNQLVAIEGGISLTTEEKLNTEELQRGFNTVCLGGTFDHLHPGHKLL LHTSAILLNIPPKDSDKTCTLVVGISSDELLAKKKYAEELQPWTERTQAVLSFLSTLL EYDTTATSPPTQSTPDETIATLRDGRVKVRCIVLRDPFGPPIHEEDADAIVVSGETRS GGKAINDRRTEKGWKPLEVFEIDVLDAEEVEGEGVSKTEDFASKISSTAIRQKRAEEK RLS FPSE_09444 MAVGKNKRLSKGKKGLKKKTVDPFSRKDWYSIKAPNPFNVRDVG KTLVNRTTGLKNANDALKGRILEVSLADLQKDEDHSFRKVRLRVDEVQGKNCLTAFHG LDFTSDKLRSLVRKWQTLIEANVTVKTTDDYLIRLFAIGFTKRRGNQVKKTTYAASSQ IRAIRRKMTDIIQREASSCTLTQLTSKLIPEVIGREIEKSTQGIYPLQNVHIRKVKLL KAPKFDLGALMALHGESGTDDQGQKVEREFKERVLEEV FPSE_09445 MNMTNLTQDYILHDSILELGQGDCIDTPFSTVTSAFYHHAKKYP DSTALRDLTESPKELTYRELSNRAQNLASHLIAQGVCPDSRIPLVAKRGIDMVIGILA ILSCGAQYVPIDGGVVPDETIRRVLEESKGGVVLCLTSTKHRVASNLGHTVVTIDQVA TPSLEESSHIDLASPETGCYVIYTSGTTGKPKGVDITHKNVTNLVCLSPGHLGVKVGT CVGSVLNISFDMAAWEIFVCLCNGGTLVLRGSNWESTLQQIDVLICTPTILSKYHPTQ FPRIKTVATAGEPTTRRLADLWAEHGTYWNCCGPTETTIVNTMHKHTVGKELSIGRPT PNNRVYVLDGEGKPTPMGTVGVMWAGGLGVSRGYIGLEDKTAERYKPDPFSRDGSLIY NTGDLGRWLPDGSIEILGRVDDQVKVKGFRVELDGVTASLVSAPGVSQAAALLIDGEI HGFTTPRNCDVTTTIKHMHQHQPYYAVPTHLHPLDELPSTPNGKVDKNKLKALALAEQ STTTQACENEKVQEARVELKFQPSMSTLATLTDKLDLERGIPDKAMGRPLRGLRRRVF IVYRTLFSLIGLLNLAALICVIALHLDSEWLGIITAINLAVAVLVRQDTVINILYTVF CSMPKSFPLWARVRCAKIYHLGGLHSGAGVCATAWLVISTVRGTICNAGFCKGHATVS LATQVVSWILCGLLCSMVATAWPSFRKQYHNFFERFHRFAGWTSLGLFWARTILAIND SRPQGQDLSLAAVKSPDFWLLIVATLSIASSWFFLRKVPVEAEVLSDHAVRLHFDYTV PVNGSFTRLSRRPLIEWHSFATIPNPEANGHAKGYSLVVSNAGDWTRSCIKNPPSKIW VRGVPTCGVMRIATLFNRVVIIATGSGIGPLLGHIVKQSCPTQLIWSTTRPENTFGKE LVSQVRDAIPDAIIWDTKAQGRPDLVRMGYNVAKNFDAEAVIIIANEKITKKVVYGLE TRGMPAFGAIWDNKKNSPILFLHGLFGSKKNNRAISKALARDLGRYVYALDLRNHGES PHDTRHDYSAMAQDVAEFIEGHGLKDTTLIGHSMGAKTSMALALRSPDLVSDIVAVDN APVDVSLSRDFPKYVRAMKKIQEAGVTRQSEADKILSEVEESLPIRQFLLGNMYLPEG EKVRKFRIPLHTLGKALDNLGDFPYKNPSEIRFEKPALFVRGTQSKYVPDDVLPLIGQ FFPKFRLVDVDAGHWLISEQPEAFRQAVVEFLQKPE FPSE_09446 MKRSHYACDQCRRSKRGCDAPPLLGPASSAGNNRVNGDDSVSSP ASASESDHKSCSYCAKTNKTCTMNWAWSQIQAASILSAVAAAPPPPPPPRQASGSFGE SADARVKRERLGSAQSFDDKSVLSMPRQANTAAPYVFQQSNAPGSRPGFSGLHTVAHP SVMAGPSTSTSTVVQANYSTGYAHPTHHQGRSANHLPLSTYTMQGSRMPNQYNNALAG SQLGLTNSQSPADDAWSRDDATRIKRRRTSSTWAGRRTHSLSPFSVDQTMMTNSNNQF ISTNLLQIYHDVLEHNLSCWLTEVTCPYRAPGYITGGNYAPTEWGSSWSNRIYRRTIN LDHAISTSKLTRLTNSENQASAKALQLAIMAFATQWAQGSRRQRQSFPVDDETPEDIL DDITEEFDRNIQRNIWEQAKRALQDVADIESYRVATAELIFGLTQKPWTHDDESDRTT RNHNPLSTPRSEVDMKSLNAELGDIISRDGLPVFMERAARKMHALKFRFDSGRRGVTG PLTSPKNSDALSMMNPEDRGTVGLLYWLAVMLDTVSSSMSERPVVVADADSQHDDADD DLDATTNGRWNVPLFIQDSLEQPHMYHAVHWPCSYESAAEAVTKSAPVKVLLFRHISY LQNILRRGGRGKKVEETITNTTSLYRYWNMTHGAFFKELLRDYESVPGRLQSWFVCIS AHWNLGALMLADLIEYVDENEIGEADVSHARLSSKMVTRMRESSAKELSELARVSTPS QNLTSLATPQMSHFHHAVNEATILTEPWTILLIRAFVKATMVFLQEAKDHLEYGPTAS TNPSSDFQESMHRAQDCIKGLWILGKKSDMARKSADTLAAAMRKLRL FPSE_09447 MDSEPRQQHFLEGKTIIVAGAGIAGSAFVIALRKLWNPKLKEPT IILYDRDSPDVTAQREGYTVSLAGYDTSGGLLALRKLGLLDEILDNAVAGLNDDGAFK IWGPAWNEYASFRHQPIDGLSSSSVRIARKDLRHVLHNNLRPSDAVQWNSRCLSARRL EDGRVCVQVAKGYNENEVVSEEICDVLIAADGANSKLRTNLRPEDDLEFAGAILRGGI SRFEGPPPTPINKDWGFMLSGTGVSCFFSPVDKNSVVWGAGHLEADQVPRLDLNDEEM VQQVIETGLQLGKELQEPFRTIVHHTDPKTVFAINAHDKKPFHHEEIDTIPVVFIGDS NHAVSPFAGFGANLALCDGWDLAEQLCTGQSVEDSVMAYDDLAVSRAFPILQRSRERL ISGHKSGGMAMRRGERQTKLA FPSE_09448 MASELSSAPVLSTPDDHILEVPAADSIPTSTLSDDALRTTYEIV RTADEIRAGGWKRIGLQFPDFMLVDAPRVVEALSKEISTHDQEDAKPERRIYVLADSS YSACCVDEIAAEHVSADVVVHYGRTCLSPTSHLPAIYVYTTHDLDYEVTINEIKKEFS DTTVKLVIMADLTYQNHVDKVVSLLKEQGYNDAVSTEVTRDPAALIPNRKILSDETHD DEHWKAYSIIHISDPPSALLLALYTRFASLHVLSTPSPALENPTMRTAGLLRRRFAKV LALASAGVIGILVNTLSVANYLSSINTLRERIARADKKSYTIVVGKLNPAKLANFAEI EGWVVVGCWESGLIEDDAGYWRPVITPFELEVALMSEEERVWGGEWWGGIEKLGLNDK PEDATKEPEDAVAEEEVEFDDVVGGVEGEESAPPEFDMRTGRLISSSRPMRLPVRKNP STTAEAEANSDGQPSSTQQNDSLIKRSVGELASINGVASPGAEFLRSGRTWQGLGTDF DNEASTLVEEGRSGVARGYEVGESGRH FPSE_09449 MLFAMFFVFFRVLQIITLIPCMGMLAWFVDGFVKQNALTPDSIL ILFIVSVLALAWAIFTLFSYHRSSTNAHFVAFVDALFFGAFIAAVYYLRYVQNTDCVS IRRSDDWDVSAGNVRVIGPSYDLVNNKPCSMLKACWAFGIMNIIFFAITSVVAFLHGG HMSAYDRTHSSRRSYHSSRHSHRSHSRSGSRHSSRSRPHSRRVYV FPSE_09450 MSARSAYARKKTTQAKIKDPAKHGRAQSVAATSSSGESIYVDTS YPPSSPFTSSPRYPPPMPQYATMPMGNRGEFQGRGNPMTAPDWNRDAYLSHQLTSPTE DWVHGRSRRATMPEEYSTIEKSGLRSIIDKRSDDVRKTIAKTFTFRKKEKEEEPLEDD DDSSRPSVDTLQPRIIPDPTESRGWDIQSTAPTESSRLPSVSSSIPSQYLLSPSPRSQ ISCNIPLAGPPPTTKLPPIPQAPPVSAPQMRRWCGGGKPITKWNKMRKDPELWDPNGD VLIYLGRNGQSLPTMRISSYIIEATNSRYLIYLLNQGSLEGQANPPPSPRAESYEAKL GQDLQAGLLTPPTSGRTSLGDVGGQVLYKMHFPPPQNAKSIDQLRHQITTRNFFALLL NASLVGLSLHEALSDLHVRLDSYMPPNNDNVSQIVRYIYNRDLDDVRNSPLMAVSLLM WAEEPDVRWEEGWRECFTHCVGMYDVVQKSKDFKKISPSTRKLMDRANKEMQDRVQAA EDRLASFRFQDIYMFTPETVSEMKAIGRLKEMFLQHFEGEYGSWPPVSSAQQDNQSAD TAEHERWLSRTLAMKLQKDFGALYDCLVDRDIVWGTLELRSRSTLTLASKTTNRALTS DTDHLPIADMLIEFDKKYCFPHIPHPYPLLPGSIDSSRRNFSKKRTDYIYALEKRIRL AYAESYNKNEMDNGFVTNSLVQAFECFEKADDIGNMSPATARRSRWIIIYGILQSLAS VSVDDERVQYSDKVEYHLSPRLEGVKIPPWKRGPNENIGEGAHETSYCWTEETDRKEE DDEYVDDDEVALDLINDLDLPIQMNTEQAVGGRSTETSGSISTDTDTDLSRGFRPGFK EKRTRRDRQNESPVVTDYLYT FPSE_09451 MSMGIITIVHAVLAVFLIIELGLTAYMVDFTDGWWTNSPASFAF LLFCAVWSILMLLYLALTPLFAPRIYHNMVALGILALTSLFWFAGATAVAAHIGVPHC HGNTRCQATQAAVAFGYFIWAIFTGLTIMEALAFMRGRGHTAHADTKPGHTYGA FPSE_09452 MPVINGVPVAIPPPEGYVVNFKHPQRRHETEAYVASSVGMGLAF FFVLQYLYVKVWILRKLELETACLIIGWIFSIGVQVVALQGFFLGITGVHTWEIPLAK FNTGNQLSFVIPILYAVCTAFSKMALALFYRKLSPERWWRWSVCGVLFLVAGYNLAIM LVILFGCAPFAKSWDHTMLEGTCVNRPAVYICTAGLGILSDLILLIMPLPMVLRSRIP RRQKAGLVVLFAIGSATLVTSVIRLVLLVPIFGALDVSWIISGAIVWVFIEANLLIIC ASLTTLRCFFYRVAPTIIGVRVSSNGIESSKGTRKHPFQTIETFGGKSKSRRGQPDSP TVDPGFDLKTIARAQPVRTEVTVFTPDEERDETIKQLRREKSIKKFGCAAVETQTWNT CKDGSDDEELAIVQTTTVTVVYGPRESYMPPNKVEDMA FPSE_09453 MRFSTPLVAGLVVVSPAAAAPKYGDKELFKTVKPIKQIELGPRP YYLVNDMDEGSLKKKLQSCSEKPQKSSEWSIAHRGGATLQIPEHSLESNLAGARMGAG ILECDVAFTKDRELVCRHSQCDLHYTTNIVTIPELNKKCTQPFKPAKDGKPASAKCCT SDITLKEYKTLCAKMEGFNATATNAADFLEGTPGWRTDLYSTCGTVLSHKEHLQLVKR LGLKHTPELKTPEVKMPFQGDYTQKKYAQQLIDEHKEAGVKPSDVYLQSFLYDDLLYW LEAEPEFARQAMYLDETGDTPETFKTAVANLTRYAQDGVRYIAPPLPYLVQPGKDGKI VPSAYAKKAKELGLKIVTWSLERSPPLKNAATNGDYYYSTIAKIVNNDGDVFKLLDVF REIGVVGVFSDWSATVTYYANCFGIKL FPSE_09454 MHFLKTSFAWLLALLAMATASSSAEPFRCIMYLTGQHDVVPAKE KFEDVSHVIIAFMRSEFFNVDEQPDDYPMFTSVSDVRARVPQHTKVMVAIGGWGDTQG FEEAARTDFSRKRWARQVADMVAATEADGIDVDWEYPGGNRDDYKEIPNSEREWEIEA FVSLLQELRAALGPEKILSAAVPGKEGDLMAFTTDTVPRIMKEVNFLNIMTYDLMNRR DNTTVHHSGVENSQEAIQRYIDRGASPSSVNLGLGYYVKWFMTEKCNPAKPVGCRTPI LEDPETGADLGKTGGFSWHDEVPKDVAQSFSRARSDGKYDVDGSYYYWDEQELRWWSF DTTRSIQTKFERIVPQLKLGGVFAWGIGEDAPDFEHFLTTTEEVRKIREGGQVKDEL FPSE_09455 MTGNKVSEATRERETFKYDSILVANSVRNDVTNLIPPASLRPCS DPAMTALAQVALLRLGATRAMISLFDCQNQHVIAEATPAMPISAHCTLPVSGPDSLWL CGTSIPRGYGICDVVLGPPELDDMIQITASSESPIRVVSDLATSEEFRHTWYYKTRPE HRFYAGVPITTPRGINIGVFCILDNKPRDSFDEASIQIMRDISASILGQMELTRSGDG RRPGERMVRGLGSYVEGKATMSGWRSSFTHAFDTDAGTEEGALNQTQQKLQNRREHAS SVGSSEQMATPDTFILESPMEKRSASPPRPTERQLHPDASGEESQQSQLKHIFSKAAN ILRESIEVEGVLFLDASVGTFAGRVRSGSVRRESQAHERSSSSSSSSSTSRGHVSVSS PRSSGDPQAKGAPCPILGFSTSMSSSINGEAPAGRSQIVPERQLQRLLRRYPKGKIFN FDSQGVMASSDPTSEDSAMAAFQEYKARDLEEEPAGENRKARGARDPFSRRNEGMAIR DLFPGARSVAFVPLWDSNRQRWFSGCFVYTMTPTRIFTVQGELSYLTAFNTVIMADVI MMEGAIVSLATTSLLSSLSHELRSPLHGIVLCAELLRDTSLDVFQGDVLRSLEVCGRT LLDTINHLLDWTRINNFARIPNEQPLSLGMPPRRGTQANKKSSPADGMMRISSNLDVD MLVEEVVECIHAGHTYQQQSVSLLGDRSSEEELSRGPYARLDGMDLAEGSKAGNQRPS NGVNLNKVLVILEIDPNVDWAFHVESGALRRIILNLCGNALKYTTQGFVKVKAYQDSP GQGRPRDRVVHIEIMDTGSGIGQDYLNHRIFSPFSQENVHSSGAGLGLSLVRKFVRAL GGSIHIQSKVGTGTRVTVKLPLQIASPESTETASERDEFKSQIIELSRLRVSINGFPS CRGSSESQRWGSAEFDEYSSLEKVCRDWLQMDVVGPLGNESFYPDLILCDETQLEMIA NQPRGELSSPVVVVCRSAAVARQLDQFHRSRHRLNWGLFSFISRPVGPRKLAKAFVLC FRRWTKLQSTAADRASVFTTLDEPPTMPAVEPSSSEDGLDNKNAGYFDLAPKFSPQKK RARTDEDESWTPSPSTPAIRPAPSPPEPRFLLVEDNAINMKILQTYMKKLGVVYDCAS NGQQAVESYKAKGGTYKCVLMDISMPVMDGFEATRLIRLFEKESSLPRRQIVAISGLA SKDAQDDAYANGLDLFLAKPVQLKELSQILKSRELI FPSE_09456 MFENTCTLPLSADVFTTALHPSEPLLTVGLSNGHVETFRLPPGS SSDESADGDTSVLSDGKGMVDSVWSTRRHKGSCRSLVYAMDGSAVYSAGTDGLVKHFE PMTGQVISKFAIPKYSGRDDAPTLLHVLNPQCILLATDSGLLHIFDVRNGTPEKKAVS EHRPHSDYISSITPLPPTHMSTSGFPKQFISTGGTTLAVTDFRRGVMVRSEDQEDELL SSCYISGMGPKGLRENGVIACGSGSGVLTLWDKGSWGDQQERIIVDGDRRGGESIDST VLVPHEMGLGKKVVCGLGDGSLRVVDVVRREVDFAANLRHDNMESVVSLGFDSTNRLI SAGGQTVKLWEELSALQGDGDDEEEEEDDRKRPAESDSDEDSEIDLKAELQKRAKRRK ESMKSKLGGYGHHGVIGLEGLD FPSE_09457 MRHSTSAIHRLSPQKVTDPDLIAIRAKLLNAFTVKASALVTPPA TNLSPPHSHAGPHPDPNQGPGPSGTNQRSRSRSRSSRHRRARRLSKPRIVVGPDTIHR LPSELARLHLSSPLIISSPSRLNLARKIQAIIPNLDTRLLSSAVVAVPSRISSRDCVV SVGGGSAVTLARAVSLRKGIPHICIPTTYSGSELHTRTRHGNSVTEEEARDLANEAKT LPAVIIYDDNLTMSSPTRFSAPSDEKVMEDFARADESPKSEDACWSYLHIPGV FPSE_11212 MDEDQGPQSIAPEHAPSKTIAQRYNGLVKAFTTRHGLIGDYDYG FLFRPNLPFMKKSVNPSPFFGLNDKMPVLLGLLLGFQHALAMLAGVITPPIIISSSAN LLPEQQQYLVSTALIVSGIFSAVQITRFRLFGTNYYLGTGVLSVVGVSFSVIPVASGG LAQMYANGFCPSDSSGNPLPCPEGYGAILGTSAVCALVNVLVSFIPAKFLLRVLPPIV TGPTVMLIGIKLIKSGFSNWMGGSGGCMTATDGLFALCPDINAPHPLPWGSAEFLGLG FSVFVAIILCERFGSPIMKSTSVVIGLLFGCIIAAACGYFDRSGIDAAPVASFAWVHT FPLSVYGPLVLPLIAVYLVCATEAIGDVTATCDVSKLEVTGKTYESRIQGGILSDGLA GCIGALMTMTPMSVFAQNNGVIILTRCANRKAGLACAMFLVIMGIFAKFAAALIAIPS AVLGGMTTFLFSAVAVSGMSIIVRGVPFTRRNRFILTAGFALGYGATLLPNYFDEVFT YKGDNRSLQGFLDAIVLIMETGFAIAAIVCVILNLTLPEELGDEIDAARSTTDITMHE ETEQNKAIAGPSRDHSIDPKKEG FPSE_11211 MDDFAAYRNQSRQAEPPQRDARAGDSDFDSLFEDDNPGQIYTSA PKEAFKLGYFDVMCLVLNRMIGTGIFNSPQRVMQGTRSTGATLLLWLAGVVYCLSGVH VYIEYGLNVPRYTINGIEQSVPRSGGDLNYLQYVYRKPAYRKGTVLLSTCMFGFCFIA LGNMAGNCINFATMVLSASGDDNPSSGAVRGIAIGIAILTCFIHTFSRRGGIFLNNML AIIKVMMLLLIIVTAIVVGAGGLKNADGKPVTKEIENNTRPSSAFAESGDANGYAHAF LAIIFSFSGFEQPNYVMGEISRPRRKHPVAMLLGVGTAILLYMAVNISYMVVVPSDDQ IYDNVAQRFFELTLGSLNNSGTGKRIFHAFLAISSMGNIIVMTYTAARVKQEIAKEGI IPYAKFFAQDGDISLGRLLNWFKKRGMFSSLLSTRWLSPEDHMERTPVGAFVLHLASC FVLIFATWSMDPKSSYTLLTSLSAYVINAFFGTFLGLGILILRFRGPPKTDKDEIQSP AAPPQTWRQMTGKHINPTLSVICAVIYIIGGLWPIVTIWIKPNEPDQRPGKPEEQRLT WWLVPTITWSVIGGSVFWFLGFIAFAMRRKHKRNEIFVVEKKPEFESADGPDDSDGRM GGYVLVHETVYLSWIAGEIHESKGSGTTGFQERPDAMSAAPVNRYAGTDFEAFYQEER VAAPTKAYYSDYRP FPSE_11210 MAGPLQVGDILNLGRLAWDIYRYGWKEDYNATRQYSEFGRDVRG LAENLDILSRVITWADDSLQSQRRPGVPAKLRWDRTSLIEIIGDFEITLQECHQLLVS NDRYGKGSKPLRNIEWNVLVQPLADQLRQRIMLHNSKILHVLKPLEVDLLLRVRQDIE LMHKDLAERITNVHHDIRRLMGVLIPDLDEALDQRVQRSVVLLEVPVDLDDQFRFAAL TSHPKYRVEDDFELSELSDAFILNFHKSTINFQSGMLVEDRVPAVDQYLNLLKCVWIF KRMQASPTLRTANKDTSHWPSYTRQLEDDLSSQCSRFGTELVTPRIITSTLKRDMFTI WPERDPTPLVDVVTRDEMMEQLLEVPLRSYSAGVEKKAKLLRRLDTDGRRFRVIMSGS EQVATGRPRQEAETIDFDVTSMVFNPQYAMPVGTHMTQEIIMRQNERIARLDFLDGGD ILKFQQAVTGFKPWDSYTQYDCQVIFVLDGVDNIMENASLQLWIPKQTAGSLITNSDA AANTTDSSVASRQNSISTLASATTRDSSLRVGEGFPLSSPMLQPSTSLNSAAIRGSPP GVSGGSNRDRYPTSPSGRYPNLATIPQRQPVGFDPFESWPTRSSPEPIGSPPAQRQNF FPTQGPPRESPPRKPVGSSASPSRSAPLASVRSNSTTANTFRKGRSFSIFSSTSNNSN SSNSDVASVSVSTGTNSTGLLHKRPVKPMLVLFTQSLQGDKFSFVTIQIDDETSMNPE RCDCRKSGRDGASCEIAAIEKKKGHSTVSARRYEQSNSDGEVDWNLARLALNNPASTS SSANWPNLKRLSIKFPNPRARAYFCGTPNVCHCKVKTEADARECIRNGHRGLWGEVQQ SYRKQMNNFHKQRYEGRSQVVYGVTN FPSE_11209 MDLRSVLNTSEGGDRAPVKSAPKPQPPPPVHQQQQQQQPHPQQQ QQQMQRPSQSPAQAPASHPYYREYNRQPPPHPSPGKPMPQEYLPHAAHPHHPQSRPPS HHQPSPHQPPPGAYPPQSPYQAPGPYPGRPVPPPLQPGSSFHDARSPSGPTPGQSPYR ASTTPSAATAAAGYPFPHTQSPAEVASPVQRHQHSPAQYTPRESFSQGPQVIPPGHVH GYPATQQQQHHQNSIPQTPPVATAVNQQYNQRPPSASRSTHSTPTPTSAHTQHPYGFV QASSPVVASRPPPGEYGHSRQPSQPATPVAPPLSSGSRQPSVPGVFAQPSSPYQQRLS YTAAAPVAQAQTQAQAQVKSSPPPPPPPTKRISSSYGSPAPDAHRRSQSHSERAPSPS VSPKSRVPSLHSNPDPLAALAAADAKNKQSQPLSLPQPMAIDTERAATPAKRKLEDRI FDSSPQEPEEKRIKSSETNGQIPVKRESVSELPLSRRRRRYSQPPIWAQDSRRMAGMP SNANFVLQKRLHSHINGKQEQKPRPSRHASPETNRTAPPPEPAPQEILGPWEPSITGV KPFEDISRKVADFLFLNVLNAPDMMEISSKSIQFEVEAKLGTLIDKDTRHRVNQNIAS ECILMDSSRIAFESSMTEAAHKKNNDFLNEIVKSTHPRGPGGANHRVPVVYKHRRERD RFFDLPAELLQHRVPGCIRNRLAKGRRTRVRVTHDQKTQEVLGKIIKARVADLDIHFP ELPMDCRISINLEMDWDGSLEELEGIPNPGDNPDRNKDRLSYTQGPYQIDLTQVIQSG PGGRPEKKHELEIEIDSKLLIDQGRRAANGAAHRYPELVEGLVDNIRVLARKARDFEQ H FPSE_11208 MPGIVSATGVLAFLTDEEPELKVFALQTLNDDIDTVWTEVAAVL TQIEALYEDESFPERQLAALVLAKVYYHLQAYNDSMVFALAAGDLFKLDSPGEFEETI ISKCVDQYIAVTAAKKAAPPASKNDDLPELATTFASGAEGAVMSPTTPFSRTTLPPKS LLSRDSIDNTMLEATFQPSFKQFRSGSIAELPEQATDSLQRVVDRLFESCLEKGRYRQ VVGIAVEAKNLAVLRSVIKRASDDEKKAKSNPLESSPGPAEDLIEYTLGICMDIVQER GFRTEILRLILDLLNEIPNPDYFAIAKCVVYLNSDEEASRMLRNLVEKADRSSIAIAY QIAFDLYDNGTQGFLGNVLASLPAGEPAKSAVDENGEQPTESESLLQNQQDSPENELS EPLRKAYSNIRAILDGSKTIRLNLEFLYRNNRTDLSILNKVRDSLEGRNSIFHTAVTF CNAFMNQGTTNDKFFRDNLEWLGKAVNWSKFTATAALGVIHRGNLSQSRKLLEPYLPR QGGLSSGSIFSQGGALYAYGLIHANHGAEALDYLKEQFGQAEEEVVQHGGALGLGIAG MATGDMDIYDKLREVLFQDSALNGEAVGLAMGLVMLGTGHARALEDMITYAHETTHEK ILRGLSIGMALIMFGRQEGADVLIEGLLNDPDPTLRYGGIMTVALAYCGTGSNKAIRK LLHTAVSDVNDDVRRIAVMSLGFILFRKPGSVPRMVELLSESYNPHVRYGSAMALGIS CAGTGLDEAIDLLEPMMKDPTDFVRQGALISLAMILVQQNEIMNPKVASIRKTLKKVV GDRHEDAMTKFGAALALGIIDAGGRNCTIGLQTQTGNLNMAGIVGMAVFTQYWYWFPF THFLSLSFSPTSIIGLDHDLEIPDTKFHCATRPSLFDYPPEQEVKTEEGPALIATAIL STTAQAKRRAQKKERAQRRESMDIDSAPAKPSGDKMDVDEDKKTDETNEKKEEQEKEA ATSTDTKKKPEKEKIGYDIENMTRVLPGQLKYISFNSGRYKPVKKPTGGPLLLIDGEP EEEKALLEEKLKKVTTERAPVAGQQNTRGGRSGGPGRSLLDGITDAQRGGPSSAMLGQ LLRNSGRSPFGLLDADPQTPGGNASGAAAAAGVLTAVDEDSDDEEAKTPNEFEYFTDA EDDDDDDE FPSE_11207 MLSRSTQRLVSRLRPLPSLPTPVSQAPARAQSRCFAATRLRPSS AKSSASDKAAPAPRYPSASLAVLFAGAASFCLAYQLSQQSPTRCDYHSEDDSVSDLQG SSLPCYRIDEVRKHDARSDHPWIIHRDKVYDITEWIGAHPGGDIILRAAGGSIDRYWD IFAIHKNDYVYDILNQYLIGYVDPEDLVNGRPSQDEIEDPFSDDPSRHPALVTMTEKP RNAETPANAMTNEFLTPNDLFYVRNHMWVPKIAENGSNHNLTIELPDGTIKEYTLADL KSRFKPHKVTAALQCSGNRRRHMNEGSGRKTNGLPWTTGAISNACWEGVLLSDVLADA GFDLHSGLTGESEAKHVQFSGLEAYGASIPIKKAIDPQGDVILAYGMNGQALPRDHGF PLRAIVPGHVAARSVKWLNHVTLSDEESTTQWQRRDYKCFGPNQTQVDWDTAPSIQEM PVQSAITTCKLGDWKKSDDTYTKPASLTGYAYSGGGRAIVRVDVSADNGKTWSQASII PDCSTKEGEQSPCFGHAAWAWRRWKFDGPVPLSAFETSESGKRYATFVVKATDEAYNT QPESYAATWNIRGNLATAWHRVKVCDESSIEEGRG FPSE_11145 MSIFKGGVALITGAASGIGRATAISFARERCLRLVLADRDADKL KDTQKTINDMSKNQNQNIQVIAIPTDISKEKEIENLYDTTIEKFGRVDYVVNGAGVLS NNKRSHESTVEEFDLINNVNYRGCWLSSRAAIRQMLKQEPLPTHDGRPGSRGSIVNVA SQVGVVGRPAAPAYCGSKAAVINMTRCDAIDYSKDLIRVNAVCPGVIDTAMIRPQANV LAPAVNIAPMGRMGTAQEVADCVLFLASSKASFVQGSAMMVDGGYVIN FPSE_11144 MINTSSANISSSEPEITKADKQPSGRLSSGSIELGRQEKVDDGE VFRQGDGVVDFRTVGWIQASVIFLKVIFATGVLTIPSAMFVLGALPGAINVLGWQALN TYCAIIQGNFRNAHAGCHSIADMANVVGGAWLKEVVGVLFLVTYAIVGASGIFGTSVA LNVLSNHAICTNWFMLVAAIAVLILASVRKFENIAWLIWAGFLTVYVAVFIVVVGVTT LDRPAAAPQTGDYDFGYHVIGNPTFVTGITSVATIFCSGAGTSAFLPVISEMRKPRDY NKAVYLCMGIVTASYLTFSLVVYRYCGQWVASPSLGSAGENIKKVAYGIGLTGLLVSA CLYVHVAAKYMFVRLLRHSEHFQKNTVTHWAVWLGCTFTMSAVSFILASGIPIFNYLL ALAGSLTFSPLALGLPGYLWIYDHQHYRQGKWWQIVVYYLNWLMIALSVFLTIGGTYG VVQNIIDAYANGLIGGAFSCANNDR FPSE_11143 MPKRILCSYGVDVDAVAGWLGSYGGEDSPSDISRGLFAGTHGTR RMLKLFDKYNIKATWFIPGHSLETFPEECAMVRDAGHEIGLHGYSHENPSDMTLEQQR DVLDKTHKMLTDFCGKPPRGIVAPWWETSREGVDLMLSYGIEYDHSMSHDDCHMYWLR TGDSWTNIDYKQKAETWMKPLVKGRETGLVEIPANCLPPMMFIKNSPNSHGWVNSRDV EDLWRDHFDYFYREEADDPDNICVFPITCHPDVSGRPHVLLMHERLIEYINKHEGVEW VTMEQMCDEFKKKNQPPEGALLPKVQS FPSE_11142 MVGSTSSNKRQKVDHNGGANLRMCHHCGKSFKRTEHLERHVRTH TKEKPFLCYCGASFARRDLLTRHQRIAEHQGDAPSPGSVPGPGPGPGALTQSRQNSIS SHNVDPCFCARPQQAMQVPVAVAVPAPVPLTVQEQTQRPPNIDAGQFVPNFFSPQMLD GGVDFDTHFREFTSFLDGVGLSAEWSPFFSDRDRHQDPVDPELRHEENGTTSPQQGAP TRAGTPFSSWLPSVTGNRINNYVSDTDNPRAVDPESRPFKVTEEQRSKVKASIQDFSH LLDPTFCVPSRHSLTRYITSFFGGFHSHMPFIHVPTWQITEHSPELIFGIAAIGAQYC FERKASERLFFAGKALVLERLRRNAELVDMSAIPRIHTVNSAKQSGRDSNAPDKESQV ETIRALVTLMGFSTWEPKAAMVQESFVLQGILTQALRNAGLEDVDELVSSTPSSHPHD TNALWHDWRTWAKQESCRRSKLICFSFLHTHSIAYNVYPTLRSNEVGLRLPCSTKEWK APNAASWRAAASEVQEPQLFFREALSLLLNNKSESAPLFPIPTPLGNYVLLHGLLQRI HIVRDLSLPITSSSASLPSEEVEKLERGLRSWTSCWQQAPESTLDPNNENGPIPFTSS SLLALAYVRIYLHLGPFRQLEKRDPQLISEAIASSPDIERSDGIIAALLYATHMIGIP VRLGVDRVARSQAFFWSVRHSLSGLDCAVLLSKWLSKLAETVSESPLTDSEDRILHWV RCIVEEAYTVVDFEQNHDQEIANFLDFTDPRNLSLAVLKIWAHFFKSNTQWLFINIIG VGLEKYREMLVQNKI FPSE_11141 MSVFFKEILPGNPVQKGDVEALLDSLQLTIRAEDSADYQLLLAA VHDCAERIASLPDYQPIPDTERFPRQDVRLAEKHEQAFGHAWAHRFTIHGDKTSRSSL AGKSVCLKDCIAVAGVPQFFGSDAFPAWTPSTDATVVTRSLEAGAVITGTATCENFCN STSSFTSAQGTIDNPRKAGYSAGGSTSGGAALVTGRLADIAIGTDQGGSIRVPASLCG CVGFKPTHGLVPYTGISSGDQIDDHAGPLARTVDDIAACLDAIAGYDGIDDRSLGAAP HGSFGFLESLSLNGASLQGVRIGVLEEGYDDNSLIQPGVKKVFLETVNRLESLGAIIQ DISIPIHKEGPSIWTIQQRISGSAGILGQANGRRGLYLTEFEQARLPWTASQFEKLFP STKNTVINGLYLQRTFPGLYSKTVNIGRQMRDAYETKFKEFDAIIMPTTPFVAPRHGS RESVLKSFEPSIGMTNNTAIFNVTGQPALSLPVGWSQAVDDESVMLPVGLQIVGGLWE EKKVLRIAKALESSLGSFLL FPSE_11140 MVSDTELPSRMRAAYIAQHNKPYALGERPLPSIRDTDILVRVHA AGFCHSDLQALQGEFEKPAPIGLVPSHEIAGTIAKIGSSYRGNLKVGDRVGILNFKHA CGSCVGCRLTAKKGEKLDPRFCNNRETAGFLHDGGFADYASADPETTVRLPDTISFQQ AAPLTCAGATVWASLEAATSGLSQGETVAIVGIGGLGHLGVQFAKALGFRTIAVDSRQ AGRKLAEDVENHQLKPDLVVDSSDTDCASNIIYDFTKGEGVAAAVVCTPSLEANRWAL TILRIKGTLGILGLPQNPWQFDAAPLVFKELSIRGSYVAGKEATDRMMRIVEEAGVRS HLTIIPFDRIPSIVDMYEDASFKGRIVVQIKDHD FPSE_11139 MANKTDLEFTDPWSLYEDRQAGVFAWIILGLMFGYFIGMVLRDM VQKTRTRELQDEFRTFGKLIKHIILLPRTLFTKDHLMPVWYSCTNIFRLPEEQRYWKT EKEEDRELRDSSQFNSKSVIERLGGGWPKKRSQTSSELGGSSQDTDIEMQSLPNITDL AGTNTGLPKIEKLAVVFEVPEILSSNMNQKHHGFQTVPLHGPRFGTDGLANLTATFNF QSSKDQEEKPTVDEKEKGKLEPSQEGVDAEEKKDVEKKADAEDVKGIKSEDSSTKKDG E FPSE_11138 MTTPISTSPTASPSPSPSASASSLLRRVSSFKTKNDISEPSVRV RTRSTSNPASAFRNRSQPVTPVTPNTPSFPRLRPPVNVPRYNLPAWDRVADAVCHNAE PKKATFEKVLKAFFSDHGRRKSSVGYFRLPDSVRFRICMYLLPDNEKPLRLNKFPFNQ DVWRSQDFASAYSTLGRIWPYLEVSFAFRADVLVAFLQKTRLHAVLSPFTGPRVSPLV TKWLNTYGTYATNITVELDMSHLSGAPAHDAAMLLANSEKTGLLFKDFIMSQLKRSED CPLESLVLLCRRFYGKRPPKPEPVPVNTASSRPPSRGARTPEVHSPRGKALQFWESKS RDKIVLSPASSYDDLKSETMTLRLEDDYCPDSHLLFCNYILHLKGRIASIRMCGFSDD YTTRLIGAFFSGQKTLAYRVAPSTVWPKLDGQKSFQDAGHGIITLDEHEVPASNNIPN ALRKWQGCVQLPPPLIDYHGNLLLPSLVDDLQRLREGVSRSDTSLSERTCEELQIKDK KQSFLSEKRAFSWFKERKLRKKKRELSRDAATTY FPSE_11137 MTEPGLRQIADTPPQDLGDLEIGLENTVPTSTTQSKLGSQDPIS YLYLTLDTPLPTVPSYHGSNPSITASLPQCPDLRPYASPFTMPRARKNIMLALSCIAT MLTAYTAGTYSPPSRAMAKDIGASHTATLVGITTFCAGFAFAPMALAPISEIWGRRPV FILAGFVFVIFQAVCSVMPNLVGMLIARFFVGVGGSVFSSVVGGVIADLWEKEERNTP MALFSGFVLFGTGLGPLVAAAFVNDLEDDTLAWKWSFWHQVILDGLLLIAIVALFKET RGSVLLSRKAKKLNEWYQKLEDAGVYGIWVTDAQENGSNSLSASSVETLTEHDTKVEY GQRSNLRRIRWLVEADEQRPPLLQMMATSVKRPFYLLFTEPVVFSFSLWAAFSWAVLY LSFSVVPYLYSDNYNMSMRIYVAMMISAIVATTVAILQENLMKHPLWSGPCHEKEVPR FWRFMRKHFPADSPEARLYFSCMTSLLLPAGLFVAFLSPASTSHYTQAIGIGFANWGI YSVYLATFNYLADTYHMYASSALAAQSFSRNVLGGIFPVLTGIMFDNLGLRTAGCVLG GIASVLTLVPWVLMIFGSRIRARSKFAISLQKQ FPSE_11136 MAENYILRITAGPHYDPSQQVEVPVNTAKPITIKSDRADIELNI RINDYKGLPRNSPSTSPYFSTEPHAYNRDQYSISFRFTPKKPSSGDTDDASGIKATDL QFGNDFDHPIRDRLPPGFNTAMGIVRWWIDPGLDGDAYADKPYLYGPALSSFNTIHVG EGDFDEEKGGLWFEEGGDEEGLEAREEVGAPLTSKARMKWALRADSKEKWVFEYGQTY GFDFFNPYLNFSDLALNLPGFQLPIMKYWDGQGLRYVLRNKETEEPYLVILFSLYHKD YVNEDGSLKEGAHDSLNLPDQVDTQHDDEFDGEGALKEATEQLGPKIDEKGNGQTSAD DID FPSE_11135 MASHRAFPKSAPSSPMYELRRAHGQAPTPNHQKASERQRLSESP RRLADPLHLVDVSGSGLFRTIPNGADARLRRQVPRSRGLHQLSNQDLCSYTGQPSLPK LEPEYELYPISPRKRLRVSLENTLVPSHKYDQPSHLASDEGLAHTGSWCHSTPIDPSE AQLETHDFEEDVLSGDWYPKTPIDTRMSTPDLPPLSTDYEFCPCHIPCEHRQDKINED FYFATRSKMDIQKQINSWARPIAAV FPSE_11134 MLGTSFGEWAFIRLSIALFRYTPLLYIFLIFILPLVQPVSVVFP TVCVLLAALLVECIFYLFIYRPFLGRLKRDAVYPPELSRQDRKVLFERCFGNVASPEI YLKWWFLDADPNEIRRDNVREFLLWAFFEKPDGKGLLAEDEEMVEEEVEHYLVLLENR LGRPFENGRGNAKSLRLTLDGITTTYRSLLWYIIIFFIDQFTHFAFRWHGFEFYRRPR SSALRVFPPRPQELLPGQQSPSSQISYWHRPHTAQDKLPVVFFHGIGVGLSTYIGFLA GIYNTGDKKRSVGVIAVETLPISFRLTPAIPDKREFLSQMTAILEHHHWDKFSLVSHS YGSVLTTHILHDSRLKHRVPSVVLIDPVTIMLHLPDVAYNFTRRKPKRANEWQLWYYA STDPGVALCLGRYFFWRENILWKEDLLGTQDDGRHTRHAAVTLAGRDLIVDTAAVAEY LGVDLEMRTRSLVAESHNGVEVVMFPKLDHAQVFDDRTSRDSVIQMIKSRCES FPSE_11133 MPATANITKAEQLEPTHPTVEGPVIERPAVVGKCDNMCVSVITT RPHSRSSIRHNSEQDTIIYAVSGNGTLVVSEAVNSELKHHELAPGDFAFVPAWIEHQI KNESDEDFQLLMIQNGPSPIRADLSEWGGNVIKTKN FPSE_11132 MSISSQASESPLSRPLSSSEIPSDTSLLLDLPPELIDNILSHLS PYDLSAISATCRDLRKHALSDILWQPLVQENVPGMTLTSPHPCSSYHDLYASHDRLWF LPRQKIWFCDRDLTGRLMLVRYDPRRGCIEGYQLLASRGRPLHQSWLGQGDVLIQEFD PKVKLHLDKPILQFRVGEEAPVDFTTRPGANRFADEMPVTLDDRIDALFSNFMLTCEL DQEEAQDNLKSSFPYGNIWPPPSVPSDHHVSGGSTQITEEGIFVNTPATRPRRRRDVS ERFFRVRQWMEMAGGLARIGMAAGMTGIFNMLRLSRPAAAGGLPGVHVGEELITYSTL DPKLYTPTPLKPWRGIWVGDYSTHGCEFLLVHQPDDEMPATDEELGLIRGETETEGAW VTRRDEGRTYQGRLEAIKLTGDPNVPRGEITFLAKDLNDLVTGPPADPRFQGMKTVHS QGHIADTGFISDRFVKSHLILVSHDRIAQHWVEFGHVSYFQRVNIDEFLKV FPSE_11131 MDPLDNMAGAPVPKNFDAENAQNNEDIEKQFAVKVVQHMQTYWS ILERVKGSSLRLTKIDDEIMEHLKEAFPDFDPAAKVDEDAMKSKDGKNRWRDFMMKYE KKVDDYNFGTMVRDSPKVEYEENTTIFVPRMQFYAFEIARNRAGLNDWIYEQAQAEKA KSK FPSE_11130 MSRQTPLSAMDEVQLRLRILDPVSRPMYYEGSPNGSSYKRLRIL SIY FPSE_11129 MEQDAKSSWKQLFAFTKKSHTGALISALIAAAFSAAFKTVLAVI LGKIFDIIAGFGNGTYDGDEALTLVSDWAFVLLGMGIGNWLASTAFLALWVIFGELQA CSVRREIFTSLLSKDMAWFDAQSEGISSLLIRIQTQTRELQLASSQVLGLLVGDIITS FASLGIALYYSWKLTLVLLATLPISAIVLSFATKRLEPAIQTQKRELAVASKFAVASI TAIDLVKVFNGYDQEVWQYYPSIKAAAKQYLVQAQCNALQMGYVAFWVVAMFVLGFWY GVVLVNNDGLAAGSVLTTFYSTLAAFQGIEALMPQWLVLAKGMSAGGFLSSITRNMRN GGTVKPMAGALRPRFCSGDIELKNVSFAYPLNPTVKVLKESNFFFPSGEITFIVGRSG SGKSTLGNLIVGFYEPLRGQVHVDGRPLQILDRQWVRENITLIQQSSVLFNESFYKNV AFGHQCPDRATRSEVMGACDAALLQSTLATLPEGLETNVGADGYNLSGGQKQRLALAR ARLRDPPVLILDEITSGLDQVSRTLIMDAIRAWRRGKTTIIITHDVAQIDDNDFVYVM DNAALVQEGFRKDLAKQGGKTFATLASTAQDTPDIEITIDSPISPTSPLSPLFPRPPV RASRLSRMLVGELESFSPAPARASQHMTLGTNAAAAFRIRTARAWDMETFYEQERPAT AASHWPMGDFYDEKYNSALRSRSSLDGFETSCTLRPLDEPEYLFGGSERPKSITRLRQ ARLTDKVEDKDDETRELPPPMSLVAILKTVWPAIGRGHRFLLILGVITCLIGAGSIPA FAYCFAQLLGAMWSQGDKLAEGKKWAIFLSVIAVVDGVCTGGGRYLLEMVGQAWINTI RVEALKRILRQPRSWFDRSRNSPGRINECLDRNAEEMRNIVGRFVPILITVTVMIVAA IVWALVISWKLTLVTLAPLPVVMGAVKGYTIISGKWEARCNEGAEEASAALTEIFLNI RVVRALTLENYMSEKYLRAVAHTLGLGKKRAGRTSAFFGLYQSVNYPLTALVFYYGTV LLASEKAITPTSVVAVVNLLLFSMGTATSILSTIPQVTMAQSTAAQMLKYANMPLTPP SEAGGQQKPKSPLPVRLDDLGFSYKPNSRPVLRGVSFEVNAGDCLAIVGSSGCGKSTV ISLMLGLYTPSRASILSTESPLTFAGISHSDIDIEHLRSMMAYVPQTPFLFPATIAEN ITYGLNELSPQRHFPNVIAAAKAAGLHEYISSLPDGYNTHVGDGGQALSGGQAQRLSI ARALVRKPRLLVLDEPTSALDAESAEMIRHTMRRIAGKSKREMAIVVVTHSKEMMAIA DRIVVLEDGIKVEEGTYHELVQARGAFAQVIGEGGWEGKEYN FPSE_11128 MTAQSPTKRRGRLSSNTQENDDAALKARRERNREAQNIFRRRRQ AAEAAQAQRVRRLEQVVEEMSSVFMSFVDEMLTTEAIVNGQPSLVGSLRRSMERILEL AHEVVGPEEDLVVLPRSSSPTEDNRPTDKSQSPDSERSETTSDSGSSLAVTLRRVHPV QPPQTSALTSALSTSNSTTSATSISPPTPQFTTLHNFQQPSLGKPVSIPSFNLAPQIF GNGWLGTTPTAPSEVVPTGPQYNSPFSFRVANTALTIACQLLVNSPPEHAMTPMQARL FCNTLKGRAKEEMLTRLRWLIGPGKHEMYRVIDLPYGRYGHHVYARGELNPTTMEDIE WPWPTRPAGSRIDHFTRFFSIVGVEKQLLALGARMIDSETLELNLNNSPMPDVGNAIP KQPESWSFVNCFSFPTQPKNSPVTVRLSVPALVLSLATRSCCLMRGPGIPRSEIGSAI EEAITKVG FPSE_11127 MDPQTARIKIVRQETTHSHLPSSGHFPVETCGRPYQLPGFTAAF ISYSFTLMDDITTASDPRYGGSGEGSNSNSNSGSQHQPPIDMTSQTPNDDDPLFDLHD DEPVQGNNFAFTPTQLHKLLTTRSLSALRAFGGLLGLAAGLRTDISAGLSVDETTLDG TVTFDEAVASGNLERPPLVSAASPPPSPPLLHNGLFEHHDNHYVDRKRVFGDNRLPQI AQKSFFRLLWIAFNDKLIILLTISATISLAIGIYQSADKAVGASRVEWVDGVTIVVAI LVIIIASAATDWQKNYKFKKVNERKQQRDVTVVRSGRLQRISVHEIVVGDLLHLEAGD IVAVDGVLVQASSLQMNESSISGEADLVHKCVSSPNHSVHSSRIDPFILSGTTVARGV GSYIVTAVGVNSTYGRILMSLRDEVKATPLQVKLGRLGKQLIIIGGIAGSIFFFVLLI RFLTRLNTITGGPSQKAEDFLHILILAVTVVVITVPEGLALNVTIALAFATKRMLRDN NLVRLIRSCEIMGNATTVCSDKTGTLTQNKMTVVVGRVGLEAYFDDTDLVIPDPDSSM SRASTIKCDSSIELAKSLSPDCRRLLKDSIALNSTAFETDDSGSSPFMGSSTETALLQ FSRQHLAMGNLAEERANCPIVAILPFDSSRKWMAVLIKVDDDRYRLLVKGAAEVVFEY CAFVVLDPTFRLPVARLSENDRASYRNTIEDYAGRMLRPVAMAYRDFTAHEIFEGPDD DPDNINLEWLASGMIFIGAFGIRDSLRPEVVESVRQCQAAGVFVRMVTGDNFLTAKAI AAECGIYTAGGIAMDGPTFRDLTPEQLDAVIPRLQVLARSSPEDKLLLVTHLKRMNET VAVTGDGTNDGLALKAADVGFAMGIQGTEVAKEAASIILLDDNFASIVKALSWGRSVN DSVKKFCQFQFTINITAGIITVVSELVGDAIFTVVQLLWINLIMDIFASLGYATDHPS PDFLKRKPEPRNAPIISITMWKMIICQAIYQLTVVFVVHYAGWDTFNPDTEFEIEKLQ TLVLNIYVWMQFFNQHNCRRVDNKLDIWYQGILRNPWFIGVQLITIVGQFVIVFKGGE AFDTTPLTGAQWGWSLLFGVMAIPLGALIRQIPDSWVQNIFTLISSSWFTIWRPLRAF MSRTFSCLKRKKADDKEEQQPEHEMGPVENILHMMHLTPDMEDDETPMTQEQREAMAR SDQRRLQKEGEKPKRELDLHALVEAAKVARRSDGAIFEIHPKTLKDDPILRTSDGTKS TLPPSQDEEFMRFVALNTARPPRAKRVAPRGQTRPSQRQEQKSSWRHHISWEGLLRSK RR FPSE_11126 MIVSMWSPEAEVWHDRLPSTHLGNLSTKVVHSPGLSMLFPCVTC TDNGDICHLTIGEGEVNSAASLMALVLSPKFDLSSTYFLIAGIAGVNPKYGTLGTVAI ARYSVQVALQYEIDPRSLPPNFATGYISYGRDQPHEYPFITYGTEVFELNAQLQETAY RLASKAELEDATGPKEYRALYGRMGESYRSASQPPSVVRCDTATSDVYYSGSRLSESF ENTTRVWTNGTGVYCMTAQEDNAVLEVLVRAAIEKIVDFSRVMVIRTGSNFDRPPLGR SDLNHLISQQNGFGIAIANIFNAGIEIVKAIIHDWDNTFKEGITAENYVGDIFGSLGG EPDFGFGSLTHGKRIAKGCRTNDLAVREMDRRRILTQKSLRKV FPSE_11125 MSLIPYQPHEGREIVLRHHNAIVVRDSQSHRLEIRGISTCPTCH QPLTRSENAPDRGYDHSFGARQETYVDPDYFRMLRAVNSNNDASTDGPPSPVRRIFGP SLGVADGPHQSPPPPAAPEEAEFVSSTPGVAQAEGSRIRRDAFSPNYFNTFFVEERVL GKGGKGVVLLVRHEIDGCALGQFACKRVPVGDDHAWLEKVLIEVELLAKLSHPNLVSY RHVWLEDVRLTRFGPSVACAFILQQYCNGGDLHQYIIGGAPREATKEELKAQMRRRSK GQLETPVDLVNGNRVLAVEEIYSLFKDITSGVAYLHAANYIHRDLKPSNCLMHREGGR TTCLISDFGEVQPENVVRKSSGTTGTISYCAPEVLKVDTASGRYANFTTKSDIFALGM ILYFMCFGRLPYQNSNALQEELEDVDELREEITHWTGFHERRERPDLPPRLYQLLKRL LSLDPAERPSANEVLKAMKGESLTFENGSSQEPVPNLGIATRIQNLDSPAHPSTPVPG PRHRNQASTQDKFEELGVVARSTARDLSPTKSGQSSRLDPHRQSLSRHRGSHSMVVSR SQESRRSSLVTPAVSVSDASETAEMHERRSSQSPPLLMPPPTTAVDALRQRATVFIFH LMNIAGSHSPLLSYIIRLCLFGIKVTTLVHPCWPLMAQLNVAIPLIGVAALDLGLPQV TSHSPMMIQGHGDYFSSGPRAWGLGMSLVLLVLHFTVLWVASGWDTLCLDIQPDIWAG PW FPSE_11124 MDWSILGLDQPLIEPQSIDTDHLIATPPISDDINPTPSAQITVV SQLTDLMAAFDRMQNSPPASLTQHLTLRELNEFMKVCDVNVGAFLEELLQSAQKLVHL YPQVLKQLEPRGITSCEAPDCVHNSPLFSDARHKLSIDQSLIHLVLACHLRLLDLVDN IVNHGRMCAHAVPMLPPECEPRLDIPEIKIGSFVAPKISAASMVIALVIELQTSLNAR AQNLHDVVSSNLGHDARTAKILGLQCESLKEHATQTGSDLHSLREHLQNLGVIR FPSE_11123 MPSAKVFTAILAAMAVPMANAGNCKPKPVTTTDVALPGVTTSGT VTAITSGTETGTPTTETSATTTTEAACSKYTPYELQPADCGKKGVAPNCGDKIIGTPS TCVDWTECGNTCGKTLGCKSFSVKGRICTLYKTVVGELGWTASEGAGSSEFYDLELCF TCAEESNATSGTETATGGQTTETAAGTGTTDTAVIQTTETAAGTETTNTSAGVQTTDT ATIGQTTETVVGTETTETAA FPSE_10308 MNRILYYGLALCFYAVSADALAISEATKRPEAGYIYQPAPTPMF DFQALKHLDLGKRQASSTAETTLTVVVSPDSTCGFLSGSPGNAITCANGARCSWELAH ITAIVCGTAAHLQCYDRDYALNTESCDDVCQENTYNLLCTDRSAPYCGTYAYPSGIRA FKCSSRTMARFQSVSFTYNNQDDRTFSTTTFALDATTTLNPIETDTSPTGNEETTDTS NPNGSSEPKPTETTDTGGGGGGSKTNVGAIVGGSIGGFLVLSFLVLGVLWVLRKNRRN ENPPPVQQVQPAVVPHHNIPQTPGDSVPPMNQNYPKPGVASPTTTEWRGSTMTAQSPG SPVSTWTGQYPTSVADQVTYQEMPGSPPYTR FPSE_10307 MGYSGREDHHELSYLLPIAVCMGISLYNVLELNLAIFTTFKTRK SLYFWSFMAATNGIAPHTIGFLLKNLCSSDNFILYISLISVGWVLMVTGQSLVLYSRL HLICWNQFCLRLVLAMIITNVVVMHIPIIILMYGANSSDSNPWVHPYQIYEKIQVTVF FFQELIISALYIKACSSFFGTEGLLHGKGVQRMRRHLLIVNIVIILLDIPILVLEFAD FYDFQTAYKTIVYSIKLKLEFHLLNRLVEVAKGNDGIGLQRGNQTLHGLRLEAFESDG DLSRSNGCEHGNMNRAVDHQISKRKYLGSEDEILTTTDISVSYIERRDEYNESMDKGR SIIR FPSE_10306 MKGFILKTALVAATLLSNASASPKGPVDTYKKCQRQTKHATEGC PKGTLFVAKNDPRADFSSIQDAIDSLGNTTTAGHILIAPGDYTEQLNVTRRGPLHLIG ASNKPWTKDLYGDIDVNTTTQNDVQIWWNLANTNNGSMSDNVYTSVLTVGPNLDATLT GAGPTGWPVPPNTPFGCTDFRAYNIDFRNDFAPRSVGPAHAVGVSRANAGFYSCGFYS YQDTVYVGKLGNAFFYDNIIAGETDFLYGFGTAWIDRSTLSLRNCNGGISAWKGTNTT FTNKYGVYIDNSQVIPSNATIAKDMVGKCPLGRPWNSQHKSIFMRSYLDSVILPAGYI KWSAATPRVDNYTFMATWNDHGPGYNVKALEDGGITKVLTDKEAKPYKSPKDVFQTPE GKFGHVSWIDKKAL FPSE_10305 MMIKSLLWVVAASAMPLVELSSRQLHTMEQTKPLTIRKNPPLAP RANRIIPVVVGGPQDTFVPNMIRAAVGDVIQFQFSNGNHTVTQSSEDSPCQPLQATQS GAIHSGHIPFEDGQTTVGTFNVPLTSTDPLFFYCATGPHCMLGQVMAINPPSEANLVE YNKKANGALANIDAGPATGGTVGEIPLADAAFTPAPPEDGAPPAPPADTPAAAPTPPV VESPPAAPPVAEAPAEMSPAPPVEAPGAVPEAASMEEHY FPSE_10304 MEVAQQFILSEDLTDVETAGQGLECLVYKATSPTYGSVVLKVPR VKVYQNANDFSVEAKDLIQQEMYIYRLLQDGPVPVPKPYKYLEQDGYPAMLCEYVDDD GTDVTFEEMGRVAALIHSTPLSDPVFKTIASEIVSTMEQRMFRRICRNESLCRTSEMA SYLGR FPSE_10303 MTLPSFKELLAQLSIIPIPSDSDFTVSTISNTIYHVGQLLIRSA SLKLLLSSTFTLATAAAPLTGSGASDVNTNANTSAASSLSKHSSCADTSGNDDISEYP LAKPSPSSLNSRFQSCIQLEASGTVFDKTEKRRDYEKAKKNFNQQWKRLKDKLHARAD SATKKSLGEAAFDEHSEKYKSLGRHPDQLLPGESSQSQSQANQVDEDDGNPDWETDDE LNELDRICESLNFGESVEQMKIKSQDEIVAVWKPYLQEIVARRVMGNFSITTNFRSPA HVFTRLEMFVWYMTKLEKLKTPKPLNRFWRLKNGYFKLPQASGMSAFLLPSSQGSKIT YPLAKKRRYKSPIWHYLPGPSFLWDHARIEQLGLFETALQHFDGPIMDTFRLLVGDFK PAELVNKREPWLELQVLNLFE FPSE_10302 MSAPAPLRLGSTAPNFQAETTKGNIDFHEFIGDNWVILFSHPED YTPVCTTELGAFAKLQPEFTKRNVKLIGLSANTIQSHEGWIKDIGEVTGGNVEFPIIG DKERKVSLLYDMIDQQDATNVDEKGIAFTIRSVFIIDPKKTIRTIFSYPASTGRNAAE VLRVIDSLQTGDKYRITTPINWVPGEDVIVHPSVKNEEAKTLFPEFRIVKPYLRFTPL AKEKVLPQ FPSE_10301 MSRPSALTCVIIAASLSLFLVVFTFFQESLEVPWTYVHNKAEEY INTNTNTTATTTPTSSLPAQQTQTNIPVLDCKDPYREPGYLYIPADINGTNQYKKTQW IPFSDDLLEAEAPDYAVYPAAGEVIFNATEPEPEFAKHSSTPRQWLPEAIAESVRRKK AINANADTNVDAYAPMKNDGDFGWLWGRRIILFSDSVDRFMMQFFCSEFKHNMKQPKA HTIASCSIPEFNLTFVHWHFAGSMTYRPDWWWMDDMEEIAFEERWDKYWTPMYDQVRG PKNRPDLILWQNGLWDQRAFWEAGEANHEKDVYPMGSRERQLVWQEVRFAAARIRDFV KRISTEFGDAPTMFRSMTMHRESNARDASIYDLERLSRAIAAKAGHEVFEWGRLISSL SMLYKDQTHPGRGPASWLWGNMVLEYLARVGEAGDEKRKPYFDGWDKCHSELQTLAIE I FPSE_10300 MPVSKQIVEDILKTIPSRIRGPGGAIAVLSDGALVDHRVWGYAD FNRRVPMTPEIQIPICSISKQMLCALVVDLERNPTPALKAKGDVREQFETKLKELLPE LAKTGKLKLDDLCNNRSGIRDYWAITLLWGAKPEDRYSLADHNGPMLDSLKSFHFEPG TEYSYSNTNFNIVGRVVEACTGESLASLLNERIFKPAGMKTAELCADSAKLPPPCVGY EGDEIRGYIPAENRIEWAGDAGIIASLTDMIAYESFLDRSVFDPESWYRKIFQPSTFS DGVPSTYAQGLAHTEIGSVGAIGHGGALRGYRLYRAHVPEERLSVVVMFNHEADAATL SEYIIQNILGLQKPMAPALIDASPTWPGFYLDEGTQLAITVTLGQKGQVLVTYANYVE PVKLTDPERGESKGMAAVINGDILRIQRLKENRAITAKRLTLGTIAIDSSPIEGDFYS PEINSTFHCVNQGGLVFGTFDGFLGQSPAQFMRYLGDDVWALANPRGMDAKPPGDWTV VFRRDDKGTVVGLTIGCWLARKIEYVRK FPSE_10299 MGDTPELTSRKGSLGNIELSRFSDINDEKPSGRYTPDILAGVYR GIRKLPSVKLSRSPSRLNLKNSSNSLHSATSSTSSVQAPSELQNPQHVRNLTLQYSLA KPLPKSPPCRDSISPHDSTSPNLPSVPEGEITDLRFPDPSPSTSPLPTPPPKPEGYSY LDSRPSTMESASGSQAQYPYGGHETNNSMGSVSSARYDSQDPNNDLKPRGNGSSSGGP SPNVAQGRSNPVGGPSNGPSTHLSGLMCNVHRTTGREPHALVGATTTILGDKLYVFGG RILSRSRPAPLTSDMYELDLIRRHWTKLETSGDVPPPRYFHSMCALGDTKMVCYGGMS PAPNQPPGADQQQPEITVMSDIYIYDVNTKAWTFIPTQDPPQGRYAHCACVLPSAASF SSHRAPLSALQHNPSTGNPNEGRIGINIDGSGGAEMVIVGGQDGRNNYIEQISVFNLR SLKWTSTQPLNKSWGAYRSVTAPLPPSVASKLGRQQSNGMQRPDGGISQEAREPGASM LIYCNYNFLDVKLELQIRSPDGTLTERPMTGNYSPPGLRFPNGGIIDTHFVVSGTYLT SSRQEYALWALDLRTLTWSRIDAGGSVFSQGSWNRGVLWNRRNTFVILGNRKRSLVDD YNHRRINFSNVCMVELEAFGFYDNPRKTSPLSGFISASSPYAGPGLSLARKAGYQAGG RYHSRASEELGEKSFAMRELADMDILCIGGERIPVNSRIVGRRWGPYFVQLLREGTAT QDGSDAMTLRSGLSSNPLRASAITITPSSRAADSSSSMISTIGSSLGSSGVAPSTGAS SLSTGAMGHEANPTNINAAPTPHSLPPNSRPRCLYLPHTYLTIQALLHFLYTSSLPPP SSPLCTPQILCSLLQIARPYRIDGLLEAVVERLHSLLDSRNAAAVFNATAMAAGGGRG IDGSLNPNFFVGALDPVGSPTATQDFSLGQSGGDSFSSDLQNRTEGLSLNTNVQQFNR PSSDELSATTSQSGSEWSSSEIGGSERDNYVWSGELSSVIGLQKRGLRGLMEGRRMRE RTGTAPGGNGQQRVGLGIAGS FPSE_10298 MPLPLMVTVPAIAASIAYLSVRASVWYDLLLLRCVTFGASRMYY REWADRLNLYYLLENIATKESTADRALLIFEGKRHSYKDVYEQVLKYGQWLKKEGVEK GDIVALDFQNSDTYIFLWLGLWSIGAKPAFLNYNLSGASLVHCIKAATTKLCIVDLNV EENVGQDVRNELSDIRFIVHTPEVEAQVASMEAVRVPDSERSEKSLSAMAILIYTSGT TGMPKAAIVSWGKLIVAGSMAEQLLDRSKGDIMYSSMPLYHSSATIFSFSATLLSGST QALGRKFSARNFWNEVRASGATSILYVGETLRYLLSSPPQYDPETGECLDKKHNVKVA FGNGLRPDIWNEFKDRFGVEGICEFYAATEGTFATFNLSKNDFAAGAIGRNGWIYNLI LSQSVALVEVDWDTDLPKRNPSTGRCYKARTGEPGEMLFRLPSGNPFQRFQGYYGNRA ATEAKVLRDVFSKGDVWFRTGDVLRWDGEGRVYFHDRIGDTFRWKGENVSTAEVSDTM CQHTSVKEANVYGVSLPHHDGRAGCAAVHLASDPTPETMYDIATHVCTELPKYARPLF LRIATELGGGQITGTMKQQKHALREAGVDPAEMSLGEVYWLKGDNYVPFTEKDWNEMN GGKVKL FPSE_10297 MAPGDVKDPSAAPQAQEPPPEKPSDASRRTRIVISFWLLVLCLG VPIWWKTTTIYRANLPLDGMMQWAEGKACRPVFPLQISVKADALQENEAQNLVRLTQH ALDDHNDFSGHHLRLQLAPKGGASPSPAVDDESHVALTIQLAPGESNSASLDSDSAIL NIAYPSNAVPSASSSSSALATYIANELQSTFREEQSIISYLLSTSSALDAKPQGLTPE IVDMLSKRTTRSLRYSPTYHLTFSLFTAGATPGTWDIENAIEEYMKPMLDVLGPIHNF TIDTQVQLYATPGAQSQVLSKEDLASFINAAEWPLSPSIAGAPTVNFVIYIGDQKIGL NSEAETAQSWMFPQWGSVYLLSLPETTAHVSSETLKQPMLTFTGHLLSLLGTPQSGSL PLRLSTLSRIRSADLLLRASSTLGSLARLSLALPSISIPHSVAEGVSSSMEHLQQACA SLGAPSGLLHARIAEEEAERAFFEKSMVGQLYFPDEHKIAVYLPLLGPVGVPLVMGLI NELRGWIKRRRQRAKDAGEKKAQ FPSE_10296 MLPEPTITLSIPSINDGTLLDCRIYHPLSLAANPEAPTWLKHAA VVAHPYAPMGGCYDDPVVGAVAAQLLRKGFLVATFNFRGAHGSAGRTSWTSKPERDDY ATVVAFALHYVHYLDPFKPHLESFLKSEPSTPTSSDIPIGLDTAIASVPRVRPVLLMG GYSYGGFVTSRIPPLDAILQPFISPIVGSDAAEVRLRAEHLAEQQNVILSSTRSAMME GSARGRSKRGVRVGGDESGSRSPRRSTSSARRSFSLDDERFRRGVHDFIAKARVGRHS RNVSQTSPSKVSEEPQPGESLPRITDLTMPRPAYLMVSPPQGIVMHALSMSILPSAFT KNKDPHDSAAEEKLIRNPSLMIFGDEDGFTAVSRFRSLTARLHEKKDSGFRGVEIETA GHFWIEPGVLNQMRDVVGRFAIEVLAG FPSE_10295 MATFTRIADDERPTISVDPSAVISTIDDNIYGGFTEHMGRCIYG GIYEPGNPLSDENGFRKDVIEAMKELNCPVVRYPGGNFVATYHWQDGIGPREKRPVRP ELAWIGTESNEFGTDEFMKWCEVVGTEPYLCLNFGTGTLDEALAWVEYCNSDRPSYYA NLRRQNGREKPYNVKYWALGNEMWGAWQVGQMTKEDYAKKAYQWAKAIKLLDPSVTLI LCGETGHSTWDSYVIKECIKFDIHGLGGSTTASLIDQHSIHIYTASSDHYKNATAPRS AERAIEIASSLIDLARIENGVPPTVPRQKICFDEWNVWDPERAPGEEGAEEKYNLSDA LAVAIWLNVFIRQSKHVGMANIAQSVNVISPLMTTKDGLLKQPTWWPLLLYSKYMRGS TIAVNLRGGEYLGDTHPQWIRGTIETPWLDVSAALDKDGTVNLAVVNIHEDKDFETEL KGLSGKEVEVHSVSGRDVKVVNTAEKEEVGIKESKWNGEGLFKFPKHSFTLLRWKQ FPSE_10294 MALPPKWYQFLVSVFASLGSLLYGYDLGVIAEVIASGNFKSKFG DDPNATGAVVSVFTGGAFFGSMFAGYSGDRLGRKWTIMIGALIFILGGSLQTAADHIN YLYAGRCLAGLGVGFLVMIVPVYQGELCHPDIRGRVTALQQFMLGVGALIATAIGYGT YTSIDDDNSGQWRIPLGIQNLPAVILAALILFFPESPRWLIDHGREEEGLKTLAKLHA NGDVNDTWVRAEFDQIQERLALEHEASAKSYSELFTDKSCFRRLWLAISVQVAAQMTG VSAIQYYSVAIYAKIGIQGDETLRYQMISSVIALVAQFLCMLFIDRTGRRWPLIGGNI LNCITFIIATVLLASFPPGSNNGGGAAGWGFIVVTWIYNFSFSATCGPLSWIIPAEIF DMKTRAKGVSLATMMSFAFNTMIGQTTSVALDDKTGIGWRWYIVFIVCNFTNAVYFWA ILPETANRPLEEMRYLFTEAPLFVPLMDTAKFAAGQDLERRVEKAEQKQELEHHD FPSE_10591 MSSSARMPSRRLLTGGAINRLVDLLLPGGSGITSVAFFAYIAPY LIEVATDVFQRQCVSSIEIQGHDEIFTYVMSWVARNNKLSRNNHRLLASSSTSNEPSH PMRGPPRGNENNEEDDSGDDIDPSASLDVLRSKISLYNARPLHWTPSVGTHWFWYENR VVSFTRTAANETPMPFGLRSETVVLSCLGRNPDILKRIIYNARIEYLEKQRGRTSIFR AVQSHGEMHCWARSMSKPTRPMSTIALEEDKKQSLIKDLARYLNPRTKKWYATRGIPY RRGYLFSGPPGTGKTSLALAAAGLMGLNIYMISLSSPTLSEDSLASLFQTLPRTCLVL LEDIDAAGVAASRVEQQKAKAESAGKPRRPGFGFPMISREPITLSGLLNVLDGVGAQE GRVLVMTSNHTENIDPALLRPGRVDYTIKFGLASFETIKQLFQLMYGTSYAETGIELD SENIEALSTEFAQVIPAHTFTPAAIQGYLLMHQDGPAEAVADVGAWVEEQKRLKEKAE EIEKAEAKEEEDKNESETDNDEKAEAKKNPEAKVKVNGVKLSDLLA FPSE_10590 MSSPRRRSLRLQTVEHNVIETPRTKPISTADIINDSQERTPKSE SPEAPVRLQAAKSPVRLPTSNSKSSRFSCLRGHESHDLADTQIAKRLHWCMRRSTMGK RVGDASRTVLQLTGMWPWEFAAGFLPKKWNISMLEDIRRLLRSVCKSARTNGPGPHTK IVQNFLLGCAKKRDARHPRLQHGDVLDAIDHFSADFYTKREAITPVTTPPVRRRTGQI TVRGSGERSGSDESHDDQDEAIEIPDSESEWEDWTGFDFEDHVEPETATVAVKRSRSP SLGALLEKRPRMTGPSNPYHGTSATILQDPAPSSNVCDNRNVTVVQDASSQTDILPTQ HPRDFADLVDEFEFIESEKQKEFNMVTHSLHEVTTSIQESEANETKLGSEKVEKLCTD VKAYEVEREKIMKGMRFVQEHHEDMAMSADDLEKSIHKYTIRLEECDRLIAQANTDAL DELEAIAQKDFDLRAEEKRLKSRGQELLREVEYSAAIKTMMRLGPSGMATLIAKLETK NVSLIAMAEDIMRQRTVASLGNIFENDGAQEQRQAVE FPSE_10589 MPKHTRGPSSSQNRYNAIPVSLAPSPMYNQQRMTMPNYYGMGTT AGSSLALQTQTQQPTYDPYPTSSAPPVSIPEPVQEPVQHRASSGAWTPQDDQQLLTAR MQGLNWGQIQANYFPSKTPNACRKRHERLLDRKGADDWDNIKLQRLAKEYMAMRKEIW SGLAARTGEKWNVVEAKCMSNGLKNLQSAARAAARRDRLESGALSGYDDDSGISGMGL TPVDELDASYSSPETSVSSIVAPASNSYQQMQPHHMANAVHYNLSAAYAPGYGGHGYS SSVSSNASVGHYGHHQSQGNSPQYMTQRPQSSDMGIGNLINRPGRGV FPSE_10588 MRPPRCLFPAAEILLKAPPSRRCMSSAAAFQPPRIVAAIPWKGS SFFLSNRLFDRSTCLDFVVLRRANGIRSTSASASASTTPDSTLSSSSAADHSTSAHKD HKVAPHRKRQAQRREKAAAEAAAAAAQGEKPLPPDASSLLAAHAASQTSPLRRHLSAC LSLAKPRLTMLVVLTAMATYALYPVPEMLSPSTTETPSLSPLTLLFLTIGTTFCSASA NALNMLYEPSTDAKMTRTRNRPLVRNLISKRAAVLFAVLSGFVGTGALYFGVNPTVSG LGFANIVIYAGMYTPLKAVTAFNTWVGAVVGGITPLMGWAAAAGETATKDGSWRELLF ASDGSSIGGWIMAGLLFAWQFPHFMALSYPIREEYKKAGLRMLAWTNPARNGRVALRY SFVFIPLCLSLCAAGVTEWSFAVTSFPINAWLIRESVRFWRYEGNKGSARGLFWASVW HLPGVMILALLHKKGMWTRVWRSVFGEDEGEWEEEELDEMMSVAVANTNSHMQNQKTV R FPSE_10587 MTTLLDALRQTTQVDCDTLDANVAHQLGPFVDCTSNQAIAFSEI SRPLAGEPLLHHDALVKDAIEKARGTLKEFQGSATFEEFVVQILMVKLQLLIVPNVTG YVHIQTNPKLSYSTDGTISDAHRIVNIFKALAPEFDTKRVCIKIPATWEGLQACRALE VEGITTLATTMFCMEQATLAADAQCTYIAPYVNELKVHFEAGYVDEHKAFDFCREAQA YYINHSFRTQVLAASLTSVDEVMQLAGIQHITISPNLLNGLASTKLSEWDGKLGDYFA QDPANKSWETRDYNALAKIESAWRMAFTRSGFGTSEGKIIQAINYFADCQEKLEDLVR QYAK FPSE_10586 MEKVTDISTSLAADVSDGWLQQPDPPEERVDLMIDPNNKRWTKV HRYFTKVLESDMAIEDVIRRSFTHHQYRMMAPTERTDVKEMQAANTKRYNALLAGRFK GIEDSLATRLTAMYFGLPAAPVDNPLEEIAMMLGLDEKEVPRYNFRPPLHFLTAHDRR NWGKETLKSEEATIDALPLPRAMEPTLSLRGGGDDDDLVGCTDDYTPEDETTALFTDG WTYLYGLYGRLPFVPRKWRSFSKVLRQLLQAKDYEYQDFSLLWYDRQLGKPKVIHDQL PLTKESPAMAFLDEHFADSTKSHRDCCALFIDNIHTEINKGPQHWEPIDGQLQSDTIK IGRSLGNAPNGPEDEVISYAYMAFPKTKVSTFKIGKYGSNQYNAHFCETLNVLFGAPE SSAHHHALFRLVDKKKTKTNWTVPIVYGAMGLPQWAWELLHPVNNPGANWMVECCWLN PGLEAILLSNYYPKPNPYLITRKLHNGAEPFNQAYRQICEMTTEAFDASVCRKIDSVF LIEGHKPKTAGELVIDGFQVRPRRDMKSWKLGIPGTPNEDTPRGLGKKLRESPNFYRT VHVNWRPGHCRLFPGWYSGGDFSVEMPRLSSTVVEFLDAMGELFKLVTPPNLSGADRE DSCFLLTEAPSFDGLQVQDMDSPSYYIGVGATDDDWHDIRKSITSPFVTVSIVKKPKK TSWSTSISKHNLWGLRLDEEALRQNEMNGGGSRRDALQLYDLDGESVDILDGYPSDPV PLEPIDPNNNLSVTTNGKRWTPMSRRRSDAFVPPRLLKIRSKSVGGPEGLHLPFTLVI GGASTPDGEQESDIGILATSRPKFRGFPPPPSEDEDDGNLASGLADADDGRRYSMYGS LFSDSGEEDGGGSGNKDADGDEAMEDTSLPSVPQAGITGGGEGNDDGEYEAPKLFGLP KIPEEREPEDDPKDRPEQAVPPPSVTTSKPLFQASNTVGADDRAHTWATQPSIFTTED QRAWPADAEIGLPITAAPAEKIHRLSGNVPMYSKAILTPTEQAELQHGFGDLRNILLK RTNMCPFQGCSFTWRLDQNEELNIHVFTVHAKRKCPFCDDALFGWWDKNQQIKHLGDK HPDEVKKMHGHGIQKGNISGPLTANAPANPIGEAFAEEIRSWGPQYKLKAPPRLLTYP LLAWYDNFGTTAVKDPPKKCPIPSCSVPHLEHLSSHGVWTHFKNYHTDLEMDACPFCN LSFYAYGEKDKDGVRTFIPRSIDECIMHLDCHVYQLWDLLEPVVDQPPKVNMPSQGNK DFQTISVEKLESMRAEVVAKTVAATLARTGAAATQGVADQSAPPQVSHGHVTDASQIL KRCAYFEKCGAYVGSMTEKQYRRHVRVNHPKEISTLSSSSDDETDGDDQASNDTDDGS EDRGDDKPNDGDDGRDGAGKTAALKFRRLKPTPKPAQVSTPSKPKEKAIPSKPLSPPD PDEMDISTDDNVVETESSVSEDPAVMISEKTPTTKITTPRTPPPDGGSDTNEESGSSV SRGRRSVKAPKSKRKTPAKRAEPDFDEDDTEDNGEKQADDSGEDGEADGSGSKSSKTE GRGRSAKKAGKRRPRGDDDGDYEDEGDQDDDDFEMAGNKGRHYRRRAPSPDWIKVLGP EDPNFDPEDKMYCSKCLRKAPLRRAKSPNRSPIGRAGEIEAHTDKTKCCRIRNGLGST ERLPNRSGWIPANKLPGTLTSLKEDFLNRYPSYMRTMYPTSSRDHHASVWRSDPNNPE NDAWFDVPWPPYEGLPPFPGDWIAPGLPWDDTPEGRQRRAMYIGNRVVDENYQYQSET DSDDDLKPDVNDIPDFQADLNPLKRPAEDEEETSDEPAPKKAKKSKTAATKTPKATGA KKTPAPKKAAAPSKTPAKTRSKAPSKAASKAPSKTPSKAASKTPSKAPSKTPSKAPSK SPSKAPSKASSKGPSKTPSKATSKASSKAPSKTPSRASSRKTTPSKTPSTPSTRPPSE AGDE FPSE_10585 MTSQRSNVARTPAKSTKAAAPTSSAKQRSIVSFFSKAPPSSPAA ASSPLAKPSPTPKQSSCLKETTKANSLPKTTPLSKSKSTPTTAAKQTTPVPDSDAIDP PSSQENLDTTVKKTGSKMDLDALPSSPSRKVRKAVNYAESSDEDEPFQFGGASSARRR PRVRQVVKDEDDYDEEEDEVVQEDSDTMDDFIASESDEDASRSKKRKRPSKSSAPRKR SNKSSPIPMPDLDIKDSIPEEDELMDDIEASTASQWSYDPKSGDKQAVVKPAERLANK DPKFKEKAHTRDPDQRYPWLANIMDKERRKPDDPDYDKRTIYVPPAAWNKFSPFETQY WKIKQNLWDTIVFFKKGKFYELYENDATVGHQEFDFKMTDRVNMRMVGVPESSLDYWV NQFIAKQYKVARVDQMETNLGKEMRERQDKSKKADKIITRELACILTAGTLVDGGMLQ DDMASYCVAIKESVVDDLPAFGIAFADTATGRFYLSSFVDDVDLTKFETLIAQTGPRE LLIEKSHLSTKALRILKNNTSPTTIWTHLKPGTEFWDADTTRRELNTANYFKTDDADE EVWPEALQGLRDDDVIMSATGALISYLRFLKVEGPLLSQGNFELYNPIQKNGTLILDG QTLINLEVFSNSVNGGSEGTLFSLLNKCVTPFGKRLFRSWVAHPLCNIDRINERLDAV EMLNADQTVREQFASQLVKMPDLERLISRIHAGACKPEDFVKVLEGFEQIEYTMGLLG SYKGGNGLVDRLISSMPDLDEPLSYWRTAFDRNKARDEKILIPERGIEDDFDQSSDRI DEIKQQLEDLLAEKKKEFKCKLLKFTHVGKEIYQLEAPKSVKVPSSFRQMSATKDVKR YYFPDLSQLVRELQEAEETHSQLVREVASRFFQKFDVDYDTWLSAIKIISQLDCLVSL AKASASLGQPSCRPQFVDEERSTVDFQELRHPCMMHNVDDFIPNDIKLGGDQAKINLL TGANAAGKSTVLRMSCVAVIMAQIGCYVPATFARLTPVDRIMSRLGANDNIFAAQSTF FVELSETKKILSEATPRSLVILDELGRGTSSYDGVAVAQAVLHHVATHIGCVGYFATH YHSLATEFENHPEIRARRMQIHVDEDERRVTFMYKLEDGVAEGSFGMHCAAMCGISSR VIDRAEVAAKEWEHTSRLKDSLEKAKTGCYIPLGILSDVGALLGDKGGMGDDGVDVLL NAIEAL FPSE_10584 MTEKDETPSGVLDQERNPSQSRDPSLFDQKELDRLGRERPACFA SIWSEIGFIFAVVGSMMVSEYFVSGFNIILPSLANTLEISDSARTWPAAVINLTTAAL LLPFARLAEIHGGRFIFLGGHTWLVIWSIIGGFSQNPTMLIAVRAMQGIGPSAFLPSG VAIMSRIYRPGPRKNFVFSMFGAFSCIGFYSGIFFGALSAQVLGWKWYFFIGAFVCAS IFITGFLTIPKNHGDPTPGLKMDWLGSVTIVAGLSLTVFALTDGGNAPQGWRTPYVYV TLILGIICLALFVYIEGWWASQPLMPAETFKTKYMGRLILALFMCYGCFGLWLFYASF YIESVLHIGPLLTAAWFIPLAAGGFILAVVGSFVLHILSGRLLLIISGLGFLGSTILF AMIPASGKSNSFLYWAFVFPAMILATVGVDIAFNVTNVFITTSLPGHLQTVAGALITS LLYLGMAFWLGVGEMAVSVRKDIKGAENMDARSQYQIGFWTGAGLAVASLLIFMTVKM ESAKSDLTADEKAQREQGRTVEQVQN FPSE_10583 MKVSGFLATLSLFAGVIAEAIDLPAGVPRSVEEFRAKHPYESPV KRSHRKVVTIRHSKNDRDDISTEFYKGLKKANNGGTLHLPKGQTFVIGKPLDLTFLND VHVHLDGEIKFTNDTAYWQKNAFTHPFQNSIMFWKWGGKSIKIYGKGVLNGNGQRWWN EFAGKEILDKDNAYLRPILFYAQNATGLDIQGIHFKDSPCWTNFVVTSKDISFKDVVC TAVSNNATSLPKNTDFFDSLNVEHVKVERAWVDIGDDCFSPKSNATDVHVDTMYCNGT HGQSIGSLGQYKGEMSFVKDVVIENLWMLNGQHASRLKTWAGPDVGYGFIDNVTFRNF YGGNNEYNAFIDSCYFNINATTCNQYPSKMNISNILFENFSGYSSGKYGDAVARLTCS SSKDAVCENIKFKNYNIKTPCGGKPVFLCDGVKDIGVDCVSATSTEGKAALANKCQAP AASVSPFKVRKFDD FPSE_10582 MNPYGLTLEDVGTSKDDYEKAVYLDNFVTEFEDFMMGSSDDAST SKHSDDLYKVDYRRALVFWDEIRPERIQEIKRSLEDTWDPDIETLKELGVSLWYEEGE ESDIESETPEDERARYFAMFLEDLDAI FPSE_10581 MVSSGSVFSETLQEITNTKLQELSKRRSHFEEAKTSILSSIDTE QDAVKRLVALSDGVKKSFAIKLDKDDKVILGRTKFKKLEIDLRNLDRFIGQAKTDPSV SSKMLADWEKLLVRQLDMQALQYQYAWLYGELVTEWLSGDKEKDTEADVEMSEAFEDV GEQAKLQSKIDWETTVFEPASVDTKAITKYLDHLFGVNVKEKKTIAESLHRLRQSVSL HEARISTPDQFTVDSLKWVIAGLYSSDLLSNEKREVLKDFETNDMVLKEIADVLNMRI NALESWTWNSESPVSLEMTRKISGIYNIHMHEDLLQAIFLQYIGVKWSVFFKMAFKTF RKPGSWKSMHQEITRDEKRRLGYYLGPLQTEPSLQSQRDEVNGASYFMAQLMNYETEF INTADGEEEAEYEQFGASLKRKPRMKQTARKSTGGVAPRKQLASKRARRVPDAPPVPV RYSLNTNFSAEVDEDEDEEEEEDSDGEDEGNTRNPMALKQKLLHLLSSEITVNTHLYG ELTAFHSVFEDWNPRLPHDTVLTILEYLGVSDNWLSFFRKFLEAPLKFVDEDDSTTRK RRRGTPASHVLSDVFGEATLFCLDFSVNQSTSSNLWRMHDNFWFWSHDHKVAVKAWET VDEFITVTGVDINPAKSGSVRISKDSNMELTIDESLPEGDIRWGFLRLSPKTGRFEID QTMVDSHVNELHKQLLEKRKSILGFIQAWNSYAAAFFTSNFGKAANCFGREHVDNMLS THKKIEREVFTKLSGGEIKSVAEYLETELGKRFGIKDIPDGYLYFPMELGGLDLQSPF ISLMQVQDQLLEDPSKLLVDFDEAERDAYEGYKENFVNGRVKQWRYSLDDPDWVPESQ HDKDNFMSFQEFTRFREFYCFAHFKGAERLDRVFRKLLKRPKECKVDNDETQSGALEQ LRKESNLRGITGWWDQMDAYWTWVTMFYGRDIVDRFGRLNIVNTELLPIAMVTLFRDK RVKWQG FPSE_10580 MEAFKTHGGVSPIPTVIPEPTHYQTIGHNGVRALWVLFVAMTVV SAIFAILSWNVAVQRRVFYFLSTLTTIISALAYFAMASGQTSYFNCASVRDHHKHVPD THHDVCRQVFWARYVDWAISTPILVVELCLLAGVDGAHTIMAVIANVIFVLAGAFSAV GHANTAQRWGWYAISIVGFVFTIWHVAVPGSRTSRARGTKIARLFGSVSVASLILWIV YIVVWGAAGGAWQVKVGTEVVIYAVLDVLTKAVIGFWIITATRQNRDTTPEIGGYWTN GAGAEGTIRVGDDDEGA FPSE_10579 MSDLLLQTRAVPSLYKNSAKPSTKSNTKAKRPPVSSVPVVNTLQ KAIRQANDTWQWCKDGLTEDQRLKIKQLEERKQNLCLGMQNAESHVQWEAAAKELDSL EGNDEWKRDASTGDYNPELIQERLDALDDARTKCDVHTMMHLIRTALSRDLGGMDNVD LYRHSYTGTKRLIERYVESTIKTIDAVVTQSRLDQSIENRDLLEGILFARQSYGRSAL LLSGGGTFGMSHIGVLKALFEAKLLPRIISGASAGSIVCAAMCTRTDEEIPLLIEEFP YGDLAVFEDPSGQDGVWSNLRRLLTEGSWSDIKHLTRVMRGLMGDMTFQEAYNRTRRI LNICVSTASMYELPRLLNYVTAPNVMIWSAVAASCSVPLVFNAAPLLVKDPITGEHQP WNPTPQRWIDGSVDNDLPMTRLSEMFNVNHFIVSQVNPHVVPFLSKDDHLSPVRKPDH MQQTTGDNYDWVYKMTSLARDEALHRLHFLAELGIMPNLATKFQSVLSQKYSGDINIL PDMGINDLPRLLRNPSPEFMMRACLMGERATWPKLSRVRDRCAIELALDRAVHRLRAR VVFSESQRDLRRLNVTAGNIPLKLPVRIKEGQTIIEPPSEVKDQKRHRRKSGSSLHVA PRQWLLMDTATITDDETEQEERIEMESRRRAGSPVAQRKPSRLKRSSRSQVHLHTRAT LSAVVDAEEVNPTFNFSKPITPPLKSPRGSVSQSMSDGSEQATPELKPQDHITSETEK KDMSEDLPSSDHREDADLSDVDTYKVYQLGEKAMTVDEPPPGPAARAGWILWD FPSE_10578 MRFFQTLSLSALLTLGNAAAIAKDSKAKVPELPNTDYDAVVIGG GPAGLSALSGLARVRRNVLLLDNGLYRNGPTRHMHDVIGFDGVQPAYYRYEARRLLSY YDTVKMENATVVDIKAQKGDYTSFSLSVDYPGQKTKKITARKVVLATGLKDILPDTPG IADNWGKGIFWCPWCDGHEHADQPLGLLAPLDKVAGLVREMATLNSNVYAFVNGTDNS TTQALADKDLPQWREYLKLHNVTVDNRTITEVKRLKSGDTHNADPSLPSVPEFDLFSV EFTEGKPVERAAFLTSFPDEQRSDVGEKAGVTLYGGRLQANGSAGLITNVPGIYAIGD ANTDNTTNVPHALFTGKRAAVFLHVQLERETQAVELAGLPKREAELQERDLWETMNGK RGEMLYAGEFEQ FPSE_10577 MAPIRTAIVGLSSSAKTSWASNAHLPYLLSPLGRSKYQIVALQN SSVEAARKAITYYKLPSETKAYGTSEDLAADKDIDLVVVATRVDVHHSSALPVVKSGK AVFVEWPLAQDVEHAKELVDVAKENNVKTLVGLQGRLAPPVVKIRELLSEGRIGKVLS SELHAAGGTNDRDILPSTLQYFTQRAIGGNTFTIGLAHIFDSFQSIIGDVTDIKSRLY SQRPNVRIRDATTNEIVDRVATDVPDITFATGTLKESPTVQKGAAVSIRFRRGQPFPG EPPLTITINGEKGEIRLRGFGGTALNASGYSKPVTIEVHDFETDTVDEVQWKWHDWQE GNDIPIIGRSIAAIYEQFANGGEELVSFSEALRRHEQLEGMLKQWDADKPQ FPSE_10576 MSYTIKVRVYQTNPNAYFHIVEKGCWHYANGSQWNEQNGILTLA MGGSGTSGMLRFKTEQNKEGFFVAMGVHNYKPWVDTVTGLGDDITCVKALPEYYGNAS DRTRSRESQRTEQTILNIDRRNISTHYKVKEGNNLELDITIG FPSE_10575 MSQSWCFTYAKTHPKVLRGTSPYLYRRSTKIHTSLDMEESETLF VSGSGNGFIWSACRAYNQGAPLFIRPDDVWLAILQSIGRFCLPEMDEALLKEKFGVPR LTDKDFSSPNALSNEMEYLVNRRCLSEERKNSLMPDFTTTRPEDVTAACVSILGKQWN EKLPTDLRFRIGSISMVTLVGQPCDWLGLLDKLKDFEDEGEGVQLFIKRIRPILRRLH YAAREPDSPTARELFSTMVRRTPPDDVWYEMDNANVVDGWIASFYHQNEKCRAWQLAG ESYEPFEKYGSEASDTAGEEDDDEIFYYTANLADIPTGISKMPVQLQGEDNTLDCFMI GGSIAMAYDRNVHDVWMYRPISGWIMSCTEDSEMERKRQDILHDVRKEIRREWRKAQN TFGHCARSTDAIEAVLSSIKHRLGEL FPSE_10574 MGLININTVIGLLAVGANALPQSIPSASAPAAGAEASYTANPNV GPGGNNFKDSAHFRVYAGSAEATDKALEMLEGAFECFVGTLKWRSPGLSFNDQTDSGP LHKTNVYTVGTLSGGAAGVMHSDADSGSAWLEVVNEYLAVPGVTVHEYGHGLHYHQKT WVDQTNTGAWWETLANWVADTYKTSDICAGARKAHNQEAYPTEIELKKLLGDSHQVIV DGTPESGNYYQAWPFLAYLTNNPDDFAGLGQDTVRQLQIQYEKDSNETPLHTLSRVST NATVGDIVGRYWARMAYVDIGHPSAQETFFQQRAEIKFSHVEPSGDGYKPTADRAPRY MGANIIPLQVTGTQIGVKVASEGEFVATVAVYRKGEKTSYTTLVNGAATVAVQSGDEV SVVVANAPAKPIMYNGFELTPEVSEGLDYTLSLTGATPQV FPSE_10573 MPPDQSEDVAVQQPLLSERDENVSSDASRESRTRGAFTRNLGAV EAFGIVVSIVIGSGVFTSPGSIDSNVPSPGIALVIWLIGGILSWTGASTFAELGTAIP GEGGVQPYLQHIYGDIWGFLAGWTWIVAVMPATLAILSIVFVESAYSAAGVINEDDRI EHKLLSILVLVVMSVANSISTKASTRLNGFFVVLKFLSILVVVVAALAVVGVHVAHPN KEVGGGDWHKKSWFKYRDTYNPNGPDTDWSKLSQWEIFGHYSAALYAALWAYSGWDKA IYVSAELSQPARQLPLAINTSIPTAIICFLAANAGYYILLPWNVVSTTDSVAVTAITR LLGQGFGIVTAILICLVVAGSLLGNSFVASRMCVAAARKDWIPSLFTIVGRVGLKPAE EETASADEPSKDTGDAPINAVILSVILASFYILFGSFRALLTLNGLGEYSFFFLTVLG AIILRYREPDLERPYKTFSINPIVFVIVSGFVVVRGAIFAPVQAVVIMAIWVLGLVFY KARQYWASR FPSE_10572 MAYYPMEMRSGCGICGTFMEAHLGFSPEDWLNDVFAIHGPRSPG PESPGPESSGPGESELLTWYDANVIPFGHFRDLSQLIGLSTTNSNVYYGFHKSCISIA HQFIAPSNTTLKSFCDIWFRLTQHYAGLMGPYDHRLYYLPYKIHPLFSTWWFYDPLDI PRLTPHLLENVKCRRVSPLSAETSRFRNNLSSLPQEVLDEITDYFRCGTEPVGSQPTY LLPQHFWKQFIVKIPFLWDLEVRRFQQFTDWSVDSNMEWDWELLFRQLMERPVAVSTN DNTQEAKSFEFWDYRQTGLEAPPGLTNRRRIWQIFNDMDEGKTFVWRDGWLL FPSE_10571 MGFKVLIAGAGPTGLLAALALQRAGIEYKILERRKEADLNWGAS VCIWPHSSRILDQLGLLKEAYDLHLPMAKKCNLRRDGSVMSWSDMIKNIGEYHGHEWM LFQRGDLVNLLKENLSDITNQLLLDKEVTTISSKPMGVEVTCADGSTYDADILIGADG INSNTRNFVNEETKESETKNEDFKTTFYGFYGHGEELSTDLVEGTDYETHSTGFSAQL IMPTHKKYFFTIYLKLDKPTTGRHYITAEEAGELAKKYEDVYMAPGITFKQVWESKKW FYTAPFEEGVAKTWSRDRVVLIGDAVHKMTPNIGFGLNTGWQSTVVLINLIRTLILEN QNPDINDLTKVFDEYKNIRFAHVTNDVKLAGTSTRAVIWDNFIWKFLDQYLLPYINGD TILAKHMCCPTILKAFTLNWLPEPNFKEGELKWHNRPVVVKE FPSE_10570 MHNERAAMHKERAANRKAAFDAFGAKMAAERAAKATLKAGKAYL PGVEYNRKHAKANAVTAAADRAEAEARARARVIVNERLEFLMDEVIGQGTNGVVGAES VEANKETELLDDLSQQIRGTKTTDWLKKTVNQFRRIHHARRRDKDFADATESQYNILR TDFIVKWHTMYYGLMGFLPVPYNQDRETLRHADLDRTCGNNQAETFPGAVSMSCYENL LESSRIRWMRMIADDQQDRESHVQWRKMISPPSDGHIMFRVSKSVVHRQSPFSFPTHA YVRHRLYRPPNEDKAGILLQSVILSSTLFTPMAYVKEYGYFLHPDLSYNRVLYPDQWK PLCNTLYKYWEEQEKVAKSYEVLVDSTFNTDLDELEAKVPPKDSDPTAMTSDELQNLF DDGITGVNQRSRSFGQPPTSVLNKMRENMAEEHSSNEQPDDQFLQGLRHDIAQWEVKD EIDKSREIPSDADITPEAGTPNDAMSSPKNNVPVKAKKGNRLKW FPSE_10569 MPTHFSDDPPQVINQNDLHLANSRLEEHNGALDGSTDHSSDERS PRPNTNPNGAHLEKLGTYDKYEITEDDCYDELGYSFPTWKKWYILTVVFWVQVSMNFN TSLYSNAIPGISEEFGVSAQAARCGAMIFLVLYAFGCELWAPWSEEFGRWPIMQASLL LVNIWQLPVALAPNFASILVGRALGGLSSAGGSVTLGMIADMWESDNQQYAVAYVVFS SVGGSVLGPIVGGFCEQYLAWRWSIWIQLILGGFVQIVHFLTVPETRSTILMNRIAKK RRKETNANVWGPDELVPFRERFSAKEIMITWTRPFKMFLTEPIVLVLSLLSGFSDALI FMFIQSFALVYGQWDFDAVQVGLSFCAIGVGYLIAWLLFVPSIKRNVKERREKPDDER AQYESRLWFLLYTAPCLPIGLIGFAWTIQGPPMHWIGSMVFAAIVGIANYSIYMATID YMICAYGPYSASATGGNGWARDFLAGVLTLPAIPFFSNIGASSGKNLEYASTILFCIS FVLVVAVYVIYWKGPALRARSPFAQRLAGEKKDNAEQGRRGSVAYDARRRSSAGTITD PERPPVAARPSYGARQYSNSNRFFGESRVTPRGTPRGTPSASRRASAANIHRHQ FPSE_08018 MASSNPKPTNSLLYKNFQKHPAALIESTEGIFLNTSDGRRILDA TSGAAVACLGYNNKDVQQAVVEQLVNVPYCHPGFYKTKAAEDLADFLVESTNGLMSKA VLCGSGSEAVEVALKLAKTHFSHLAIPQPERSHFIARVGAWHGATLGALTLGDFKVRK DPFVQLISQNSSQVSACSEYRGRKEGEDDEAYVQRLAQELDDEFQRIGPEKVCAFVAE TVGGSASGCAMPIKGYFPAMKAVCEKYNALLILDEVMCGMGRTGTLHAWEQEDVVPDI LVVGKGLGAGYAPVSAVMLNTKLVESFQKSGKGFAHGQTYMAHPQAAAAGLKVQQIIR DENLLAHVQTMGDYLGTRLKERFLPMPFVGDIRGRGLFWAIEFVTDKKTKMPFPYSLG LNSMLHSRGMNAGYEIALFNANGGYDGYSGDHFLICPPFIVTKADVDEIVERTARVVE DTFAELVNSAVWEKITLQMEIDNKCEVNAVDAPPAVGVAAQ FPSE_08019 MEIPFLDHVFDGSDASHASILPFIPYLFPGVAEADIDLRIQALA QGTTNSLFKVTNQSLNQDAVLVKVYGDGTDITIDRNKELRVHKLLADRGLSSMPLCRF SNGHAYQFIPGSVCSEGDVSKTEIFRGVARELARWHALLQPVNLQGARKELNYEASVW STANKWLNAISNSSKRSKAEIEQLQERFQYLTDKLLPTDVMPEPLVLGHGDLLCGNII VQESDDGMEAANGTTHVATVRFIDYEHATYCPRAFELANHFAEWTGFECDYTRLPSTS TRRAFVHEYLKTHADLGRQHQHRRDCHVTDCDRDCDLARKTGSDLPAANDAQVEKLMR QVDDYRGFPGFYWGLCALIQAETATGTIDFDYAGYAEKRFAEYEAWRRVQDGIVGSDE EMPLREKNWALP FPSE_08020 MAGWKPARGLLSSIGADTLWQSSRDLKILILLRFIRLLGYGGTT LILALYLNALGFQDNQIGLFMTLTLVGDLAISFVLTWVGDRVGVRWTAAVGALLMCVG GVAFAYLENFWLLLLASIVAVINPSANEIDPFKAIEESAIARLSTSHTCNEMFAWWSM LGMFGTAASNLLTGWVINALEDAGLEKVSCHRVVFLAYAAIGLVKLICSLFLSADVEQ VVEQKEHCPTPPSGFHPVESDEEEDAPLLTENIPNYGGVVNDLDNPESQAKVIADVQE KRLFTPESFDFMWKLSIAMGLDFVGSGLAQISWMIYFFKREYDMPEGALGSATFTAGI ISSVLNLASSPLSRAIGQVQTMVLCHTINSASLLMVSVPGNKYVALVIFIFRIVTREI DNAPRQAFISAGVLDHERTSAMGVVNIVKTIGSCLGLYVTGLFAGLDQFWLAFIVAGA LKLSYNVLILVFFWKRR FPSE_08021 MDRSNDARGLERRKGCDFCDEHKYKCDKKKPKCSKCELHGILCQ WKSKTSNCQETPIKRALSTTRLVKVEPGEEAATSNQTDPFPLPPCEDVQEYIDNYFGI FNTCMPLFDDQEYQNFSRKSHTWVPGGDYNKWASLNVIIALAIRNTPEDMKAYCKETE SNCIANAQSAISKFAHQPPTVLNLQTTLGLALVLMTGPYPQSASSIMRLAVKMIYELK LHLPSNKPFDNQERQHNERLFWIAYIIDRDLSLLISEPHLLQDNEIGLNIKDMVCRVG LGNIMNDGVDILEILQARAELAVIQGKLYDLVRSLKASKFSLAQKQSAESRLYQMLRA CRMYLSEPRINIWDTPAELDSRSNWLHEALLHLTYYRCLYSVANVSVGNRDWMQKLND FSDRYNAGGDMGVLTSASQLLPSNWRYLVESAHTCQEEVLDGIHIPADRALKWISLPV FEATITVLAVQIITFPVRNLERTSPDAVNPIERYETDEDELWLEEALRTYNKFVDGIK DPQVLECYSLCEDLASKAENTLETFFNDPTSDVPDTENNGLVEEADPELYMDS FPSE_08022 MEELQIKPSGIFMQQDFVTPEHEQKLVHIFENELEWPTRPGRLS LHYGYTFSYKTFGIDEETPFKPFPDWLVPLLPTTESRPPDQVCLQQYAPGTGIPPHVD THGPFDQLYSLSLGSPLMMQFANKETGEKIEVDLLPRSMMQMSGDSRLHWTHGIKSRK TDTLPDGTVRLRQLRWSLTYRWLRPGAECECGNEKLCDTAQRRNGVEREYRWKQYEED AKKDETVKAV FPSE_08023 MARNQSLFSFLLLTFLSSVVSAQDNSTNPGDVNPVPPVGEIKTE DPISSPLTEGCPRPCSEAGADPVNWTQLHSLEELAGCDLPMLFALNVENDYSDSATLY TCVTSTSTTTRRELGSRVEARAAETSVSLSSNCGAEKATVKTSSAFGRSGALRSGNDV AAATGLLADYLDNGAVCGTTIIFAKSGSSIAGLYVGAEVQKGSASSILRQSQSSLQKG VQAFQVCDASDKTAQTLGFFAADAVTGLESVQAAARTWSNGKCINIAGASKSSINLGI LTTNIVKARSVELQSRFAGVDNLLAPRADCRAIQVVSGDSCASLAKKCKVSTTNFNKY NNKKNFCSTLAPKQWVCCSAGTLPDKTPQPSKDGTCFIYKIKSGDGCYSLGVNFGITQ KFIEDVNKNTWGFNGCTRLQLGQPICLSKGKNPMPMAIAGAVCGPQKPGSKKPSSAKT GWDLAGMNPCPLNACCSGYGFCGITSDFCTNTTAKGAPPGTSQVNTPGCIGNCGTNIV GNTKKPAKFLNVGYFQGYNLGRPCLNMDVTKLPQLTTAYTHIHFAFAGLTSDFSVLIE PATREQFIKFKEVKGSWKKIISFGGWAGSTDASSFQIYRDAIKPANRNKFAYNVMTVL NNHKLDGVDFDWEYPGSASSDGSSTDTANYVEFLKIMRSKIGKSGKTMSVALPAAYWY LKPFPVTQIAPLVDYMVYMTYDLHGQWDYGNPTINSGCPNGNCLRSHVNKTETLDSLA MITKAGVDPAKVVVGISSYGRSFRMKDPKCTGVTCQFTGSFSVSEAEPGVCTGEPGYL SDAEIRLIEYDAKKGKAGVTAKTWYDKASDSDIMTYGTKGKGMTDWVAYMGPTTKLKR TQWAQGLNFGGVVDWAVDLETWFSEKP FPSE_08024 MQSILQKATGIGPQVIKPENLQGRVAIVTGGALGIGYEVSRALA HAGCKVIMVNRKEEQGTSAIEAVKNEKHDADIDWKECDMGNLSQIREVFGGFRESLER LDFLVLSAGINTNQFGLDSDGIERHFGVNFLGHFYVCNQLWPLLRKTSKMENVSPPRV VFEASEMHRLAQLSNVQFKTKEEINDPDLDPTARYNRTKLAMILFAKYGLAGKVIAEN KDKIYALSVHPGAVNTAMQQQWKDAYPGLTGKLLSWTMQAIGRDVEQGSYSALWALTS SRIEEEDLNGYYFVDPDKEGEESSQASNSELASNLWDLSTSFIKEKLGENALVDWNGS FPSE_08025 MSNLPTKDDIKAQAVDGRPITQTEAAAIASEESSLTGGGPIKGG AAATAQSMHDRQKNFLEKAGDVVRKAPTEARAKGGPPGKGSTAADVQSVADTNAQA FPSE_08026 MSALTSIQFTPILLKGVSAVFLYQGGDHVVQGVKKYLSPDDRAK LPSDIITLMDSQYRFLGGMYMGLGAIVGWITFDISERHVPLAVIMGTIVLAGIARAVS GAVFGWAFPWLRRATIAEIVVPPLIYWFGIRKYI FPSE_08027 MTDTYDLRWKVLLLGDSTVGKTNIVNQFTQAQFDAESKPTLGVK PTNKIVQVGSDAVKVNIWDTAGLERYRASVNYYHDAVAVLIVYDVTNRKSFDNAARWL QEIRTHGEPGITVMLVGNKSDLGNLRVVETQRAVRTEEASLFARENKIPFAEISAKYA SQVETTFQTLFNNIRKKISAGSS FPSE_08028 MPERKFQLIDDYNISRKYHGINIPKQWQAWETAHLFRVKSIAEQ PEVPARLISYGCNNGDPDALNCTTTCANATLMYSKPENLWNCMTLATLGMLVGPGNDT IDRDNEKEMDDIFHFGTVEKFNTLNVFTKVRNCAWASCSDSTYGHCTSSLHDFKCSPI KPTNIEKFGRVMAKPYCQAASAGIDLDIAGQGIVTAYIIQLVLVLFLCLCFKLATSWI RTFGRVTTSFRKGSSLRDTCQQIQTRVTKTKFANAASSAMRDFQESQALFAATVSITA IITFDGGNKAGLANMLTLFSWMFNHRILQGLITAGMYPVLMAQLVMHRAGERRFYTLF FVVLSWIFMTIITEFQDFNADAFEQHLKQVSAVEACGNMPGPMSFCQGIKEKKSYEFF ATTLACRYVVHVIMSCLIIDYVFYFIKTRFSKDEVKYTQVGGAAPSFLTFTETRGARR GFGIFWAMVEVITVVMIFISLHEMVQLLDMHTAEGGLSNWGFGQLVAVAIWFPVVIKF LCLNIVGPRKEVKQTDDKQNGTQVFELVSHPYNKDQPIPGPIV FPSE_08029 MNTSDWLTSDALFWLVMLAITAGTRSSGIARLRSQDIKSDSARC TRELPKCGECKPWPVPCTYSRDIPDTGTSSTTTAPLANTKKPNDVQLQLQNIEKAIRD LNDVVNKAVVAIKEVSSTSRKAETNLQAAPAETNDQNSRLAQGYQLIGKFLESVCLGD AFFTAPSEELVLQVIFKPEGVSRKAWIVYINYMILAMLSEDQSTQAKGFRHNVKLALN DSNIFLEPHLVHLQTLILLAIHGEDYASPSLSWMLVGHACRQAEALGLHLSNGSDFET HQRRLSLFWMLFAVDKSCSLAFGRQCFLPSTTYAKVALPDLGHLTRFQPRSDSADRPP KSSIFGAHMFLARMELARLEGAVLHLVNSEVLGTSRNQLRADLTEWHTRTNQVLHNIF NTERASSSPNQLREMSLGISTMHFEYLHVFMVLTRADASSAASRHEAARDAISLLPSL VSNWTSIYNPMTWHLLYFPFIPFFVIFEDLVQGRASTAATKKQHDIELLSTTVSYYSS LRDQFQLLAPLCERLKKVADVFHRFAVVLAGDRGTLGQDKELLQHFPDGGISHNRTLD RNVSITFEEIRAELANEIGDDLKQYLEWLPADILSVPSGSLGDVSSGNMTEETFNNFP TGVQEEGPRGTKRPFDVMFDWFAWDS FPSE_08030 MSSLASQTPIAIIGAGPGGLTLARLLQVHDINYIVYERDVDPKP RYINQGGTLDIHASSGQLALKEGGIFDQFKSIARWEGSRVCMQNPSGTLKSVFGQDRD APEIDRLQLRQMLLDSIPTDNIRWGHGIKSVQKGATPAEHVVNFDNGTSASGFRLIVG CDGAWSKVRPLVTSAKPEYSGKVFIEGSISRDNPSYAAAVEDAGPGALLAMGQRKMLA VQQLSDHSYRFYVGMDAPEDAYKKHLTADDTDKTRRDFLSSSGFFANWAPELKNYIAN AEGPFHAWPLYRLPVSSLAWNRVPGITLLGDAAHVSTPLVGEGVNMAMLDALMLSKAI IKCNSRADIKESEEVKLENALEEYEKEMLERGLDHISRCMAREEEFFSENSAEDFINM INATMGNGKE FPSE_08031 MSDMSASVEPTAKGFAVCGYEKIEYDFEFLDGVFNPANPQLYQL YSPWGRCLAVMDLNIFNLYGQEMQRYFDHYGIPLTIHKTMIGEKAKSMDTLLSIVDSM TDFGIYRKEPVLVVGGGLVTDVAGFACAAYRRNTNYIRIPTTVIGLIDASVSIKVAVN YGRYKNRLGAYHAPSHTFLDFTFLRSLPVAQIRNGFAELIKISTCAHKETYDLLEKYC EQLIDTGFGRSDEASPEIKVVADKICRAGIHEMLKLETPNLHEIMLDRVIAYGHTWSP LHELVPETPLRHGHAISIDMAYSATLANIRGLLSSNEHKRLLNLFSRAGLSMDHPDFN ADLLEKATAAILKTRDGKLRAAVPINPMGDCVFLNDVSHKDMVAALEEHKRIMKSYPR EGAGLEAFVDSSDTGYTVNGAPVENGKANAHQVAMNSIENSKLHASGPEGVDGLQQES SGSSSSDEDYVLGNSKTNGQQEKGMLSEVKEKIVGLQNQVAKAVTVQSQ FPSE_08032 MANPDTSRVMQSAKNLCLLILSFIFLPATLLFALLAFLWNRLTS KPPTPTANSENDQDNLDKTTILVTGVNMAKGLSLARMFHRRGHRVIGADCYALSPGRV SRAIDVYYRLPVPSDPSKTSMNDPYLNTITEIINHEDVDLWVSVSDVNAAIEDAAVKE IIEARTSAKAIQFGIEDIRRLHEKDAFIEHTKSLGLKVPHTEAVQDKEDVINFLRRNG GLELKPEARQYIVKPVGVDDVARFTMPLLPLSSEDATLARVDTIPFDTAKCSFIIQEY ITGPEFCTHALVIRGRVCAFVACRSADVLMHYSALPADSPLSKAMLDFTLKQAEAGGE NFTGHMSFDFLIDKEDEDATRSGIEKEVTIYPIECNPRVHTATVLFNNTPEIVDEYLS VLSSSSQPRSLSKPPLYPNNPQDYYWVSQDLVELVLCPFYHTLFRGTTGLSHVSKSIH AFSQHLIYWKDGTFESWDPLPWLWMMHVYWPVQFAWYMSTGSVWTKLNVSTGKAFQG FPSE_08033 MPRTKTREPRVRRSCERCRQKKIKCPAEKPGCSHCRIASEICTY LPRNHVNNSNPRSFFRPLKAQQNVVSPSTSVESHSRDTEYSSRVDAQDASSWHSRGGS SNSIHQQLPPITAMLSESPSWSPANPEPPADLLSDFVGAYREKLYFQPLPLFDPRRLQ LKIGTLPHYLRWSFLALTLHFTSHNFYYGLEAKAIEYYTTSARSIVVDMAAEGLTQLE VMQTLCLLALCDHIVRNPAGKTSRAWMMVGMAAKLEALRLSHSKAEPSSRQSDDAISR CHWSIAILESTFIPNCNTLTDITGAPAYPKSVSRPTPLHSSHGMKSYCADLTDAYEGN IQDVGINATCLGYISVWGSIISYLRDIRNGANEYPWLATSRHSQLTVKLYELENITSH RHLIRNAPFPDQPSEDLSKHREYWAPWVSFQIMMHATQAVLNNPFVQLVALRRAGRNF QPRSFLQNTVDQALFHAEWVSRLVQMCADRQFEVNDPLIGQAVAGCVSILWIFQFARD RKVSEKAKENLITCETFLGHLARKWPHIAEKLEILRTLNVKVAKQQQIPQEDESTSAT IKFEPDMMWELLDPAMSGVDWASLCSSSKGKGSHATTSATIRVATKFVHPINNEVESA SVPDPEHNLFDLEDIYGEPFLDQFFSDSLLIPSPSSETENQFRIRIKPATNMRAEQTI LAFNSLFGAATTPFGCGEVNVFYTGLPGRHQYVTSQGYDAKLVEEQIFNHTRELREAG YNVRAVWRGPEMPPNEFVDQMKDVHWHAAGIGFGVRGSQIPDVIGLFEETIDIFRNEA PDAKYVFNYNPLTFLWSVKRYFPLSSDCKDQPGKDLAVGICDAL FPSE_08034 MCNQRTEKWVCKYCEKPVKEVTYLTSKCESQSDTGVCKETGKTC YETIDIMCQTIETTWVCATCSKTILEKKSKKNVCESSKKGGICTQASPTLLDYVYVTD ADCEICKRMN FPSE_08035 MCHTSAYFVRCSTCKQDIDHKLVVDSKCKNKIHGRINCSIIYPV NMNVEWVDYTHCLPCYAKRDMLDTRMQATIDADAQEAIDNPHKSDSTSTMIGNQLQND IDSVVEMEKPERIANWLEGHPDLLLEEVYDHDEDLVVEGEIVPIVRGRGRGRGGRGRG RGARGRGRGRN FPSE_08036 MAPSFMTVHFDDQNPNSEKGKEAGARRSLAGLDYSPLPRITGSF GYDTGQISGFLEMPDFLDRFAQTNSNGEKAFSTVRSGLIVALLSIGTLIGALVAAPVS DRIGRKYSISGWTWIIAIGFIIQISSNRDWVQIMMGRWVAGLGVGALSLLVPMFQAET APPWIRGAMVCCYQLFITMGIFLAACFNYGTVTHQRNNSASWRIVIGIGWVFTLILGI GILFLPDTPRFDYRKGKIDRARDTLCKVYGATPNHWAIHTQMEEIESKLRAESQIKGN PVEEFVRMFQAPRMMYRILLGMSLQMFQQLTGANYFFYYGTTIFASVSIDSYKTQIIL NTINFLVTFIGLYIVEHYGRRKSLIAGSTWMFICFLIFASVGHFSLDRENPSSTQGAG VAMIVFACLFILGFATTWGPMIWTIMAEIFPSRYRAKGMALATASNWLWNFLLAFFTP FITKDIDFRYGYVFAGCNVLGGLIVYFFVIEGQGRTLEEIDTMYLERVSPMKSAKWIP PPPEEMSRIRKEAGLDIETAAPVHHGSSDDETLARPSGVTDGGHGQRKEENAGATHRE FPSE_08037 MYIRATHAETDLRVLRRLIHENPLGMLTTGIKSETHSFLQSSHI PFLLDVQDESSETELGRLRCHMARQNPQSKAIIEHCTSNPGLKNYLEDEVLIIFTKPA HHYVTPKFYTETKPATGKVVPTWNYAAAQVYGKARIYYENNEETSSFLGKAISDLTDA SETKIMGYTGGDRPTQWKVADAPEKYVELLKRNIIGIEIEVTKLEGKFKMSQENGKGD REGVVAGFEALGTVVGDEIAKTVQERGR FPSE_08038 MTLAGTKPAPPNKTASSAIHVEQNLPQHVADTEKPWQAIAKYPK VLLYAVLINIGPLLFGYDMVIIGAVSSLRQFKMDFGEPHGEKWVIPALWIALWNSFLQ LGAGSGAFSAGVCQDRLGRRATVLLGGLLGCVGAAVSFTSCMPATMLNRRISFMMAKF VLGASCGLLMSACQTWISETTPKHLRGFFLSFYGFNVSFGHLIAIAVVFGGSNGAGRD SYQIPFATQWAFGGWAMVVALVLPESPVWLASRDQIDKAQRSLERLGASDMLPQIMRT LEEERSQNVLNEGAPTYRECFRDTNRRRTFLAMFLTSIQQAIGMSLIANAAYFLTMAG MPSRYSLMVNLIGISSTMVAGIISWYTIPRYGRRRMILISHFADNAAWLAMGIAGCFS TEAAKWMVGVGLVLVGFFNSFGVATAVPVLQSEVSSVRLRSKTSGIAFTCQSLSMWAF NFFTPYMYNTDQLNWGGKIGFFFFGLGSISFVVSYFAIPETKGRTFSEIDYLFETGVK HRMFHKTVIGGGEEMDEDDGGKSKAF FPSE_08039 MLRPQANRARDLVSLDGVWNFALAKSHDIETEQAWTKRISPELQ VPVPASYNDIFADETIRDHVGWVYYQRQAVIPRGWVAPQRVFLRVDAATHHGRVYVND KFVVEHIGGYTPFEIELTGLVEPGSEFRLTIAVNNQLTWETIPPGRIEVQSDGSRKQS YQHDFFNYAGLARSVWLYSAPKVFINDISVVTDVHEDGTGIVEFDIQTSGELQADARW RILLDDEEDTTVCRAQEPHGKLEVKNAKYWAPGAAYLYQLRAQIVCGEQDEILDSYNL HVGIRSVEVRDGRFLINGKPFYFTGFGKHEDGPVRGRGYDASYMIHDYRLMKWIGANS FRTSHYPYAEEVLEYADRHGVVVINETAAVGLNLNIVSGMFGNKQLATFSPDTMSSKT QASHEQAIRELISRDKNHPCVVMWMLANEPGASEQGSREYFEPLVTLARSLDSQKRPM CYSHMIHSKPDTDRIADLFDVVCMNRYYGWYTQTGNLKAAEVALEAELRSWQEAYSSK PIIMTEYGTDTVAGLHTVCDVPWTEEYQVRFLDMYHRVFDRIDNIVGEHVWNFADFQT SAMIIRVDGNKKGIFTRDRRPKSAAHALRARWTGPVGLRKINVAEQ FPSE_08040 MTAPSQKAVSIRPAILSDVSAITELGARVFSVTFGHSVEPHELA AFLEESYTEKAITSDINDPNKDVIVATNSDNEFLGFAYLTRGSTEPCVEDLEKTIELQ RIYVDTNSHGAGVGKALEKFIEGMARDQGFKHLWLGVWEENPRAIKAYEKWGYKQVGD HDFTIGSIVQRDHIMVKTL FPSE_08041 MSTTTITETQAQRTVPISLEANKYPEPLTTSGALDKFNFEESTP VIGREYPNVNLVDDIINVKNADELIRDLAITISERGVVFFRAQDNLTDDLHKELVQRL GQLTNKPSASTLHIHPLLNGSNEFGVEDNEISVISSQSHFFQDREKDRDDRKQGAASW HSDIQFEEYPADYTSLRLTKLPAGGGDTLWASGYELYDRYSDPYQKFFEGLTATFSGD GFLKARDARPDHFKIYEEPRGHPENIGDALKTSHPVVRTNPVTGWKSLFAVGNFPRRI NELSARESKELLESFYKRIEENHDLQVRFRWRSPNDIAFWDNRSAFHSATNDYDGLGQ REGHRAVGIGEKPYLDPNSLSRTKALRNKN FPSE_08042 MKCDGYLPPKPRAFKGTRKKKEPKTQAVTVVNPPIQHFDQTPLL ADPERLHLQHFLHWTAKQLSTSSAATNFWLCYALPMSYQFDAIRYSMIAVGASHRAFM SHSLGFGRPEYLQRPVIQHYNRAISSILPMMSSPTQGNMHCILICCMLFITCEGLTGR YDELLKHLAAGDMMLRSLQDPTTPEESTMTNKLVSIFSQLCLESSGFMKDPNLSGIQK WCSKDVKHSANDTQPFDSLDDASHAIHKLRLLHDFAPQDPDRENGRKNDKAFQDALNR WDMRFRDMAERDIFHWTDEEMSQYYSLQLLQRYFQMYIDSYADQEWENPTDQTILPFL ETAELVAAPLIGMSQPTYSLGGCLVPGLSFAAFHTQDDALRGQILDLLRRMNHRQGIY DSNDVAEMHKLAAMDMGDLGSCCSDSDGESVYEELDPPLTSPIGIPSMIEGLARKAGV TSKRLECFS FPSE_08043 MSGNYYHQQPEYQGGGYQQGGYPQGGYQGSHQQGGDYQQRPPAD YQQQGYQQNNYQNYQSGPPQHQQSHSPYPPQDRAFEPSPSPHPSYHQQEPSPYQQPPQ SFNQQGGGEASNYYAMSNAQHQGQGQPDAFTPQHQPGGQFEGQVPGEAGDGERGLTGA LAGGAAGAFGGNKIGGSKTSTVAGALLGAFIGHKGQDWRRDHKEEKKEEERREEEEEE AMKKKHTAEMEGWGKSRSRSRSSSRHRHRRDHSRSRSRDSDYRRRRRHHSRSSSSSSD DDHHRRRRHHRGHSRSRSSSRHRH FPSE_08044 MVHVDNEEGIPHYWGKTGKGLQKLITIVATTDFLLFGYDQGVMS GIISAPAFTEDFPQVLDETYEGFVVSIYAVGCFLGALFILNFGDRLGRRNSIFLGAII MIIGVVVQIAAVPPGGGATAQFIIGRAITGIGNGINTSTIPTYQAECSESHNRGKLIC IEGGNVAIGTLIAYWIDYGCTYGPHAFVWRFPIAFQVVFATIVLVMMMKLPESPRWLL THNRREEAETILAGLNGTPRNSPEVMAQIETIEKAIAATGNKGGKTPFSALFTGGKTQ HFRRLILGASSQMMQQLSGCNAVIYYFPILFQKSIGTDHNMALLLGGVNMIIYSIFAT TSWFAVERVGRRKLFLIGTVGQCASMILTFGALIPGTPAAAKGAAVGLFTYIAFFGAT WLPLPWLYPAEINPLKTRAKANATSTVSNWLWNFFIVMITPVMIHGTGTYGWGTYAFF AAMNAIFFPIIYFFYPETSGRSLEEIDLIFAKGYTEKISYVTAAKQLPRMADHEVADK AREYGFGSDDEKAEASERENGVVV FPSE_08045 MTSPSSIKVLLVTKTRGYRHDCIPSTISAFKSLPFTVTATEDTT DLLSLSNYDVIALGHTSGDFLSEEEVESLAEFVHNGGGVVGIHAATCGMLLNTRYTNI LGQVFNGHPPPEWITLEVESADHFINKFDALPGTDAAPDSAPTCPFNTESLSATQFPW FDEVYTFKSHPRIPHNDREILLSIHQTTTENDERQSFPLSWTQNVGQGRVYYTALGHF DEAYHNSWYMETIRRAIIWVAKQDQ FPSE_08046 MGNHISYTASECEVSLDNAGSFKGLQFDSKSRRFAGVPYAQPPT QNLRWRKPQPFPKNHIYGSPFDATQFGPVCPQANYSKNVSEHIPKHAYSEDCLRLNIW TPIPDPDVPNPKWPVMVWFHGGWFQVGDPSQEESMDPTELISTGKLQAIFVAVGYRLN VFGFLAGEALVEESGGEAVGNYGLWDQRLAMDWVYDNIAAFGGDPENIILAGRSAGAY SVLAQTLYDFRGTDSQSRFTRMIMYSNAIPTQPKSVQDCEEQFYELCDFFDIPQDLKG SEKLDRLRNISSDDLSSAIMELKNHTFRPVTDDLFIHSGIFDYYRDGSFAREFKKRGL KLLIGEVLDEDTLYAVTNPPDPDVESLRVQISNYFPPHVTDRLLKHYALPQTKDKEAW QKIFGCIVADGQVRAPSRYLVDNLVRNGVDIKNVWRYLIAYRLSFINNNVAPTSFGVS HAMDRPIWNYSITHNPTPEERQLMDEWISDLRAFVNDENHDYGTSEATEYKVMQPQGT IGIETDGRWEELLQVMDIFSA FPSE_08047 MCTARSWLRMGGPTSAFGVTKTRSWAYQGTVELDTSSYHSKGTD TLSNQESPADLGLSETSNDDLQLSESMDNTTFVLQNESIENGSIIQELPDLSAQDIDS ITLPEFNPENLFDTSQLILFPKPSFNLLSIFPSFSFTNPAEPAPSIGSDDVQAMTFHS TVLAPMKSTRKAALSSHSIFLNFAVQNPMALHFLLAFSHSELAIHQGFSDRPPLESHL HFQHGSQLLNQALVDLTPTDHIAMMLSFLYLYMFWMRRDPFDVSKLRELSSSILAYVT TFSLDEVCANSANCEGTSEPVTLSRILTYIYDRDVFCGFFGCGERFAGYVSEKHDTRQ RIWQLSRMPILSEEQTGSWFRPEHLPETSLRKTVDVYFSLITVQYEINQYSQGSDSES SGKDMEIKRKLDKIREEHSFLFTISRQSTGQSTKPPLMALVTTTIFYALQIYLHRSRD SLFGQTPIPQDIDTALKELVAAAYQTIATGPVQLLERFQWALLIAGMETHDPVHQDWI SANISDPVIKSVLNLVLAAKGRSDEGVSMEAVRDLVQPLCITGDEMSRALLMCLSRRL I FPSE_08048 MSDKVHHDAKEPSPSYEMDDRNDGTVDTLSLEDEKKLVRKIDLR NQSTYSAILGLREDLELVGQQFSWASSVFYLGYLVASYPISLGFVKFPLGKYLSVLMF IWGIILTLHSVAHNFASLMVLRFLLGVFESAISPGFSLITGMWYTPHEHVSRHSFWFA GNASASMVGAMIAYGILGYTGPIAQWKMLFLIFGLITIAWSVLTFFMLPDSPSTAKFL TTSEREFASLRPKKFQRTTQTKKWDRGQFIETMTDVKAWWFFFFSFVICVPNGGTTSF STIVIKSFGYDEHQTILMGLPASAFQLTTVILVAVFTTYVRKSRHIALVLTYIMAFAG ILMIKLLPNADKLARLAGFWLIMAVAPAFPLMLSLSASNIAGFTKKSTVMAMIFLGYC AGNLSGPQFFISTEAPNYHTAYTTIMACFAITIALVIGMYFYLTWENRRRDQEQGEKR DPEASQRVDLIADGMLLEVDETDKENKNFRYILSLMTYENDGAIALAP FPSE_08049 MEWTLISLSTLVIAARIWLRLGLQKQRLLGSDVWMTCAWAMGII TASFCITYVHMGVMEDGIDPGLTNFDASDERKQLIRKLLWISVLPFVTSFYLCKAALL SVYHQVIPRFMTKRRTFLWATVSYVVASFVVTIVLLFTICTPVSRWWTFDSDRQCHRD PLMSFFRAIWALNFTCDIFSKLLLSSHVQVRWKCANRPVFVLPWLIVPDLMIKGWLRI GIYFTFLLGLINMSLSIVRYTKVYTGVEASLVTIHFWNSLDLYIGLVIACLPALRPYF KYAAESRAFNYMRSKTGTRGSSQYTANATTASSHAAKLSQVPPKGSFDVSEDRLSQLC LVHVRPEDEERY FPSE_08050 MSAITLVEIAEMVANVSRQEYVADFLKHQVSRLYSRETCWPQSG VSGLLYLLRANLSETQSWNNSYIEHGPYHFNSTGNTYTVDFAKKEFIVNGVDQDSYIT LSYVHGLSMIIAFFLVYPIILLMESSTVLCDLINRPVAKITVQKWESALRILVFTPLV IAGLVTGILGMGSSDHFRTEHGVIGVITVVFAGFVSILYFFGFCFDNRMRRTARGMRW LQNAHYFDMFVCQVILMLSGFVLTNGFDDLSVMGLCYIEISTAGAVSLGMIAAFVWNS SMVLMTAQWFLSSQPLFQQPSSNITSQDNTTQHSTTTQLDKMAIKDGGKKLSQIPGPK GLPVLGNLFDIDLNNSLTSLINIGQKYAPIFSLEFGGEREVMVCSRDLLEELCDETRF HKVVTGGVDKLRPLAGDGLFTAQHGSHDWGIAHRILMPLFGPLKIREMFDDMQDVSEQ LCLKWARLGPSATIDVANDFTRLTLDTIALCTMGYRFNSFYSNEKMHPFVDSMVAALI DADKQSAFPDFIGACRVKALAAFRKHAASMKGTCNELIQERRKSPVEGTDMLTAMMEG KDPKTGEGMSDGLIVQNLITFLIAGHETTSGLLSFAFYYLLENPRTLEKARAEVDEVV GDQSLNVDHLTKMPYVNMILRETLRLMPTAPGFHVTPYKDEIIGGQYAVPANEPLFCF LHLIHRDPKVWGADAEEFRPERMADEFFEALPKNAWKPFGNGMRGCIGREFAWQEAKL ITVMILQNFDLSKADPSYKLKIKQSLTIKPDGFNIHAKLRNDRKVSGLFKAPSLSSQQ PSLFSRQSINPIDAKDLKPISIFYGSNTGTCEALAQKLSADCVASGFMPSKPLPLDMA TKNLSKDGPNILLAASYDGRPSDNAEEFTKWAESLKPGELEGVQFAVFGCGHKDWVST YFKIPKILDKCLADAGAERLVEIGLTDASTGRLYSDFDDWENQKLFAELSKRQGVTPI DDSHLELNVTVIQPQNNDMGGNFKRAEVVENTLLTYPGVSRKHSLLLKLPKDMEYTPG DHVLVLPKNSPQLVEQAMSCFGVDSDTALTISSQRPTFLPTDTPILVSSLLSSLVELS QTVSRTSLKRLADFADDDDTKACVERIAGDDYTVEVEEQRMSLLDILRKYPAINMPLS TFLSMLPQMRPRTYSFASAPEWKQGHGMLLFSVVEAEEGTVSRPGGLATNYMAQLRRG DSILVELRPCRPELRTTMMLPEPKVPIIMIAVGAGLAPFLGYLQKRFLQAQNQHTALP PCTLLFGCRGAKMDDICRDQLDEYSRAGVVSVHRAYSRDLDSQCKYVQGLITKHGETL AKQWAQGAIVMVCSGKKVSDGVMNVLSPILFAEEKRSGMTGADSVDVWRQNVPKERMI LEVFG FPSE_08051 MSPKPKTYLRRLGYIELYQSGMHNLDHYCSTITSCRYRLPLALA NFRNHEQLVTRFDQAVAQTVAQFPLLQVGLVGEGSKKPVWVELDTVDLSDHIIWEVRP DSVGYEQAFEANLQYQLDAKCEHLETRPGWRLLLMRTQAESFVDVMFVWNHANIDGMG AKIFLRTLLNNLSQPSPVSPLIQGSRVMTTAISRDNFPQPQEKLVKHKVTMGFAASEI WHSFGPSAFASSTAKARWAPIQPAPYITRNKCIDIDTITLKTLLRLCRQNETTLTGLV HGIVLACLSVDLSEGKANAFNVATSMDQRRFVTKEDRREKWAHLDPSTSVQNCVASVY HSFGREIVSDIRAEARVSNWPAQPITSLEPHIWRAAGMIRDDIEERVSQGLTNTVVGL MKLVTDWQDYHKTTIKKPRELSWEVSNLGVVDNKPGNGSEDGWAVEKARFSLCADVAG PAMQINMVSVKDGDLTIEISWQDLEDLNPVGERLADEVRAWLMHLGA FPSE_08052 MSQIHETISPSWGSLPIERYLLSKWHPSLDLSVDEQRLELVKAF LKENDISAFASISAEAITTDKQELIQTVLVPWRSQKLRRIAEKYDPRNPLFDTLVVLR THYGGDSDERFSRWIGDACDAFDDMDPDGDLFGPPDERWWHVLDDASLFNIGSQEWQT VYTILPELAASELRRDFNDDDVQEAKELVLSICDSREPEEDDYEDAICEIAKVGFWLI VVDKEAFENEELLLVFIDKKGNVVRQTAIAPVDLPHLPHYILRGSITESGFWRDAEVG KKYKTRGEIMCAALPLVMAESE FPSE_08053 MASATYQIEKPLRSDYDEWSRLFRAYIDFYKSKIEEDQYARTFD RIIEQKNGLQALVVRKVCGEEKSLVGIAHFFPEQTPWSEKQILLLNDLFVDPSVRGEG LGRKLTEAVADIGREMGCTRVQWVTKHDNATARKLYDTMAETQFVQYRMTL FPSE_08054 MQFSIVSILAIATVALAAPKAACNNGGLYYSDPKTLEPCKSECV GGTCALYGTCAQDPNIPLLCLAKCTC FPSE_08055 MESRQTDLWVVTFVTFAAATIITAMRLLSRRLKHIPLSWDDYFA LCGFAVSIAWVIIVPYWLNRGLGLHMDDVVEMHKSTLTKELFEAKLLLFIAELFYAFG LFFAKISILSLYWRMFRVTGIRLPIQILFGCSFVWITFRIFMGIWHCVPVEKFWDDSV AGYCAIEDKKFFFGTTLVHAMIDISILILPMWQIGRLQLPMIQKAGIMVMFTFGFFIC AAAIRLIVAARVFDDKSPDVTWNICDIVIWATVEVNLINVSASLPTIRPACTFLFMCQ NPRTRTGASSGSYPNTYSRSQTKQSIRLDTINKTKPNDESSSTHQLADSDDGIGNGGR SGSTDDFEAHAMDRFTPSGNQYSSTITGHRTNSGGGDYGSNFGGILVKNETTVHVSKQ FPSE_08056 MAPSTTEDLVPEPVAATKETTTTLPERPGTTLNEAELQELAFTD KYSAPDVYINAKTDTLWFPWIGPIELKPLRMDNRTGTFVVGLRSAVAASLGRHRHRGT VTAVTMAGEWGYKEYDWVARPGDWVCENPGVIHTLSVEDNTDIVFTVSGTIEFLNDDD SLKFALDIFSFAKMYYDYCKEKGIKPNDTLWH FPSE_08057 MTTQIKNVMILGGRGNLGPYLIKALIKAGFNVSVLSRASSTAAD ETFHGAKIVKSDYTPESLVQVLTGQDAVISTLSTANIAEQKTVIDAVAAAKVKRFMPS EFGSDTSVDGLEKMAPFLKGKQDVMDYVKSKETEGLTWTALFTGPWIDWMLIEGKGLL CLDIKSKTGELVDGGKPKFTTTTVSQVGEATAAALVHSDKTKNQYVHVSSYNICQEQV IEALERISGTKFALQALSNVDLYARGTKNVEEGNWSTGYYELATSTVYSDAEVTYFPD KAEYWKKVLGLDDGESLDTMITRTLNSAQ FPSE_08058 MTPLQRSCQACVKARRRCNLATPCCERCSTKGIKCSYINQPAPA TVDISHSSMVKPKGLSDISKSQNLSFLVLSGELDTSLQMRIRQVLHDGYYRGSRPPIT ALHIHESGIVSKPMDTCVRIFNPLHLEVVRVFDPATLHRLSDILRGFPAKFAENNKTA FIHSGIYPTCLPHQLQHVRDLCYSYQIGGEYLATHRLDALRSTIRRLLRSATRTSSFG ETLAYAQAISLAQIIRLLVCNDTSEDQVERDNEDMWALTHTLWQHAPIQLPSTLSPWQ AWLFSESVRRTIMVCNILLAVHSSLKRGYAMHSLCVEALPFDVRTHLWDAETEEEWEV GASRTTSPSLVTLSDFAASQRVVSCGSRFENLLAQAF FPSE_08059 MFGNDDKYWVKLIESTNACLSDHKEAFNFHMFVPKNPRISLIEG LSAPFGEAKSHCNESLDRAGIIDTPARPVMPSQQPDALTAPGLTGLTGLIDRPVFHTI PWCTYA FPSE_08060 MTDVLYPVGKILTLDANLNPASSDQQTTIQLRIIRQQLPYTLSS GSVVEEVSDLLDKTEGNIYFLKMFDRRSAEQLREDSWVEPWSEDLENNFTEGIKSGKV EKLLENLRTIPNYKRETEDDWDAAEEEADVVHQLQNLYKDEVATYLRLASYQGICIPR LLGTVTFDMSPPNVALTEQQRELYQQKGIILEYLRGFTLRDMIHRAAAVAWQDIFDQA IKIVDILGDHNILNKDVRLENFMAVPRPNKYQVFMIDFGLCRFRGDDESDEEWGRDKW MEDEDGYVGQILGRDFRKIGFEFRYNKSYRWFEWAPGEDW FPSE_08061 MTPSHANLSTRGELFASPAYRQSLLDILNDLWHPETNSCGYVSL GIAENTLMHKELIQHMTQNLTITSHSLTCGDGFSGSHHLRDVLARFISRNFNPHEAVT KDQLIVTSGVGRAIELGAFSLCDKGDGVLLGRPHYGNFPIDFGYRAQAKIIGVSFGDV DPFSIEAVELYEKTLTDAQDQGIRVKVLLLCNPHNPLGRCYTPKVLQAYMRLCQKHNL HLLSDEIYSLSVWKNENVPEAPGFTSVLSIDTDGLIDVNLVHALWGMSKDFGANGIRI GCLITRNEAFMRACVANSDFSGPSSLSDLAATSILSDDAFLESFIKTNRLRLAENYKI VTEFLANHDIPYKEGSNAGLFVWADLFAPNRTLIDNSVMKQDDIGAALRNMEEKMTEV LLKERIFVASGGDFGTDVSGWYRIVFAHERTYLLEGLERIVRAVKEFGRDSKVYQVSL YRSSLRSN FPSE_08062 MDATTASGLPPNVVIFSPQNSPSVKSLLEAGLFTRLVTSASTTP EKLAALKNHAEVKDDFCLFHRNAILIFDAGENADAHHEHFRTICLALKELDIGLDVAG CINDATDSLAAGFQLDKVNDKSALVIDLIEQEEDSDEEEEMFVMFQSYKSSSADSHIL DTRFRAARSRFEQWGASVGISQGLLLPDHYYGLNDENTASVIQDILHIIAKTICEDGN PQQNMHQNERFTGILQSRRKRLRWALGGKEDRTEQVEVFETLVQQLHNLVSIDHNGHK HSGDHKDEGWSDVRQILLNMEQGMKNEMRRDIYSWLGIPSSNDKYQDSLDQRVENTCS WIFDRQEFENWVSPENPTSPGLLWITGPAGFGKTILCARIVQHLSKTLDSPVSYFFFT SDLGTRDTPYLALRSWIHQVADLHEDAFECVRRAWEAFPTEKASRRNLIDLFAEIVEA VPGCTFIADGLDECSQLGIDDSSLSRFISDVLQAVANSNARILFISRDEPEIREALEE NAPEIFTEYRITPDDVRSDTAAFSQSIVDKKLSNKSEEIRTTISEAMTKRCEGQFLWI RMQEETLRKGMSKKRLHDAVENTPPGLDRLYDHNWKRIMEMSQWEKDRVFALLRWAAF ARRALSVWEITEAVLITQFEELDPDEYPDEVDNEYVKTEIVGLCGPLLEVKDMFVHIP HFSVRQYLGQHLPLPSWIQHSGKLQSSYEAYHHTVIAKACLQYFNQPQVWHEEYNPNI TGRSLRWYATGIWVKHMKDASSDDSVWELATDLLREENPLWKPFSEYVASAMNANAKK EGETEYHRPCNPLEYALNYGWNDMANYLINETNANRPSSCGRAPLIEACKANMPETVE KLLQCGADVGATWPDTHTCLHLAVWNEFDEIVKILLSHGADPSAQDDSGRTPLHVASV KGNLRCCDYLIKGGADLTKKEYQGMNAVHMACCKTGNSEVARLILQSGPDSMTVEQSS AGPPLHFVCRTGDTEMAKVLIDHGCAPSFTVVKPNGGTAVMLAAVQGHIDLVKLLLDH GADTTLSTVTKDGGLTLLHLACMMEDSEDLMKAILRPGIEDSMFMVDSEGRTPLHFAS YHGRANAVNSILDYKHDNIRTMLDAKTTKLHTPLWRAARKGHTEVATVLLDHGAAETL TMADTDGKTALWIASRHGNTSTVEKLLSRGAAETIAVASVDGDTPLWVAANYGHVDIV KLLLEHGAESTMAVVDVNGETPLYAASRRGHLEIVKLLLSHGAESTIESIDVHHETAL YAAADTGQVEIVRELLAHGAKSTVTTMTAFGNSPLYAACRSGELDIVKQLLDHGAEAT VTVANDKGNTPLHEALYKGHVEMINLLFEHGAESTIRALDKDGDCPLYMAAARGDIGP VDKLLEHGAESDIATLTADNRSTIFAAAESGSLEVFQRLLEYPEAESTLMLVDDYNKS ILFAASKGGSAGIVKELLDRGVEKYIDLPSNCGDTPLSAAAHHDHVEVVTLLLSVPEV SINHANNYGVTPLFSAARFGYVEMVNILLSSPDIELDCQNWKFLTPLHAAVANGHVEI AKLLIESGASIVAWPIIGQNLLWWAGRTGKHEMVELLQSIGLTEDSLGPFRYFHREAP PDDAPTVVCDVELGYCDVCTLSVENDKGYGCNKCWIWATLVTNTDYLKGVLTLNYRLR CVKSRYPLLVLYTNALSEEGLDILKKRDIRTLEVERISPTTSRDYLEDSRFTECWTKL IAFSLTDFSRIVLLDSDMLPLHNMDELMDIELDAPPDETEAMTERNRLFAASHACTCN PLRKAHYPPNWIPANCSFTSQHDKPDVAQTHGASLSTGLGKLNSGLLVINPSKNLFDQ ILAKMDDPSCSEYKFPDQDLLADVFKDRWVALPYIYNALKTMRNPSVHGAIWRDGRVK NVHYILSPKPWDELGADGSWAGGQETHKWWHDAYKSMLAEEKVLGL FPSE_08063 MRLSTILTGAALFSSSQALNILLNNDDGFGSGNLREMYRLFKEK GHNVWLVAPATKQSGKGGTSDFTAEGNLTGPSQYDLIPKGAPSVGSDPKDSQIWYYNG TPAACTFVALDYVLPRYANFSVPDLVVTGPNYGTNLGGFVWTLSGTAGAAYAATNRGL PAIAISASNQEVPYFDVKNRTNPATWAAQASVKFVENFIATAGKNGPLLPIGYGVNVN LPVLTEKDHDPEFVQTRFTGNAHVNEAVLDPKKGTFTWANIKPYAAGVNACINGNCSL PGETYVVENGKASVSFYTVDYSAPETEYTESLIDRVASFIGKK FPSE_08064 MARSRGGCLNCKARKRKCDQGRPECQACSQRGMRCQGYSTPLRW VNGVASRGRFAGASIPDASFVPPSTLPYPQQQQQQQQQLQLPQYPPSTADSNPDMSMD SENSLSTVSNHNPSSTESSAAFSPRSATGVPDPSDRIFKRFMQNGLNLLYTTEASSWI KPFFEEMALQSPALVMIAGAIQGYMDDGMSVKSMEYVDLALQTFRQELTTRYEKFHVA TVCAGLLVCSLCLLQAKEWTMYLELMVNVYDLRNKLKTPGQIPIDNLYHQHILEVLGV MDMPSMVIGRAGPPIGVWKLLRRLQDDTQDGRADGIEVVSGVPRSLLDIFAGLVDNEP EYTESRFWSWPGDIGESLQVHYWDSWKLAGVLEVRRRQRMSRKARGIPERADDAPKNY PSTEIVMCRLIASIDALLKAYEEPRNQHLLVHNGLTYPVLNAGLEVPLLKLHPTWKRT MDDAKESFATDTVDLLKVMFELIDAAWEDGTSTFDIEKVARERNIELAIF FPSE_08065 MASSDPVVIPGEVRLILAEASTDASTFVLQPTPSSDPNEPLNWS SWRKCLNFGFTIAVTVAAFTNISIQTVFWQQMTVDMGVTITQLTYSSSAQLAGLALGC LFFIPFTIKYGRRPTYVVSCMVLAGVTWWSARMESYAELIITQIITGLAGAINETAVQ MTIADLFFVHRRGSANALYFTAVMVGAFLCPMAAGTQAVHQGWRWSYYSLAICLSILS VMFLVGFEETKYIPITLGEADVIDDASTERPESKDTIDEKTKMERVISVATQIDSSIP MNTWRQRLRFLTTTSESLPKLFMMPLHVITLPHVMFTALQFASGVCWLVVFMQVTSIV FSAPPYSFTTAGVGYMALGPFVGNVFGSIYGGPFADWAIVRLARRNGGLFEPEMRLYP LAVTTVFMAGGIIMFGVTADRGMHWIYPSIGGAFFAFGLGANGDITFTLVIDTYRELT AEAFIGIAFMRNAVSVAIPFAIVPWMKTMGLSNMYILSGMIAFAVGCLFIPMIIWGKK IRTSLAPRYWKLVAKRSEI FPSE_08066 MPSKTILSEANAHVPSPGNDYEPSLPRMRMPHLEFIYRIVAEMD EGGVETIEGVDSSDKSRLYLPIQGGSVHGPQIKGVIVHKSGADWAEVLNPKKSFTRLN AMYMLKTDDNVHILVKAQGVYRTGPGLQDKLGEQDTASQDDVEYFTHIRFEAPGHSEY GWMNGVVAIGVMTMWQGKPVIDCYRLTNFPGKVAANL FPSE_08067 MPATTSWKALAALHREKQVEAVPKEWLIPEKQLETLKEGDRLIE SKAVQRSGLLSAKEIDITERFTASELLGKIHSQEFTSEEVVVAFSKRASLAQQLTACL TEIFFEEGIERAKQLDKQLKETGKLAGPLHGLPISLKDSFVVKGHHATVGYIEFLRQP IPDTNSALVDLLLDAGAVLYCKTNLPQTMMTADSENNIFGRTLNPHRTTLTAGGSTGG EGSLIGFRGSPLGVGSDIAGSIRIPSLCCGIYGFKPTSERVPFGGQSEYPFRRLHMPG VAPVAGPMASSVEDLELFMKITLGQRPWNYDPSVADIPWRDVNGATEKKLTIGVMAED PDYPLSPPVKRSLAKAASALESAGHKLVRIPASPKRNAGQGARIGFQYFTMVGPDPDA ISRECGEPLVASVARLVHPFFNGEFPVRPDLEIADKLFSLNEVKMEYTKAWQEVWRDN GLDVVLAPGASSTAVPHDTYGNPVYTLMWNVLDFPAGIVPFGTSSKLADGEAIKATTP FEPDYIPEETDGAPCAIQIVAPKFRDEECLQAMQIIDRDIRVSQAHKL FPSE_08068 MEKVRKTYFDPLVTTKGQIRDILRDLPEGSAHPFSPEGILLCRT KDGIEEIPISGHSEDFFYNAVDLGQMGEILLRRMEGFRNILGAFGDFQIMQAPSTTFK IPMSDKISILAVSERYPTYYDLCFGDEKGNTSCWCSTSTLPGLSHSGDRTANNDLLQY ATLDKDRLTEEPVCVFISGFRGTQITRFLGTHPALMVADHEEKTFYIVPVPLDKATIG TATLCCPLVVKRDGDSLVCSILPRATVDPTKTCEGRRTDSPCFSEAISSAEFTITAAQ EEPVQRADEIVVPESTPLEIKGEPLKKRTAIITTNPDNLPELVSYSDVQIIRFGTGLE FIHSEDTDEEDSNKSAVVLPCIFGSRLEGPVLLATGKTPINVPFSESKALCSYYEQLD NVVVVVDEKMTDNARNLAPNLRINALFIVQLETKEKLETADKVSEVLSAANINAVTAL AGPQSLILAHVDDKFYFYRGLANPKALDTPKLEFGADVTDVIKTANLSSLLVGNISRL FNLEEDNSVVLPYTSQVVKTHELSKLFERMTIDEIKNILDDITAVVPQLQALLGEKDL QRLSKELVDTLSAKVDKLMGPMRKDYAEYLTTEFDISDKESILKKNRMLGELRKASKH TQSSLATVITSLTNMMSSQTTSKRTHDMKRLIRKTQISNNVEATKSMTFESLTDLLEN HAQDMGVMLLNIETIPYKELLGNLQGSTIDAKPACALDDRILHLGGFDAGIIMEQSQI HHHGPLRSQAGPDHPILALPYLSKTRGTGSMLAWVCWDEFVNLKSPYTVRWMEKCNES HIAALRIMMRDTLSQAFISREFHYAAGSPEIGQLMGSLLMAAMSKLAGMRTSAPVVLD TAEDTVTRLMRGLFGSLMTIAGSGVRPLSMVWQLVGLAPQYDIPASYTDWAWYENVVE LYPYTGWPLEQFHENVAKLLDKVILRFISKNEDTAKIKANAALSMIQYCKLRNIQLEH CRTIVTAFKRMFTDDDSDVAAIAGRLLENVPTKLEKQTSGFTKMMKYLRHLSKGGERR SVDDLVYASVYTKRSAAFKELKTAVAEACGEKDWDKAKKDCQAVLDKHKEIASFYQVE PEKLKVQNIHTYRELISADVSADADQATKNKTKKTVQRILDDAEKMRVPWQVGKDAEK NGIEPLDEGFLELILTGKKPETESEETSQAVTAPSAAAKGEFSQFEGSVLPAFISTME KDLSAEDVCDILNVPASSMRVFIKALSPDFVWNDLGQRFKMVVLGLLENRTNRVESRP AARLLNML FPSE_08069 MNNTQRKHYVDGFPSLANFIASDRDGTSTIFKRFNRLAARNLLN LQSELAELEAKLDAFDDQDRESRESLQSLRNWKDFKTRNGEDSERRKLLRDIKSTLKE YSMVIHCYVTWADPLQGEALMFESTLATIPPPDRKTLKAFRTNFFHGRPGETGAFPML GGHSASLYDESDDLLVLHSREPPDRLTMFVQDNFGYLFEESGPANGSSIAYASGKKIS TFISYISTVLAAVLLIGAIVILYNINSDNLKLGLIALFTVLFSASVGLLTNAKRAEVF GATAAYAAVLVVFVSGDLGS FPSE_08070 MKDEARMDWTLVHHMSGKNPDIASAEAREPLPPNDPGWGPPPTL RRPRKRRVLPLFLQGPLGITAKLEACADSGSDENIISLDVARQMKLQIRPEGAKPFAL ANGTVVEAVGSVKLDCGFAVGTPLPSATVACLFYVFNTLAVPLIMGMGFLTETKTLSE HTDRLIEQVVSEMQALKVNSVGNTKCSAPCRLNDLAGYAVVDTGSDLNFVHPRVVEEG KFTIEPASVYVEFADCSIGMTSGVINTTFSIGCEDQPKLNRSLADQQFYILDDLDTDI LIGQDTTEDLQVMTSLREELSIMSTDSAVNIIRLKGNLEQRIRGIGRRFRNVLSTSSQ QKDDYADQVDSTELQAQRENSQKEKELQKATIPQLLSAILLQNSNQESDTSSDHESHT SSNPHHAYAPSIAATYNSTATSIIDRMSHAGSTHGSYSCTVSGCNATPFRNQHLLNAH MEIHQSARPHLRVHHPDKERADPVLRDALASGKPLKSNRGRRRETPINALVRELNPFY TQPL FPSE_08071 MGGLWLSDDYEFHKPSTTDMNIASIAWGFSLGVSIFSGTKAMGQ TIQSWKRGTRTNIYLALLWMEWVSSVIMSAVTWCYLRQYIPPGFPVFFVIVFLWAVQI QTLLQIIINRISILMVNRRNAWKLKLITFLVLLAINISVFCIWIPARLQISQQYVRVN AVWDRIEKAIFLVVDAGLNFYFIHLVRSRLVANGLTKYTRLYQVNLVMIGISMTMDVL LIAMMSLPNDIVYLQFHPLAYLVKLHIEMNMAELITKVVKASNPNDYDYSGSRSGGKS GATRTATNKRATSVFPAGNQTFVEAGESIELPQRTEDGIKVSRTFQTTQIEVVKPNRN RTDYDDLQSESSSTRNLKEEQFNV FPSE_08953 MDRFDIASTASIHGGIIPLEPVNDTKLRTANLNQPSPKLDTEPD IPLAENSNGNDSSQGQTSDLGISKTRGVIVIITLAGISFLNTMGSGILIAALPKIAQD VGLSENLILWPAAVYALAAGCLLLIFGAIADVVGAKLMWVTGSFLFVVFTLAVGLAQT GIQIILFRTLLGASISMCLPTAVSLISNTFPKGPWRNVAFAINGMGSPLGYALGLVLG GIFTDTIGWRWAYYMMAIINFCLSTGAIWSLPSVYTASERKWTIRLRYEIDWVGAATM SVALGMLLYVLAMISSSYKRLDDPANITLLTIAIVLLAAFPFWMDYQVKHGRPALIPN SLWRNRSFTSVCIAVFLCWASLNGIEYFTTLYFQKVEGLSALQSSLRFLPHVIMGVAV NVITGILIAKVKVRTLAVVSAIITMVAAPLMATVDVGENYWLAPFWAMFLSPVNPDVL FTVSNLVISDAYPPEMQSLAGGVFNEVAQFGNSVGLAITAAIAASVTEHSGITAREEA LMKGYRAAFWTIFASCSTVTIVVWLGLKKGGIVGRKQD FPSE_08952 MPFAVGSSVTKQDLAVAQAEAPQLAKVKWWADPGMRQLYFYAAI LCVCSATTGYDGSMLNTSQAMDDWQDYFGHPTGSKLGILNSIYQIGSIASFPFAPFMA DHFGRKLPIAVGCLIMILGAFLSAFTNGYGMYLGGRFLVGFGNSLAQLSCPVLLTEIC HPQHRAIVSAIYNCLWNLGATLCAFIGLGTINISSNWSWRSITLIQAVPSLIQITFIW WIPESPRWLMANERHEEALTILSKYHANGDSNNATVQFEYNEIKDTIALEYQAKKTGS YIDFIRTKGNRYRLMLLISLGIISQYSGNALISNYSNIIYEGAGIKDQAQKTGLNAGE NMLKLFVAIGCSLGIDRFGRRPLFLTATVGMLLFFLAWTIVAARFEASGKTEIKRLGY PQIALIWLFDVCYSIAWSGLIVAYALEICPFRLRARGLMIMNFFIQVALTIGNQTNPV ALENLPNNWNFWCFYTVWIAVELVFVFFFYVETKGPTLEEIARIFDGDDANVAQVNYN DSEKQAAIETSEPIHHERKEL FPSE_08951 MSDYKPTEHDGLRKDGQPDGRVGTGEFAHGKVDPHEAGGQGGKT SGSGSSGGLESTSDDSGDYKPTEHDGLRKDGKPDGRVKGNN FPSE_08950 MISQAFIAAFITAYLAAGSQAGPCKPNSRITESLITSDLSTVVG SSTALSSVETTTAAASSTYLSNEESSVIITESVSSTETSLPSEETSTTALSTTEGITT EIATTTEAVTATATTTTSEEPSNVPFIRNAGFEDYDSSVEPWQMYNNEGTVSIDSDIK YDGRHSARIGMLGSKLLQDFIRQPLQGSVTAGVTYTMSAWVRPNSYCVAATLLCSYQN NNWDNPEPVVLTEATDEWTYISSTCTYTQEQIDSGDLYLMIGFIFATSQGVVYIDDVN FSA FPSE_08949 MTLKVGIIGAGIGGLSAAIALRRAGAQVEIFERSNFKDEIGAAI TITPNGMRVLEHFGFDPKTARGVHNKQVRMVDPHTFEDLVVENFSEVEDDYGAPFMFF HRVDLHTALKEMALSTDERYPGSPVVIHNGLSVINLNCESGTIVLDNWETFEGDLIVV ADGVRTRLIDKVTENNVPLEDIGSSFYRCLIPFFEVNKNPDLAAIFKDQDPGFWVPFD LPTGTFVVTYPCRDGEMLNVAFRHQTKAENEHAVEWNNDTNVQDIISMVGRFNPLVAK LFSLSTSVSVHKLFRREPLETYTRGRSVIIGDAAHPIQPTHAQGAVLAIEEAAALGVL FKDVQNKEQVPERLELYNGILKRRIHVTQLLSDAQPGISSDLRKRADDIWGEGIFPPD AMNFTKPIRDFFYTWDVMKEAETELARVAF FPSE_08948 MTATTLFSELKAHLADTQAKAYAPDAPDYKKIEQCFIETPVQTL GVVRPQNGDDVASVVRFCVERNVEFSVRGGGHDCASRTLVDGALVIDMRDIKYVVVAQ DKKSARVGGGILSGDLSRALGNDGLGTPTGTVASVGYAGWATLGGYGLLTSHYGLGVD QIIGAKIVNARGELQDANEELLVGIRGGGGSLGVIVELTIKVYPIDKILSSTIIYDST DLTAALTSYTQHYERLLESGQLPVYLQLQPMVAQMPGQPVILMIIATWHGEDKDEGHS WIKNIAGAADCIMEDTKEITIAEMLENNEKLVTWPSYGRVYTLNAKRMTEKALQIVGK HCGNAPGGSLIFSYHTLLSAQEPEQKSVFGTRARHHMLEIYSVLADKNIAEERVRWAA QVKADLQLEDADNILEGSYISLGSHEDVDVKKIYGKHYDTLAALKRKYDPENVFKHSV PRLVLAGAENGIVEA FPSE_08947 MSREVRIDFDTRGYAITLDETVDYCGYRWNLSLIQEESVSNHPG TARVLDFKWADLVVLSTWKDTCTSSHGASCQNPLKIWHTRPAWLIDVEQKCLIPGNVE GNYVALSYTYGNHMGRVIDASILDMLQVPDALESSNFSGYVSPIIRKAMFLTAAIGER YLWADAICITHEDRESTTRQLNLMGAIYANAILTIIAADGDSLTGLAGIKGISAARQL KQGIIPFGDQKLLRHETFGLEAEYYLPYYERGWIYQEMRLSTRKIVFHEEQLHWMCPC SVWHEEFAQKFQLERDSGSQTSIDSSMRILIAGFFDWSVFSLLVSRYNDMTFRYDEDA LPAISGFLSVLSRRFKGGFLYGIPEIMFERGLGWKPWLESTNVQRRIRSSRSQEIQLK PSGLPSWSWIGWSGTVGPGYKEATLMARGYDELEETTSITVWYTSNSPTDPPELWRRI RSTWYEDRDSYKDFTKPLPPGWTRHKAPEKSTWNDGPHISPDGCDEYIFRNESMPVDE LGLEEGHGWYYPFPVMEVNESTLPDMPEQTEYLFCKTKKAQVWGVQKVGERKDALLYN SQKQEVGFLNLVNDDYLARFPKAMTDEEGGISVDLVAVCKIRTYSRTQDEVTEIWDKI LTTKDTYLVLWVEWKDGIAYRLASGQVQVDKWDELDLEDISLILG FPSE_08946 MKLLNNDILASTLLLLAAVGDVSAQCALPTSYRWTSSGPLAQPK SPFKNLKDFTVAPYNGQQLVYASAFSNAWKSVGFSPVSDLSQLGSATQTEMSGSAVAP TLFYFRPKGIWILAHQWGATKFSYKTSNNPTNANGWSQSQPLFTGNIAESGTGPIDQT LIGDSKNMYLFFAGDNGKIYRASMPIGNFPGSFGSNAQIIMSDTSDNLFEAVQVYSIK GQNKYLMLVEAKGRNGRYFRSFTANSLDGNWTPNAASESNPFAGKANSGASWTNDISH GELVRAANDETFPIDACNLKLLYQGRNPSATAPNYDSWPYRPGLLTLKK FPSE_08945 MASNTTNTNNNPSSTQENVTLSSAPTSPSMPPKNEAEPPDDVAV RGPPPLPYNLREHKLMIFLFWMLILTECTLIPIIFYFCIANLTDMRPGAMFAIITAMF GFISGGEYGLRGLRLALKKDTYRPLFGAGRWSFDTVHLVLGQPYFVMTALMIGFSIPD PPIMRGLSIIMPVGILMVTIYMMWTGIAHHFGWHLRHHRLSSHVVGQVYPPLTFCIME DITACDGGGGKEYREAALKRYNASPRFRRMLVEMLWGWAITGSVLSIVLIALAWILPV EIAYTLGWAVPSVWGAIGAWVTIKWGQRSIRIESENWEKDHKVGA FPSE_08944 MHFFPTADSTLLSSAVDHHALPPRPKAVGPIFDADNFKNPVDPW DSDIQTDLYPPKEDPFAPSAIPAEPSCPPTRYPRDLDEHPELLAGLQEKACLAQENSG WEYAVVGLLDVNVYIRLATVGLQLAILPRGETLCAHTVTQPPGNVFLLPNMLEDWRYR ESPCVEHGGLIAYAGVPLRIQHESGESVVADIVQCARARRQRERLRLTYLITTLLREP DGDDVQEPILHILRQAYPDESVTLQFAGTDSYDTTIPYATSDPKHGLWEDDEYIDNFI LTSNCNDPPTDRVVRFISAQCESKLGPSVLVVATRDFRRIFDDVDSGFVQACATMLTQ RWQKRLLSEVMRAKEKFLRGVSHQLRTPIHGILGAAELLTEHLEALTLPGGSKLPPPG VEELMQPLAELGKLSVYLDTISTAGRELMSTVNSMITLNRWADIAAAERQYATHSIQE LEDILVKGSSDVTLRYTSKRAPIFFHHDLPPSCQSLRIDIELFRDSVLPLIINAIQNT FEGVITVTLSYTQDTKTLVVDVQDTGCGIAANDQGRIFQLYEKVGEHSTGPGLGLTLA SKFSALLHGSVELVSSEVHRGSHFRGTFGDIRSITSSTPFLPIASQLKRLPLSFHHLT SDSPEIHLNSHVSKYLTCNGFVQSNDSADCLIVMDYIRDPDQRQKYHATLPKGQVAIC PVPDMEDIESSPSTSNIVFVRGPFCTSSLDAGFREADELCAMTPMTITTPLPLVSPSL TKDGGGILPYRPSPSGHDSTDEGYGSNATPSNNGSPVPQARTEESLSTSNESAPGVLT AGQTSPPDVPVRTLPNTYKPMTLLVDDNAVNLRILEM FPSE_08943 MRLLHTKTFQLEEFYGQPPEYAILSHTWGPDEATFQDWQGNLEL MKLKKGHQKIRRVCEQARRDGHMYIWCDTNCIDKSSSSEVSEALNAMFNWYKNAQVCY VYLSDVAPLDTGAFDPMENFRKSRWFTRGWTLPELLAPTSIVFFAKDWTPIGTRKTLA NTISFITKIDQQYLDCTFYKASIGERMSWLAKRETERTEDIAYCMLGIFDINMQIVYG EGMRAFIRLQEEIIRVSNDQTLFCWNWDDRYVPHDWASILSPSPRTFLDSSIYTEWPV HEAKTYTVTNAGLSIKLPVMNTITESVEQWLVLLNARRDNENQQVALLLNRLPGKDRC TRNRTPPCPVPILTGATKLREEQMYIALSRERASIQPDFHGHGTYEILVSLDSGMIPY DSINSSPRLDSSTISLQQWDQSGHYGCVLAIQGMQSIKKNDRRASVFIATLVFGVEIQ GSKVEWFCKIRGIQENSSAFSANSLEDAVYEEEQEIRSQLRRTGHWLAIFDQEKDTST DTVRKHEIDLLTSVSLSSGVRIASNSTMAVAHLQLAHIVKPAEVAPWDDGQKFRTADG TKDLSKWLSSLQ FPSE_08942 MTRDILIVGATGQQGKATINALFNTLKHSPQHNTRILALTRSIS SPKSLALHLQYPDIILVEGNTQTSKPIFDQFPSIASIFIVTVPPDDEAQAIPLIEEAT SEASQVDHIVFSSVDRGGDEVSWSQPTEIPHFAAKHRIEHRLRELCEQTGKRWTILRP TGFMDSYNPGFFGKMMASLWAEGMHQDRKMQLISTHDIGLFAAKALLNPEGWAGKALA LAGDDLSFSDVERIFREAVREQLPQTYKAVSWPILWLVKDASQSFEWFRTAGWKADID DLRRQEPGLQSFEAWLRESSRWKVQDSLKH FPSE_08941 MSSSAESITVTTVVENTANDENPTTMATPPVAECEMCSKIIQVF SGPIYPGTDDKPIQVVQLGNVGELLAMDCPHAKWLRDIEYLNGPIPRYETHDLLFHRW AKDPQGSVGVCFETSDFNVWNMTLNFELLYRPEIPEHPGTVRLLDRSWVDMDLIKEWP SRCNKLHGEMCNQTIGNVPPYSPRLLIDVIQGCVAECQETRPRFITLSYTWGESKNLR ITKSNLEEMKKPGVLRSRNITMQLPATILDAIELTRALGVRWLWVDSLCIPQDDDEVL KRELAAMHRIYATSFLTIVAADGEDAEYGLRGLWGISKPRDIKQIIESLSQGEKVVFW PWNKSNNQVEYGLKYRHRMWTSQEYHFSKRRLIFENGQASWQCNHTEWTEDHVYNPEH EKLQKEPPESYIGHDAYLKLPSLNRLSALVEIFNTKTLRYGEDVYSAFSGYNTHLNTI FPYGLVYGLPRLFFDIALCWKPSGLVQRRTVSARYNGDPLRTGLPSWSWMGWKGQTSF PGDAEGEIDVFREMGFTEAITDWYAIEYPGSCQKQPIHTRWSQCRNAPPGSLAELWRC EEFKPPEMYDTRSDNNLNEADSMPKELPRHIYHAYLGDNLIPATRWNLPASRWYPIPL NMDGPDASQAELHNEFQYLWCQTFRAYFTATQDIVVQSMDEKFHLLKDQSGVTVGALR LHDEDDAKLFQQETQVELIAIAKGWTIVLSCYGAYDSEIETQTESELEEEETAKESSD NENKGSADIEDGLQMEESEIPANRKGEVIPWIQEWENAKKHKQDCYHVLWIEWKNGVA YRKCSGFVLEEEWDKVAEVSRIDITLG FPSE_08940 MAIDTLSLTGKIAIVTGSGRENGIGAGIAIVLAKNGAAVTIHYV HDSTTQRAHAVADKIKADGGKAIVVQGSIETPQGAQYLVDETLKGFDVDHIDILVNNA GVGIFGETLSANQSDITQVFDVNVKGPIFVAQAVVPVMPPGGRIVNISSIASKLGDDS VPIYGASKAAIDSLTWSWAKEWGRSKKITVNSVAPGPVTTDSNPYEEFQKPSIDITRA ADRAGTPEDIADAVLLLVSEKARWITGQPSPRKNMAYSSFSYRRRVIQACVNCRMRKT RCDAAEPKCGLCTTQNVDCVYRDARQPKIDYNTQVLLERIQLLEDRVLSNSSSSSRQN LQESRGSGTEQPTLVEQVERQSDTLHGGNTVPQEPVFEVQIPLSHTANANHVFSWRLV QDLLSETSKDGHDIQAHCDATDVFFHHRPNNSHPSTRSHPPSSWNLFDRTTELFHNSL DDIVLRLRGLIHLYFTDVNIFFPLLLKSDMIEIFDAVAGREAYGDERINVVEMPQYGL LLVVLCLALLSSSGRSNIRLYGKGENYRPSSDSDDTVETQTRLMYHLWDKARLVLGYI STDMSIAAAQSSMLSSIFMGACGKVAESFHWAHATAVKCESMARSYAKNEPIPDSFRR LYWISFIYECDFISEISVLSPSGIARSENKIPYPAFTTENYAVSPSAPESSEMASTRS QEELVAFQITTNSAIRRFLNTVNSVVYDDKEQFRTRQSNYACWLLRISEDLWSHHSAI YRNLPEFLLTNSSQDVSMAGTDSDSPASFQSPTARIRDLPTGNNSWNILRLKGRYYAG QYIIHRPFIEFIVLNIDNFETHPSKDAVLKKSKSCLDGCMGFIKVFDVETVNALTCLF PTGMVTFTMTIILMISTIIPVLHELLPIDVEEVIETGKRNLLRFSQSVTQFEWHIQVL ENLETARRARIARRGT FPSE_08939 MSSGILKTDNERIVDANGDAVLLRGTALGGWMLMENFMNGFPGR EHQIRAALLKVLGQEKHDFFFDKFLEYFFTDKDAEFLASLKFNCLRLCLNYRHFEDDM NPFVIKEEGFKHVDRVINLCAKYGIYTILDLHALPGGQNQDWHSDNPTGYAAFWDHKH FQDRAINLWEHIARRYKGNPWVAGYNPMNEPADSEWTRLLAFYDRIVPAIRAIDPDHI LFLEGNTFSMDFTGFDKVWENSVYAIHDYCGFGFPNRIGRFQGTQEQESYIRRMYDRK VEFMKKHNVPIWNGEFGPIYERKEYNPDWEVQNEERYNMLDRQMAIYTSESIAWSIWS YKDVNVMGMTYVSPDSPWLKLLGPMIKKKRDLAVDSWAYDDAHLQDGLFGPLHKWFED NVPAQYSKKYPWQWRMHMHVFRGIRGITLAEYMIPEWADYFKDKSFEELDELAASWKY ENCIQRGRLNEILELYAPMKAGDERLEGKVIESEQDSGDGAISKEQSVSGVGIFELSP EEKAKAELKKQQQQAQPVPVAA FPSE_08938 MNLLRNRLPAPRQDLLSKQARQQGTTDSAFQPSRISQLSKESPS WYKSAARRQLYFLLFPACVVSYATSGYDGSMMNSLQTVSYWDDFFDNPRGSQLGLMSA IMALGSICSTPIAPWVADKFGRRWGITVGSIIMIVGAIIQCESVNFAMFVISRFILGF GLSFATTASPSMVSELSHPRDRVTITAICNTCWFLGAIAAAWITYGTRVIPSTWSWRI PSLLQMAPSIIQLSTIWFLPESPRWLISNDRGDEALEALTQYHGEGVRTELVELEYDE IRAAIEQEKLSGNTTWKSMVSTKGNRYRMFLVVCMGLMSQWSGNGLISYYLSRVMDTV GITDKKTQALVNGLINIWNWGLALTSAMFVDRVGRRPLFRISTIGMLLVFTGWTIASA RFAETEAKSAGVAVMALIFVYEIFYCMAFSPLPVAYSVEILPYSIRAKGMGVYVLATK CAVFVNQYVNPVGLENIGWRYYIVYVAVLVVESFIAYGWFLETKGKALEEIAVIFDGD VADVTRDGTIKSQGFVSEMPATSEHEDIDRKV FPSE_08937 MGILGKISSALAPPPAKADDGRDQWPSRTAYLLASCGGAVGMGN MLRYPSQVYNNNGLQWFVPYLMAIFLLAIPAMALEIAAGNAYRGGTVVAYNSINKRLK GTGFALNYVGFVVVTYFVPILAWAMVYFQKSFTSPLPWAGDTENWFMYEAVGSVDPDT SGRWVVHPDVSLDGRLVGWNAFTWFTVWVCMFRGVGLTGRVVYVTMGLPVIMAIVLVG RGASLPNAGEGIKMYFGSWHSEKLSGTKIWRDAVGQVFFSTGVGFGYYTAYASYNRRF SNAAQDAVIIVLFNSFFEAFAAFAVFGIIGYLGMKPEETGEIGSYGLGFMTYPEAFVE MPGSNFFSVVFFFTIMLLGISSSFAMLDAVMTLIMDAPFAKRWGRPWVATAMVTICFL VSLPHCTRFGYYFMDGIDRWINNIGLVFVVWAECVGATTLYRYRDVVGQVGMPSWASY NGGFLGGQLLGITIGHTVTPWAGAIAGFGVYFIGLAASLVLGKAPDAYGGPRLMTKNK MMSVFYYNAFYSGNMLRHDLNTVIGVGKNWSLPIIWAPMLRYVTGPILAIIFSLAYPS FDEVKNDPLHIVGFIVAHCLLLWIVIGYIFPRWYDVFIVHERREDWKQPYAPNIARGT TDGQEATTTTITKVSTSATSTVIPKKTDLFLTTVTETTTIEDSTVTTATATSFTTTVT TTTSTSTIPAPAGFTPAGSDVSYRAKKREVPKRFSRAKTSINRQKLTPKVNAKKCDYF PALYPQAVNCREVIKTVITKTVTLKQCKKTPTRTVVLPRRTSTKETTTTTTSTSTIIQ ADETLTVTARTLETTVTTSTSTTTSTTTLTETQTSVAPSATFYAACASNNLVSATNGN HGIDSINFNDDTSNNDIIEIGTSSAYTCCVACQITSNCIFSDFAYGGCQLVIASSCSP AATFGTSYQTNSNVPADRGVVISNGPCGRIQNLGNVG FPSE_08936 MPVLSLSELNIVLGVLGGFTYLYGLISVKIKQAWYLGEALPAVL IGVVLGPIAAKFIDSERWGSATPGQTSEITLGVARVMIGVQLVIAGYQLPAKYGLTRW KEMALCLLPIMTIMWFCTTACIMLTIPKVTFLAALVIGSCVTCTDPILSQAIAKGPFA DKYVARPLREIISAEAGANDGFGFPFLMLATYLIQHADIPGAGTKAAEGASTAHALMA RAGEVGRLGGGVSEALENWLVETWLYVVALSIVYGAVVGFGSCKAIKFALKHKLVDSE SYVLFPTAMGLFLVGSCGAIGTDDLLACFVAGCALNWDGDYLRETESRHDEVNSCVDV LLNFGGFMYIGAILPWESFNDPTGTGITLPRLIGLGFLVLIFRRLPAILACYKLMPDV CKDWKEALFMGYFGPIGAGAVFYVEHARHLFPHDGEGDAEETNLVRAMGPIVYWLVLF SIVIHGLSIPALNIIYSLTGKEAIKEDAVEVRRISMRAATPTNAMAGDRDTFIAYNRF SRQIGVPAAELPSQKETQYWSDDDELAKERPVNNRRSALTWANHV FPSE_08935 MTESYDVVVVGAGWFGLAAAKAIHQILPSASLAILESAESCGGT WSKNRLYPGLKSNNMKGTYEYPDFPMAFETYGVGPNTHIPGAVLHRYLTDFAKHFDIY DKVQFHTAVDLVERNGDSGWRLTVNSPAGNRSINTARLVLATGLTSTPNMPSYKNEEL FDAPLFHAKDFCQEAPNLKVKKAIVVGGAKSAFDVAYALVHDGAEVDLIVRPTGNGPV WIAPPFVTPLKKRLDQLLNIRWMTWFSPCPWGEADGYPGVRNFLHGTALGRLIVNGFW KALSGDVISANGYDSHPELQKLKPWHSAYWIGSGLSILNYDSSLFDLVKEGKIRVHID DIESLEPNRAILTSGNVIETDAIICSTGWKKESTIKFADDKLGLPYSLDKKRALSKAA DAQVLSLYPGLKSQPELNFKAKEADPLRYYRFIVPSQMVKSRDLAFAGMISTVSTATC ATIQGHWIAAFFAGKVRSIPSSDKEITDEIMLHTQWGKWRFPCGYGADLPDMVFEGLP YCNMLMKDLEVNTHRKKGRYAELTSPYLPADFKGLVEEFMEKEERRGSIQGFEVQEVG IKKKV FPSE_08934 MYADISKPPAPLPQAKPQTVAEGITLLPPLSRLGHGPGLVILHP DSDKHLDIIDGVPSALVKWAEEGYAVVEVQAKAFKADAGDVLKSALQALRICDGFVKD SKIGLIAYDPQLWNKFAPVLTGSDITGAIIYANAADLATLQDATIPTLKHIASRTEKL ERNNGSTTYSYPSAKSHLVAVPFTEDFNYSTESVSHTRNLTFLKPLTNGPYFDLEAIW DEHTYYEFADRSVEHTMSTMVDQPYVNHVPTLTGGIGRTSLSTFYRDNFIFQNSDDTE LELISRTIGIDRVVDEFLYKFTHGKAIDWLLPGVPPTNKKVEVPFTAVVNIRGDRLYH EHIAWDQGTVLAQVGLMPQYLPFPYPVEGQREGAKYEYRVPVTGIDTALKMRDRNSVA SNEMFGYKVREV FPSE_08933 MSQARGVKKSANSEPGKRRTPYALRACEACRRRKGKCDGRQPCR HCASRHQNCSYNASLEANDDWQATAQSLVASNSNVSIPDQYPPSNHSGPRQPDQSAIV DMLSSLQAQLNSLAAQVNSNSNSNNPQQTNLPSPVTIIENATAVINRIDAENANSTTN DDTVESPRSSRTVTSQNFYGPTCPDYALNVGQLKLRHSLHQRQVQLASIHEDGDPDED DVETQNGQFSMSPQNIDKGDPAMLLAFRSIISLQEAIQLLYVYQEVVGELHPVVDIDG LVMQTRSWYADAGAGVWDVVAASTGAEGYELLLIMNLCLAIALRADSKVGNINTEGLL RDSFQDAVNAKLAAPANSIRHATIIFLKGWYDFFHDMPRSAWRMCGIAGRMLMELGLH NAEVFKHTLKSEAQRTEACTLVSSIVILDRQWSYATGLPIHFHEKSFSSISTSSVKHP YLKAMLSFILISDRFSEPLSSAAKGEGYNDESSFELMTFQIEQWRKKAVESLGGSFSM AVNLTTKYTSRSRSARRLAKRLVEMRRNLLSLGILNAESPGSHEGLDGVTESVRRASL AQTGFAGGYTEYSPPFNTNSVFGSGIGMSGVDTDMQIGWDDPMRLQWPLGDVNHMFSE SIF FPSE_08932 MLLTSLLFPLLALGSALPDKPNTDVSTFTNPVLPGWHSDPSCIQ KDGIFLCVTSTFISFPGLPVYASKDLVNWRLISHVWNREKQLPGISWKTVGQQQGMYA PTIRYHKGEYYVICEYLGVGDIIGVIFKTKDPWNEASWSDPVTFKPTHIDPDLFWDDD GKVYSATHGITLQELNLETGALTPELNIWNGTGGVWPEGPHIYKRDGYYYLMIAEGGT AEDHAITIARAKKITGPYEAYKNNPILTNRGTDEYFQTVGHGDLFQDTKGDWWGLCLA TRITQRGVSPMGREAVLFNATWNKGEWPVLQPVRGRMPGNLLPKPTRNVPGNGPFNGD PDNYDLKKTSKIPPHFVHHRVPREGTFSLSPKGMKIIPSRNNVTGVIGGNEIELSGQR GVAFIGRRQTHTLFKFSIDVDFKPKNEDQEAGITVFRTQVDHIDLGIIRNKKSELSFR FRATGPSPPAPKTVPVPKGWESGSIRLFIETANATHYNLGAEHNGKKVNVAAAEARLV SFGAGQFVGSLLGAYATCNGQGKGLECPSGGEAYVQQWKYEGKAQEIDHGVFVKA FPSE_08931 MSPEPLQDVRPMFELRGRNYIVTGGAQGIGFACTRAICEMGGNV AVLDIQDQPVAEFNTLSEKFSTKTVYIKTDVTSEQSIDAAFEKVLSEFGTIDGVVPAA GIAIDKPFLDQTWDEFTRIQDINVRGTFFVVQKAARQIIKQGTAGSMVLLASQSAHIG LPGYRMAAYNASKGGILMLSKALAVELAPKGIRVNTISPGFVDSDMTRNVREHKSKRE GEQMWLSPPNQRLSTQNDLTGAVVYLLSDAARHTTAADIQITGGLHAGTIDGLISYDN FPSE_08930 MASHPPAACCTVASLHEGEPTGSIVQIDNKTNGYLAKPTSGQTN KAILYLPDIFGIWQNSKLMADAFAGEGYICLVLDTFNGDPVPLQMPDGFDIMKWLNEG SDGKNPHTTEAVDPIVVAGINYLKKIGVEQIAAVGYCLGAKHLIRHFKSGIDVGFIAH PSFVESEELASITGPLSIAAAELDDLFTVEKRHESESILSKSKQDFQINLFSGVHHGF AVKGDMSDERQLFAKEQAFSQAVTWFKRFI FPSE_08929 MTANIDKYAEERNKRLRPEGTAQYINLADSDLQKLVADPWVDYE ALARQAQPLQDGDSPKFLVVGAGINGITFAARLIEAGFSAQDIVLVDIAGGYGGTWYW NRYPGLMCDVEGYCYLPLLDETGYMPKHKYSFGSEIRGQCERVATHYGFRAMFSTKVD SHVWDETKGRWIVQMTQSFGDVQPPKQMKVQAQFLFLCGGVLAVPKAPGLPGLAEFSA QNAIFHTSRWDYTVTGGSQEQPDMVNLKDKRVAIIGTGATSVQAVPHLAKWAKHLYVI QRTPSYCGERTQQETTPETWAKVTESGPGWQRRRMTNLNSFLTAEPEPVDLVDDGWSR NQARSGLIGSSSQLMEQAATGKHIDALLRIDEPIADELRARVDSEVRDPNTAEKLKPW YPGWCKRPTFNDDYLATFNRDNVTLVDTNGNGIDRYTERGIVVGGRELDVDVLVLATG FTPSGQLLPEELLKASIIGRDGRLLKDKWDSSEVGTLFGVATNGFPNLFSVFGRGSPA SYNMTSGFDITGRLIAHVIAQATKESKQPERLVIEVDRRDEEKWMAQVAKNAAWYSAL TICTPSYFNAEGEKAPSTPEKQYQQALTATWGRGVNDYERIVTEYTKRTSDQLEGFVT SEV FPSE_08928 MSSNSDPEAPVRNDEQTPLLIDHHAETEQAGHTQSEIVPAPTPE KRPKSWYAWRIFWVILVIVILAVFIKGWIDSDETEFDLKKALKRALGGGLSGAAAMVL QVLLLMPLRTIMNYQYRHGTSFTLATKTLYTEGGIRRYYQGIAPALFQGPISRFGDTA ANAGILALLQSNPYLKDLPSPIKTIFASLCAAAFRMILTPIDTLKTTLQAQGARGTSL LRRRIKANGIGSLWWGAFATAAATFVGHYPWFATYNYLSETITEPPRHPLVWWLLRLA FIGFCASIVSDSISNSLRVVKTYRQVNDIKISYSEAARAVVVQDGVFGLLGRGLKTRI LANGLQGILFSILWKLFLDFNCDTLENSEDCI FPSE_08927 MDSDQLSPNTRSLRSRSNVTYYNDLPPETIEALSTLIPRPDDDL GTAIKRSIEFDTESRYNRSSEVDTESKNSRSIEVDTRTKNNTSIEVDTGINNNRSIEV NTGTRNNGSIVVDTGTKNNRSIVVNTGIKNKPVTKTSDTTLETTGSAATESPLLTIAK IKAKIATEHAYSEVLIQSRRRLWEQMESYEAHIREHQSKICDSGEGKDLLNKRLRDCE ILESQIAEICKLLESLGENLDESANKVVDLLNELSKAG FPSE_08926 MSSSSDYSDSAAAQLRVDINHEVALFLMRLKSLGIDDLVEDEIE GFETETSKAPATRASAPTDFSSSSFSSRVGFSKAKDPHHIIEISDVGDQIENYLQKKK LSQKQRDKRAEINRLEEEMADLDLQKRNLLNNAEIQRVSHVIGNVSTDIELNKILERY RASDVERAQLDAERQRLMDGIRRLQQKKRES FPSE_08925 MPPQPLSGPLCTKSSLANNMRFLGLNKGDTVLVHSSLSKIGWIN GGAETLTHSLLDVLSPEGTLVVPTQTSSNSDPSAWVNPPVPQAWWQTIRYTMPPFNPH TSRTQGMGVLAETVRNWPGAVRSMHPQTSFSAVGANATFITEGHALDCMLGESSPLAR LEDLDAKVLLIGVDFDKCTCFHLAEYRIESKKFDNRFAASVDGVKMWMTVSDVAISDD DFLNLGEEFLREGDVTRGHIGAAPCYLFSLKTAVSFAQQWMVSHRAPST FPSE_08924 MPTSRGTFAHNDGNKFISTFFIDGSPLVCLGQFTPEIQPFNTNN VTITYHSPNDMASNHQFNGHIGPSDIELTFVNGVTVKGSLNEPIVSGHGSGSGQHVNG TGMWMRH FPSE_08923 MPTAPGTLVRNGANKITLTFSVDGVQFTFVGVMDPAMPPFNANQ VTLTYNSVNELSSTVSFDGKIGPEHFRLNLSNGVTAKGDLDFPLSPASLVSGSGTWTQ N FPSE_08922 MSQTKLHNPPPTTDFLFVNIARPQDIKNRGTQKKINSHVMKPIG LTRRRHRPNKTIPITLPSPPHSDSNSSEKLPVSTVVSTADSLAPGQNARDRDESDHNA SAQDTSSAPIPRHCLRLIPSPVPEQKKFRSLPMSARTSKMIHFLAISQEAPVCQLMRE LCFSLSFVDESAFHLALARLEVDPERTGGQEPHETASSLLQYDASVESLRQRFDGPVV QAHEAIIGVVVNLASYDLFTNNLKRWKTHMYGLQNMILYRGGINTLTSRYIRVTAIWV DLIGSMALDQVPYLAFQKNHADRSQKICEVVGSSLDVEKRCHADVDKILQMLSKLSSL ATGKSEFEQSQDEDLLEALQTTIHTVLTLPRYGSLGADEGSRELCLRTYEIVRLAALL YLSGPATFLAGNRRFNLVTPQFRGQLSRLYGEQGLPCSVPEHLKLFVLVAGAIVEVDG ERQFFVDHLSHIVSLRKLSWEDVMSELRSMAWFDVVWSTDLDKLQGDLAIQMMDL FPSE_08921 MYKPMSVRPFCTLCEAAFQEGEHVVIIKEDAEQPLQSVEFNMSQ LEFIKSGATESTEASETTNSDPESDPDLHLDSDSTLNSEGLSWSDYLRYTLTWENDGK WSLASHLTCAEYLISHGLTPWKIAKVLAGDFALSPSAAAQRRYHVQTLARVEPAQPSD EDILSEISKLPPEITQQVVRWCLPHIMMCHGTSLPGLREPEPDGYWLNHQGPIWATFI SLQGNHYISRLSNKPNDGSFSVFIRPPSDGNNTFYVAHDHLGVRKVIFAIPDNKTVPD TTEDTVLWWETITFTAMNTQVKVISDGVKVRSLYRTPGLKRPAKTYWPSPMKPQDHPR VARLNRGAKGLPMVKRMRPLVLNDVGMNALSACCYSHNGMRYCLALVAHYPNTPAIRY QDYSRHLPSRTKPMWLHFVLDEGEQITEIWGWQTHSRSSDLVMRTSKGRIWNIGPMVS EREPFNWNHLVDLPTGEPSTIYFDESLRGINQLAFQEKNKPLVGAKAAPEVTTTPVTG SWRRNYFYFSERLKGLLTITPCWIKNRNGLTEITGLVLRYGDGRVRTLGVVRLDCLAE PIDVTASAGMSLSRKDITHIVDIQTHPHKVETSESKWFPWRGRLTWWGRYWGGISLFH EVPEDTFKPV FPSE_08920 MTICSLCSPALSSPSGLPTLPASWNTGCGIVESSSTPYFFADKD CETPPEEIGLAHHVGLDALTESAKECPLCELILKRVDKFIQGLEEVEQDTHSRYFVLE AQGHGVPETWFFKLVQRLDGGEGFAVFANSKKRSYMYLMDVFGFCVDPADSLYSAMTR GAEASGTSHKGKIRGSKVGPDAGSKETLSVVTGWVDDCIQSHDRCQPAKTRLPSRILD LEASDNPNNIRLLETVGDEKYGSYVTLSYCWGTDPAFHVRTTHSTMSSHLDSIDVNSL PQTYKDAIKITRHLGIRYLWIDSLCICQDDLEDWARESAAMQQVYAGAYLSIAADNAA GSEQGFLTRQDRSYVPATINISAHASDSAESNKVAGYVFEVPPIKAHHARAWMVFNKE PLTVRGWAMQERLLPIRALHFGSDQMFFECNCHMLSEDGVEVKGRWNSLYPLKEKGFK DLARKSRVSATHQLWYLILEDFFHRKVTVKSDRLPAISGLATMFQSRLTYEMVSRTGS GEVQYVAGLWSNALIEGLGWSALGREEITLPDKKPLPGEEGYIAPTWSPASFDDASAH GMTMPGWVDIATVTDFGVTPKTMHNPFGELIDGWIRIRGPMIKLSLSKLPDEDQEKLG TWRRNMRLCTPRGDAYGSFCSMDTIHGQNEETRAWIKNNEIFALVLSEKKYDGDEIAG KKLYHALLVMPVSQDRRVVSDRDEFRRVGTFFVDEDNIGDDKSQYRMLICLRR FPSE_08919 PKAPKPHHEKPKKPETPKGPSYEQPKAPKPHHEKPKKPETPKKP EYNQPKAPKPHHETPKKPEYNQPKAPKPHHETPKAPKPHHETPKKPSYEQPKQPAYEA PHY FPSE_07017 MKLSAVTLLTLATGIIAAPVADVSKEVRSYASYEPPKDTYEQPK TPKQEKPKKPEYNHPKAPKPHHEKPKAPKPHHDKPKHYAPPHHEKPKAPKPHHEKPKT PSYEQPKAPKPHHEKPKAPKPHHEKPKKP FPSE_07018 MTVFATGMASVSVNADDICQAPEQKGDSPLPQPAIFHLFPNFPL EVRHQIWSWNLTLSEPQVHFLSHGNAFGGNPKNDTPHIGSPPLPVALMINKESRSIAL KHYKLFPRYPPDSYSPEQMPHGYCDPRKDILHIPNMLRNHGWNFGNIPFREITICMQK GDNPGGPLQFPDTHMQELISNLPIELIKMSKQRLSLGLVQPGESFAPSITFVCVDRQQ RHSHYCSHAWPIDRPYNSKALDSSKVAWTMPHSRKTSKWTAILHRSISDKLVDEPDRE RYLPPVECTSMLLICKCRQVADRLRDGKYGNWIRHMERGKKAKPGSCGFPRSKTRAYA MHDERVDDDNQSDKKILGPELRQSHQNDRETTDNGIMVI FPSE_07019 MAAKSPVILILGYGANIGQSVARKFGSQGYRVAVAARSIKEADS TDTQLNIPSDFTKTKDIVNAFDKVKKKFGTPSVVVYNASAGNFSPAKDPFDLPLEDFN NNVTINIRSAFVAAQQAVAGFAKLPDSASRTFIYTGNVLNVAMLPQFLAAGIGKSGAA HMIWNASAAYKERGYKFYYVDERKPEGGPIYRVNGDANGEMYWKLSQDKEQGEWLQTF VKGEGYKKFETIYTPLS FPSE_07020 MAVLPLAAAFVAVALFIKYLLDPFLSPLRQVPGPKLFAATRWRL AYEDWKGTRTRTINALHKKYGPVVRIGPNEISFNSLSALRTIYGPGSRFGRTSFYRMF DVYGEQNLFTFHSPKDHGDRKKLLSHAYSKTAVLKPATARMIERQAWRYLNLIDAEPE GVSEIFSTLHYYSLDNITAFVYGKYGATAAVRGSKIHRDLISDILHPSRRRLSWCIVH VKGFTQWLYRQSSFMGALVKPILPMQQPTTYTGIRAYALSAFKQFRVEADAQEVKFTE DEHVSILERLWQYHETQRPDGMRDLQMASECADHFLAGIDTTSDTLMFLVWSLSLPGN EKFQEKLREEVQAISDDSLNKQGIPKAEVADRCVYLQAVIKETLRLYAPLPSTEPRST GDDTTIDGYVVPKNTVVGMSPWIMHRNEEVFENPLVFNPERWLGEKAGELNRWFWGFS SGGRMCIGMHLAMAEMTVLGAALYREYKTTIAPGFEDTSPAITARVETFYDERFPKVK ESTCLVKFDKLKR FPSE_07021 MRRQNTFRGQIKLLLIIVGLFSLLVTAGDEPKQWTPDDPCPTSI HAIIPNYRTRSEFGTFEGVHEALQICPNISELHMNCRYGSRRHRLPFKLDGSERYISR PQILSLTGYEFDHDEWSDIRPQGTHPTFGNAVWPNDGIWPVSSSTNPVIIWVVEMFYK ARWQWECLQGFMADLGLLPWPLHSDIWKYEGYSKEWYSQRHTPAERRSIENIQRWLEA MDFSQIHSLTISEYLNVPLGKGVYHDLPRALTGLKTLKVEGQWINPRYETLSNDQHED REYPSPEKNWLEPLLPPALDFITAVRSGLESLTWTESGPVRNEVFETVLKYHGSSLKY LEWTNTELETSFFSEEQIRSLGKWAPGLTNLTINLGRVDDVWPWKHLKAVAESLPKLT NLTIYLNMYDQSSNFDPNDEELIPAKPPFTKEASLDMFNILNLFKTGDKIQNVEFRQG DWQAPTDGPKIWEGVWTIRGPRMWKKCSLDVQRKWSKPTCEEGHEDKIEVEWRKPKRS T FPSE_07022 MDFAIIVMPLPIVWRLKLPLSQKLWLSGIFGLGIFTIAISILRI QWLTPEPDFTWWNVTAASWSMAELVSGIACSCLPTYKPLLIKVKSLIPTLKYGESTHS LHGVVTDDSGRTIVVTSEDAPYGSRPTVPNSMLAYGTETNITATKGVYTDERKLRKFS KSSIRKDESVS FPSE_07023 MAEQGQQQPARYIPATDFMIAATRENCLQVLLLAQQNLQWLQIA VNEATASMGIVDGATAQWFQHQINVMKEEYRKCLAFEGNLRAMVVRMENLMQRPRPQQ FPSE_07024 MAPNPLRPGPPRGSSPTEWTEAEKAEMVEYYKFCIEQRDNCITR LEELYQEQKEMEKQAGDKDESDGEYHEIQIQLRENARIAADQVDLMGGYLDILHIIEK EVEGSGLSLN FPSE_07025 MSGTAEKSWSSPDDLEFQKKFKAFLLQRAIEYQEARTSPKTDPI DVPPSEESISLLLIDVPPIDVPPSEEPISLPPVGVSPSEKTTSLPPIHTLNSEEPPSI PPVGVVHVGPPAKSESTTATTDKKVDEGNLEARLGKKIDDAFALLDERLDKEFQLLHH IMVATWEGA FPSE_07026 MPFLSSPDSATMLQRSSFLEAIQKHEPASLAVLENDSGASFSYG TLLKSIAHAKEQLLSKAGRTDVSGERIAFMVESGYEYVVTLLAILASNAIALPLAPAF PAPELRYILDNSQAIVLISSSKYASKAEEVISQDLATPPLFYQLDGTGHATAEEKEIE LEELSDSQQGGMMLFTSGTTARPKGVVLTQANLTAQASSLLEAWRYSPSDRLLHVLPL HHIHGTVNALLTPLLAGSSIEFMFPFVVDKVWKRLTAPFQTAAGEKAQVPISFFTAVP TIWARMLKSYDSLSPEMQKAGKEAISPRNLRLNFSGSAALPKPIRDGWIQLSDGNILL ERYGMTEVGMALSCGLADIDRVDGSVGWPLPSVEARLMETDDETGVQTVIQPGDEIDS ATGKERIGEIQLRGPTIFTGYWRNPEATAKEFTEDGWFKTGDIAIRRSVPGSGKGESG SWAQGPAYFIQGRRSADIIKTGGEKVSALEVEREILSLDQIDECAVVGLPSESWGQKV AAVVVLSQKGEKMTLPQLRAALKPRITAYKIPQDLEIVETLPRNAMGKINKKELINSV FGPVEKIRRRSIDLGARR FPSE_07027 MAVVEADPVVRPDEQIEDDGDSTRGSDVASSTQSISSSILSYRE ENGRTYHRYKEGKYHLPNDEVENERLDLQHHLFLLTFGDKLGLAPSIKPSSKVKRVLD LGTGTGIWAIDFADEHPEAEVVGIDLSPIQPAFVPPNVRFIIDDIDEEWTYAESFDYI HSRMMTFSIKDWEEYIRKIYKALAPGGYIEIQEVSGSILSDDGTLNKTHALTKWFTLL SEAFVKLGGAATEFDGIKAIMEKVGFVDIVDTRFKWPVNPWPKDKKYKELGTWNNANS MDAIESLTMAPFSRAHGWSRDEVEMFLVDVRKDLNNPQIHAYNPICSIYARKPESSDA FPSE_07028 MRVSQLICLPALAGLSSATSYPLNLANDACAALAEEFPSDVAYP NTTSYTLANNYWSHRQSEVHPDCFVNPQTTKDVSKVMKILTYLDAPFSVKSGGHTAFA NGSNIANGVTIDLQNLNNITVSEDRKTVSVGPGNRWINVSEVLDPLKLAVVGGRVANV GVGGLILGGGISYFSGSKGWACDNVRNYEVVVASGEIVNASPDTNADLYWALRGGGGS NFGIVTRFDLASFEQGDLWSTSLIHPGALNTTVIPLFHNLTVNGLPEDSDAHSFFVLT YQPSFGGYIALTSLYHSTIPSPAESIPPVFSPLTDIPGAISNQTVVANISTHSKLIDV PYGSRQTWWDVAVSAKSASFFADILPLYEARNLMLFEAANGSSVVPFLVFQPLSVNIL RAMQKNGGNTFGLDPDEGPLMLVQLTTTWEDPKLDKLVEDSSEEFISNVGSLAEDRGL DNGFIYMNYAGSKQEVQQHYSKENQQRLKKVVDEWDPEGKLARLWRGYFKV FPSE_07029 MSDDQQTRQGIAIDPEATGVECDSTADAESTHSSVASVSSSIYE YRKIQGRTYQSSHTTDYWAPNDDKHVEAFDVAHEWLTMMLDDKLYRILDIGTGTGIWA IDMADKFPSAEVIGVDISPTQPSWIPPNLKFQIDDAQLDWTFEPDSFDFIHIRYMHGA FDDWQRFYRQMFKALKPGGWFQHIEPDIHLKCDNAYSVAENETFRQWAQLFYDAGDKI GRTFNITNGLMQDAARDAGFEDIVHKVHIIPLGEWPKDVKRKRQGQFVGLYMDLSLDG FALYPIGQILGWSREEVEVLVAKMRAIIRNPKHLASGDMHLVYGRKPIQT FPSE_07030 MYIRWNDIRPKAMEPRDMESTPGASRSLEIQAVLVAFSLLSATT VALRTYIRTKVLSSFGLDDGLMVVAQVLAIGSAVAIGLENKYGLGYHTWEQPKSAYVP YMKSFYASIVIYNIAMCLVKIGILLQYRRVFSIQIIQLITFYGTALMVAWTIVIAFLN ILICVPVAKFWNADLPGRCLNPLLIWYIMAGFNLATDVTIFCLPLPVIKSLNLPRKQK MMLSAIFSLGFFTCFISIYRIQTLRTAASTEDPNWDNVDAAIWSFLEITIAITAACLP TLRPLVSRLLPRMFSSTLGRSNRASKYTQPRNSLYVGNVPRTRTDRRSKMFDDTSTLG DDSVPMPIHDKALHSPHNANFSVSIRAGSEGHGSQDTIPIYEGAGISAKTVITQQVVE DESWDGSRPSCSNKSF FPSE_07031 MEGVSLHIYEWPLTKEFLKQKMEFELKKAELLPEDERKMLKGSC SHRDFMAEVGTGSIIHPADMTEEQWNSVVETNSLLHGNTIFREAYDLPITMERAMYPA FRLKPRPIFDFTEDGAHTSADKTGKKGGNATMEEYRIPRFIVTDDVYVEVSEHKTSVM TAMAESSLSKNSSEVAIGGGAFGWSGGVTGGHEYESSERSRVRKEEEKNHMVITYNFP RVTLSLDDDNLQLTQECINELATVKTREDAGRFKRKFGCFFSTKSKRLKIMAAASFAG PAAEVTVKGGPSAWCSTVSSYYNWRVVKQDNVISIEDIISQVAKDQKHVFEKLKKFEE RASSDSLDICFYHKQTSRYLGFKTAADSVPGIMFADLYERGDNLAKGSAIIKGNATIM GGNTELIGIPVTPREYGEMVYAKMLGRGPRKTLSLGGVQQGNAQVFQLSGKVVKDERP SPGKFLSPYTRTACQLLTNNTPQLMKQESYEAWNADVNCPVGYVPATETYKRTQLQEC YKVKKEEAVSLRCRPVNDQTAQGLAQEIQVEIEVYSGTEFLGHLGRQKGTRGQWW FPSE_07032 MNPIMVESPHKDGRSSDEEKNTAIPQTESAARPEGSDFESEGEY QEGIERVRAITSVWTKKTLVSMFLMLYLISFVDMIMNYVDSALNPYITSSFGAHGLLN IGSILASIIGACAPLPLAKAIDVWGRVEGFAFMMVVCLVGMIMKATCTTVQMYIGAHI LYWVGHIGIMYVIGVMLADMTTLTNRAFIYGINTTPRIVATFASPEIATGFYEKLNFR WAFGAFAIIIAACSIPAMATMIYMFRSATKQGVITRQRSNRTFLQSFKFYFIEFDIIG ILLLCACISCLLLPYSLAPYAPKVWATPYIIALEVLGVVLIPIFYIWEAKVAPVQFLP FKYLKQGTIIGSCLLNAIMFLSTFSWNAYFGSYLQVVNRLSISNANYVLNAYSLTSSV IAPGVGWFVARTGNIKWTAMAGAPIMLLGTALIIPFRKEDTNVGLLALTQILVGFGAG VFSTVDQVAVMAPVTHQYLAVTSALSGLFGGVGAGIGYAIAGAFWNNVMPVQLAQRLP ESAQANVSVIFGSIEVQKSFADGSLEREAIVGAYAHTMRLMAITGVATMPLCVLSIII WRNINVRKVEEEKGKQTKGMVF FPSE_07033 MAGPVKKPVNIFKLKDVDEPQGVFNWRLWFAVFAFGLMGAARGI DEGLISGAFKSKDFKKYIHFSQYSEVEQANIKANVSAMVQIGCVGGALIAFMICDKIG RIWATRQLCTVWIVGIVIFMANGGNLGAIYAGRFIAGLGVGQTAVVGPVYLAEIAPSA VRGLCTCVFSGFVYLGIVLAYFANYGCSLHMGDDTHARWLVPTSLHLMFAGLIFVLSF FVDESPRFLVKKGQLEKATAVMARLRQQPIDSDYIVREMTAIQASHEHELESVNTSFL GTCKELFFNSSNLYRLYLASMVQVLAQWSGAGSITLYAPDLFELLGIHGSETGLLVTA LFGIVKLISAISCALFLVDVIGRKRALMAGISLQAFAMIYVGAFLTAVPDPTSSSGAA RGAMAMIYISGIGWALGWNTMSYILTAELFPLRIRALATSFAMTLHFLCQYGSSRATP NMLLPTSKGGINPNGTFWTYAAILVVGGLWVIISVPETAGRSLESMDRLFDLPWYKIG LFGNKDAEEQDAVYNEKEEMAMATHGDVSYIENRPTKEIA FPSE_07034 MTTSVTPPMRVHGWNVHLVAGDDDFHFAGLYQVPGSNLITFRDV IDEMRLCFDIPGEEDPWETMAFMLVDSPDDMKRKLPSLVADEDLALPVPGLEGSSPKV QRVVTYHVILHKPCEISYDKPLQDHIKDKCAQHITRPTRRTDNRYIPLDKPSLDPRYA KMPFRRTTTTRRASQSPKRRRSDSFSSTTDGEQEDIKNLHVPLNMDLSPEEARQTTET FRHDCLIHADRCAISGKGQSWCIIPSIGPGLLACHIVPQHHFYHYPRHDQSNATEAEP EIDDERLTQAWKDTWSGKNGMLLMNDIRQLFEARLVSIHPDTYKIRAFVPYDVITDFH GKKANVARKVDKLALRHHYEMSCIENMAAQMPAVSHDSASQSTVRFLEIRSPLGRTIS LPINTNMGRQGGDPAKRVKLEETVETSSQEEEIQRALSVEYELTEVSD FPSE_07035 MHFLTVATLVGGAAAQLKYAGNQVKFVKESKQVAANFPDVDDKL YSPAFLDPDHIPQGFANGTAAPNPQGYLEQFLWTLASRNDWMTYHNPSIKSEEGRSIP YVYLSASKSLLEPIASSNGTNKVRVWLQGGVHGNEPAGEEALLALLGKMDAEPKWTAS ILEKLDIIVLPRYSPDGSAYFQRTMATGFDPNRDHTKMASQQTIDVKALNLKFNAHVH LDCHETNAVRLLTHDNKTYIPSHDDQFSAFKNPNVHKDIRALAESLVVPKVHSALKEK NFTTNGYVVAFQEEGEGIRLQDFVTDTRGEVTVFMGQGLAFLSETRGIGIGDQYFKRR TAAGLTAALTLVQTTADNAELIYDTVEAARADFITNDQEIIVRDQPRWENSTWTYIDT ESGELTEVPVLFGNNTPPASNLTRSRPEAYIFSRAWAGAATKLRAVGLTVNELAVDFE GEVEAYNITEATLATQKYEGTILTTVKTELFKKKMKFPAGAYYVSTRQQYAAQAFVRL EPENPDGFATFNVFPVSTGDEYQVYRISA FPSE_07036 MTDSSSKSSTDPSNAVDAHTSSKQANNALLPTQSSDNEHDGFHN DQQDHLDMQRLGKKQQFKRTFSLWSSIGFVAIYMATWEFVLISLAPGFTNGGYAGVFW CFVTTTTAYSAVVASLAEMASMAPTSGGQYHWVSEFSPPKYQKVLSYASGWMTTLGWL ASFASSCYTIAFQVQACINVTNPDFAFTPWQIALIMWAVILITIAFNTWGTAFFPQLE TASLIGHVLGFFAVMIPLWVLCDKNSANDIFLQFTDQSGWDNMGFAYISSQIYVLWCC FGSDSIVHLSEEVKNASIIVPRAIWWSYIGNVFFGFIMLITMLFCIGPLDPVIASDWP FITLFDRTGSQSLNLFFNVILWLLVYLGNITTLATCARETWAFARDQGLPGSKWIGHM DKKWHMPFNAVYLMSIGAALLSLIQIGSDVAFTVLVSLSTLGIMTTYLLSIGCVLLKR IRGETLPYARWSLGRFGLAINAFSVLYSLFIVILCCFPLTLPVDTASANWAPLIWFGV IIIAAIAYMAHGRKAYTPPVNFVEGVKMQGVGLQEST FPSE_07037 MGICNFLTVALAFGIAAVHAGPCKSATLSDAMLSTIDASNVPSS SIISAATRTTSLPKRSITTTTKTLSATTTHTRKTKAAPASKYTATSTRTSSPKSTAIN LLSNPGFDDPVNIFFSQPWVLRSVKGKSIAELKDSHHVKSKPTALYMSITEGGAPSME QWAAGLEKSKKYTLSTWVAYESGSGGCHIDLKLGKTLVKRHVISKTPPGRYKKVEGVV SSKFQMDLVSMWLYCPSEATVAILVDNFSLKELMQ FPSE_07038 MLSKILISALASGALAATQDSLPYANDPNRCDTTCQLGYRQALT GESNLWVNQNVSTDPFYSNPANMSDYAAGDLVKWEEIPSIETTRRWTIPGSVTLNRYF YMTEDINGKPIPATGFVMIPYSNPLGNDKPFRTLVWTHGTAGGTRQCAPTNHRGLYYE WQAPFELVLQGYVVIAPDYAGQGSDIPEGFMYESGALHAGDVSFGLQAARKALGNKIT KEWVVIGHSEGGMTAWRTDEREAMEGKATGGFLGAVAMAPALQPIKLIPESFRRAGDG PAGDVVSIFLLQSLSRLYPEIKVEDYATDILMSRIPLADQGCLATGGSLYGNLTVKEL YKNTSWVEHPAMVDWQKRFNGAGSHKLAAPMLVVQGEADILTYAKYAVEDFDAKCKEF PESTAEYRSYPGLDHGAVLHAAKVDYLPWIADRFNGVKIAKGCKKVEVKPATDRFSLV TQDWMALIR FPSE_07039 MNYFKEPVQGDQVCTIGNHTFTWTDLHIPKTQTDPLRFECDELG ASAVKKIQEIHEQLRQQGHQVSRGDLFETLSQYHKQDADLSQLWQETHHVPSWVDWEQ IARGQRFFYRYALANLIGFAFQGFVGENSASTSVVEVLARTGGFSTRVLRRRLLETFQ MVLQVTHSLEYIKPGGSGHSSIIKVRLMHSMVRQQILKVAASKCRFFDQESHGIPINT LDSIHAIATFSCNHAWLQLPHMGITPDPQEVEDYIALWRYVAHVIGAPQEYYTSAKQA KAVMESLAYNELFVTPTSVVIGHNFVEALKDLPPINISDGFIQAASRVLNGHEICDQL GMGRPGWYAYACFKGHCWLVWGLATMQRWVPSFDDKMVQLCREGLHNAIIHSSQGLGG GSILDFKYVPDGKIQGKEKSGRAEGKLWFVERPLELIYFIVFAIGGLIVLGGSLGMIQ LVIGISGSQRLFV FPSE_07040 MHVTATKQTKTTVILPDLFKGFVVQTPRVNKGYEAVKPISEQWL SEKCQFSPRMKKRVEFCDFALFISITAPDAPQDKLKTMCDWGNWVFPFDDLFDEGSLK SAQRQAQHVVDSLMADMLGKTFTKKKIRVVQAHDDIFRRVSEGSTAGARTRFALAMRD YTDGVIHHVKHFSSNSIPSIEEMLHTRRLSSGVTPLYHLVEFAHDIRLPDEVFENPVI QTLERLGVDFVLLANDILSYRKEENDDSPFSMVASCRAAGQSPQEAFDTVGALLEERH QEWQTVIAELPSWGPEIDANVARYVEGIQNVVQANVTWSFQSGRYFGKQAQEIRQTRL VEVMVDPPYLQQQKQQQRQRRAANMHSGQWSKSLSSLVQNVSWSLVLSLVIICHFAVV SRQ FPSE_07041 MYQHLNWGPYPSPYSLHHLEKTSDVPLNDCKSQHILDVLPPKPI LDALIESFFSDINHHYSIINPSFFIQQYVDWSTKTEQEQYHDAQLTALILMICACVTQ QLPQGGAIQLSESSSLDYHSAGQRLAMATSAGLHSTTNLQWKVLSICWFQGEGRFIEA WHTIGLAIQEAYELGYHEARSCTTESRTEAQIGRQIWRVLHCWNWQLSLTLGRPMIMN DIDSDPDVEVDLTTMPPSSTLSTRLQYQLVCSLSKRWHTPHRIDSPSEIRAHGQMVEK YMQSLPPVYRINNTDTTNDQKWPWLVTHRYYVQAMMYFMILQPYKTYLSNPSIDPMLP EVQKMQQEATQYSLKALDVAGQWSSYALDGDVHFHLVVLCLFDTAAFLSTTLARDSVS QKGEVMAAIYNATTALQQLGRISQGAKTSHILLGRILKGAADRAK FPSE_07042 MFKQRSHDTHHGTHRPQITSNPNQYEIALLGDSLFERFKTTGSN LSINHNPLILNLGVGGDKVLNVQYRIDQGLLCLLKNNQPNLKLMYIHMGCNNLKKNGL RKDDADAYASLIKNIQEVLPDVKIIITALFKQRGLADEIIDEANQMLCDIADQNGTDF LPFGDDQEGIMSEDNVHLNATGYVKWNEVLEKDMSTRQKADWTGSRHD FPSE_07043 MVSAIPRRHDTRTPEKPRKLSNPRLKGDKLIVRLKLRPSILAQF PTKPKPLEKKPTTKPLIKEHNAHQLQFLDQLISEQSTELNRLNQERSITMQNIENYER VCSMHLDTLHRSLADACDLGMTSCELKDGDGDSTRLLKDDIQQMRQGVQNWSRILMEA KQDLASKKHQITMIATSLKTLEEERQQLSQWM FPSE_07044 MSNTNSSSDRAQIMDDMAAAKNGEALNAMSPTSTPQSRAQLILA LEALLQAMKQMEAHLQLELEANAQGPA FPSE_07045 MGMPYSKQVNAAFDQVTPLVAAGFEVLKTTKNIAILLAVIQILV AIVLTLTLLAILALIYSVNPDLEAERQALVTPCMQWIASWLIEYGNIVSWCLKFLVVL CIGGLGAFIWYNSTPTFPVTERPALEDGEGEDGEELRDVEKFE FPSE_07046 MPSLTQLLAYANQEFTDVYNKGPYTSYKQIEFLLLISGKSVDDL IANPKGVFRDLTYDTILDACIGAGSVVEATWKGKAGRCTATSLKVSDQLMRKYPGQFE FEYFSVRRGKVSHRFSRCAITGIVIDVICSMGAFILPDNELRTITTMYTLSWGHQDGN VYMIDEDGMDQFCEKVSHVRAQALCLYEVSRSGQLVVLFRELNPQFLNQNISGYEYKT AMFAHGCIKFKLKPDTASGPGLKQLHLTPNPDEPGDKTIIVWSQDHTDQDRSEAQDEV HYFLATWTGCHANQQWPADGINWFYRELFWQLCLGYGNPKIWTKAEAD FPSE_07047 MGETTSIWIKKDDNESSDSWSEEAEMSDTTSTRNETFVGRWMRL IVAFLTVLSIFMLTAFIFFQQSSFKHHRDSHSEHVQSTKPQATQASSSVEYNEGIPSI PQLRDTNEYILSQTWDFNASPTTREYSWIINQATLNPDGVYRPMLLINNQFPGPLVEC NEGDTIIVHIKNDAPNATAIHFHGMFQNGTNGMDGTVGVTQCPIAPNSTFTYKFDVRG QHGTYWYHAHHSAQASDGLLGPMVVHSKKEHDLQKIDYATDRVVMLQDHYHNLTSELL MEYLAPDQENNEPVPDNGLINGRGIRDCSDFQGWDCNSTDREMPNINLEAGQRHRLRI INVGAFAEFQVQFDEHPFYVTEVDGTDVHPESVHRLNILPAQRYSVILETNVTTGSAF WMRARMVTHCFKNENERLESEAKAIIRYEGHNKTSSYEKPTSKEWPDAIEVICRDLNT SSLRPVEVISPPPADKVIILRANFEIGDWRLARGFFNESTWHPNITNPSLHRFLDHGV QSTSKKTPIAINEHLFDRNKELVLETTGIQTIDISINNFDDGAHPFHLHGHKFFVLLQ GRDGYPPSPAELPGYLEKHNLLENPLRRDVVTVEGYAWAVIRVVLDNPGLWAFHCHNT WHAESGMVMQMLVRPEVMKDWKLASQEKDMCLREGVMSGMRPDDSLWFGQFKQ FPSE_07048 MLFQTTAILTLASLGLAHSPRHKEGKTGWETKYTATGTADVAAA AATAKTSSPTSKVKGKAFDRIAIVYFENENYEKAFGDPNFSWFRSKGVTLSNYFGVTH PSEPNYMASIAGDYFGMQNDDFNRNPKNVSTIIDLLEHRGVSWGLYQEDMPYTGFEGF AWVNHQNGKNDYVRKHNPAVLHDSVATSEQRLSQIKNLSLVDTEHSMFHRDLKSNKLP QWMFITPNMTSDGHDTSVTVAGNWARTFLEPLLKDKHFMDNTLVLITWDENESYARQN HILGILLGDAIPKHLIGTTDNSFYNHYSEIATVEANWDLDTLGRWDVGANVFDLVARK TGDKLRKWKSDTMDKYFWNLSYAGPFNTAGGNKQYVAPNLDLEHTFSGRKVFGPIKKE WKGCKADSYYKDTIEVDDGLNPPKGYKPAKSK FPSE_07049 MKSIRTPSLKRFFSDFTLGFSDGLTVPFALTAGLSSLGKADTVI TGGLAELCAGSISMGIGGYLAARDECLPCNSTLSDEEDPKHHGGSDEASETDCMVEQS EKMQSKLDDLVRRHLEPLDLPVSTIATILGSIEREPKHLRHTISKLESFTENTILPDP SLTPSPILSGLTISLGYAIGGIIPLLPYFFASTVGMGLRLSSILCLMVLFTFGAGKSW ILNPDRGNVVTCLVEGLQMLVLGALAAGAAVLCVTWVADR FPSE_07050 MAIVTTHVTLSCRKREMPSFAGVAGANSNKKRKLTEPAPAEQLN NNSQLLVAKTTPWADLPQQATCLILFFFVVAKMSSTRPKPTTSPQMTKPPTRSKLGYV KITKALANLDILNSGVREILTQLDGLIKTAEEIDWLLKGEPGLARSVGRTKEVGQMVI DSIQDRLAFLDQQET FPSE_07051 MSSELTVEELRAQRLTAIEARMSHLRTIIQSQRRAVKTTNLQVN DDVEMTDISKIDQGPGNIDQDQISDIPDVDMADVFSLDQINGLLDIEMTDAPVSDESH ISPLGSTTSESTTSETATSETTTFDDIKDLLPTSFPMA FPSE_07052 MATPNEKVGSDAVKDPQAPATHAATGPTDIKADDASAIEKELAS VEEGYMKEEGRLPSPEEQIEALGIPNWRELEKKIVRRLDMTLMPCVWCLYFFNYLDRA SIGHARLSSFDADLGLVGSNFSSAVSILSLGYVLGQLPSNMMITKIRPSLYLCLMAIV WSGVSVATVGVSSYSGLMGVRFALGLVEAPLLPGAIYLLGCWYTRKEIAFRMAILYSA QTIAFCSAGLIAAATYATLEQKHGLAGWQWLFIILATAGAGSAMICIWFIPDYPTSTT GSAMWTMTEDMRKVAAARVIADRPSTTEGKTGAWEGLKLSVRDPKLYILTLMNITISA AYGFSNFYPSIVRGLAADWGYGPVIALVLTAPPYIFAALGSYVNAWHSDKVKERGLHY AIPVAVACSGFIICLATTDARARYGASFIYVGGMYIANPLIMGYVSGALAKTPEKRAV SVALCNLLSQIGNFTAPFMFVAKEEPRYISAFIGMMAFGLSSSACAIVLKIWLTRSNK RLLREAQRNGTVYQPYAT FPSE_07053 MTSTQSVKAVRYHGQQDIRLESVPVKPLDPTTVRIAPKFCGICG TDVHEYLGGNNLIPKPGTPHGITGETSPLTLGHEFSGIVEEVGSEVTRLQKGDRVCVQ PIIYDNECRSCKRGLQNCCDKNGFIGLSGWGGGLAESTVVPQDAVKKLPDNVSLEVGA LVEPLAVGWHATKISPYEDGNSAFVVGGGPIGLAVVQALVGRGCKNIMLTEVSSKRRE FAKKFGAHHVFDPTKDDVVAMVKKLTGGLGADVGFDAAGSQHAIDVAFDCLKARAVLV NIAVWEKRAQLNMNQIVFRERSYVGCATYALGDFEEVIDALSNGKITPEGMITKVIKM DKVVEEGFQTLINDKDNHVKILVDVSAGV FPSE_07054 MISSSFFSAALAILAFNGVQAGPCRPTTVVTTEASLTETTLTTK GETTTAISTTELSVATTETTTDEDISTGTTEISVTTTQVTTIFEDASSTLTTDGKTTT ELPTTTTSTFSEDVSSTETSAQDTTTMLITTTMQTLQSTTTSALTTTTSPAFEGGACS DRNDCLLSLNPVCALGLCNCIDAICTPPSDTTTCTLSSQCGAGQDCQMGPCILARARM VSAL FPSE_07055 MRVAIIGGGPSGLVQLKTLTTAHEHFPSAEPFETRLFESYDKLG GVFLHHVYEDAELVSSKFLTTFSDFRPRPEDNDFFSSERYREYLEEYTTYFDLWPYIH LSTAITGVRRGDTSEHVVSYKGPNGEEIEWECDAIAICSGVHSKAHIPNIPGIENVPE VIHSSDFKKREQFGTGKTVMVLGSGETGCDMCHLAITSPTKQVVFCHRDGWIGAPKRA PGQRFLPWLFGDEDYEEPQLPIDVSQVTLFDSMYVHPMVRDTMIVWDYYHFLALPAGC WICGGSEYGVDQWVGQIFSERFHASRLFWNKGWQRIQNNVSTPWRPTKWPLGTRIRQF FFQTAITPAKRTIDVAPFPSHFTPDGVAHFPDNGRPEAKLIQRSVVKPDMVIFATGYV PHFPFLNTEYNAGRRPYPVSHDADVRQVWSSNDPTVGFIGFVRPGFGAIPPLAEMQSM LFATHLLNRVPRPLLKDDEWHYRIIHAPSARVTYGVEHDSYAYQLAKDMDMAPSFTDI LRIALYTPYGWRLPYVWAGGASFTAKFRLVGPWKCDRAAEILTGELWETITRRNGLFG NIPMVVVPVLYLGSINLYYYMHSLFWGCLAKIGLCKAPVPRNDVKRKFEELAQREQMK MVQDAKMAAVDVRVAEISDDDSDF FPSE_07056 MLSHKHAPRYRNTVDTTGISDSIVTDTDTVTTESTEKTTGDNLE ATTNSGSETTTETISATTAAATESTTITVSDTTVDTRATTTQSTLTPVPTSFKMIAQS ETEGELVMYTNGRQIVVSAELFLAPWLSSITYEHETGYLRVGSNPLCVMYEPSGRLAA LANCQSVVEGQYRHLTCDPPSDAGLVCNIPAMRCDNGGCTDLGEIWNRFYLLGWDEDV WIIVIGADDLSTSDAPAQGIQPISMAIETV FPSE_07057 MSGSEPAVPAQADIVGIPGAAMTTLSLTQGLLRAVSADNVNPQA VIQMERLGSCFHSNGPWASRVPDLLCRASSVRIERLSAWIGWEKNDTASFMSKTTGGR TISLLCCVLGSLYEPGRYGVLLYQLSESILLSEQQIASPNQLGGVCRILEGKLACLGF GNHFAVQATRLRQCFFEAGLAVPRDLTETPTGEDMKIFLCSVRDVLQDENLVLQVTGT RCLATLLAVVIAICPDDTSVRVNGEILMAGLRDNIIFHVTGEYTSKTEFHIESRFQPQ TMNFAKSYITQNNEERVNSELRFSFDNMLSDWLDLNLEMTDDSAKQSLKLAIANVVAC TASSLTGEQLRGYCPSEGTPTFAGHLYSRFPDQGMRIALGPRYRQIISDRLQKVICRP TNTLRAFADEFETLTEAIHKAIPLERCTCHGCKYVQKYNSRDFSDGSCPLAKLREAAM EATFLALLCVFIKCGQNSTAVLNLQPRYTTDFLALLSLMSKRPALGVVSSWLRKKSGG IGVADGIEALSEFPSNIHGSIMDLFGKEQWSSTVCSSSGACTVYPSTLQSFRIAYPWT VYYEAVDGKLWFRSDVYVSIVTGDPRSFIVNRTPSLTYDDRRDITEEPITKGEVAAPS ALGQHTSLSVTLRPTTKVGSQILQLRCVVTQSTQVFEVDFLNLHLGLMTLAAADPCDH ALSKPLQLTSTVKATSVANPVARMRAIMRMRDPLVLGVTLTHLSEEAQFLCCMYGWRQ LYQGDCCMPCAVAQAKKGKYQVIIGGSPRR FPSE_07058 MWLINTSTITLERVNPDDLPSTPYAILSHTWGKEEVTFEDIISQ SQVKCMSGYTKIENTCRLAKERGLAYAWVDTCCIDKRSSAELAEAINSMFRWYKQATV CFAHLEDLPPGVNGSLDYASLSYCKWFTRGWTLQELIGPKDLEFYDCAWKLRGKKTDL GLFISEITGIHEKVLNDNSALESVPIAGRMSWAAKRKTTRIEDMAYCLLGIFDVNMPM MYGEGAKAFERLQEEIIKQTTDMSIFAWKANDESQDYRGILAQSPDEFAHCGNISQTP SIRCGYETTMTNKGLRLETFLGGSNVLNLACTSIDLWNVEKRIGIFLTKTADGYVRSS PTTLFETEDSSFWAGARYKIFVRKRVTPFVSKQLKQDLANNLTVNFDICPGFQIRSFA AKPADLWDQHRHAFIGNVNHASIVNAFHKFNGFLDFQISDKAGSFVSNRIIVVCSFSL RYPSGLKGSDGMWTTIYDSKGGKASDAIMQCINGYYNSYGEEFYLSQLTDAVYQHMWV PQREVNLQSGNEGRHLCISSDVRIDPENGARSLVIKVKTR FPSE_07059 MVCYDMVGKSNDKPLWRPLAHKFSTLILRRRPSKRNALVDAEKA QETPANFKPLEVRSNVLEGLHVPNSWLVESPSANSHDKLTLPAINLSESQRSPRSRGF GLSKDSSSRPFSIGDISGLLKTESDRASALATLTATDSEPKEERSVLDRGRPVEPRHL IHQPVRGGKPNRKSLPLELMFTAPVYNEGQTSNTTIEDSVTKIDVASPSSFHESSPWT AEHRRRSTIRIVSRSPTRADETKIDTTSMTTTTTTDEPEPRRSQSIKRKPVAEAPSTT TSTIPAPAPAPTPAPAPTRTPSVNTNNDGPSYSTSVTRLKAKQPSKLSDRLSWIKNLE EKNNDRPNKDLAQLPKRAGTVSDKLAMFEKKNMSGTANKQHLLAPTRTYSSSHSSTHS SSYNSARGRESIFSTDSNANVSSTRTSIDTTHRTSSVMSYYDDTFRQKLESLVGQEAA AAAEEEETEETKVEEKE FPSE_07060 MDSSMEKPDYENLEVIQRNRLPARAYWLPPAHLILNGEWDFQYA PSPLEASEYPPKDAKSDEAWAPITVPGHWQLQGYGRPHYTNVQFPFPSAPPFIPTENP TGTYRRSFKVPAEWDNSSQLRLRFDGVDSAYHVWVNGEFVGYSQGSRNAAEFDVTSVA KKGEENDLVVRVYQWCEASYIEDQDQWWLSGIFRDVTLLAFPEARIEDYFVKTNLDAQ YQDATLAVDITLHRSENDPLELSLVLRDGDTQVASTEKVLDDKDATFKFEVPVANPKK WTAESPYLYQLEIVLKAPDAKVIQKITQNVGFRKVELKNSLITVNGTPILLRGANRHD HHPLHGRAVPYDFLKQDLLLMKQHNINALRTSHYPGQPWLYDLADELGFWVMDEADLE CHGFYDVVTQPVQPPPYLDYEGSKEEYFPKAAQFTSDDPEWHDSYLDRMVQMVQRDKN HPCIFSWSLGNESFYGANHVAMIEYARSVDDRLIHYEGDIKAQLTDMYSYMYPDQDRL KRHVEIDGIEDGKWQKPVILCEYAHAMGNGPGGLDDYQDAFRKYERLQGGFIWEWANH GLLHKDGYYAYGGDFGDEPNDSTFVMDGLVNSEHKPTPGLTELKKVFQPVRFEAKSGK VFITNEYDFIGLGHLEGEFSVQAMGDKVSLLESSKVEIPEVKPWDTVELALPDLTQYQ SHDEEVFLHISFNLKEATKWAPASHKIAWFQHKISSDKEPTSPATSTTAQVIDVKESR VAVELSGADWAIKFDRVRGYITKWSHGTDLLEMDTTTRAAIYPCFWRAPTDNDKDSAV SVWKDYGVHRMTSQLRSFKVQKDDDSVTVKTVTYLAPPVLGWGYDITTNYRISSGGIV SMKLDLVPKGVFPKDIPRLGLNLRLPKKLNQVSWFGRGPGESYPDKKHSQAIGIWSSS VDGLEVPYDVPQGHGNRMETRWVRVVDADGHGIRASRHDQSTFDWTGSRLSDETVEKA KHPCDLVREEATLLNLSAKVAGVGSATCGPGVREDLKVKVEPISYEFVLQRI FPSE_07061 MVQAQASLALDDQLAAGWFGWMRNKGILKLNAILCLSLISSYAT GYDGSMMNGLQSLDTWKASFNNPDANRLAILNAIQNIGQLAALPFCAGFCDKFGRRPA LIVGAFIMLIGVGLQGGAQNTGMFIAARGILGFGLALNITAAPVLIMELAYPTQRAPM VSIYNTLWGLGALSAAWITYGTFRIESSWAWRIPSILQALSSVLQICMCFVIDESPRW LVAKDRDAEAEKLLIQYHANGDSNNPAVAVEMEEIRAAIRLENEAMESTSYLSFFKTK GNRRRFFIILSVGFFSQWSGNGLISYYLTLILNSIGYRSQETQTLINALLTIWGLFWG VVFSLLVNKIPRRTLFLGSTLGTLATYITWTALQATYEQSTDVNSDGTGGPSGIAKGV LAMIFLFNVFFTAGWGVLQVTYVVEILPFNLRARGLVLYNLFVACALIFNQYANPVGV TNSKWKYYITYDVWLAVEALVVYFLFVETRGLSLEETALVLDGQEAGDRLVGEVLRNT EKTAVHVVETKQSFA FPSE_07062 MGLLSLATSLLAVSSTATAASLAQRNGNNKLTTAAQSLFDYSVE VSDSRFDSSYGYIWYQDNGQWSVRFTAWYLAGLLHRGKADDVKAAVKAIENVLANQLN SDFDSAWYGTFKLAPDEPDPTPNGTLYPPSIYGTYDPNWREFVGTQLIQVVEEFGHLL PKGLESRIEDALEAAAIGGMRRNGSFPQDDNLILGYSNPAIMRTLTTGWVGKRKNNKE LINFAKEQGEDIYKLFKRDQNALAEYNAPNYYGIDIWALAANIAYGPKEDPMTKNAKL ILEKLWDDIADHYNPYLGNMVGPYDRAYSRDANTHSQILSMILWGLYGRGVGGQPPLG EGDLLYDVAQGAAIAMVLDVVAPTVSKKAQSIIKSKGKWKGERFVKKTIYEDLESKNA RHVTSWLSAELMIGGQSVAEEKNRGNQYVPAIVHWASDPKHKPYPYMGFFSLYPSAST LTAVAGPKSLTVSYPNTTQEGTDIFTFALTGIPPSWTLGSKNVVKGLEKLPCLDVNVT APGLVKQDVVYGATLRNHWIYNISYVVPEGFKGVPKVTLDMEYTC FPSE_07063 MRLVIQQGPQEASNYIAEYIISRILAFNPSEQKPFVLGLPTGSS PIYIYKSLINAYKASRISFRHVVTFNMDEYVGLPRDHPESYCSFMHRHLFEHIDIQPQ NIHLLNGNAPNLFAECQEYEDKIKSFGGIELFLGGVGTDGHIAFNEPGSSLSSRTRVK SLAYETRIANARFFDDDIDAVPDMALTVGVQTVMDAREVVIIATGASKALALQQAVEG GVSHLCTLSCLQLHSKSMVVVDEDATLEMKVKTVNYFKGVAKTIKQKEFSGQLPPSPT LTADGDDDGDLKPDNMASRISPVTRVRSVTFPTNM FPSE_07064 MTFGEDSRLQGSGGDESGALKARKACINCRKQKMKCIVQGNSES CRRCRRAGLPCVFVPRANAAQLPLVSEPLDLEFKNHVLSRLKIVEERLGLSGDNSMSL EMFAAAHEPSPEPTNEPLWNAILQLQRCSPSLPATIWQHETVESLWSSFHDRMPGLHF MPDKQTFSAPQPVLLASILYCSSTRGPPDMVEIAPHFFTILCTAIAQLSIPSSEIGRP IETSVSREEWAFQTVLGIVLAGLLTEGNIRETGNWISVGYRLMLDYCPAHVDDTSREW RKLFSGIQIVDLEHSSIHLAYPVIPIEAPLATLRMSPLDQLYKLSRMMHTGLSHFTGR RLPTIWSCFEDSRVENHNFTTSFTAIDGILIRDWAKQLDDWLVEFNGKTPEHDQSSKL VLRQYVLHRLLVLSIYHPARSGNLWSQSITSHEQEELLLSARATLKLHQHDDSIWSNW DLIIITWAALIVLQGIQGGIGEIEDMTNIKLHLDILKQKNEPKPSLCDKLIARLEESL QEVSTPDFSYVQPAHSAVMDPSFDYTWQIFDQVNLQQIQFPG FPSE_07065 MLENGVGNGHLAGVESIVKEFDVDKEKAQEITNYFVQQMKHGLK AKHSYQIPSFVTEIPTGREKGLVLAVDLGGTNCRVCAVELHGDSSFDVFQRKHIVPAE LRVNDSHKPLFQFIASKIKEFLEEYESQGSREGSSQGIFNLGFTFSFTCEQTSISRGT LVHWDKGWDIPSAIDQDPCSLLQEAIDETGLPVRVCVLANDAVGTLLTKAYTAEKSSS ALAAIILGTGTNAAYVEDITNIQRLGTDENRTEKHNGGVMVINTEWGSWDDDLKVLPQ TRFDKLIDENSSDPGCGLLEKMVSGMYLGELLRLIILELVVGGSLSITFAEDSPIHRF TGIETSFLTKITAFAPEDTEGTLNYMKATLAVKDATIEEMRRIQTLAKAIITRSARLV GAGLAAIIIQSGRLQSRSTTPKTTTTAQTQEIAQKSVKQQKGSTISSLAKFIRSIFGC MRPEDDTSIPVVKSPYGSEEVIESTILVDEDINIAVDGSLFEYHAEFEELMRSALRDV AEIGNANERRIKIELTKDGSGTGAALIAAVAGDAENHHT FPSE_07066 MVKANSWAITKGLLFLSTLLSTSSVVNADNPIVQTVYTADPAPI VHNGRVYLFTSHDEDGSTNFNMKDWRLFSSNDMVNWQHHPSPMGLTTFSWANDRAWAG QVASRNGKFYYYVPVAMKNGRMAIGVGVSDNILGPYRDALGKPLVENNEIDPTVFIDD DGQAYLYWGNPGLWYVKLNQDMISYSGSVNKVQLTTAGFGSRPNNAQRPTNYEEGPWL YKRNGMYYMIYAANCCSEDIRYSTGPTATGPWTYRGVLMAQEGKSFTNHPAIIDFNNK SYFFYHNGALPGGSGYTRSVAVESFQYNSNGLIPQLRMSTSGPAQVRSLDPYTRQEAE TIAWTNGVETEACSEGGLAVSFINNGKYIKVKGVAFGNGAQSFSARVASANSKGGKIE LRLGSETGKLVGTCTVPSTGGWQTWKTVDCPVSGATGTSDLFLRFTGEGSDYLFNVNW WQFK FPSE_07067 MTGFKKSAIFGLLSSLAAVTAQKFTNPVIWEDLPDNEVTRAGDA YYMTSSTFHYSPGAPVQRSYDLVNWEHIGHSVPVLDWSPDYSLENGRRAYVKGIWASS LRYRESDKKFYFVACISGTGKTFVYSASSPMGPWTKGATINKCYYDAGLHFDETDTPY VAYGRDDIHLAQLSKDMKNEVKEQVVLRPPLTLEGARLYQRNGNWYIFLTRPPDGQYI AKSSSGPWGPYDNRIIADRLKLSAVPRAGSPHQGSLVQTQKGDWYYMGFSDMYPGGRC PVLAPVTWKSDGFPQITTVNGAWGDYDYPLPRRDVASPIGTDNFPGTQLRADWEWNHN PVTSGFTVNNGLTLRTVTVTKDLYQARNTLTHRIRGPQGQATVVIDFSQMADGDRAGL GVLRDQSAWIGIEREGSSFNLVMVSGLTMNKDWSTKSMGNVVARTNNVSYRKVYLRAN ADVRPGQAGSAKFSYSTDGNSFTSFGSTLTLGTYWEFFPGNRWSVHNFATKNLGGSVK VERFDNR FPSE_07068 MSFGAFFLRIIGVGEARVGMIWFMLAILLGFIANIVVLIGCASP DTQSVYLFKVGSADLVNATANVTGISSNKLKTVELPEYWYWGLSGVCMNVKTRSLFGD EEKITCKQSFPPVFTVEDMISFAVETQLGDNKNTTVKDKMMEPWKQALAKIQDRLVDP SRPRDLMKAAAAFCVLSTILSPIIMILIVLYFTILRDHLKRWMLYALAFLDALLFTGA GVMIGYAMREGPRGIIELAGIPQSDMYGPGNTAFTLGALVKYIAIELFLVLLLLGLFL VLWIIYCCLLCCVDDRERVKVKVKVINEYFPQH FPSE_07069 MTEVSNISDPPTKVPAETPSPPNELDAGGHSDADDADSSFQSDA PSSTASVSESILEYRRIHGRTYHSEKYSSEQVFPNDEQQMECVDLSHHYLLLLLDSKL FLAPIKDNVQNVLDVGTGSGIWAMDFGDQFPSAEVIGTDLSPCQPQWVPPNVRFEIED ASQTWTWKDNHFDFVHIRYLFGALTDWNHVFEQAYRCCAPGGWVESVEADLRFCSDDG TLDLEPVWATTTKLLEEGGKVIGRQFFVSEQQKQGIENAGFEEVKVVDYKVPIGGWPK DPALAEVGRFMLQTLLNDPEGYSFVLWNHVLKWPEDEYQIFLMQVRKALRNRKVHSYI KVRYVYGRKPQ FPSE_07070 MSNASKAKRLMDTITEAHRMSYRIGRGEQGVLTFEPYKSHILPL WRFRTVPIAKKSAEDLWKKFNEFKDQRDFVGMDMTRKFIQMGMTRAKRYANHAGGRKY AKGTNKELPKSEEHPDKKEKERASLIFRGYWERCKEDEEYQDLKTEFLKQQKEREKS FPSE_11249 MRYLSLLLFVSVVSAAATRFLNPDALLKDLEDRDWFKENIPIID VSDKAIQEVYYYRWQTYREHLVYTGAQYGYMASEFLSPVSYGAPFGGIVAAAGHHITE GRWLRQTKYGQDVTNYWLSGPGQFPKPMKEEVNKDTSDWAHEYSFWAASALWKQYLVT GDRDFIIGQLENLVKQYRGWDNHFNESLGLYWQVPVWDATEYTAASYESSDPYHGGAG FRPTINAYQYGDAWAISQIAALRGDTKLEDEYRKRAEGLRSSMQKHLWDSKAEFYKHR AHDSNPSGTLLSTREIMGYLPWTFNMPSDDTKLAAFSQLKDSQGFSAKYGPTTTERRS KWFMYEAQNCCRWDGPSWPFATAQTLTAVENVLNDYPAQKYITSSDYFDMIQRYAKTQ YKNGKPCVAEAHHPDTEQWIYDGQNHSEDYNHSTFVDNVLAGLLGLRAQSDDTIIVNP LTPASWDHFAVENVAYHGHSITILWDKTGSTYNRGKGLQVFVDGKLSGSRDAIGSLKV QVGAYVQPAATAFSVNIATNSQRFPQLTTAFASYTSPHDHPMRAIDGIVWRTGIPQNS RWTSYQSPNPSDYFGVDLRRTQVVCNVRLFFYDDNDGVRLPSKYDLQYKKGDTWVTIP EQVRSPMPTTSNVETKITFPGISTSQIRVVAPNAGSNFGWGVSELQIWTPAIFHIRNE NSGKLMGVEKKSTANRANIQQFESSSSRDLLWQFIPSAGGWWKIKNLNSGLLLAVEGA STANSANLQQFEDNGTDDHLWRVESKGDGLFLIWNKKSGKVTGVDRMLATDGAQVVQF ENSGTKDHLWSLLPASTEDCSKSS FPSE_11248 MQFKLVSILALAGFAAAAPSSTQDFKNLPDGPYSGINNADGSSS VTHMETGETFNFAAPAKRSLEKRDTHCWGYELDHGGVDAAVVQLKNWAGTGRDWRSEG TPSYFGYNERGVYVYYCINAPRSQGNLDVADINYALGQMDSKCKRYEAGYFRWDGSVE IVGKTRSGDNICLG FPSE_10894 MESYNMILQFPGVDELLQSPSEDETELALQELWNMLKKDRTDPL RLSDIIPHVLLQLGEDQKCYDFIKWWLLHGSESPSGRPDSPFFDIQGANACEPLKHLE LSNASLSHLVALTLLKLRLYMDLDAISDRHFDTSMGFDDDGPSMDRDLGEIAEEIFET TKKWSNLTGLAGIVKTQYIALRRRVNEANPQFWEALATEKPSSGSSSEDRGPGSRDEA DLVLYHCRRAWDNVEDAMVTIDDDTAHYVRAYTGPAERNERKPLGKHRGTGRVFPTGI TYVASSHPNKPDILSSINIDKTRGRLLLGKQSSLLLYTDGSCLNNGQSDARGGWSVSF GTDDPHLGSSAVSGRLEEMGPFGDREKATSNRAELRAVIAALRLSDWKKEGFTSVVIA TDSTYVLSGATKWAKAWVSNRWRLHDGTPVKNKDLWELLLGEVERWDNEGVEVCLLNI PRHLNQEADEAARVAATIKPDKTKFTDITLSAKPKLQPYILAVCLNPNQLCQDYDSIL SSNQTLKVVYSPKIALECLNGPEPPSMILITDPTFIRYRDILERTIDRMRDGATVVLS SLFANVSTSGEISRFFNTVGLPWGEGNYQGASLKLSTRVIDQHLTKGLKQDIRINSSF VKGVTPSESWYIDPDVPGQAAVAFTKLGLGRLGYIGCTDDSEGAIVAGAMFGMTAA FPSE_10895 MASHGEALALQIASSSESSVNSLPPQPMEPKSEVVLATPKEIEL PEQRGSEKTRDLKYRHASTYRKIFTIIFVANAAVFTALMVTSNATILSRDAASAASAN LMVCILFRQEEFVNLCYEIAVLVPHSWPMSIRKRLAKVFHYGGFHSGAGVAATAWYLA YTILATMEYAEDKKEYRLVNMITGWVVVCMFFVILVAAYPTIRRKFHDHFEAFHRFAG WVSLLAFWVHNIVQARVTAHEWNKSIAYALIRSPNFWCICVTTSCTIASWSRLRRRVV YPEKLSDHATRLHFKYRDMKPFYGLKISDKPLTEWHAFATIPDIEPESGKVEGFSVVV SNAGDFTKKQIMDAAERKLWVRGAPLHGLLYTSKIFQRIVIVATGSGIGPCLSLLYAD ATPRRVLWSTRDPEKTYGPGVVNAVKRADPNALIWNTTTRGYPDIVLETYKLVVESNA EAVYIISNPKVTDKVVFGMQTRGIPAYGAIFDS FPSE_10896 MKNSLVYMHTRDIDSGTIKLSDEGHLMRRPLRQSHGGTKTAFSQ SGGAGLFSNPVQYSKIIAMLLNKGKDAQSSCRVLQEKTVEEMFSNQIPQFPNYARNQE SPCKLSLMRHEDEMYPQPGNPPQGWGLGSFLLLEPGSTGRAAGSGWWSGLSNLIWWAD PTNGVGGILATQILPYGDTDVFDCQRLIEGEIYRNLFKQRNGYN FPSE_10897 MSSSEPYIGPMAPPTTSQAEQTDHRPDSPTSDMNTSAQTEETTA APEPGDSTTDSIVLTRKSDPRHDGRYIILDVSRSRALTCHDGYLRFEAIDLNIPHRHV SDKVQWECKERGGFRGFKNVAGGGFLGHDIWWDFYAKAYQHNGWEDFTLWRREDGLCW IQSLDWSTQRQVSAREEGNGLFRQDDGGTLWEFVKVGN FPSE_10898 MGNHRTWDIRTNIFSPVDSGELTTATFDCPGEAAIMRWRSCSVQ SLDNVLHSGGQKIPPQDEEYDDHDGESSTSLAFVRKSDPQAGGTYIILDVSQTRALTC HKGQLRLEPVHLSDDHISEQAQWFCTSKNGFKGFKNVADGRFLGHDVWWDFCANASSH SLWEYFTIARRPGGLYWMQILHWWTQWQVTVREDGSGVYAEKDIGTDWEFVKVLGMSD FPSE_10899 MSPKPTGEWQPVPLSLAPSIAAYNIWTQDTLPIEIDQATHRLNL LNSFRIPPSSNILEIGCGQGTCTVVLGAATGPSGHVDAIDPASLDYGAPYTLGQAQDH IAKGDLGSRITFWQRQLQDFLQETGDKKWDCAVLVHCIWYLDSEQTLSQMLQALKGRV GKLCIAEYSMQASETAAVPHLLAALAQSTLAAHNSESEANIRCLLTPPDIKRIADQAG WKVEEEATIVPDEKLQDGMWEVGGVKSQEFLDDVDKYVKDGKIKTLLRSQREAVLRAD EQLRGGKSRTMDVWAATLV FPSE_10900 MAIIGCKPGTCQIHTFNSRDDGSGTYNRHEYSHVSEWAKWKKKK GDFTAETNTSGCHLCIIIAHAFDESSVATFAKMDDKETLYLCFSYRSVERDPSGGLDW KQRTRMTIDDINLQTHLPDEFWLKIRPEDNSVLNKLVIDLRIRMLQGMSIQLDTKTSL TYGTENDGKHVLKTEPKQHASTLNSQNLQVASQWINKCLSSHPMCKAYQSQQSGWRPT RLVYVGSKSQQPRLTISSEDSVPVPYVALSYSWGSGYDVTLCLENIDDFQKEIPTASL PSTIQDAIATTKTLGYEYIWIDALCIIQDSKDDWTEESSKMGDIYGSAAFTLAAAGNP NVKDKMSCRRDPRAIRPCVANIDTTYPGLSYPWAIYPNQPERLLASTINDSPLSRRAW ALQELLVSPRTLLFGPKQMVWSCTTVEASETFPLGLDPKFCTPLNENTSLSYLRRKLM RISNKEELPSEFWDSFVFRYTRAQLTVGSDALVALQGIVERIITVAETGCDTDEAPRK LDYVAGLWHDRNFQRSLLWRPKYGLPRRRPEGYRAPSWSWASLDAEIDSYDEYVPWIW NHKDIELASIGDISIEPRDINGNMPTGKVTGGYLDMNCYLRPCHLRKISGANDSSSDS ESSDALIVTTEEYHKHNGDSWSEAHREGGDPSQGLQKFANSCISDFPDEIPDSKWIQV YCVPLQLAWRQTDRYEQSIWESYEGLVLMSTGDDSSDETGKGSEESRSELDAPACLSS SLPQTLRRIGTFTFNLQEDNRESRESELFGPTTHYKDNVPVRKTESIRMI FPSE_10901 MDRLTRLPTLILEEIFVQLENESSIKGLIRAYPSLLLLYYRYEQ RILTRILDNLLADDVTGKIEKDALAIIKFSNRDGDFYWQIRRHGFQLKDMWLPEWPDS PTRGDLRQLHRFLSRIITFIEDYVSKATSEYPPRAYLGVPDLETGNTMFRGNLLDTRM VRFINLTCDERYRLLRHFVRYEFLCRLHNDPNTRETAPRKFRKFNKFGVRNELDARLL LSVHKYYVSLYGALFAHVGVAWLPPVPRCSSAQGVSNDSVPYYRPLMYPDNLLFDGTE YAAEIIHCYDPELLHVIAGNGLDLLTRVLRRSKTETEEGKTCVKAMLNAFTNREEENS DDEYPGPWIKMYYEERRNEALFTFAELIMPSTVFPKPLSESSLQCLNTFVYSDFRRGR NAELSHVSHRLQVEIWTQRGWGWFDDERLFFNPAFHFPTIEYLFDIETSRSRLREAMW KAPEKRLIRIHNKIMDQMTEQKVDRIRRRSQLWQDYWAGTRATKPSWRLYANKRRFRF YNDDPVPPGLPFIWGDFWDRE FPSE_10902 MSSEQYLAGKTAIVTGSSKLNGIGAATALALAKHGANIVIHYAS NKEAAEKVVAQVKETGVQVIAVKADSSSESFGTDLVKTTLEGLNTKTIDIIVNNAGQA IAHPEIAAIEATNWDDIFRTNVRGPFLLIQAALPHMTRGGRIINIGSIAGKLGIPALT VYGTSKAALTFMSTAMAGELALKGITINVVSPGPIATDMTMEGTPIGEVLRSHQVDKR EGEPREIAETILFIAGPGSSFITGQVIPVDGGIHMP FPSE_10903 MPSVIIFGASGFVGAPYTRALKQAHPDWQITAYVRSTNPDLKAS LNADRIIIGDFTDFDKVKASSAEHDIAVNAGNSFTSEPVAAIIAGQKERATRGKVIHI SGAGNFIDFGTSGNLNPESKVWNDANEDDIKAIHKDMFNGQSDTLVLEAEGIDTWIVC PSVVYGGASTGYKTMGIGYSLLTGNAKPLGYVPYVGDGTAIVSTTHVLDLVDFLVKIS NVAAQGPAEGTQYSRYYLLETSRVAWKDLATQLAKEMHARGIFTSAEPKSVPFEEAGQ GEIKHLVGANMLIKGDRAAAMGYKAKHPSILEQIHEDFKEFPV FPSE_10904 MGTRDALSSIITPALLAQIADGYLPFPKDKELSFSDVQSNETSE HFKKVCISTTAKDALIALSRLSPDATLPDLDLMSLLPPPTSVDFPQQCFGLQLLLDQA SRILFTGVDTRWQSGYFGPLGRQLAGQWYALPGEEQPYKFERWQATGDTSFSYWVAIQ VIWAAPFLHAEDLESQATGLELSEELRRTVEKHTGVEDPYRKTRDTTLKNDLFFLREV VKGPPTEEDGTSISMSTWTYWWCMILDSHWPIIKRFGRYPYRNAVLGRVSTEEEKKWL DDTGHFGEAPPDVAERIRKDVEEGKWTPLGQD FPSE_10905 MSTVHDVIHATESVSQQVTDARSNDDGPQLSPEQQAEKIAAKTR KVLDILSRSIKDVESALNPVNRVGVDEQPGVVALDVQPNGNQPPGGVLRQGMYGKDSG LGKHIPSLQKMAEDMGPTIDTFRMILDKPYLRNYNAMVNSIPVVGLKGDNVMYTEVKT GGVRGVETAWSIFGRIPDGVVIDATTAVHFFPYKGDFCMSVGTAVYRKRHRDDKDPTV NLAIDDWPRLYQDDWEKVGGKCLPTARALSVLPFVRLTGKYIQFHLVVLDDNGRLLSL DGDLGYGSNFYKELKNNTENNTAARNLKIDRAAYWNGNIVVLDDKSNTWNLNADFDAH TFTAGDQMPVDLLLELTATDIGPVGVRTDGWVYRRRPLTVNDSSDEPDKKTSWGKWIP QNGVANLGVASPGVMLSLEALTRSLRDRYLSAQSSIYPVLNKMRTFAANQEFLLQTQL KAVTEYQNDEDNVSKQNTAIKEAKKLVRHSKVWSSIMRSQLEHGKKTVNLMGEKLPSV RSQMDQQLIVLKDKLVSLEAQIKAESKIDTSFWVPISTMLLGIGLTILGTATDVGVMS LDSVGGTLFVGGLVATCDAGTQLSKHAGLFTNLERQLQGVSEAISQIKDVADKSGDLE KMYGSLDGFWGRISNAAESLKDMNQDTALQIGEGILGDSNSIEESFGVAQNMKKNVCT LYLAVLNRNGISFTDDDDDDDDE FPSE_10559 MSSFYRPSTKNSAKAASAKLTRAERDALEENNLNILRASIERTL WSLDPASPLAADLHNLQDKIRKHTLSTLLQEKKTLQVQEDSDDEDDDGDDDDNALPEP VFAQSSPLRRELPRSSTRRNSARMVTKLPERRRPAPAPREQILPSIEKTSSDQASEAI TVASAVFDSPNKEQSATTAATSVMEAGDETKLPVFKTPAKKRAAEDEYDPSPVAKRVK TWLGTLTGGYME FPSE_10558 MGRKCSEEMQVQAPFTIQLLGPIKQNGANHSIDKLDPYLNLFPA SYCTQSHDLASPSDNCTALIASELDIQRLNRIHRWLWVAGTAVPARSLHDQLSRGREV VITEAMDMHLVWTTGKVYIKPLPRFLLEPTIWTEYLCCQHTCKCTSRLDYNNAQPMEC ERRKLYKVALGFLYSYAALIRHESDFLLAKDRYLLPNCGISWFSWIAFVKQLDTEHIY PDINPRFHHKELRLSRLNYIYYLTQLSPAGFVRRWDRYSTFFHMNLGWLAATTVYMVV VLTAMQVGLATEALAKNSAFQSASYGFTVFSILGPLNHDPLFQALRAVGSAHTHETQL ASLSHVRRAAMSVVNTFFYSTLTVALYCLVYRYKSTEPKKRVTRRNCPDIDVLYDPED DPVNPTKANVDVVAVHGLGSDVDRTWTWRPDDPEQSVHWLKDSDMLRRKIPNARILAY NYDSTWLYDAPRVRAESCGKALIYGLDNFREREGTRDRPIIFLAHSFGGLVIQDGLVF ADSMGRFEDILRYTAGFVSLGTPFRGSEIHWAADLAASVMRLYGSYRGCLSVLKYDNP ELRYKTQCFGRLRKKYQFPMFCFFESLETTFIRLPFLCNYFKAIVVDEASACLSELAR LPLETDHVKLNKYSSPEDQSYLCVSAEIVRMCNNAVAVMNRVNDESPSTDHGEHPSQH DEGVVGHQGSQDKHLERTSPFVIKNTR FPSE_10557 MASELPPNALGSLASSIKNIVTGVPLEEKSETQVEATNIDKTKA KTLDRTVDKTVETTDDATVHKEKVAPVLHEDVKSHQHEKVDTEIDHEIHQDHYHTTVQ PVKDKNVLPTKHVYKENEFEEEIDHRNNQAKIKAQEEAAKIHDEKDVHDTTKSKEYVP AKEQEHVHHHLHETIQPVIERETVQQKVIHTKNKIHEKEQLNDEFHEATVAPAISMTE FEKAGGVQKGTTTTKDVEFPVSSVKSKKREALTGEIDESSKIVKKKRGSSCTVKDCRC SCHIDDPVL FPSE_10556 MRLTTLLVGLAAIIPLSMAEELDADDVPSTCRTICQPIVDLSNA CDIDPKENKTKDKRGDFLLVARSKAEEAIEANCICTNKSFDVASVMALCESCMVQNSD DAKDVQKMMKQCDFTSASYVSAATSVVSGISVEATKPASTDIPASTSIPRSTATDAAV SATETAGSNAGKIVVSIMAVTWANFMLAFLL FPSE_10555 MAGLTSPKKSQVDPSSPKGVKETPQNESGLLPPQHWGQLSEDID DQDDADSTIPDNASSTASLTSSILKYRTLHGRVYQSERGNTDYWGPIDEAGQEVMDIN HHILTLLMGNKLYLALLSKDIQAAVDIGTGTGIWAVEFADKFPNASVIGTDLAPIQPS WVPANLHQIDDCTQEWTIPPNSLDYVHMRWLVGSIADWTALFKEAYKSLKPGGWVETY EPSATVESDDNSVLPGSAMNQWQKFFVEGGCKMGRPFTVFQDELQKKGMQEAGFVDIE EINFKNPVGGWPKDPEAQSIGQCTQATLEQDGKGTVLHMATALGWSEEEVTVFISHLR REIRSPKIHAFFRQKAVWGRKSETA FPSE_10554 MASELSPVDVRVIAVIQQVCSVLSIIGCLFIIGTFCLCDAFHKP INRLVFYASFGNMIASICFIVADSFVKVPEGTGCQAQAFLIHTFVAADAMWTFAMSVN VYLAFYHRFDAQRLRRMELPYLLACYGVPFLPAFIFIFIKDENGVGVYGNAVQWCWIA PKWGNLRIATFYGPIWFVIIITLGIYIRSGGTIYRKRRQLLKAHASGSGSRTGNLSYN DQRTTNYMKTTEVAVMHESIDKPEAVRLHSLRQKASIRVFKPTITVNAACSNEAVQPN NPPRPPTRSGSDVYKATWAYTKVAMLFFAVILITWIPSSANRMYSFIHPHQVSKPLQF MSATVLPLQGFWNAVIYAVTSKDACKAILEERGLRVEKTRLNEPTWDDEQREQQRNRN MAV FPSE_10553 MGELNRMCFLPTYERKVSKALVDNENRFLGGLELIYGSWSYDNP KFGWTIEGDQYVVKVMADEPKDLEAKLKEIGRIKQEP FPSE_10552 MVSQIQVRQGEAKLAEKVDEISRRKKYHQVELAQKDAEIKKLME KVELLSSENEALKAKVREINLKQAGDKGVVMLD FPSE_10551 MVIPSKALFTGIAFGLIGPGSALYDEPNQRVGYNPLVTEWNGPD SNLTATIGLVDASYVSHFDTRRQIDVTAWADVVGAGGTVVIAANSAVGIYSFITDLIK SKANSNSCSMTTGTLRRSLCRGLCLPGYHQRPRLQDDI FPSE_10549 MARKYIPIQDIPRRGAPPPNTFAVGGPESPKMNKRLSSNGASPS PDLETGMQTRSRKRAAHASAGESLIRRSRRRRHFDREPLISDTLLADVANMDSENEFW SEPIIKRTRLRDRMNGSLEALNDITPRDAPTSKAPVEGDDQDGSATPLESQNTTEAPT TSATLPKTTTQSAPAQVRTCSPSDRTTVEQERKTLGERIAHQLLASKRLRDERGELWK KMDQLEQRIHGHQEIMGSNEENNGMVQLRMELCEELQSKLSGMYDDLGKNRLDLDKST LKVDLLLKEKVTLVG FPSE_10548 MVRLAVLATIMAAATSVQGATWCQCLWPDRSHCCVASGAGSCQE KCQNAKQAIFDGSPFPKNRPCNAGGLGFGISPITAQGRAQCDTRTS FPSE_10547 MVRLSLFAVLATAIASVTAQTSACQCLFQDGSHCCVTLKTQDCQ LECMNVGRKGVKCNANGKFSNVSWLTGVGRTKCDSFK FPSE_10546 MLSAMSLQGYYAFVLLLLLPASVKADSGDDFSNNLFSDLAPLLA LFGERVTMQFLSQSMGWSDCIILAMAPLGIITTIVSAIRVGGPPWLKALIGRSRENTS AVEMELMSSTSHETCELWNGSDVVRCQGLAPVKEFFCLIPKGGIDNTTSIRIMRLKEA VDKKLVQEQIEAPNITLNRCHKVDRGHLHLAAGFGVILQLGVLVYFGFITYFPSLRFK KDDKYVEGYAFPFSSGGTIILVLGMLLCAHVVDRRTGETRYKPVDNWKTQLVWLQPKQ TVSDQVFESFALYPEKCPHVITVSRRDEDTGTPKAPESGKGPQQSSKLKQVESTNLSV AATAICLAGFFIQFVGLRAMHWSASVGQLIAVIIMAMIRALVRRGFIDTIHNRELSEG FELDTLAIALGSLGPDSHVNSQPREDVFDFGLSKGGAWIVQMNEDGNCRKAMGNITTA EQSDTTGISDHNGLVVARGNNKAQEVMNIRRHLGRLANWRGTASKEASYLAAAVESTM NMLHPITKANTAPSNVPNPFEWILEVDLGIEKHQIALRLDCKEMQWVSHVDELESVLS LWLYSIDEKRQTWAEKSNVSLNALDMEDDAWLRKASSQAVGGLIQLGQLTDELDRALR WWMPADAPKLWEIQQSYADIEPWRVVGTRRSDDSNKNSAAHQHQPSTETTPMETNVEQ DSNQLKCLQLVSHDSLEKLYAKHIFHAFIWAAIDKMSQPIDECSVMELVRASDSREWS NFRLHGDNLSKLAQVIQKSGLGDLHYAYFAIVPPLHSQSKLGELNAIVEMIVSEAKQR ERLLDWKKAGDAYRRLYDLAKTFPEDSYLYKKAVAMLVDYIRVITNLPLDYFDQDIIR HDEAVATYRQLRSVLEEGHTAILLSLRELYSRQCRDWSHSIFDAAAMQQVNNEGMKGM GFTELHQLIATWPDDSNQDLPAISEKNINAQDILGWTPLHYAALRKRGNWVKHLLQNG ANANAADIRDWVPLHYAVLHDSWSAIEELFEGGANMRAIGVDGITPLHLAAMENSWLI TEKLLSHPSQPADQLTTDNFGRAPIHLAITQRYPVSIVVRCLSASVEVKDREGRTPLH LAALHGNIDAINYLAEKGANPDQRILNNDAETVLHWASRVGKTDIVSELIRYGATVDM RNGASLTPLHYASRRGHADIVDILIKNGANVNATNKYDETPLLYAAEGGHMEIVKILT EEAKIKLNIRRTSDSNKNNAMEAAIMAGHADILRVLVERDATIEKETIQIARNKKTEV EDRVVIKFLEQALSLQICFREHIGNEGASIIWAELVSRLIKKGNWIS FPSE_10545 MEPRLTPTALIVSWADSPPLEPLRSVLREQFGYNAIPLQLPEHN REQVLKRSLSNALITHSSMINPFILYLYGDSLKRQGQSRLLLKNGDNSVFMDWLKLRQ EYIDKLGFNILVILDCNHKVVQTMMDRYPILEDDMDPQSNSIVQVLVAPEFSKQNGTP FAHVLTDTLREVTMSSSSATIDIRDFVAEIQRRLEPSSSTEPFSLRSTRENLMLTAFS HEEQVISDDIWHYPRISRNASNYVRVSVLPITWAKVDGWDAESELTELTDVFEHHFDF TVERVVKIPNTSNAHSYLQRRINEQIKWVGPKGLLIVLYNGHAKRTNGGMILFGTSND VQGVNWTQITHTLNIADCDVVHVLDCCDALSATKGSETVQSEIAREANLQTGDSEYHG KNETLAAGAREERVPAGKASSMKVFAEVLNEMAVKNIKITIHSWQQWIVAKVGRVQTQ NGDLRYAEPHYKMNPLRRDPSPALRLKTRDI FPSE_10544 MSSSHSCIKVVGSINWDIINRIESHHGNWQHASFPHTAGIDPCP GGHGVNQATAVYRACHYRSSESSSHSDANSPIGDVQVHMIGMVGEDEDNRGQKIINAL AGNGVNVEGVHLARGVRTGYAHIYVDMQGTPRITNDALANGYLTWELVEKELDKSPPA DLILVQLEIPQDTVERTIDYANKKQIPIIFNSAPVSTRASNLYKHPQIFQVDHLILNH HCVKAICEIRAPRNGFALDDGMTSIADIQALYSKYCDDFHRQGARCVVITLGHRGVLA SYLEPPDANDNSGQRTFFYPAKRRSEELVDETGASDAFIGAYAVEILRQMKTPANLNL RPRFFDLDIGIAIEHGMKAGALTTESFGSFPAIPWREQWIGSEIKWLTANPFFMQ FPSE_10543 MTRLVESYRRGYPQLAAFLTLDEYFTIVKRFDFLHMRSIVEQQD RLAELEARLHQCDDEEGIQLNLSSRRQDGNNKRRELMKEVHDTLKQYDDSVTRFSELL RLPQAKEDHKRSVHCWMQGNKPLVKSESIVYDKILEDNDYIALAWKANDRTSLEDMVE RLVRAFPNLVKRFRINKVNSNRSGSTAVS FPSE_10542 MSASPIAYPGLGLDIRHYDQDTNGEIYPMGIHSSCIGSESELLL VREVAMMIVMNELTDKPDWHVKVFDETIAEKWIEEALALPTEPLYHDIVPSDVRRDVP KVILDRKCLEFCIQELRAKAKFFEKTGLVPTLDASASVVKADGYIDESLRQSLQAAFT KLKLEQKDDPDWHPNSNEMVQNLVHPSLYPLVYGRSRVFRQEVVGVEDAIDRWSGKGE VIPKPNTSYSAQTPFLVRMGGVQVDNSNWSDTYQWLPSNVSFQDDGSVKFTSYINGLH PTKHREIYATIEKLIEKALPAWDFSIPCYRVRKMVGACRTRPRFNKPQTPDDEEEANW NISLDDVPMRDKLREKDEDDDDSDDEVFSDEEEQHHHDWKKIREPIQPEAPTFKAWNY GTKPGESLRERFQDRGLQVIVKMASIELTPDKPVFPAGGWHVEGQMNEHIVGTALYYL DSENMTSSSLAFRMQTTYDQWDLQEKVGQDSYSWLEQVYGTDLNGGSCLQQYGRVETK EGRLLAFPNVFHHCVSSFKLQDETKPGHRRFIALWLIDPHTRIINTGNVPPQQHSWWM ERAFGNTENVPIPHPIATLVSEAAPDHIGIQAAVENGRPLPNELMEMVKREAGDCVMP MSLQEAKEHRLKLMEERSRSQTEVDSNWRGETYSFCEH FPSE_10541 METNWKPFMKSTADDWTSIPDPTQRKRIQNRLSQRARRMRQKKA MTQFDSGSVTTDASSVRDAMPSPDQGQSSTQHDLSTVVVDTLGTSPLADSHFIILADM TACAALAVIAECLNLDCQPRPGFHIKALFLELPSTIAPTDLQKLVPHKPYLDMLPWAS LRDKLLQSVSVINEDEFMADMRSGNLRVWGQVPWDPMGWEVTPDFARRWWFLMDAGIV RTSNFWRSQRGEPPLTIHALYKDVDSGLFF FPSE_10540 MSATFTQLFPSKPTYTEEHIPSLNGKVFLVTGGTNGVGLELVNI LYSKGGTVYLPCRSANKAAKTIKDIQASHPDSPGQLNYLPLDLNDLSSVAACASAFLE QETRLDVLWNNAGISYAPPDEVTVQGYEPHMGINCLAPFLLTKLLLPVLKHTASMSSE PSTRVIFIASGMVDSSPPGGIPLEELHPGKPSRDLSRNYTISKTGNWFLASEFDRRMR TDGVVFIAQNPGNLLTNIWDRVPWFLKAPIRVLLHPPIKGAYSELWAGLSTDIKLEDG GRYGVPWGKWHPGPRKDLLLSLKTKEDGGSGIAEAFWEWCEKETASFVKD FPSE_10539 MIAKALLSWLAASATVFAAPTADGLSSLDARACTTPANSLKNPS FESSLTSWVFKPTYAQLGSAAVVTGGYKSNHAVQVGATAGTNDPTSYNKLYQTFKICK ASRFQLSWSMLLPKDGSKYTSPNIPGLYVEAQAPDGLWHQLGNFQFSSKTFTSQIFSP SKKGTHNIDSWANFVADFPTSQTGTWTISMEWYAQPTSGKTTTLKFKMDNFTVKPKA FPSE_10538 MACLNELDVQRYLEIETLALTELGNGELKAVVPNVQCKECPWMA FSNMFLPVAWFVSHTVPETPATAVQRRLHAERPLVSVST FPSE_10537 MLVIRRSIDVSLATVQVQVTGPDKTMEAMADKRIAHKNDKSPAL INPTGGSIAAIRADNFVPILSGNIYKIKMPEEEVKYFIDMLEIQWRLTVIGALAGAAD HLELLPMFDDFDPMGQIAPVAQDQPEGFGQGPQQYPRAES FPSE_10536 MKSIVGIQAMSSLRTTPVPGLWITHRLIGIEPTAEAVSRRSLVI APGNLVAVNTLVQYHEYNVFQMVSTIQSNVHGTTTLYLGVYHW FPSE_10535 MKCLPLTQASLPSRFAVSQLIVSSICCFPRYEATHLRLQTLCPS VFPDTKHPSSCPSSQPSIDAPPSSLLLHVIHLSVTSLKQYAVYCGLQDMQDRKKEQKR LTTPSDGHTIHNKPRRSTYLPICDCAVEEDMLQPGILWSTDSLLFICMAHTVGLHYRA HETLSSMRCAMTIEYSSTLSSNASKLYHRMIEKHIIPVGNLGHHGSIITGQRHDSSFN TYFVVRADLLSTTSCLMVQTFYKWYPMGSRSRVAPSRHTEPTCLLRREPHNLFEKLIV LFLRRKLRTTPLPHLIDCGRINSFIYHLSSFLTLPSSLLAEPSLSSTITSILPLSSPS HPRITSTSIWGNTLSPLFVFSDPDPKQLQPAVMTLNERWPKPKDGPEEFINCFALDAQ ISGLEYLQTSSI FPSE_10534 MDFLSLLPDLALVNILGHMHSERDIFHLISASPESLRIYIRYRQ TIARQRLSTMLHLDVDGSILQDAQAIINFPVILKDSSVLRRDVHRCLDTWDARGFPNP LMEQANPESVTPVHHFFARLIFFIEDYISKASDPFPTRAYMTLPTMNRFAPTMRFKGR EIDDIEHVSFADLDPSVQRRLLQAFIRFEFRCKIYHPFVWPLIQGTAYEDMIFNANKS LSMEDHEEIYCVSEYLNGMYSAIIVQSDDDIWFPDRPSPTTDHGLLFPDTYCIRIYTF VSDADLDLLAWKRMPNLGLDPLSALLQYLDSHSSSRYYIRDRVQNFSDNQPYGLWTAT GHFLQQHRLYFVSTQVRRLAAATESSDNPMQWRSDTIDDVDRQINLKSYATAMYRQRA GIFFTGSVGRQPNLPSWDEFILEEERANHIMGLEERRQRRRSHKWRDDWAGQTLNRPS DDDSSINQENEEDYDDEESDDEENYGQTTLLDDLQTRFFKPRSR FPSE_10533 MEKHENFEPEWIWADDQGTVYAQGYGGNLTVIFSFSANKEGSPP TSLANRVCNKFENIETDDPGATFATIPDLHTAVWASIRHLWPQIVSRPALRLDDVVAI ESSDSSVENLGFEVYSHPLFSQYIGNLADDTLGRLPDAATGQSLDFATLVRFQQLGGK GCTTQVRLPNGDRAVFKGVDFRTALSHCDDEGDVVFRNLISNWRREYNTLHRLLPHPN IMPPPTLPVTIQWPDKSSQPVFCGALFPFYTGGNVDSRIQESNKAGARIAPRLKAHWC ANMASAVFHIHRVAMTYHKDIKPGNFVVDEEDNLKLCDWEQHDAPATTIAPEVDGTWD VTEAVSSNGRPQLHYTKYSGPPRRNVHEDVLEDAPWHTWDVFPIWATEHPWALELAEV FSLGRTMWMLLRQPKADWDDIEHPDDITTDWDESDDIPFTWKQMVDRCMSRDANERPD LEELVDFWTEIDDQEAAED FPSE_10532 MVKGLDLTTDAKYGPFLAELLNVLQKHGLVDVLGLVALEDKDVN SPPLVELEFGGSTIALAVDINPDPKDAWIHVVWQFGTKLGPSGEPIVFKKHKIAHKLQ GKNHINRHLSTNQ FPSE_10531 MFQLRKRLLYKSEDHDHGDDPASSDRKTNSGGHRDKSTVKPLTA TVANISSLRTPRSVTMASQTTSPDHDQLNTMSAPTRSYKRLGTSSPLSPVKRRRTDLP SHAPSPIIASAAVASRQSPLPLSTRPTASASPFSPKHTKTQLKPGYGLPKSVFEDLDD DQQSLVQRKGSSRDLSAQAMMAKGHEVQHKLRAAQSNLERSTIKLKIAQGDFTEVKTA YHNWRAGIVAETEKATTIRESLRQVKRHKKHYEFLETLVKGGPLMTERLVGVAKDAGL EFETGLGEKEI FPSE_10530 MSQPYQFIQNEERWASRFRTEEMKYLQNMGSAKQATSGSVCDRT HLFAFHAIIKQRGYEVLPVLKAATAVEIYDHNGQITKFINGDGQKNEGLEHQLSQTLG ASLGLVWSSLQRTKERRNAQADPQQFSRPLSKRQQQMPNPDYNPAPASSPGSASGSTS GSTSGSVTGSTGSSSTGSDQSFAEGVKQSDLQSEDYTVRLITSVLRHILHNAQRPNAL EALEYREKETLTLTTTASGKFVATDDGGLRHRTRKARGVTTANFFALVEAKKALYKDK NGIPFFRDEVLAQISCEAILAKISEPDNVCGDSVVVIHAACHHVCFVEFNMSEAYGVD FRKGRTPADPLNIHMSKWFDIATRDGRLGIVKNMQGLINKSLE FPSE_10529 MSRDQGATPLKMWESSPPESSLHELIIENSWLIDHPLCWTAKHL QILHCAFHDIDVLPGAETQTQTTLPDADAVRFAKMLANHRTPLKKYMAAIELLCSEGS PLEQVRSDAPSFIFNGRRVRIPDCEVFCPTTTTTSPAANHSTSPLVIGYLHYDLVMTA REKKFKAGVYFEGGWNHNMLQKKLEKCKPEIWTRDPYLVCVMLSLAQLRRRDLYPSLP ANYEVRLLTSKKGDTTHAHVFDAEFHISVLDELDGPSEYVKATWPTIYHTRVPYEPYD TFASRITAHLARDIYVEPKIMFKNETPSMWTQTLVMMDSK FPSE_10528 MPQQNMKDSASAHEALHTATSLQDCVVFMGNCGQVLAASKSFAG PTKLTSRLILFISRDKDPVFLFEISFPLGEGQTDNENVGFGVKHRYTFGKSKVEANDR QTVEVRFPRGKTQAKCLAAPASIVDQFRVKDKDKVCILTVSVTAPVTIVGYGCPFRSA DREVNSWVNDNKPIGKGVNLQTFLKQQSFTFLVGKGAVDFERRLGLDRLPPPFHYPYG DDQTWNEARLAKESTLRGDQFPLRYSHPDDLSHVTAIVQGTSQDIMWLNERRKEIAAT KFSGYFVTPPDGTIDKATSLYLVIARTPEFKHKQAWVHLQKGHLVKVALFNSPSDETE EETWNAKIVSSSEDIPELSDHAIEKHELFLIVQTKVKPDAPYEIKCYCSREAAQDKDH KRRVDAANLFRSDADPVNFDLLGLPSDPQFPQHMLDEKLMTIEKRDLLAKVHDRMDLH RALLRGQGFYQWMSKPAPRDIVEAMEAMMLRDAPATLRPLPVVNFLEGVDKEYADAIV DEALPSDHKRLCAYLEYRPLGLGIATSCPGSSTTTFAAAATLVMQASLGPIFCSAPTN VDVDNFASRIDNRSAMIAARCNDRKHVGRAPRVHRRFVVRAYDMTHESVAFRRLLQDP NQGDNAAPNSFFDPPSNWKLHLSRVYWLLVLLRSPTVRSLHQDDAPVLHHLQQGIDKN PDIAGLRALVTGAIDWETFSGSKEYDIAMTTAGEYLDDIARRAEILCATPADSENDKS VKMFKLHAKGFAIDEAYNMKRPDLYCTWGNTLSPLFVFGDRKQLRPAVIMLTELWPNS KKRPGEFINRFARDAQISALEYLQASGIPTYRYDDLDTTKASD FPSE_10527 MPRRLAEQISKCRRKTPTKRQRSSSAASTPNTQKGHKIEASFRG STKPTTPKPNGMTADPDTITKAVTDMQKKKDDELVTKFADMMKKRDMCEGIGVIMLKK KQELDDLKREQQALREKDREYDALSNGDDEHKMNPRLRTTYCYGLRLRRPTFLLSISP ASTLKNNTTREGASTRHRQKKGTNREHRDMNTKMETGKET FPSE_10526 MAVEIKGEEIASVVYSWRNASDASYREKAKASDVASENHTVLLI NNVLRHILHIAQRPGDIGALEHREPKRMSYNTASKAKKVIRFYNNQPAIDDNVLAQMS CEAIVAKMSHRKEVCSGSVFVIHIAGRFVCFLQFNISDAYRADFRNGRIPSNPLAVYM TKWFDISKRGGRSGIIENACGLINVSLE FPSE_10525 MTSTTDHEDSTETKVTEVAAPTPTPTPTFHGFPKLPPELRWRIW KEACLPQTKNDRAIQYVTADVVQENWDDDDFIVLDEDLDGWYNSDDEEHDDTGYVKLR ALSGKKLHDSPPTNSAHLWDRGLWMACRESRDAIAEYLEINKWLKIASQEDEYPWFIA DFPSTLIPHRKAKPWCPIVVPRRDIFCIAPHTLRYLPKDMHGMNLLAPFLGQRKFTII QDWNIALKYDSSWNVNFPADIYELKMQNSPRGLLANWIESSLHEIHRIPRLWIIDDTT SWVRDDDMYLDVVYRDCDVEYVEAEWSCLRDYTKKDDLADTADFIYTFASTFEEEGDF EFDEVLDNIGLLVRKDRELPPEAESEDEDGDIPDC FPSE_10524 MAEKHPHLLRYRKRSVWILAFYLPILIVPWVLTCILMHRPIFLP PYINQRGEYSMTDMQKIQDWSTAIIILSRIAATLGLPIVSALMAQAVVVYSQLRKEGQ DEKLNVIQLFALSDRGWMDLPILWTSCFGARSHYSKFLWLAAGLVLIIPYDPLNVVTC NNNPVEITSRDKTCNRTGVESRVVGIDPEPSVMELMPRNLATNLIRQKLKSFSMSDVQ TNLWHEDTNYEPFAVKEQRAQTFNWIQKWDSLIGYFAASLANGTSTGILREHAMRINS TINCKAGNVPSTCSGPMPFFAVFNHTGFATSGIKATVCVEGNHSAVPWTRGRDRQDIR EYMWLMLEVAQRADGRRVDGQALLEDVTSFNISCRASSTRGYFELGNHWNNQVFKPLV DKWPTPNDIRKNFNDYGSFVGKYEDRDRRPVTVWKPYQQVTWNSPPNLFDDFAIGTPG PLALVALSLFGSGSFFHIASERPQRDMNYTMRDICESGNYPFQRIILDHLDYSKLCAG ELSMYREEYPPAGNSIGNVKYEFLPIFVARILETFSYPKQAESLLEIGMFFANEVLLR ESASVFGTVWSRWIYSSPGWTILKPRKTNIAIVVIFALIVLQSVCLIWLVWYIASTPT WADRYDSFALAQLGAQLDGLRGGGLRSASKDDAEYLKSQSGMVGVLGVKKKQTTSTDE SLGNDPVNVQDTPQDNGLAQDETPILALGGDCPTSSTYTATGWFGQRRNKGREMLQQS KPMIPKFQIAAAGFSFFCAGVDGATLGPLLPYIIQSFTINEGEVAIIYACIFVGWVIA AVTNLFLATKLSFSALLTLGATLQLAAQCLRPWASQPVFFISFAVQAVGMGYQDTHAN AWASGLPNAHRCLGFIHAMFALGCLVGPLVATAIATATGSGHSKTNGIEAWRMGYFQL IGIHALNLVCMLLAFGNPLRQRKAPEGAIPQPSTTGTVDSDVIQQRRNKNALRDIGQL LKMKNFWLIGGFYLFNCGAWSAAGGWVVEYLVAHRDGALEKVGYVPTGFWAGLFLGRL LLAEPTHRFGEQRAIMCLSAVCLIFHLLFWLVPNLVASAVAFSFMGFFFGPFFATGMS VASRMFPRKIQSMALGEY FPSE_10523 MNLPTPVTLPYQCTDKLPSPLPTTEEIHNAQVKLSSRREVWERS GVCSIREYAVKYGNNVGENEGNALLFLEKNRVLAPRLYAMYREASSGHVYLIMERLAG HDLESLWPTLEPQDKSSIATQLRSILTQIRSISPPSLFGGVCGGGIPDTIFETNVPDS RINGPFRTSSEVASAISLVLQNNGGEWMTDYLCRHVPTVLGDFPVTFTHGDFHMGNIM VEKTPVSPSQETSTHGNENQWSYQVTGLVDWESAGWYPAYWDYVTAVARPPPEKDWPK TVDAILEPYPAEAYPLCRDTTIAITEI FPSE_10522 MSDETAAKFETASDLPPSLDAAASQMVQYAGTCVKLETDEATKQ DKSRLDWGSLKNSVDNYGGIDLAFSSYKEFTISRSEIDLSTMVHRIVESFTGNFNIFG HVTTLLQTTIENAFTNLEEESSNEFLDFSKSAGGQGSFWEYRVQIAVPNPSSPSSFHS LITTIKLEADVSEMSDWWGLTGSSTKNFSATLNGMKLEVEEGFKNE FPSE_10521 MAQSRQSVSDKSPDSPEASDAEASQLRRKSVAFADGTNGEIPTH KDSINHSTNEQISTPHSSPQDMSRESNPGKDRPIVSQQQSLGFAIRNQVFQPEDESLE SFLPRDNLDKSLTQQRIIEALQTEGGFPPERLESIARDIIDPLGFMTSSQPHLRSRKE IFAILSLIDKVSTIESFIQDGLFDHDLPFRYLRQTDSLDGSTRPWVMKTCDLHKESRE IPFFRKWSNKDAELFEGRQCKVHIPVFTGIPETTDKPTHYVLAKKANLPYISKTEVGR GGFGAVDRVEIHASHAITSGNSLVVAVKRLGTSERCVFDREVSNLNRFATKDAPHLIQ LLWTFSLGSEYHLVFPCADGNLMDLWRQHHTPLAASHDHETALWFSRQCLGIVEGLDM IHRTNGHDSDELQKYGRHGDLKPENILWFRNPSSMGPGYSLGTLKISDFGLTRFHRTQ TKSHINTDGIGGSPTYRAPEYDVHDEVAQSYDIWCLACVLLEFTTWYLNGWAAVDKFA ESRKNEDSNHRMKEDTFFNHVHQGNIVSAVAKKTVAAEMRSLYEHSDASDLTVDLLEF VETDLLRMHPGLRKKCDQVVQQLRRLFDNSCRNQNYCLKLTRDTPVRKDTDLSRLDPV GVTIQFHTGGLRSDDIFPQLRQGRGSGSSSPRLSSFRLSNPQVDTLFEEPVSVDYVVQ KGMTNGQLQQPIESQNKPHSSAHVPDTINNATPNDNSGKTETTGETVDLRTTEQEDHG QVYGQPEQMTDAAGPSTKSQDPPVSSTDVQLEQKKHRSRRRDKVKSKLEWVFCFRSR FPSE_10520 MFAAESADIFHSLMSSPLSIRTEFHTHHASPTRLLKELEDLLGS SAQFKVDMRHNIYEIDTAEEFNVGTLYERCKGGKSKRVGFMTENSQPMKK FPSE_10519 MATGPSLNPPKIFVNDSPVQDAKKSSTTDYKYEGNGLVPIRSTE KDLGALLRIHCVEDGIDGEFWTHALLRHILSRDRIKDELMQPEYGFTKDQVNEYVSKI HPHSTNASSKTTYIKIFALLVLIEKIQDIARFIREETCDDVLPIVISQGRNVYSSKEG NRHLSCFSGWKTHELEYFETRQWWVDTPYFDSTVNKPLTEVTLDRGTHKPWRRLRGDE QHDGSEGAYGIVIPVEIHPTAHSFQELLRGINLDCTKFAVKTLNKTPSNTKQTFLAEW QMLKQFNGLCHPHLVTALSAVTQDDKWSFIFPCASSDFDRYKETIDPPKGRRGAMWLL TQLEGLIGAIDTIHDPRHLNLGPQGKYGRHGDIKCDNILCFKNSETTDDVLVISDFGL SKLNSDKSRSNIPNATVPPVPGYRPPECDIEGGTVSRAFDVWTIGCLFLELITWFLGG PSYIKKFEKKRTTTFINGMMNNIFFTFQELVPRDPGGAKAILVKPEVTEWILELRQHA NCSQFLHEALDIIEQKMLVVLSTGKTRSSSGELRKEFERISRRCNSEREYTQGKPLTE KELREARDQIKQNTVAVRAIPNQHARALIGTFQIKLSKHTGRTEMSYNRDQFEKMDII GNKEDLHSNGN FPSE_10518 MASVEPQCQALRGDKQRCTEEATHANQLFCLLHSRQAQGLYVGY KRRNAKLEYLEKNPPSYLLETKTPLSNEDFSAVNDEETIQDVINHLLTNYDYGHQLYI DKLVNQRHITLGALERARKRFLAIHYENEQWYSWVKHAQDEQEQNREKEQEKVKQEAS LFQRHMKQLEARLEIMRRKEEKKLQDAFLDEAYKKRMAQNDDNVDDAAWDSIEDMEED KRNQYIDLIKHFLWMPVDLEEIPSTLSSNTPSADVPSVSVPVSEPEASTSSATAMVKA KKKKAKSGSKKTSSIEDRLAGQMKLLAIAKRPQSNQEPELKEPDKKNIETEEEMRKRL RKGAKKNREIMPGTQIVGTMANPHTTWDKTAPMPEDEIDDLIRDTREIKIYLFCRLIL SQASLLPTALKANTVQEFLDDNTVVEDASADFARGDKPDKISVDDADDDDDDDNETLE DLARSDVKYSHLHTHNWFAVRMKSYGEEEKKKKKKKKKKEKVEGLKIKVQGNHLRKEG IATTQKEPHRPGKLDLFINYENQYKKFNMRIDPSFEAPSQWPEIIPHAKPFAGSHPAA RFALLRNWSSPHCYPFMVGGPDRYSTTFLDSRNRSWAWRFVPKDMTASAYSPHETIKR RLEDLKHQFGSRVINRGDLILVMGEDEEDLFKYCTAVTLAIQTKPWILEINL FPSE_10517 MKFETQVPVNKWLKHMAEHVGASTIEPEVDWGKEVRKFKEKNKS SKDFLPANHIERFSTKQFIRDVLTSERMSESQITELERYISGRPAKLSFLLLVSINRA PWMESLANPKYNFSDDDLLTADIIDEYLTINRHQKDVKILFHNSDASRSNDQNDIQLF NLARWSFLAPVFTTTKFYQEFNEAVPLPFLKVPDFKPKNGSFGVVHKVELHHAHQLNI VPNMSEHIWFLREMKILEKIQAIVKERPDLQLITPIASYRVSNDSGGCLLFPWAEGGN LKDFWDREENKAGALNQNSRYRGLAKMAWALKQMEGLCKALVELHKPHSPNGASMSNE ETPHLRHGDLKPENILVFREGDEDILRIADLGLGRFHLKSTDGRKKAKEYTKTITGTT RYMPPEFEDNNVISRRLDVWSLGCLFIEFVIWAAWGLEALDKFNNIPNDAFWQKRSNS DNVVHDNISNWINSMEKVLLPNTALRDILELVSVGMLKQLPQRHTSQKIYNKLKTIVE RSQEKDDYCLDWNQKANILGHILPAVESGSQNRGQNYAYTQEINRMKDIWIPHTDNGF ARNLLNLLGPDNDGWMSRASLAFEVPSLSSGWFNDESSQIGGPTPPVPGSSEQFLLFE EWIRLCDNTHGHGANSLSTPNLNNGNLPTRVVDVGSVSNPLIRLVTSEEMTSAVYLAL SHRWGDDATQHSGRTLKQNHIFRYKNIPLDELPLNFTDAITVTRGVGIKYLWIDSLCI VQDDDGDWKRESVRMEQVYSNAKCVLAASSAKSSMEGFLNRRTPVPSFVALQSESGDI NYVSKNIDNFKGDVDEAILNTRGWTLQERALAQRTIHFTKNQVYFECSKGVQCESLIS YTNSIEARYKGGRVMLVQNLYNQYSKRVFWDPQDRPIAISGLEKRLTSAFISRGGYGV FQIFLERGLLWKKADETHSLKPINFPLERNVPTWSWMAYDGVISYVEVDFDKVDWTNE YSSPFDSDSAAKGPRSWESEKYDN FPSE_10516 MSKGLPGMKGLLPDLNIPSTGNRRRAVPSHLDNQFNSNDALLSP PANIAHQSETECVHDGGASKLSALEQQLPAETCPGTNDELMDQYHIIGQYKPVTVDKL SNAFWKEAETSAVGGKAKFLPLHKLKALINPDSAQELFESLSIPQHHVERLIRDIFTP HRPLEYHTARHGNDFKERPSLRRIFAILVGIGHVAEIQRFIDQGIDDSALPIIMVTEK EKLAGIEFRSMGDPHMDSEKLNQCFAQYSETHLNLFELYQKLINVPFFLFPGQDSTVC FYELERSCVLPIIYAGEPNVSGNGSVKQIAIHHAHHNHGGIWKRHSNDYFAVKTLHLQ NNDRFQEEVEAFEKVSPKSKDKSPDHLVHLELAYRHGDDCCLVFPWASGNLKEYWKEH RKDPSNHEHVVWFFKQCWGLAVGLRRLHNPRSYALTTTDHDSNVHAVENTLDFKYGRH GDVKPANILWFDNYDGDPDHLAICDFGSTQFNHLDTKSHVDPGLVREYSITYQPPDRH TDDKVSPRFDIWSLGCVFLEFVSWFLLGYDLTVEEFPRRRAMTNQFSQEPIRKDEFYH FVCSKRNERQKVAKVNPGVLDPKASDRCDCLDARLQLQDMYNKCCRNQIYATAGYPKT PRRSRGILYSLTGFDIHSMFSSRRRAVREKINYSESYKCRHQTDTQHPDIFPKLADEM RISAPPPEQFEREIDEESETFNPRRKPLIETHNEESIIVTMPTHYTSENTGSSNGLLQ MITSDTTPCLTEIDEVDADVRNSISEYPQDGAARSPCGNMTIESVLLFRQDGSSEIIG KGQAVGKSTPASTKENLEASREGDNPPRKETSVAEASAENPFQRPTVKRRTIASEEVK HIFEKGKNVESHSKTGGISHYKAMATMKCTNLLGGGLLESQNAPGTLIQIIDEVRELR NIIETIEPTLRGRQDPDNDGMERNVTSEQVSHSIKPIIINCLRGLQSLESQIRPERIN ELLESKGKAFLQALSWRLKGNDAKQSIANIQQCKASLTLAISSHNALVVRNIERLSLS IEHEAKQSSRRLDALATDLETSQCDEKQREIFNWLSPLKPGQNHHELKSAHQGGTAQW FHQSPEFQEWFSRRSTMLWLSGPPGSGKSVMMSQAIEEVASRVIQRSEPAAYAFVYSD FRDPATQDIVNIFGNLLCQLCSQTQLLPIQLLEAYESSIKDNCGYGPSIDMILGVIQK LSTNRRIYLLIDGVDEVKDYKSFSKRLTTLKDSSTSINILVASRNEVTIQRILAEAPR ISLEDRILNIGQDIERYITVWLNNDLDLEWLSPEIKSLVSTSLMAKAKGKTTRDIKKC LSKLPEGLNETYGRLLTRTTPSGVALVKKIVTWLSFSSVPVTLHELWEALAIEKQSKN IDDEARLRSPQDIVILGHGLITVSSDGYAMLAHLSVRDYLLSDEISQDPDTAKFALHP RKSHLELAQDCLTYLSFSGLSSGPSNTQEDYLHRQKEMPLIQYASKYWFYHLRNAESD KELLKLCLEFFLPKSRNNFISWVQVFNATSPFKWNIFPRHATSLYYASSLGLDTVVQY LLKSSAVDEIDAPGSRFGGTAVHAAALRDHLDIVKRLAKAGADPGKGDFNKVTPLHSA AGQSSMEIIKTLLEYGAPKEARDDMDGKTPADWARLSGHSSAAQFIENYCRHSHMENE TDMSLDTDSGIYSDADEECQVIEIWQPRFSYFPDYYERRSGLECSQVVSITVGDEKSV ISTGILLLRDENTEELSPVW FPSE_04373 MGETTVTSSVISLDAGVDAAKLEAANRYFRWNLLFLLIIFIFLH FPIWMPFVPYMNQATNIVIIIFIGLFQLIWLIATICAIRRTIAINSRNKRKTTKVQDV EASDSTSDDYSTVRHIVAMTLYKEPMSVLETTLDSLVRQKDAKSRISVIIGMEARTPD KEAKRDEVLTKYKNKFVRLIVTFHPSDVPGEISGKCSNMNYACRQGLQILRDDANYNY EKYEHIFTNCDCDAVFGAEYMMELEAEYCKLDTADRHSSIWQSIIVYKRDSMPFFVDI TGVLRTFFFMGVLIPWNINPMSHYSLSVKLLEEGAFTHPAYQMEDIIALIRYTIMTKR EIRIRPLNLISINGPTSGSNYIDEIREWALQIRRWTIGAGEVFHYFIVKTYRMPSLLL SISWASRFFIYYGLVLCASAIFSVFSPIVVQLINMAAPEHKRLFISDNLFQTVCLIFL GSQYVFLLIATVLVRWNLPVPGDGDSNYNKGLQGVTRGLLHWILMLPTILAYSFVELY SFFELAFRGKDVCKHNAANKSNLVLVTDTSGS FPSE_04374 MAEAIDNRGPELMGVNIAFYAMALITCILRCYVRRFVVDAFRTD DWLMVVSTIFFTLYATFSTVGTTFGTGRHHADLETDQVTTAMMCWWFCYLWYCLTMIS CKLSIGYFLLRVTTEKVQKWIIYLAMFSTALSGIIFFFVTVFQCHPVSYFWNKEQDGT CINPTVVIALAVLYSVFAVGSDFIFAILPGFIVWKLQLHKKTKYSLIPLLAMGCVASA AVIARFPYLPLIRSPDFLWNTTDIAIWSTIEQSLAITASSMATLRPLIKQAAFRLGLT SKPVSIGPSGYKNSSRTPGPGTPKAFSGRDAYTLSSVSRTANEKRGTSFFPSDLETGI KKEIKWEVKISRTVASESQEELHTPRSVMNRNVI FPSE_04375 MELSNKAAYLISPNGPTIEVRSAPVPTPGQGELLVKTHAVAINP VDAVKQSMGNMMFEWLKYPLILGYDVAGEVIKTGPGVSRFKEGDRVVGVTAGMDKRGR CPDEGAFQELCIIREHLAAKIPDGITFTDASVLPLTFVTAACALFQKEQLALQPPQTK AKRSSTGQTVLVWGASTSVGRNAVQLAVAAGYDVIATASPKNWDIVRGLGVCAVFDYR SPSVINDVITSFKDKRCAGAVAIGQGSLAKCVDIIKRIPGATKNVAQVTLSMPESQPT TKLSMIPFVAKYFWMAGTDRLKVVTSGVQSKFVFGTDIIEWDPEGKMASFLTEGLELG QYKLSSEAQVLEGGLSSITQGLDLVRGDTGAKLKQDFRRKGKQADIISFTLLMMANYA SLGTTYSSSVYSLLARINHLSEIVWHMPYDFPVLDRAQREMGLVILPFNLPSREFMHL LDEDLSEVSFEMDVFLSHLSDEENPGLRDYLVQKFESVESACDRIYMVVLELDPVRRD EALNELAEAHDFQSISLESLVPCEDRVYYLWWMM FPSE_04376 MSTTSFHTFPNLPAELRLQIWEEAYDTPQLRQQGIHYVDIYTQH ERPLSSALVAFHPGYERDTFGSACLMNRGLWKACGESREVITKKSKKSKPPAKTPMQV SRTDGRPDGYCRVNGWKDIFCIRTSRCNVGQYVSTVMQMRIQGIDSKGWEFPVKNIAF EFDPTWLIDIPATDTDMTDEDSPRGLFLCLLQARQTPNIWLIDRETAWSSGECADCAA STPLFVDGTDYYVEGKHDALCLEHDADERSRALTTFLEKLEDIILEGKFRRWGLSSHV DSRSFANDYGITTVVEDVRFLFCRSREVALCQRCPAHEHRYNSDGDADEWDTVGSMSS ERW FPSE_04377 MARIAWDDDVSSISSGDSVHTLSPSDFEVLDMDEPMSSFETGIM TPSESSFSFTPPGTPSTESDFSAQEKWDDLVVTYRQAVNQVRQIEDEMTNLRYRSLQP RISMDVERSGIHQRSQPRTAMQSLYDINDDMQPSRRWDSTRTTLDLVPGAHQLNLELS EAVELSIVQLDTLSGKKTMISLTAKSSGANLKQLLGFWEFSMPLDK FPSE_04378 MSSSGTQSGPKTTSKKTTKLLSTLKNVVRVTFYKKSEDKKEDTI EERFSRHEQHSMQVEHSRQVERPKYEHQPWSYKQPESPDIGPSQEEYDEEDWDYACHG VLDDSLPLESPRPKHPGKHGILDGDDEAMAPGHYDQTGWGYAELVGLDDFVPLESPTP SPAGNPSMAEATAQERYVEDDWIKAYFDKEDSTD FPSE_04379 MLTFFVFLLIWADTNTAKTPLFCNTQQNASIKKVSPLDHVGQAL EIRQYIVDDDLNTSQNITKGIYRPRLGSDQFRLLEILPGISNVIEARLCVCNISKNAM AYEALSYTWGSGEVAQHAKIRVEANQEHHIIGISRNLHSALLGLRRTDSSRVIWADAI CINQNNVREKGQQVQMMRTIYGNAYRVIVWLGDEFDDDHCYQPMLGDPPPTSKSLDSV CSIVNDWLLQNNEKDVEATYTEEVLSNSSSIITHHNKGKCNFNTQDWDYRQEYRRRHS SLLNLFQRQWFSRIWVIQEAVLARSAIVQLGRYQIEWEWIGLAASIILHNPIVDPNGA GKIVPSGAANAYLMYRLSASQSCFPPLKFTFAELLRATRSFQCKEERDKIYGLTGIQT TDGINEKIIPDYSKEVKLDKVYQDTAWLLLRGESPLTLLSSAMVSNRGGDCHPGSWIP NWGQRQPWTILPVQPHPRFQCATNHPSGIIGNDQTAKLIVEGVVVHRIKAVWDTDVHC YEQERKAQYFLRKPRWSESDWQKCALSLSCGGDGRGYPVNNASTHLADLAAAVLSLHR EWLIYNLLAFETVIESRLDDMTQFDFLADVAKDGNASRFINAMAPLRRNYKAFVTELS MFGVGPVSMKEGDVLCVLFGAAVPFVLRPVQDGYHLVGECYAFDLMHEFFKQSHEARE LAVDTAPAGGCPEDVYWFKL FPSE_04380 MPSTSITSLLWPVGSNVAITGEVFEAEATETRYMLRGCYPSSDK GCDYQTEFVNFGPWASETLPKGAAATGIYDVHGTVNGTVYSSVCEMSRSVIEKCTVSK QSGWDDSTEYTTTRTKGETGADFTLSYHAVTLTGGFEKFASVSEATPASMITSTAEPT STAEASTTTDTSLDSVNDDPSTTDMDISNTAAAITETTTSAVPTETVSAGSRPLVRAL AVVALAGMATALIA FPSE_04381 MNFLRGLLQPQQNIDDPITHVQQLNLLAEKSHSRYLSYQSLADL DRSISYYRQAIERIPSSYPSEHAGLLNNLASRLLDRYDRTTKIADLDEACEKLRQAIE LAPPTYPQRGALLSNLGIQLAQKYTQTGQIQCLEEAVQVSREAVACTAELDLDRPAFL CNLGHRLGQYYSASGKREYLDGSIRAFRAANVSTYQGHTHRLAILVSFALQLGERYSS EGNLDDLDEAIIFAKQVLDETEEKDPWRRDRMGTLADLLYYRFNRTGGIFDLDEAIHM RKKIVRATPKSQKGRPGSLNNLANLLLARNSRSGVLSDLVEAIDLIKQGIKDTPNGNV YRGAMLATLAAILGTKAIQTSNDKDLDEAIDVAYQVIQVVPSAYPERVKYLHNLAKLL RMRYSQSMTAKDRKEAIEIAQEAVDTAPSDFPDRSSLLSNLGTHLAESFSQTKDPTDL EKAKEFFISAIYHNTSPIQSRVTAGRYFLLLPDILADLDQAYNVAKFTVDLIPLLNSH SIQNIDRQTLLMQAVAIASDAAAIALLHNRTTIDAVQLLETGRNIIASSLQDLRTDLS ILQKEHPDRANRFVQLRDQLDVPVTKNSTIESNNSGQPSREADRRRDAEEKLKVLLED IRAQSGFEDFLLAPSATDLLAAARDGPIVIINVSQFGCHALIIEQSGARTVPLPSLSW GKIHRRDRGSLETHEWLWNVIVSPVLNAMNFTQTPADHGLPHIWWIPTGRLVEFPLHA AGYHLNGGLKTALDRVVSSYTPSVKTLIHSRRQQGPKIQGHVKRNLVLVAMESTPEQG HLDYAAEEIQSIRDVTKGLTMILAKEPPTVKNDVLSALGSCSIFHFAGHGGTDSLNPL KSRLLLSDWKADPLSVENILDINLGREMPFLAYLSACGTSRIRTAGFVDEAIHMTSAF QLAGFQHVIGTLWDVSDRTCVDMAIVTYESLLRGGMSDESVSRSLHDATRELRSQWLK AEMGQDGDTGVRDIVPMRDESDIRPLWVPYVHYGI FPSE_04382 MSVLSILLDGEVIHPMVLLPLAAVAMLVVYTLFWAIYNLFFHPL SKFPGPRLWAISTIPYIRKLAGGECHFTILEMHKKYGPIVRVGPNDLSLNHPDGMKAL RGHRKTGTGENSKEPISTSMNFDNIIGADRENHQRYRRSLAHGFSQQSMTAQQPIIRG YVDKLMKGLHAVSDNGTKPVDIATWYNFTTFDIISDLAFGEPFGCLDTGKIHPWITLM FSGVKEVSFTTAMARTPWLNNLWKLVTPKQSMTKWAGHVETAREKVRKRLASEEKRPD FIEAMLERTGASGNQMTFEELASNAQILILAGSETTATVLTATTYFLASNPETLKKVV NEVRSGFDSENEIDMHSVNKLTYMLACLNEGMRMFPPVINGTLRQIRPEGDDIIGHYI PGGATVDIWQWAVHNNPDHFALADQFVPERWLENNDPRFANDAKQAVNPFSLGPRDCI GKNLAYAEMRMILARVLWNFDVSLHPDTPGWDKRIKSYIIWERGAMNVMLTPRDTE FPSE_04383 MGNALGGLIPGVGSGISAGLGLISGLMSGLALEDEKDDGAATIS AGLADIFKTASAKLEDTLRIATGGGRNEDEYNSLPAPKWDTYQTKIAKLFSGWFLLDD DETVRVTLGSITNNIQKKVANDVMKASKLHLVADKLGGKDSREKCGYSTGRQWLPLRD GEEYCWYIMKNDPNHTRQGQWHEVGADIYEKMASYGLGDRETYYKSLIDCALNKGDKD DVDTSNLVQGEIPRCFFNLPAVFVEHDSSHGCGSPFDSHNCDYRKGTPLK FPSE_04384 MKTTTALLALAAVAEGSPLFTKRDDDDVFSDKRKMCKGWDLRTA EGVEELWKKTEAGIDLELFINGHPEHQNNWLKNLEDRVMGGTDGKSGASGCGLIGTSC NPMGGIGCEAQFEKYGTTFINKNSYWIFPSVKGMHGKFAELHRQLTTETLINGLKIPK MIEEMRKALLR FPSE_04385 MTTQAPDLTTTFTPAKSCFQYYKYRYTGDEITCQAGGTVHLVVK QKQAGLWYSTDLCTIVLPSTVEVIVSESVVGGDWKRTTVSGAAANAIGLPIRWHSSDF ASTTSGTTTSATNSGTETITSSTSTSSSDPSSSNTGLSTGAKAGIGAGVGVVALIGLA ALGWFVLRARRARQSSAASAAQEPKEPQMQQQQHPWELDSHEAMSPAELPSDNIPQAR FPSE_04386 MSNININKSTPAVIEWPSGDTAHLLVEPDPKYANITFTTRFDAT TSFFELSIPIKIKHTRLKDDKEGDNSVSALLLRICSSTVDTFSFASSTKAPNAVQKKL ASRTTRLDFQLDRTIDALVPKNAQEPLVPARGQSGLVLDAVRQISNTTTLSVYIQDTI LSDTQLQSIRDACGQGCSKPICRDDHHFSSLYGGNGAKVIRLSAETGQLPPSYHKIGS PPPSAPINPLKRRRQDSEAERSDTATELQALLGKMEARMLAKMEERLKGLEHEKHTSR EDLNKRIEDLENENQRLKESMEERVKGLESENQHLREGIDKLRALLENQSDDIDRVGE QTSENTAELVTIDDELTHVRHDVDELVVKTDSLERGDLVEMVKSDVLEYIRIRLWGND FPSE_04387 MSLNTTTSLLLPEYRGHFVAEVVGANPTATTFVLDCDWDKYPWD GDDDCHVTKRTFVVGPWADKTPTPGSPTKGVYKEIYVEKALEEEVDDCSFSAECQMSK TWAEMCTTANIGGNARYGPTATFPRATGMVLDEFYDVYGYGAFDWIPVTVTAGQKYLS AAKTDVAASTEAYHTSDAQPAKETITGDEPIKKDIVTLEGKASPTEVTASGACAKRVL SLWGLVGLVAAVSFL FPSE_04388 MNFTLFSGTSEQPRLPHDPSAEPFELKAVAGPDIWRTPSSAGGR DDFNGPIYATPLTLDSFKRAQVTILASFQAPYSQGGLILFMPESDPTVDADGQSRLHE SPSTWIKAGIELVDDQLFASVAAGKPYSDWSLTKLGANIATFEMEKKNGSLWIYVVES DGKRTPLRKLTWVFDMKPQRDVWVGVAACMPKGDGTENGGSFAVQFRDFDIITE FPSE_04389 MSDKIDEAATEVRISQDENPKAEQQMIDPVLEKKLIRKVDLNLV PILFLLFLCAFIDRINIGNARIQGLEADLNMTGQDYNIALFTFFILYILLEVPCNILL KNMRPSLFISAIMTGWGIVTICQGLTKSFAGLIVCRVIIGGLEAGFFPACVYLLSMYY CRHELQWRFNLFFSASIIAGAFSGLLAYAIAHMDGVAGYGGWRWIFILEGIATVIIAV VSYWFIPDWPETAKFLSDDERALLINRLAEDRKDTHMNTWNAKTAKRIFSDIKIYLGV LMYMGIVTTSYAGSFFTPTILKQLGWTSIRAQIMSIPIFIFATVCALVSAIASDKLKH RSGFIIGGCCFSTIGYVILLNMMSVTVGVRYFSLFCIVGGGYIAQPIVLVWLSNNMSG HYKVGVASAMQVGIGNVGGIIASNMFVTSQAPTYPLGFGLGLGLVWLCVLSSLIFLFY IQRENRLRDEGKRDDRYNLPDDEKNNLGDDHPSFRFTS FPSE_04390 MFRNTVIALLVATGATQGQAAFTLKKTYDSSNFLDSFNFRDRAY FDSIDPGYEGDPTGGSVNYLSRSQAVSSGIVNTNNGKILLGVNSVDKAALLTPGGSKH GRGSVRLESKESYSSGILIADIEHMPGVACGVWPAYWSYNFDEDPVGEIDIIEGINAN QNGNYVSLHTCGACIFNRPGGLEPRNNCNIGGSDTRYCSDGVNYSGCGNTMPSGSYGK SFNANKGGIYATWLTTEAVKIWWFPRNSIPADIKNGKPEPNTWGQPATTQFVNANGNC DVGKYFKKQTIIFNTAFCGSNIDQGIWNQECRASTGYATCDDYVTNQPAAFKEAYWTI NSLKLYQ FPSE_04391 MVANAGLSLGADTDDGTRDNVQLWFGLLNDMTESHKNDKNDKHV TFGLKDSVTPLKKGDNCY FPSE_04392 MPIDGLLESYRGHVVPKEYNAGYVALSYLISLVGAASTLELINR RSWFNGISNHLVLVASSITMGGISIWSMHFIGNQALTLGQGEAEMQVDYSVGITVLSF CMPVLFLLAAFYAIGISNGIAWWRVITAGILCGSAICGMHYLGNASIRNYECIYNKIY IVGAAIIAVVASTAALAMFFILQSLSGGTWWKRTISAFVLAGAVSSMHWCASVGTEYR LVRLRRMQESSRTATVVAVICLSFGACIIIAGSAILRARTLKQAARRAQQIELGAIVF DKNGRLLIDSNGAFPSTVVTDFFIGENKKERFNTSHTQFHWMFQASRNWLGVSGLING MRRHLEQLPHKSRHKDAKKGIQLITDDGKLIEGYDVIFRELFCVAAAELSDQLNEPLI NVGMLWDEVLPKETASNQAYLQALEKYGTAGGESSDASTKGGSENHNIEKEVGLEEID GRGALMFLVRRLTSDDEMQRLVSAGYRFVDPGQVSNNSRFHQQIQSTEFKTKLRDMKN FADQQVKIKSGVHLSFFAIQDTGVNKPQVLARKDARHLLPSIALPTPAIEKAHVGLLE RIAGLPVPEILQRLRTRGATPRSPDEEAFAKNLSNAIHSLRKLVDEPNFQDAVLTTSM VRLPFGIDGDQVDETVIMALRLKIASPVFSTSPNCQWVPLSFFKMRQTLAQSRQEFIR VLHDQFDPLNLPASRANNKLTSGPVSTIRRLRSAVTTTESKGKKTEVMVTRSRAESKD SGRSSSTVNLCPPGGTDDGTQVPETVHIQVQPPPERHYSGYQQSFLNGGIVVFQEVTV QVESRKPEPRTDLSPSWSHDTATEPPYEIESHQPGQPTAKAIELQPLGWGKNDVSVKS HQLSDIRQVDHNVGTIAAFVDTLLIELYICA FPSE_04393 MTPMPSHILNDTLQLDSDDDGMDHAADIAYMQEQPADGVDAPAN EPLADQEGEQVEDSGEEFQTPNDEPQAHEGGQVEESGEEFRTPTNKRSADVEGDEVEE PDPKRARTE FPSE_04394 MKDDILAMRQTTGCADQSQDPSVDAASGHSLGQPLSLHDPLSED HDCTRLLRIEAASDGDPIICTMSEIAFGNKPKFDALSYMWGNGEAEQKITINGHDFSV RQNLWDALHYLRKHCPDTSYWIDAICINQTISMSETNRFGSCTTSTSGLRPWLYGWVK VIQGMRHKCLTCDGFVI FPSE_04395 MDPFQQLPPEVRLEIMSHIHSHTTLWRLTQASPAMWNQCVVSKP ALLKGFVSSLAQVDNNNQDLIQDLIQDAMAIIRFNESMGNREETLFLFDRWLVKSLPT FETNADITKLHRLFVRTSFFIEDYMTKATSPSPTEAYRSLPNITFSKTLNNRVTLDDL TLSEKYRLFRAFFKFEVITKIYDPRLKASMDAKYYRENARDLLKELDPWVHEAVLCVY GYVEASYGAIFAQLGKKYGATSFVATTAMVKPLLFPDNLYFSAHAHFDDIYLPKHCPC VSWWLSCHGMDLLAHVLIQTRQTSQNPDYLLSWLYSISLEVVAPLKTFYYDNRRNLLA HRPVYLSKLFAHTDNLLVDHLSQIDDTQEDDNDSDDDSVSGDFGYMSEEELQTPIQLQ IYRQRAWVLCDNWRLYPIPLYEHFPSPEDIDQQQTEVVRRYPLTCLRERQRRRSTEWQ TFWEGRNLLSPPERAPIHWWAIQFVEDNIYDIPHFYESAFRDGLSTFWRVKKAKKVHT YVDYAWRLSRK FPSE_04396 MASAMTFPQQQQQSLPIQTTNSGASVDNNLLAALAQYVTTGAHA RSTTEQEIQDLAQDAISKPGGRAHLEALISYDCPDHQVWKNQASADGTITITKTNLTV SLGVSTLELNFEASGAGFFVPYSGSLNVGTLFYNEFAQLAPGNATFKLYVSGLDFYVS IYRNQVYIGNFHFTNITFVFPPGGVNLNGKII FPSE_04397 MPSLNALLSASLAFASIALGAPAIQDKHFSVEQVKNPQFVKNGP LALAHVYAKYGVPLPKGLEKAVQKVKSSHTKRQNGSGSVITTPQDEDIEWLTPVQIGT PAQTLNLDFDTGSSDLWVFSTETSGSSGHDEYNPAKSSTSKKLSGATWSISYGDGSTS SGDVYKDKVSVGGLVVSSQAVESAQRVSDQFEQETGLDGLLGLGFSSINTVTPTQQKT FFDNAASSLQSAVFTANLKHQKPGTYNFGFIDSSSYTGKIGYAPVDSSQGFWEFTSTG YAVGSATVNRSPITGIADTGTTLLLLPSAVNSAYYSKVSGARYSSSYGGYVFSCSATL PNFSFAVGGVTITIPGSYINYAPVQDGSSTCLGGIQPSEDIGINIFGDIALKAAFVVF DGGNQQVGWAKKTL FPSE_04398 MAGVDTSEIRQWRSIVTLIAFVVANILVLWPFHIPVYVPKSVVD VTWRFFVHLRIIPASTHHAILNNKERCTKHFVRFNFPVNFITAPLIADLFLLAISAIG RKEVHDGTVGANHIHPLDIMAFFITLAYIAISIDASGVIRWLAFKVLSWGGKVGHRLF FYLYAFFFLLGSFIGNDPIILSGTAFLAYMTRVSKNITSPRAWIFTQFAIANIASAIL VSSNPTNLVLAGAFNIKFIVYTANMIVPVVVTAIVLFPFLLYVVFADEKLIPSEIEMH TLPQAAREKEPVNPNIPYVQHQAEETAESGEGRQEMSLSLAYIMNPFLDRKGAAFGAL IMSATLITVLAINAASTGTGEYPVFWVTVPAAFVMFCWDMGSGWVHREETRQTAAKYK KEMENARAERVLERSVLSKDESDPKLDVTSGASDTGHGAAANDEQTEGIALKNLPDTN DHANSQFEIPKLVVSNTAGNSPIIISGSEPQSPAQKSNSSPGDEDTLHAQNMSALPSA QMDTEKLEAGNFHQVSKQPIDRPNLVSKSREAYEWLQETFPTVMAVAAHLPFALVPFA FAMFVLVQALVSTGWVNVFAWGWYHWSKRTGTIGSIGGMAFLSVVLSNFSGTNIGTTI LLSRIIQAWKEINCAVDTSLITDRTYWATIYSMALGVNYGAFSTAFSASLAGLLWRDI LARKHIHVKSHEFARVNFPIIAISMAVGCLVLVGEVYIVRDNSPYASRETACPNN FPSE_04399 MPAVSNVFVAARAVAELTNETSDPLQVICAWPVSGQYGTGTRVL YYVLIAACLVARREEWLVNPCLAAALVLPAVAAIHGIVLVAMHNPDAVDMDIFGAFQL CAIGILAAPVTVMMSKTYFNDPGRNTIFLWTFLLLIGLLSMTIEFYRIETHDCTKDAF GNPVSNIASNFTYIDGNNCGLICSTQDGPRSPMRGGSADNIYVIPAPHTLTFGAATLL GAACCVHAVLCLVSMWDRVLEINWRRRFGRQEEEDTASEDGDANKGVMKTVNDTIGFF LRILAVPVFGGAGLAILIVGEINFFSPQVEYQTEPMANIGQWAPVTGTAMAMIGSLYL LLARHAEEAGDPYAPHQCNCPHCHIDNSARHSQISHHAKTHATVATSQLSPNTDLTRP RSLISLQHREPSLEGHQSLNFPTRNPYRQRIENAFFRFGEIIGTPPHDYITEPKVRKS DRVDIPMIPGERYRNERVSAVERERDQHQDLEEEAPSMRSRSSSFRASDTLTKTQPKL QIWV FPSE_04400 MTNTSFFDCPQEVQLQIAGFACQSDQARLSLTSRALHNLTEPLI YSSSVKFEWARELHPPITQLMHLLRTLLERQDLCPLIRHADFEGFGYIDELGSYRSDW TEETPDPPPVIPEIPTHQLSAAIGKTGVSEAFAEQWYKKVQSGSPEASAALLVSLLPN LERLCFSANWTNDTRFLGHMLRAALCEKPEPASEAGLPSFTSLKHVSLAEAIDDKHHL DPSNTADALALFYLPNIETLSVSIDNPTNFTWPSSSPPKPTSLESLEIFRLRESRLAP VLSAAMNLKKLKYNWTYRPDLDSEVNKEAVMLRVLSEALLETKDSLEDLEINAKSVPA YSCGEYEPPDVTFHGSISRLREMQKLKTLYIPWTFLTGRKGLSTGPGLIGAAVPLNVE HLALDGFVTWSEDDECVEDTDEMMVDGFAKELESGALLHLKSLKSVCLPNSLFITGLS EILENRMRALGDRFGLALSCYT FPSE_08865 MANSVPNLPIGLSYVVPSWFDDKVFKLGEDMKLAWEPINAKFQD DPEKESKETIEYRDILRDAYREYQLLFKEKEKMHENGTFLSSIASAMAENPFANKLEI TDVVNRKEDVYLIERDYRTSVRAVMLAPHTWFHASNNPNFVSPVEFLHKLPAEIHKAG TTLQELSIQCSEPRNSAKLRMSPSEKTSLIESIQNLKSLTFNGIGETNGGAWFFGRQP DSRDIALDFISTLLQPSGLDHLTLVFSKFAPVSCSVSEVMKGAHHEGLKQLCLSGATW HPGELGQYLVHIKGPCDVTLKSVVLLSGKWEEEVDNLRSLSTVSIQITDLSGAEFDDQ QFRVLWAPEGEIALNRYLQRATSVNPFTNKNIE FPSE_08866 MPSFYDSDFSSYHVPTSSTRAQHGNPDPSIVWMLQKLHINRDGN GRLSPPGKRQSPNINPLESHVGQHEVRDEAQSIAESSPLCNMKESFLHGRSQWIDEPV ITKWREPSKELDRVLAQVDHLYQQWSLSKPSPFDPFFDKLWMETADILRDVGLPWHNN NLNMPDETDSSWPFHFKTFERVAILAKGKRVQDADASGYITNWSEGNYYGIRALKQEL YSHYPKQEPILLIDHLESEIAEAASHIFGLEWHRVSLNDSNTLMDTIRRLTSNGERPI IFAATLANMSGDVDDISMIDKLSRNFPLILHLDASRVFDFLTTVGEDFRRLLGIPRLR LHHAKPGERGCLVNGEIIASTIVAGGSNAYVHPPPVVALKPKLLGNQTPTRVEYVRGT DGTLSGSRDSIGPLMLCLQELRFGNRGFQEIYEGCFIRKLRLMNELRSLGIHFYAPPT SLDLVVQVSAGRFSDLVDLGAKAVRSDGYLITMQPSISGENIRSLLRVLGSHDELLLS KSAAKIVLHSPTTRYFLPDGVVERLWQTVQSFKIAARYSCGYPLNQAPYSALGPVIGH FLGVRIPEGWAKREASKILQQRKQALGLLTEKSQESFSACFTTGSTMGNRIGLHTGLI QYPDAFVYFSSASHYSVKKTVKDCDTWTRRWMPGRVTRFAEIPADAYGRMIPNALRQQ VLSDKADCDAHGEQYQMILFANRGTTFVGGQDDIVLLAQTLAQIEVVPSYIHVDGALD LGFIADGLRLGPPGVQDASSNTPVVQGITLSHHKVFGIMVSGEVISYCPNHGKQFEAL AGTVDPRAVLETWLFEKTYTTADLATIWNYCLGNARMLRELLAEHKVPTHFNQDSIIT LLEYPPRWLVQEFHLAPEGDWVHFITMPHICPTTVRRFVRAVAAIDTNCGTAFDYVGH SLASSLHLSGRTQLQRLTSRNTYSEKVLAVANPAILQNPQARSVCDDLGLRVLYDIKS RFIYSAMSFAAFNDMDEPLVVFLADGTGDRLLKPSLMLVKYCFHDRVHMLHDIAIQVF GHVGDLLGVDVVTDAWSFQTCFF FPSE_08867 MSTGILASNMSLTLFQATCLAIPAAFFSIIIYRLYFHPLAKVPG PRLAAITWLYQTYYSFVGGSRFYLQIEKLHEKYGPIVRITPDEVHLSDLENYDKIYYV GSRYAKCANFYGAFGNENSSFTTPSNELHRQRRTGLNSFFSRKIVIDLEDIVQDKARK VCKAIDRTIATGKPMDLHHALRAVSIDVITEYAFGESYGLLDTPDFGYDFFMLVLRLG PAAWIFRQAPWLKTVLRTIPESLVRIVSAPMTNVIDMQRQCNDKLRNIEKQLDVGSVE LNGRATIFSALMTPDDRRKPAAAAHLEDEAYTVLTAAADTTGNAMTTICRYVFADRNV YAKLHAELKATFPDENQVMRFQILEKLPYLTGIINEGLRLSFGVAGRLPRTVPLGGAN FHGYDLPPGSVVSMSSWLIHRNEEYFPEPAKFDPDRWVNASDPQRLHKAFVPFGKGSR ACVGMNLAYDEIYVTIAQIFRHYPDLKSNTHELTDYDMILDDYFSSYNPADAKTLEVR G FPSE_08868 MSSIIEFDSTLKDLYALSPGDDAKIHLCYTIPTVQVQMTNNIPI CKKYAYQDPLSHKDRGVQARIFSQVVPQLFGMIAGKMNLIMFDLDGQSTGDVDLKPRT DTQKVMQQICEHQRPTVTYVHSPSDVKIPEGAKLGLANPMDCLEHLPAAVPFESHYRA LSKRELVFSGLPTPPSMVIDTCLDPRQVLDSQIRAQEVTRMLSFVEEKNLPFVVKLPQ ALSGQGTFLVRSESDRSTSLGVLRQEVDRMLVQLNDSNSHLHPTSIVVQEIVPGSALA ISLFVPKNGEPILTSCCDQFVSEDGHWDGGHIDYSQQSHLKAHYLPIAKKIAAYLNRL GYYGPVGADIMTDAQGNHLVIDLNTRVTGSHPLGFLKGFFSVTRGFNDAAVFFPLFIN LSLDRFREIFNAELDEGRIVIAGWCHEEGLQSSVTTIIVAGEDQERLGGLAQRIKAYA TLGH FPSE_08869 MVSPSTTKSSQGPPEVPTSIESDNYQDAVQPKSEGFDAWMQVVG AFLIYTATWGLLSAYGSYEKYYETVMLVSTPSTNIAWVGTLQSVILILGGVVTGPIYD RGYFRELLIVGTLITVFGVMMLSLAHSYYQVLLAQGICVGIGSAILYVPSISMVASRF ERRRALAVFFATSGTAIGGIIYPIIFTNLQPTIGFAWTTRVLGFVTLAELIGALAIML PATRGKLSHKVRSLLDPTAFHDPAFMAFCLALFLMWIAYWVPFFLLPLYAQFKGGASS ELAFYVLVIANASTIPGRYMAVPLSNRFGPSITMAGFGFASSVLFFGWIGVDTVPSVI SWAVLIAVFMGPLAVIYPILVPRLSPNPELVGTRMGISSAAAALGTLIGFPVTSALND IEGGIFWKSQVFNGCCMLAGSVLMVYVHFKSTKSL FPSE_08870 MTDSSDPCFRFVTKSENKKESESPAEAVQSAAIALPVPPDGGHG WIQVLVAHYSFGIFEQYYHQELGFSLSSTSWIGSIHLFFLFALSTISGRLFDAGYFRH LLIIGSFLQLLGLFMASISTEYWHLFLAQGVCSGIGAGLAYCPVMACVSTYFTKKRAF AIAVVTSGSATGGIIFPIIAQQVICGSFLILWSVFIGPSYINHYAASVVGIRVKNLSS VFVFVSLFGFVCGGIQTVGMAGLPTLTIDHSKMGPANCRFGELVQWLWVQDLS FPSE_01427 RVINWNKILTFSRSLKASYNNLISFIFKIFYIIN FPSE_07891 MAIPQFLYAIDLSAKHPAQGQLKVRLDYGLATQPVPGVSESTRK ESQHQYLFSSYLVFNEPVSSFTDGQLRQMAQVAHAEMEKDMQQYKPTLFATPGGKPIY LPTVMTIVAFGNEIILSSSQKGLDGFLNQWPQSPVKLALDRCSAIWRDRVISDSESTA NPAAGHKNKAKCGEVNAFHQYYMTHTTSIPEVDPKVRVTTVARTGNSYIIFPPCGTDK NGEDEK FPSE_07892 MQHLHYVLALFAAAGTAIARPSLVDFGSMSMNNIFGRETTNFFN PDDLTFIKRLAAVGDSYSAGIGAGDGLHGEGDENCRRYDHSYPYLINQDERLGDAANR KFQFKSCSGAVIKNVIEDQLPSIDSGQQIILLSAGGNDAELVNILNQCVYQWFALNDQ HSTVGKVAEMKGEPWAKGWDWDAASRGCLGQLQYSKNIINTDEFSKRIDSMIEATKKK LSPDGMIYYTGYAKFWSTDYGSACDKVSWSTWIFKSYNIWQPAARLEELRRREMNRLV DLINDKIEAAVKRAGDKVTFINYDEYVGHFKGRYCEDGVDESVVESNTRPELMFYELD TFDPWGNKPWKRSTVEHQNGSFSGDMNTMARAAEFVAPDVAFRQEHKIEEDSELQGLE AAAKEGATEDVPNLLPDGYGRVFHPQILLHELIANLVLFEVSNRRMEENNMVPEPLLD TSVAQCPINPSSSIILKYKETEPGEAVKRGTELRILPVGDSITVGYLSDRNGGDGDGY RRQLKKDLSGDKVVFAGTESSGTMIDGNYAAWSGKTIQYISDHVGPSLKQRPNIILLA AGTNDMNPNYGISKEGNDPKGAADRLGKLIDKMVKTCPDATILVAMIINTCDEKQSPA TKEFQKLVPGVVRLRHDNGKHVLAVDFTTFKTSDLQDCIHPTNDGYMLMGDYWYSFIH QIPNSWIKKPVGPDPSGGDGNNGGIDKNIPAPDWGKSPIQVTSKKTVADAAKYATGGK DKYVTCDGNPWYKGTGKIAQGDVGKNGDWKYHKDWKAEGQVAEGLGLDSQYIHPKTGE ITCWLNNLPKPWSKAGNNNGIIGSGVGPAKTIYLADMNGDGMDDYLVVDPDNGSVRVW WNYGPDDSWDNGWKFVPGGEIASGVPHANLETLRFPDINGDGRADYVYIGEGGSLKHH LNTGSAGGRDVLFHAMGGIATGAVSDISKLVFADMNGDGRDDYLIWDEDGGLTGFLNQ PTNREGVPLFVNQGPAKTIADGIKKKPSTIRLADMDGDGKDDYVYVGDHGALSVWYNR GTTDDSMAIDGLRFADMDGDGVDDYVWLDPKTGAPTVYLNDGVNDGDSIGWAWSPING GKPIASGAAPANQVVFGDINGDGLDDYLDLDPKTGLLKAYLNLGRESDWKFRPIGTIA SGLGPGKRVRIADIDGDGRDDYIFLKDNGGTTIYRNIYGPDNDGDKYAPMSDADASGI NQSPDEIDFIDMNGDGKADYVWTSRLDGSVKVWYNDYPKKPTWREAGEVAGGVGTSGA NIRYAKLQKTGRYDYVAVDPKTGAIGAWLNGCGDPDTSKKKHRIIIGRYLPQVWLIME KTVDKDFSYKTCVNTGKGGDTRGTPVLNTTTDDKFPAALKSGDTMDKLYGNTCFYEGS TDRVGKLVCDGVSGIRCYKDENFGKLQKCRFGSYTYVLYCEW FPSE_07893 MSTFDTQKTMLIFGATGKQGGAAIDNILSHSPDSAFHLIAVTRD ATSRKARALAANPKISVVEGDLDNVGAIFAKAGPVWGVYSIQINSDSEEQQGKAVVNA AVQHGVRHFVYSSGDRGGPERSPNNPTYVKNFAAKYAIEKHLEQQARESVQQMTYTIL RPVTFFENITTDIHGKGFARMWEQMGSKKLQMVSTKDIGWFAAQSLIWPDMYRNKALT LVGDELTQHEADAIYRQVIGQGMALAPCPVASAVKFVLKGSVGDMFKWFADEGYGGDV NECLGYNPGMQDFRAWLEENKRNFEKNGS FPSE_07894 MSNKAVNRKRALLIGSPLELSAVDNDLDSIQSVLELHRFSTTRC CREGENKYAATREGIFDAIDAFTKDTQKDDSVVLYYSGHGNIAERAHDLQHQGNATTL DRWRLQFIVPLDFMDNVNGNRFKGITDFELSNKLVTLSQKTSNITLILDCCHSTRMAR AGAAVKSLNLDDYPWIAAHVRDTIDSGHFSEPLWSLGNPSVVRIAAAEATEPAWEDYI QSTPQDQKKRKSVLTEALVEALQHVASTNIRMSWDRVMLRVRDRVLATMPHQHPSISG PSNRFCFDTAEDQEQLGLPISKTPAGTFKLHGGHLHGVAKDDTYAIVPTEATTVDPAY QIAEATVIDVRERDAILHLTWSGAHSTLPNRGAHAILTRRTLPKSPVIVQGSNQFFQN LSEAIGRSSHLCVGYDFPTRLAFVRQDGDSIILEDDRQQVVRRTENPSVTEFIRILEG LSKGKKLLSLQGAPASSWPLCDVSVTFGLVNDNGDRFPFQGPGARIEEDQSVYFELVN NGNRKVYVSILDICLNHITVVNEDGNDIPAGQTLEIGETADGELKGLQVSWPDDVPRD RNQSIKMTAALIMTSYNIDLSHLETGSPSTFRSKGPGADLISMIDQILGGGDRPMSKV MAKVKKGSPGFGMWTLEYEMVPTNV FPSE_07895 MNQDFSGHSNSNQNDINEVEEAAERRAASDLPSPESVEGYDDLP RYPPGSPVPKGYGGALIRTLKGIPPCVWIINQHDEDITVVVSKYRPNRLLSGAEVNAS ATGGGLNFSSTTYLGPATTKTLVPETESREGSVGVFPLWTRKNGFGVVTIFKGSDKYI ENDRIEAGATGYFIDTPNLKIVSFKEALSDKS FPSE_07896 MWSDFGGFILRVHWGLRGCPTAKDIQPHDDCPSKKPLYMEHFLR ARDRRLNPTPLQSDYRLPPSPVKAPNIAITIIREFMTIQQRLVETENQTTPKTLNAHS TDLNILLEGVKDISECSATKDGNRLETTIIDNLDGMNPDTQEFLALVKFIKDIYRRWE MQSEFRWRRRWPEVRRSMHQGEWWRRQDDTYHILVAVGQILSHLSLFSTAFALYEEAI SGIDMLRRQTSPDHFRKDVNRNPTYKKLYFLAARTALKWHFCMNSSDGFSTPLKNAFA SLERGMERSLLDNLHTNSQMGFLNPKSAISDYQRLSSALETRQRMLDHELLLDNPNVA RVKQWEDEVRRLRIQVTDAANTGGPASLTDVARLQDVVDCIPEGTEILQYYYEDKAGL EAVNEDQGVGVSSTSENFFVWKISRAGISDISLFNVSIIELENKIRTYHSQCSSARAL SRHLELSLVETLFPSALNLNDATNLIIIPCRGLWSLPFHALPHPRTGEQLMKSHSISY LPCVSAYIPLRARGLTSRNMNVLAIGNPSNMAHQDLQTGTRSLLLPLPGSELEAKLVG KHNSESLALTGSSATKTSILSTLDKYDILHIATHGEFSRQFPTMSSIAVADGQQISVE DLIGSNMAHELVILSACHTGELSHSGNNDLIGFAAALIFNGVKNVIVSLWPINDHITV IFMKRLYEEISLGSSISNALRNSRLAVYNSTIAGVDKELISMRSIVDIKDREMERIMA KLPSQRPPEYKHPKFWAPFILIGADTKTDLLPTYREVDAEAETEHRHEPVYHWGNPYE IGIEWGQRVNRY FPSE_07897 MASLRDASLDAPVAVTQHKPSFFRSIPFQIAIACGVSFTAPGMW DALGGLGAGGAAEPYAVSAANALVYGLFAAVCILAGAINNRIGLRYGLALGAIGYPIY GAGLYTNNVAPKTWFLLFGSALCGISAGFFWAAEAAIIIGYPSPQDRAFYLAIWQSAK AAGPIVGGAINLGLNAQSSSKGSVSSATYIVFIVIMCLGLPISLFLSPAEKVQRKDQT LVVVQKQPTWAAEFKAVFSLLCTRRMLLLIPAFFISYFYNGFMSTWLTTYFTVRSRAF SSFFTNFSGIISSFLIAGLLDRQSIYIKTRARIAFLTIITILTGTWIWATILQKQFYD AAEPPVFDWFKGGFGKAYALIFFWQFGGQAFQQFLYWLIGQYTTDLSSLSYHCGILRG FEALGQTVAWAMQSEGNANHFVSIGLNFGITLLCVVPTWIVLSGLEHSHEIKVTAGTD VSRKDQEVDTKA FPSE_07898 MSVDNTQPEGENPIGDFTVELLGTQSSSNSASRRDLGFTGQLGG KWYAVYGDVLWCDAGVTHPSEDTEGFHGMVRNAVSALTDDPLVVEDLHLNDDEPVPHQ KQFMPFNEEWGETNTFGFGGTGIAETDPDSATGVLYYLVNDDEHYKGAGVAKIELVDD VPTVTDRYGDHGIWWNGDELPKYGDVATYRDVNSEYIYILGNPPNSVTEFPDKNYVYM ARVPACDAFDLDKYEYWWGREQGWKSEPLTECNCETAVMWGVGQGQIVYNKHFETYFY VHLDLGGTVFLKSAPSPEGPWTEGKEIFKDEPIDGGLVYAGLAYPHLDESGETLTIGF TNNNWIRIIKVSFTN FPSE_07899 MPHSLRAIAAAALPSIVLASVIQQPIDSFDHTQGYQFDPLLHLP GISPYFDAVGFGLSHAAPEGCTVTSASYLIRHAAIYANDAEYEDYIQPFLYKLEKHRG DFSGPLEFLNKWYSPIEENHLEDVTPSGKKDAKKVGNHLFKRYKHLASSVKRVIADTK SRTYDTAKAFIQAFPEDGNIEITRFNKKELNNGTRALLPHKACSKFSKSPGTDEQNEF VKNYATSVSRRLRPYTPDDYELAPKDVFALQSICGYESAIKGEKSPICGLFSDAEWLS YEYAWDMKYAHMVGPFNPLSNYLGIPWLHSQSKLFDNIDKNSDLIDDESLGSGWPKEQ RLFFYFTHREVPPFVATALGIFNSSSHEGYDEFPTTHVNHVRAWKMSDLIPFLGHVGM EKMTCKRPDTKDGSSDGEEFIRFIANTAPRPLPLCQSGPGASCPFKEFKKIVAAGMEK YGDFDGVCENTKEEKEDEL FPSE_07900 MIANTFALLSAAVAVSAVPAQSFGSEMLQVRAIPTSMNGICGAF NGSTTCLGTGYGNCCSQFGYCGKSDVHCGTGCQTGFGSCGTQQGPEFKDLGCFADSTN SRVLPTMINHGGNTPAKCKAACADAGFPYAGVEYSSQCFCGASPQRDLVASTDCTMDC PGDATIKCGGSNAIQLFSTIPTWTNLGCYSDATLSRTLSKSLNVAGNTNKKCQDACAD AGYKYAGTEFGNQCFCGNSIDNSGAPIAQSTCNKACAGDSTTNCGGPNALSLFYLL FPSE_07901 MSTQGQTQESLTLAHGVSPEAVQVSVAGSRRSTPTPVMGSRISS YHGRGRSSASTVAEDKPQRRFKSSRLVGEYEKPWLKEWKREVNWDSIILYTGVLIAFC LSSFIAWNTVRKIPHNEYCLIMDDQFNDFDTNIWSHEIQMNGFGTGSFDWTTDDKANS YVDEQGLHIVPTLTLDHTKITLDQMLDKHVVNLTRDGTCTSMDQRLSNLTYNNPCWAR SNATSRAIINPVRSARLTTSRKKTIKYGRVEVEAKLPSGDWMWPAIWMMPQDNVYGEW PRSGEIDIMESRGNDAEKYPLGNNIVSSAMHWGTLYDTDAFKLSKGEWGSKRTKMSDD FHTFGLEWNEKYLFTWLDGRLRQIVFFDFTKNKSMWEYGKFAGVTVNKTVHKDTWSQT GRSNTPFDQPFYLILNVAVGSTNGWFPDKLGAKPWSDESSAPMRDFWDAKDTWLPSWG EEKDRGMIVRSVKMWQEGACKI FPSE_07902 MSRPVSRKAREQSFSKMFDQTALAALQPSSATNSGSSTPIRGST IPSTVSSPFKPRMSRRTTADFQGNGSRSRPASIAPSRHSFIGDCDIQDPSMLANYASR RATLLGWFDEPVERAVLSGISLTGVAIKSTEGHVFQPADVDSLLQSAVSKLDAEALIS ISSETLVKIISAIPHTQRSLVSESTGARIPVVTNLEAVTPDLCHYSRACIALEERIVL VWSQDPKAIIHVADHVQQEVFEMVRTFIYSDTDLFRRRLDQPDRARSTFTQLSSDTAP VASPTVVRGGLDEKNEIFSRAVALEEKEDENEDVEMAAPQRPRLKMHAFKISIAIMLV IITQSLGVIKLLNEYYWDGGWARFGLLATIPPLTLFSLFFFIVLVTSIFQLLLPVSAC LKNSRFHSAIKPNPKRHRDYELPHITIQMPVYKEGLKGVIVPTMISVMAAIEYYEQQG GTASVFINDDGMQIIQHDLAEARKAYYRENGIGYTARLPNCKAAKKGGFLSWFKKSDK NAGTNAEKDESEMSPQELSNKIGFLRKGKFKKASNMNYGLSFSNRVEDELVRLTQIEC QQRQCSENDLTVDDDNLLYQQALANMLAEDNGRTWAEGNIRIGELILIIDCDTRVPVD SLYYGALEMHESPEVAILQHGSGVMQVVHNTFENGITYFTNVVYTAIKYGVGSGDVSP FVGHNAFLRWKAMQDIAFVDPSDGQEKWWSDAHVSEDFDISLRVQMAGSIVRLATYHN GGFKEGVSLTLYDELTRWEKYAYGCNELVFHPFYQWPYKGPVTRLFLRFLWSNMPITS KITITAYIFTYYAIASGLALTVANYIIIGLFPDKLDHLYMPSWGIWLSLVVVFNGLGS VAFSMARHQLKEEVFWRAFLQSMKWLPFLVIYFGGISLNCAKALLCHAFSINIEWAST AKEPGPSGFFIGLDKMIESFKYTWIICIVLSVMMIYFAVGAPWGYTITPGPHSTAVVA IVPLAIQVCSAFFLPLTLGLN FPSE_07903 MKTNFLALIGLLAIPSSGEIMDPLPEAASRKELRFQPYLDFDMD GCYNTAAINEDGRINSGLKKGFSVHEYCRDKQHLLHSNAYSRKRCNHGYCAIMYDYYF EKDQAAALSGHRHDWENIVVFLKGDKVVRVAATEEHKKYRYSRDCMRDEFCDIPSNGR HPKIVYHKVRGDLHSFRFAKIEDGETELEEPENPLGRWFKSPLVGWDYWPSDELRDTL VNWPDQMVKPSIGDKKFGRALEKAAGSGRMVPGFDPYQEKDDEDEDED FPSE_07904 MSWSKYEAQSAHIDPSDRDNASRHKDPLFFDDDDETMSEDEDFY QNMIDLEESIIRKSRISRSGIPTQEPTNRPTRSTDNSKNEEEKEKDEYLQRKSLSNLE KCDCCPRKKTQSTPKSVHFDDDSTRICYFYKSDQPNMISEICHDSTDFKDHNLGRALG VDFEYQ FPSE_07905 MEYSEWLEHGWIPSISGYFQSNDYLADYCPIDDTLPDLSIYQTQ EDWNPFPSPYPTEEDSPESAWLADNGQGWHEVSAFADKCIDQQHVESTPSGSTGSRPY TQTSARFSKIHLPAASVSTGDIIGQPHDEDKHKELADLPTGKGRKKRKCLSEGTKDTV QSRGASSRSTAKANRQERLMEQNRLAASRFRKRKRSTADALGMELERLKDQHRELSEH RNGLQDELFKLKSQVLEHGRCDCALMRQYIDNEAHRIVLESSLSTCTTSFHDSPRHVQ PTEQPYEQTAPTDWSLEIGRSRLIQIALFLSHPNSI FPSE_07906 MATCSTMTDANTLHWGVEDSQFELPVEYILGPPGQGLFAQPCAE FTTMSPPNSSSSPTFASRPDDSDTTSDACCMSSLDLTYQSTPDFVDGRFDPRVHTKGM PVVNGASTEIWTKECLPHSSPDDTMEIHDIGDIAQQMQKYIDKDLVEAKLRSASHKSK NSRTNSAVAPDVAKARNCHNKVEKQYRARLKTRFARLLAVLQESMPAQISDGNTIGSL DANYAYSRGEVLDLAIERILTMQEENRRLVLQIQMLALSMKQIARRHCDTIVTQ FPSE_07907 METLTEHLYHVLLTVIDYHNDPSGAQRSTYVLGTRGSIESAKSS AYRVLNALQYTADDFAEYAVHSSHQGTWRYGQGVLVYARTPAGRAFLISVQATPNDDQ FPVQYNGSILLPGGISSLQYILQTTIDYNNDRSGARQETQIEGTFLYKSEALTAARNL LDPLDFDDFETPEKMDGEWPYGDNVVVHAISESGLNIFITLQTATCIEETFHSL FPSE_07908 MAVRDSLGPVMAAFIAIDGVIVLARLGVRTKLTTLGYDDYVIVV AMLAFILMCAFTFVSLHYGFGVADPEVIAGMAHYNVMEAQRYFTIAQITYVAGFPIVR ISVALVLHRIVQGWPRTQQLLVGSMVVIGIYALGCLLVDVFQCIPLKAVWGDGTGKCL SSKQLAGLGFAVAALDIASALFYAILPIFLLKGLQMGRRTKVAIIILLGLGASTVVIS FIRMKSLIQIVNAKNIPEALDKQLESFIYSVLEFGISILTASLVAFRPLIKYLPFGSM GRSSRPKGPSADSSGPGISGKGDFEMGRRKYVNVPDPAPLDSDDGESQRNILHQTDSH WKQSATTITSTSK FPSE_07909 MASTLLPSLPQDITTDWLSPKIGVKNATLKLNDTIGGTATLVLM TATPEQADGDETILKPLRVCIKGGFNPAMLAQFPFILSLYNREVDFYNNLGPQVPHLN IPRKLWAEKSDNNAILIMEDLAAANFSFADPVDTWPVDRVKKTVEHFAALHAATWGVE ASTVPWLDSHYETSVLGLCSMWDAIVLGEDRPPIPEHMKDQKRMTAVITKWFATRNPK FQCILHGDAHLGNMAWSEEQDTPVLVDWQIVHIGSCFTDIVYFIMSALTVEDRRAHEI EVLDHYLAKLHEFGGPKLSSDDPEVMIEYRKSLMAGYSWVLCPYTMQTKERVKAIVAR LVPAMEDHKTIELLEKE FPSE_07910 MKLLSAICCVFATLTVAFPSDYLKIATWGVEGYAKDNPIGVTTG GKGGKTVTVTTAEQLVEAVKGNAPKIVKLKGKIVLPARLAVGSNTSLIGVGLSAHITG KGLNIYNGDNVIVQNLKITEILDNDCITIRNSTRVWIDHNEFSSDINDGPDKYDGQVD IIRASDFITVSWNYFHDHWKSSLIGNDATFRDLDLGHLHVTYHHNYWRHMGTRGPAGR FGHQHIYNNLYEDFLYQAIHSRSDNQVLVEGNVFRGNTSEALSTYGLVIPMDSPNTCV CGDEELDGYANLGAKNDWGHAGVNITQKGNFYKADYKFKLTPLPLVPAVVKLGAGIGR IW FPSE_07911 MTSRGPKPPILLPPPDPTLKTRPVPQERHFLVRSFGPGGLPDAQ QNFLTVEELKKQQAETSACGIGRDVEDDAQHIYEQLLEDENTDLRNDGSITGEKTWGP TIVVTAYSEKASENLDQAITNLVEIIRRYFLRCSRTGPFAREAFKRLEFKVLADKDLL EDASDDRVREEFNAYVRTLRLFDPDSGWEEDDRRWYKDNLNRPHGPLRYGFCIALDEE MIGTLAAISFPDDLNSDSELLKDISIKLVERRWRYTKEAHNQYGLVPTMENVYRGKDM CPLLDLPLICADYHYYQNFEEMFPLRKFRDYH FPSE_07912 MRVTVIGGGPSGLVTLKYLLNAHLSLDSDPIEVRLFELDDTIGG VFATRVYEDAELTTFSDFRCPQEKDFLSANDYLQYLKDYCSYFDLWPHITLGVEVQSV TSTSSKAYVISYSSKDGKVFTWDCDAVAVCSGLHKEPNLPSIPGLQHVPEVIHSSDFK TKSQFGINKTVMVIGSGETGADIAYLAVTSPTKQVLMCHKDGFHFAPKRNPGPVLFPI LGRKPNPNEPGIPIDVSRANLFDTTYVHPALRNSMILWDYYNYYIKSLLWVSSGTTFG MDQWIGEISKARHHPSKIFFNKSMKPGPQLWLYALRSAFVQTPIPDTHGKQVDLAPLP EKINKDGTIEFFDNRRPEFERLKHQVIRPDMVILCTGYKQSFPFLQELFQGEQKHPSS FYQVSPHWTVGLGESRRTAGIQRVLGDHYETADIVWHQCPQWHASAW FPSE_07913 MKSILFFTLYAASGIAQENVAENSSTKSPILHLSEDDNFNFDIL TGLGQAHNDGADINPVLSVAKDIIPGDFESYSKEWFKLANLTKTQALDPEIAYNPINV RATWFSIANYFRRADVYNRADWDDPRINDYWDEQTSAFNKAIAALPVPGERVDIPSTT GSFNISGIWYSQPHTNNSTKKHPTLILTQGFDAAQEDLYSTIVAPGLARGYNIFSFEG PGQPTPRREQGVGFIPDWEQAVTPAVDYLLTQKAAFVDPKKLILYGHSFGGYLAARAA VFEPRLSALMLNGGVLDGYEAYTNFLPSKLLKILESGEKEAFDKAMNALLDDPDASTD LKWGMLQAKWAFKTKSIYEFFQMTKEYTLKGGLLDRIKIPVWLADGEYETLMAGQSKL VKDQLGNNAELHFFNGTSGFHCQTGATQELARVMFAWLDKVLGS FPSE_07914 MSSQSFPKEPIAIIGTSCRFPGGANTPSKLWDLLIEKRDVQSPI PPERFNVDAFYSRNGDKNGCTDVKMAYLLSEDIRLFDASFFKINPREAEAMDPQQRLL IEAVYEATETAGLPMEDLKGSDTAVYVGCMTGDYHEMLMRDPQDMPKYMATGTARSIL SNRISYLFDWKGPSMTIDTACSSSLVAVYDAVTALRNGVSRIACAGGVNLILGPEMMI SESKLHMLSPTGRSRMWDASANGYARGEGVAAIMMKTLSQALADGDHIEGIIREIGVN SDGRTNGITLPSPDAQKALIRQTYRNAGLDVFKDRCQFFEAHGTGTPAGDPLEARAIH EAFFDSGDTVTEPMYVGSVKTAIGHLEGCAGLAGMIKALEAVKRGIIPPNQLFETLNP AVKPYTSNLKLPVESQPWPKLTGGFPRRASVNSFGFGGTNVHAIIEHFDNMSSESSVN DVISTPLVLSANSELSLRAQISQLAQVLENADSEQIESILYTFAYRRSQLPLRTFFSG HDLPSLQEKLKGAIVADAVIPTSKQDSPLGSAPRILGVFTGQGAQWPTMGRELLKSSP FARQLMASLEESLASLPEPPTWTLTEQIMADKDTSRLSEAAISQPLCTAVQLMVVELL RKAGISFDCVIGHSSGEISAAYAAGFLSLHDAIRVAYFRGVCAKLAGGVNGAPGSMMA VGLSYEEASVFCEENFHGLVDVAASNAPTSTTLSGDKASIEEAKVLLDQQGTFARVLR VDTAYHSHHMHPCAEPYLDLLHAANVKALPGNDSCEWYSSVLGERIDASLHGEALAGE YWVENMINPVLFSVASELVAGSTVPCNVALEVGPHPALKGPFNQTYKRAKESQLPYQA TLSRNIHDVAALSDTLGFIWSHLGKSSVDFTSYAQAFSTSTNKTLTKALPPYPWDHTQ SFWRESRKSLKYRQRVHPPHPLLGVRSVEDPGDSFRWLNHLRLEDVPWLDGHKVEGQV VLPAAAYLVMAMESARAIDDSKEVQLVELSEVHIMSAIQLSQDSQAIETVFNLEVSDH QPSHATAIWSLSTPLRDGNWKCNAKGQLRVEFGSTEGASLLPTRVKPVASLTTVDVER FYSSLTSIGLEYTGDFKHLDSIDRQLGFASARARQITPDFSAIIHPALLDTAFQSVFA AYCWPNDGSLQAPFVPTYFRSLRIVNTKQLEHDDELVIDSFLTNTNERELTADMDVFE ASNNEPVLQLEGLTCTSLLRPGPSNAKELYTKTEWEVDIASAIADAETEEADAESDLE LVDLCERLSYFYLRELNKAVSRDEVSNFDWNHQRIFEWVDHLFPSIQSGNHPTIKKEW SSDSRDWLMQQSSKFPGRIDLQLIQAVGENLPAVVRKQTTMLEHMVKDDMLNRIYKYG LGFERANVYLGRISKQLAHQYPRMNILEIGAGTGGATKGILESLGTTFESYTFTDIST GFFEAAADTFENWVAKMIFKPLNIENDPTEQGFTEGHYDFIIASNVLHATKSLDVTMK NTRKLLKPGGQLLLLEVTSDIVRVKLMMSGLSGWWLGGDDGRRYGPTIPVSEWDSLLK QTGFSGVDKTVNDFVDNEKYMTSVMLSQAIDDKVQLLRQPLAMSGDWLSSHSLTIVGG TSQRMSSKMMEFLTQLSGVPRNLIQFVETFEQLASSDIHVRSALVLEDLDEPIFKNLT DDKLRGVQRLMNESRQVLWVSKGCQRDDPFANMSVGMCRSLASEYPHINLQHVDIEGE ISELTSSRLMEAFLQLVYRGSLKSDNIVCSIEAELILRDNKWFIPRVKSDQALNDQLN ASKMTLQTNKTLTSDTIEIQQRRNQFVIIEPVPSLSLSSSSSLVDITVTHSLLYPFKI GQKSSGYLCHGYTECEPKTRVLAVSETNRSKISVPSFFVWDLTTTDAEPAKLLHKTAF TIAAQRVLGDVDSGSTVLIHEADGFIGSALRWKAEDLGLTVVLTTSDLSKAKSGDVTF VHALAPERALKKVVRKDTKLIVDLSGRDYETTGSPFSRSVSTYTRVVQLHDILGDHPQ GIEDPIIHGVRDAMRSTLKLHEVAPVIRITDLANKPVSIKDYATIVDFSADAAIPAVI QPIEGDRLFRSDKTYLLIGFTGGLGKALCRWMVSCGVRHIALTTRNVDTVDKVWLEEL QMQGAQVNLFQVDVSDKDALTGAYQQVVEQMPPVCAAANAALLLSDRTFSELKVQDFL KVFGPKVKATQNLHDLLKDQKLDFFIMFSSLASVVGNRGQTNYAASNLFMSAIAEQRR AQGLAASVMHIGMVLGVGYVSSTGAHEATLRSYNYMAISETDLRNMFSQAILVGQPDS GHGPELITGLNRYSLESDAQKYFWRDNMRFSHHTLEEERQERSSSTKISMSQRLEEAK DAAEILAIVEEEFCTKLERMLQAEAGSIKTSQSLLGLGVDSLIAAEIRSWFFKELDID TPVLEILNTASISELCSTAVSNLPSVSGQSIESKTEVTKQAIESLDTVPTSTEASSAL PTDSETFTRPNSTEDTTSDDDSLEKFMSRPKDFRPKVIRSGPMSFAQERLWFLQEFLQ DDTTYSVTMHYRISGPLRLKDLGDAFNQVIKRHETLRTAFFVDTETGLPRQAVLEQSP FRLVVRHNSTGCYEYERMKKMPYNLESGDVVRAQIVPISDDEHDLIFGFHHIALDGFS AQIMVRDLAMAYSGLSLTPKDVGYLDFAIAQKATKFPTVSIDYWKAEFEEPPPTLPVF DFAETKMRVPLTHYTTRAYERTLPVESGAKTKAAARDLGVTPFHIHLAALQFVLWDLA STSDVCIGITDANKNDAAFMDVVGFFVNLLPLRLKSGASQTLAGLACEAKTKANQALA HSQIPFDVLLDELKLPRSTMHSPLFQVVLNYKMGSTQKVPLGDCQAQLVTFKDANNPY DLTFDIETYNDGSTSIVVKTQEYLYTQSELGFIVDRYTDILSLFASESSQTLGQACKP TTAQIQKALSLGRGERIPSPRFETLSHYFDDWVEQQPDATVLKTDDGKTLTYRQLKGL LNQIAAKLIDGGVIQGSKVGVYCEPSLYIFALLIAIAKAGGVYVPLDAQNPIKRLQLI VNDCRPDVIVIDDSTKDSAIELETTAKIFNVYSIDLTSSEAPEIENRAQGSGMGYIYY TSGTTGVPKGVALTHTNLVHHIDSCIHFTSMKRCTMLQQAPLGFDMSLTQMSLVAMFG GTLIVASSETRKDPMQIAQLMLAERVTHTFMTPTLALAVIHHGYEYLSQCVDWEFALL SGEAVRAHVPPEFKRLALRNLSLYDGYGPTEITINSSCGLNELDENTPHDTRNPSIGL TLPNYSCYILDENMHPIRPGFAGELVVGGCGIAMGYLNREDLTQARFLPDPFASPEDV SRGWTRMYRTGDKARILPDGRITFLGRIAGDSQIKLRGFRIELEDVANTIVKASMGVI PEAAVSLRQGENGDGESAFLVAFAIISEVHRPSDIKVYLKNLLKELSLPRYMIPAKIV PIDKLPMNASGKLDQYALDAIPIPLDDDAKVSQPLSETQEALKLGWLKALPPVAADAT IGPDTDFFAAGGNSLRIVSLREYISRVFGVTVSVFDLFQASTLSEMAAKIDSSSEAID TEPIDWESETHVGSTTPMVKSAVSQKPDGLQVALTGSTGFLGLAILKALLADKRVAKV HCLAIRSPAKQNPVFASSRVACYHGDLTLPYLGLPQEQFIALAQSFDRIIHNGADVSF LKRFQSLKRSNVEATRKLARVAMDRCIPFHFISTGGVVNLTGLDGLPEVSVSEHKPPM DGSYGYIASKWASERILESCAEKGLPVWIHRPSNITGTNAPSHDLMQNIFRYSAETRS LPDLSGWKGYFDFVPVEDVAQGIVNSVTETREPQLVYRHHCGAKKIAVDDLKDHFETE QGKTMETVNVEEWLKRAKNAGLDPLTATLVEKTLSQGSGIVPWLRMGSS FPSE_07915 MHKVFPSDFFNFEFLRLLGTVPFQGAEVGECLATASRITDGDPE SWYKAWREQAEKAQALAEEAAAVDDRTGACWGYIRSANYWRASEFLLHCTPDDPRIIS SSKASVAAFDKGWVLLDATVKNFEIPYDKDIKLPGRLYVPAPHHRLPGKIPLVLQTGG FDSTQEELYFYGAAGALPRGYAVFSFDGPGQGLPLRLGKLSLRPDWEHVVNQVLDFVT DEVAADYDLDLDRLAIFGASLGGYLSLRAAVDPRIKACVSCDGPYDLFEITRSRMPPW FINGWVSGWLSDGIFNWVVDRLTAINFQIAWEFGHGKWVFGVDTPADVLRVMQQISLK GGYLSKIKCPTLITGAADSFYFTPDLNSEPIFEELTSLGPNDKHLWVGKGVEGGGLQA KIGALAVVHQKMFSWLDGTFGIKRDAL FPSE_07916 MAPFGTLYSFMPNGRVFKILAAAKLNNLDIEVPPYQHFVTNKST EFLTKFPAGKVPVFEGADGFCLAESDAIARYVSQSGPRAGQLLGEDALTSAKIQQWIS FFADEVYPAILDLVMWRVGMGPFDQSTETKALAQLEYALTVLEKHLSAATQLVGSRLT LADLTGVSSLLWGFMHVIDGPMRKQFPNVLTWYLSTIENDDVKDVFGEPNLIEKRRLG GE FPSE_07917 MLAHATLLAMVFGALVPVHAAALTLEHRSPSRGNSVAVPAYWDV YGYLFNVTVGSPPQNLTMLSDMTWMALFVRSGRCLGQFNPDLCAGQQQPFFNERDSKT FSNVSFAQTTWPITAFAPNFTVDYGRDEVCVGNLCNSDTLMQVSDFPYPASVVPAVPF GGIFGLAPPPSKRAETSEPANYQAWQEGNMGPLVGWHTCATLASTSSCQGGDAQLVFG GTDTSMYDAKKLQSYGIRNPEWLSDAFYPVTPPRSNYWSTSLTGMWVEGDGKKSKNFA VAFKAGKGGKKTPPLAVVDEGSEGLGAPLSLNGYKYLVSKTDAKPASAAVIEKILSQG STGYNTDQQDWYTLSCDNLHRYPDIVYELDGGKKYTIPPKDYVTRLENMPGSVCYLNV NVWKYGRTSAGDAKVVLLGRAFLKRQYLVLDFEDRTFGLAPLRME FPSE_07918 MSHYPRLLCLHGGGASSRIMRAQFAKLESALSNRFQLVYLEAPL DSAPGPGVLPYFENCGPYSCWVSDDKTLAPEEKRLEEENAIAYIKSFMVQHGPFAGIL GFSQGARATASILFEQQQHPFTHDNLFGVFFCGTFPPFVSETPEIRIPTVHIQGLTDP YLEESETLLSHCTKQSVRRVIKFDGGHHMPTSLGVTQQIADAISMVHRTTNRKKVSNL WKLKRPVPTTTAIEI FPSE_07919 MAATEPSSPVAASPTPEEPSPPGAAPSKKGARFWLIFVAIALTT FLAALDTSIISTALPTIAADLGSDSLYVWIIDSYLLASTATIPIFAQAANIYGRRSLT LIAVCIFTLGSGLCGGAHNTAMMVGGRAVQGIGGGGVLTMSEIVVCDMVSIRERGMYA GIIGGVWAIAAVVAPVMGGAFAQNISWRWIFYINLPIAGVALIALGLFLKLTRPPSGT FKEQMARIDWGGSVLLIGSVTSIVLALSWGGSEHAWSDWETVIPLVIGLVALLAFFAY QGAPWLKEPTMPLRLFGNRTSSTLLAISFIHSLLLYWICYFLPVYFQAVKEASPTRSA VMLFPIACTSAPGGVAAGITITKTGKYRIWHFAGFVLMSIACGLFTLLDENSSTGRWV GFQILFGFGTGVVFTSTLPPILASLPDSDVATATGAWTFIRNFGSIWGVAIPAAVFNN HVNHAASDISDQGVRSLLVNGGAYEHATQYFLKTLGSSPRLKDEVVQVYLNGLKVVWQ VSIAFCLLGFLLCFFVRSLTLRDELNTEFGLQEEKGSGQIKSSEEGVVRE FPSE_07920 MDFTTFSNIISGSPRGSDIITCGTDPLNQIPLWPAPVATPNDIE DVVHAANEAFPSWSQTSYKQRTELLERFAGLYLSHANDFCQLLVRECGRSVEKAAIEV YFAAQWLRYPAKYKLPEENIEDDEKATIVTHEPLGVVAAICPWNFPLMLAIGKIAPAL ATGNCVILKPSPFTPYSSLKLVELAQQVFPPSVLQVLHGDNDLGPRLVKHSDIQKISF TGSTATGKQILKDGADTMKRVTLETAGNNASIVLPDVDLKAIIPQIAGGLWFNAGQVC AATRRLYIHQDIFDEAVAQLQEATAEAAKDLVSGVGPIQNQAQYEKLKQALADARQAG CILISSGRTEPEKGFFIHPTIVVSPPPGADIVQQENFGPIVSCIKFSSINEAVSMANS SNSGLAASVWSSDISTARRVASSLEAGNVYINGPPRPDPHVPFGGHKQSGLGVEYGLQ GLLSYCQAKSTYLYK FPSE_07921 MWSKPLNDHLAQVANSLPPEVTESLSNLTWIQGFVAFIALFIIV PRVFELLRNIFSPISRIPGPLINKLSPWPLEIATFKGKSHRFARALHQKYGPIVVLAP NMISVGDANEIKRIIQNEDWVKCEAIYGNFRQDPHRPTLLAFTEKKAYSRRKRMLSSM FGIRYIRSLEPLMKSCVDAGVAHLDKLCENSNNSTVINLQHFIHGLAIDTIGATTFGG SFNVVENGSHPLPSRLKAGMKISAVMQLISWIKYIPFLPKRDPYIEEFTFNIVDKRRK ESGDVKHQDLLQHLVDVSDDSPGSEFRTSDVQDESVILLAAGSETTANAELFTIMQLL KHPNVMKKLVEEVDKWYPPSEPDRDTECAYSQAGMTYLQACIDETMRLIPGQATGSPR DASKHETVLGYRIPKGTTVFPNTQEAHLNSDNWENPDEFIPERWLDIYSQNQTSSVPY WPFSAGSRVCVGKHFAFQEMHISLTTLLRKFSFEYVPGQDETTVFRIAQQLQANSYDV KVKRRFV FPSE_07922 MPNKVNQNDVPMQGQGAYSSHAALQHEAMLKALPLFQKAAKAVA DHDGDHTAIVEYGSAHGNNSLEPIQAILKATPPRQVELLFSDRPENDFSTLSTTITSW ADTLDKTEFPHSLFLSMIPRNFYQKVVPPKSAHLGFSLAALHHLDHVPPPTGVQSEDD HLLQNQAHLDLSTFLELRAQEIISGGSLVLTFVGQASAGYENYSGPVDACRNAMIEMV QQGIIPVSVAAAFRVPTYNRTLDDVRKVLGEMSNLWKAHDLFEDDITHPAIHNLRKKQ AEGEDASREYGNVVIDWMMAVCSGYFLKALKVGNEGRYSDKEEKRLLGDWVARTKALF IRDHKDEEVVCSFVYLHLGRV FPSE_07923 MKFSAATLLAAAASVSATAVFEVKDFTASCIAHSTFCDYQFKVI QPHSMETWENAVVCNARAQSTDYTLPDIKDGKCKDSSRTFTVTRGDKGLLVKISQPVS PKSNTVGEHFIPKSLLFQSEVPNAEVQMYNGPKDFEFTQTS FPSE_07924 MQITPRVLAGLFSLLVSRLELTNAAVTDQVGETVEGNYLAEEKF QLTTASVKDLEELGFKHAKLFYPEDEIRKRSIGLLARSKCKTFPGDARWPEDDVWKFL YRITGSALIKTIPIGASCYDNLGVYSKARCRHIVDQWSNSSLHIADPTSVMWPIYQGR TCMPGNKPDGNCTLGGFPSYVVDAHNVAHIQLAVNLARSLNLRLVIKNTGHDFNGRSA GAGALSLWMQRFKSIQYFKDFKTPSYSGPAMKVAAGVIGSELYEAADKYGVTAVGGEG MSVGFAGGYLAGGGHSPLSPIYGLAADQVLSIQVVTADGRFLTANEWQNTDLFWALRG GGGSTFGIVTSYTVKVFPKLKASIMSFAFGTSETISQETFWKAIRAFWERFPTFNKAG NYEYWGIFHGEGDALSFAMFPWFAPNHTLAELKTLTAPLFKSWKDLGIEPEVTESEHD SFLGAWSVGFAREAVGGTSTKTAGRLFPCDNFEDPAKFNKTFAALKSLSDKGGNIIGF GITGGPGPHPDNAVNPAWRDAAMWAISAVEFPEGSSWDMISEKSKTLTNDWMKPWRDV TPGGGAYASEADVTEPDFQKSFYGATKYKRLLSIKDQVDSTGLFYALQGVGSERWYIT DQVDGVPTQNGRLCRI FPSE_07925 MTDFSVLVWAVRIYYRFDKKQVGIDDWLVTFATMFSVGLVVPNY YFFRYGYTGFPTDDISDNLDMEPVLLYNWTMQVLYNPILALVKSSLLFFLLRLGGHRC IIKWSIYILNTFNIALMIAIFLTVILQTIPINAFWDQSVTPRHQIDRPVFYISTAIIT IVTDVLVLLIPFWVFVGLKMRLAAKLGLIVVFLAGGVVTIVGIFRMIELQKIFYHPGY DSGESLADTLDSVEVNLAIIACCGPALRPLFRKMFPRLLSSRSTKEAGKYLKSSKCGN ATGIGGTIYLKDMHRSNVQTEIHSYSPDGSQKDIMRYTGILRTTSFGVQYDDAVSPER EAQKHDRRVG FPSE_07926 MSRRIFVIGATGAQGLPVCRGLAKDGAYSLRVLTRDTTSERAKQ LAELGDVEFIQGSFANEDNLRKGFTGCYGAFVNIDGFNTGEKAEIYWTIRAYELAIEC GIKFFVFGNLDYGYKKGGYDPKFRAGHYDAKGRLAEWMLSQRKSHSMGTAIFTTGPYI EMAVSAQSAMVPHVVDGVVTWSVPLGDGAMVLVALDDCEYYVRWLFDHPERSDGMDLE VAIDHITIDGLAQAFQKVTGKPAKCVDIPLSTYFENVPAQGNIPAGYNADPKDPATMS IKDNFTGFWNLWRHSGGNKGVIRRDYDLLDEIHPNRIRTAEEFFRREEEKRKALGLCG LYEAIENGSIGIILKAREDGRKGAL FPSE_07927 MAATTDPTTNLASESEKGNQQPLKMEPLDSNAPPKYEHDSASGS DGEVPKGETSDEIGNIFEGQDGKSYRTMTRWGTIFALLTNQFGIGALGLPSAFATLGL VPALITLFGSALLAWYTGFELYRYSILHPQCVHIIDLAKAAGGRTWQVIVAIGFLIQV IMFCSSAIVTISIAFNTMTEHAICTVSFMAVAAVISFILCVPRTVKFISRSGPPTVVS LVGAVLIVMISLGFADPVKAPENWTPTIKAFNNPGFRNIFNAVLKIVFAYAGNHSYVS YMAEMKDPKKDFPFSLTWLITLTTAFYTMLATGIYCLAGEYTTSPALGSAPHIYAKAA YGVVLPAILTGALANGHVGVKYMFIVCMKQMKATGEITSNTVRSWAAWMICTTIFWII AFILANAIPIFDSIVSIQSATTYAWFSFGMSGVFYLVSRKGTHFNGAKNIAFFVLNCG IILFAFFLNGAGLWASLTEMLEIFATGDNVNGTFSCGDNSAL FPSE_07928 MCCGSSRRHGGRRVRRNGSDSDAYSVAYSDVTEGRRPFIMRNIR PSGFPIVQPTEPQTVATGALCLPRSPNRSQEACLSTAVAPEAARSPEEMLVGERITSQ VVKRTEEGSPSSTVSFAWVELLIFCSLLVQLGRIKVVGMFG FPSE_07929 MQNGSSLSHQLLLKGGTVLIHDDQDNVQALKRDILIEGNRIIEI ASNIGDVANVESIDCDSMIISPGFVDTHHHLWQTQLKGRHADELLLDYMASGNLQSSN YTKEDIYWGQLGGCLEAINAGTTTVVDHSHINTFEGAASTAISATVSSGLRSVYGYCP TARVASWSPFEMNPEMIASWTLEEVRKFGTQAPFGEGRVTMGLAFDLWFLPEQVVQEL FQTAREAGIDLATTHAVRNHQLSMGDVIQQVKSQGLLDDRMLFSHANGYPVESVQDVA DAGAHISSTPSTEMQMALGNPICLDDDYPMAQAQSSLGIDCHSNQASSIVYEMRLGLQ ASRAKYNQKLIDQELAPRRISKKVQDVFNLGTIQGARAIKKQDQLGSIAEGKLADLVI FDANAPELVCAAEQDPLAAIVLHSSIGNVDTVIIDGIVRKRGGKLVEVEVEEDMRDVA GKEHLDWIDVAKAMRKSRVEILEREKKQDFAELKQGFMRNLYIDESKLRD FPSE_07930 MGKYRLYADGAQQSPQPGDETSTLEKGTPFDNFVTLLQDQVLIL TSKPDSNNTSKVDSDDNIIQWFKRIDEAPDISVTFTGAQTPQTPKSFQINLVTPWAMQ FTSTVDDLQQAFPGTYGQLSEGGLATDGKWLVCALSKTMQPWSTTVTELFDCACIPGR KDFVPKALLGLAVTLQQNNGTRNAIWFGPSSTSPQTVIRLQFKVDAISELQNLIGGIL KGLTVESADVICKQDWALAETTDGKKAVGAGNVMFTTSCSILTGDTTTPKVLFNTAIE ISETAMTLTFIIQSPKKGTTPQGASTASDDIKTPGFLAIVEWLSGLVSEDLKGFMTEV LMKDSIASQICLRRLVVILDTTGKSGPVLDYVSLDVEISTTAFGQPPDEQQKQPILFL LSYTWSKRNGGAGTIKGSFWNEFAPPTPWSLAPLLEDWEVLTPETELQPAKVIDLRYI IPGKTIDSIPDTIPSQISRAYLSLSKTDFALGGTIKAADKSDEQAAKASVPQPYLGEV QLDASYSWEKKSEISLELGIVAGLSASASSPPELDTAATLTGSLKYKSTKTKGDPTVK DTSTKDTTDASSGTGAVVSSGQDSGGLVAKDGDGDSREWELSATLENLFAASLVEFFD PDVGKHVIPLIESIVIDHLDVTYKYSKTGDKASASEFTIDGSIRVASIVLKLDFWHKG SGNWGFSTTLNPQDEECTLGDVIHSMLSDTAELDLPPFVAEMKLVAKGSDVLGLRVGE NTPPAKEDDDKSTAVVGPASGTGGSDEKAADPVKFFYLIASINIGKLGFTFAQIHSSD WESKAPSKRLFRAKVDLTILPTIHVDLIGDLKLPLDDMYYIWSQDKGLPQPEDSKTEP RKGGFTRADLEQLNSIKPFDGDTILVKDKFSKKDPADLMIAAGSYFGIIGVDSSGEKS CILGYDFKGSGKKSGESDDTPESVEGPPTNKDAAGEKPKSPDGEKDSGPDNPSAHAPL KKKAGPLSVSNVGLKYKGETLSVILDASFDLGPLAFELLGFSIDLKIKTLDSLKDVGV SFTLDGMSAAFDKPPLTIAGTFRRGKTADIKYYAGGLIISYIPYQFMAAGFYGEVKKE GEETYTSVFVFAKLDGPLVSLGFADITGLTGGFGYNSSARMPAIEDVVKYPLVAPISL KDANSALEALKTLTDPGQGGWFSPAKKTYWAAAGLKVDAFQMVSIDAVVLVQFGNGSV KLAIFAVAVADIPSAKAPVKLAHVELGMALTVDPDYGLLKVEAQLAPGSYILAEDCHL TGGMALVYWFDGPHADKSRVGDFVFTLGGYHEAMVVPPGWPNPPRLGISWSLGSHLSV SGQAYFAVTPKVCMAGARLHASFSAGPISAWFDAFADFLINYKPFHFIGHVSIAVGVS FNIDFLFIHTHISVEIGAELTLWGPPLAGTVHVDLWVASFSIDFGAAQGSVEDVNLYQ FYLLVLPASLRQVSGLNPDQEKAKAPRPANEGHNFVATSGLINNDEDPKKPKNAPWVV KGGLFCFVAECKMPVSNVQLVTGKDGHGGETTTPVKFKNPDGSVTSPPEIYSKPMLLS DPMMSTFAIEYTQAGVDADETNWQMEMVWNAMPTGLWAQYQSSTDPSLPSTGTGQLLD QKSGSTPLMMGLRVIAPKPTLSQDPYPVFDVADASLVELTAERHFEKPKDSNAKLFAP QPSFEDQLPPEPKPTDEAIQKAIKDQYEAVLQAWKAPALEATDVKTFVNLWESSLKWD VAAGFNSGSTGGLADIAAIPEKMKDQFMDLYMAPPLLSAAVVA FPSE_07931 MPSNEDPVFQGPVLVPIQLDAFVLNSAVCGDGKVENKDSLIAPI TQPNYTFLRLRDFLIQSDVQNHVDLHAAAPASMNTRMTDFAGPSPKLMNSRCGVYLHW TLPRYYRIAPKSTVAASAPATDSDKPAPKPQPPSKDMIQPPTRWLVVRKLNLETLAPT PPEGAFQEYSAWVIESDHQWALSDIPLDFDLQTDVSPFVVGAKDGTKADIEEQAEVFI GRKTTLEDWGKVSGEDRSGDPPNISLLLSGNQLFADFQMHNSNVFSMLDNFQYMDSDK KHSYLSYAEASYYLIGWHYDESVDPFWNTSSVKHQDILESLSMNLQPFAQHPNSRTTW LSDTNPSRLVCHGAMYNVKYDFEKKPGELLGKLTPADEFSRTLRNQKLPAVSVGTTPM DALISYCTSRRSDKTKPESGEAPEDTVKKLEEDILSLYSLLHARDDGVEGQREAKDTI YNWNFHRAPGGTYFHLSAEDDGKGVGGVAAKDGNVKEPDPETKLALWTLNHQQTQLDA VKRLIAQRQWDLFSLWWKYVSDATSLDNSDVAKDKAAKSKEKVTPLVAQISALKVVMD ALQTNTMTRLKDPLLKLAKTGASPFFYRSRDPTILVGGIESGWSIDFVEKVNVRLAAQ VLQPEDYGASVPDSDRLVSFQQLVSASLSKESKDVSSVMSTLLNEFHTLESRFDTTDA PNGKAYPQFHDDKTPHRDSEGTGKQWRDDWGDKQPWFPLFAEWEVEYTHIPFEHWTLD DQTARLSANPMARYGVSLYKPGDKDKATQVPLWEQLPAKPDQRVLSGRVLILPQPSFS LQAKVAQLFSSTPNAIFYPKETDPEKQKALEAEKRKWILDNLNQLSYLSAPLSGMTDG ILTLSQGSHVKPLNKSLNQKGDETLDTIKAALFDEAGFTADTVPLIEGQSALTPYAKM VNLINNNFCPFKPVTHGQMRFRKFNIVDKFGQSLAAIDPIPRLTNPSSLYPSISDFYE PQVIKQNDEVYANTIQKAKEGQCEYIQVPPQINQNVRLNAEFVVRSADDDPDMYSDLE GATRAPWRPTSEWENPVWGWLIVNYADYGIQFFLPDGTFYREVRFGGPLGASVQPKWI PFARDPKLPENSKSYVQMDALIKELADPTYLERFWHMITTAQDNLAATPSAYAQFLNS IVGRPVALVNMGWSLELDGPPLDCQADTQNPFPERYLTKNDVQPKAPVYEFQVRLGDR DSQYDGLVGYFDLSSPSKPDSVYKELNLNHINTFFALAETKPGNDKKVPVGLPLSMLT TDTYPRFKPHYISPVRPADQNSPAPRKTPGYYQNERNNMLQVYGALIDPFTGVHGYSS FLPPQALQLPPWTWQQAMNSMTAFFHAGPLNLAINDLPGYDKDRELTQTNWRDVPPVS LGLPPLGAGEWNWLQPYVRPKPKVPGPAPLPPAESLSTPVVVDESQPTFNSFAIDKRG DLTKPGFQKGPYVATEGYLQLRSPLTSKASQNPAPPGPSGGTDTDTGTSISTAAGGST AVTHRTVPQAA FPSE_07932 MAPISVLLNSNVTDDKGLQVFYVTSQDNLGIALRNSAAKGVDSQ DFYEAAADAHVGLIPVKSEIGSAVMNGLNLVVAITKHKLKDEDEKPTLNDVSIVSPVY QVLDNTGITNTTAAIVSNEDNSNAWVYYLQGSDPGQIQISEYELTTGNIGPISNLNID PDCSLGAFYDTNGNGRSIIYQDNSQRHIKEYQVESGQTIDIGDTAGAKSNTSLAVTYF EGYAYLYYTDEFMNLYRVIKDKDTSEWGEHKKITPGANPDPSSQLTAIGADGTIHLFY QAQGNKKITHFREKAVGQKL FPSE_07933 MVLYPGGGPGRSTAVNSPRHLTIRNTRRIITAAQLAQSVPLSFE EAPANAIATVQSTVATTASEDVESDAIAVAAAAPGTGDLTDDDKLNVKLKPGQEQIFS YWTPGLKAGKEHTIRVTQTIDGHKPGDTPLKLESSKTFFVDAPQFSLPEDAIHSIYPP QGYRDDARILPHVVLTDPHLPWERIGSPKSEGADGKAGGRPRTKVPWLILCSFTQEEL TLPAKHLNGDESIFPDTSTSTGLIHPVQQSNTMTVSMSIADLLNTKKTATPITKETVT GPVDKTRGDFIFLKREMYLNLFCDFDDKGARQDPPVINIVPYGLLAHVRHVNASGMAL AGVEDSVVFSLVIGNRAGPVNITTPATVSVHLLSIEGVETMPPSFESTIDYVAMCSLY SWNYTVNPPGMVNVYDSFISLGKTLDVLRPPETILKPLLPPEETDPNKTRSKEQLRVG ARMNDGYSIVKHRLQTGEDTVAFFRGPFTPTLVGKTAPIWDRCSNSGQDLQIMDPILG IMDITYSSAWQLGRVLALGDEGFITALGRLRTAIHKPAMKEAEIEAVRAINPTAYRSK QDLLSDLPSLVQGLADIQNLGAAPQHIESSSSPNPADDGNDDDDEGAEDGGSTADSAS ETVDATQFGPGGIKRRWHRRRLPRREIPDLSFFSKRIQSRYPEAAEKAAKRLSGSTGG GVYDETNVPVSTDWMIVMTWLLGKMFLDGVPSHYLITDPSHLPEESLRFFYIDPNWVD ALLDGALSLGNHRGHDQDRVAIKEAFNKYISSTPEHTDFTPQIPTYGFFLRSDLVSMY PDLRVTTLPPPEQLPPGYKDRAPLLRHIIIADGVMFGLLDRAPSTEIFTGLVFTQPPH QQRFAVGDSLDDKHLTINIRRQYTTPAAVQAPDDDRHRTLPPTIENKPLEKDGSKDNL FTWGSEVGLNDLRTMRIPQYAAKQLTTLQGPWPKDWEKPDGPSGGELTPDGHRYFQDD ATSSALFAMQLSDPNYSLTVNFKGDSTAGARLASLLPPGPPGYVGLSSTSAMALSTSS TPLVVASSSPRTLKILKPPVVQRLLASPGSDTSAAAEREDSTDDDEGSYVESDTDDDR FSHPPDYIPPITASSCHGPHVPQIPEIIAASEPSSEDNGDEDTPETDTPSSSSGGAAQ PSLMSTFATSTAVTATTSSHARRALGRPQGEDPGGMPSFDISIYTRGVRSNVIEIPQD PSVQLAQDLIFSIQAKDNNNGDAWKLMELNLTIPFGQPASDSAATALPTSTYSLMSQY TGPGATILRNLRFNVLVSFVTKDEKPALQLRLLPRAKDGFIPIDKVDNISFLLALADI NRWDGKTPRVSQPKSVQPLDAAPFKQLHYKDGVKAQYYPRTESNPPRAQALDISVFYS D FPSE_09871 MVSTINAPDGWTTDPDEMDLDYYWADGVRGKQAAAYRGLDNPTP LMRLSLSDGGDQFLFTSGGKFYLWNMTSDDVSIITSPTSQEDIVKALGAMLTDAGSDD LKMEFVDLKE FPSE_09872 MDRKKDTAKPVFLVMGLSVGGKPLDPIDALSWDSKKIVEWIESV VDRANKQISPKVPKDGGTTKPTDPPVEDPPGPSDPVFPAVKLDSGQITMINKMAEYIY DLMLAGKLYLGTEVSLGPAHHFSRVLRRGGKKAFNAKEEVWVGLKFRNLYDVLGVFLM VVGIIQSTSTKANFFVPLTAMYAKWCSKLGGHADDVKPDKTATERPGPWPTVYQCTWL APKTARTQGGFKFEFCLGTSFGGCDFDRDVPGVDLDWRWRLRSERVDMLREAGAFDAK KYNKAGKLVDPEPVGNTGWGNCGEAYPFATMFR FPSE_09873 MAAQEKNPVELEKASKLANVPHCEQYERMISGMLYDSLIPKLTN ARLAARKAMNEYNTWFPTGDDFNIETITKRRAEMLKSFLGHVADDEVFIEPPFRVDYG PNMSVGKRFYANFNLTVLDSAILTIGDRVMIGPNVMISTATHETEVSSRRANIEYAYP ITIGDDCWIGGGVTILPGVTIGNGCTIGAGSIVTRDIPAWSVAVGSPARVVRKVQELG DFEEDVKQNGTTSG FPSE_09874 MDDAVRISAETFRSIYEMGFKTWTQLDANIKDKSMLPPLSQAIG EICHQHPVLTPNLRLKTDQMGAFATGGCIWSSHGPKCTHAISPFRLPVRIKVAAKKPV SLSISEPTESQKTAFPCFIRDQDYIYVLMLAWAYILSARWIDIMPGTCSLTYTDRQAI SQQDTTGCQGNENLISVQLGHVSPQEARWWAAILAPGQGWQANLEYEQQAFVSPWSIH VQQNFEFLLLHNTDLATSPYPAATFSDAVHYLNRFCARHGVSDQSQAALASVLLLPSM GTLKSLRLPTPSSQMSAPHTTANSVVEDLDNDWIYRSCYIDKLLTLSCNTRGMRTLLF SVFYEPSIECNAVTPWLQGTLAAIKHVAGNNSYLAGRLCMERSTRVAFLWLGCIILNI QSELLREVHFGQIPIDLPSASWSGTTQSFIQQRVSNPLVIDGFISRADECRLLFLSQS ERRSRLPVCQWTPFGKTPVGDVDLEVRVHQQCEDHWLQYEGIEWEYEDGHVEFLPSQT GDSQTIPSKPPIHLSTQELTTDISYEGLERDREAISENATRNIFGWLRVDGYAQGEQD IWKHEWFDMSDSDDDDIKEEETISDTSAQLSPRVESWVLNHSH FPSE_09875 MFSKIFSLSLLLAAAVSAAPASKTVRSIPDKTVTLTGVTHSVNA GLGGLRFDPDNVVAEVGDVVEWHFLPANHTVAQSSFGEPCKPLADGTGFFAGFNFATA QGQAPDVFQIVVEDSKPIWYYCAQQKGNHCQMGMVGVINQNFDNQDFSLRRHKELAAL TGVSVIPPVQQGGSVIPNPNPNGGF FPSE_09876 MIPPADETLAVSRNFFLPPTIGATSTLDAKKIQTTKLLQDAHML QQLEQSYHIDQFLNNPSPIDETTITFADNITQALGDALRSTPYEYPSSDAPAFKRPHR RPKRLSRHNTASSVGGSSVSHKSKRCYLCGTTDTPRWQESGLGSRLFCNVCGLLHAKK LTMRKHLAQSSRGDTMSTTDSAWS FPSE_09877 MAPQKLLRNPSRKPASSSSVSNTQRLARLSGSARKAGYVPACEP CRKRKKKCDRSRPTCIKCTERDLRCEYLDGPAAPASSVQLQRLQRLSQDPSALSELLA TLPYFEALELFDLFRKMPRDTYTAAQSMNTPETSSPRSPPSWTPLAAQCPSPMHYTLV GSLLPPASSPMEQELMVRHPIAYPVLMPIAVNSLPLEALLIPRNPEGLGDRLSLNDTA HSELSDTAKKKVDISDEADDWYKSLLFLEKSHIDLLRQVDVSKWTDLPIPNEFAIRVI ALYLNNDYPVLPLFNVDLFLQDLAQNRPYFCSRLLVSALFAWGCQAYTSMHPKAASWG SLFYLDAQAQWNQLDRREIVTLCNISASQLMTMASVTRGRDELAFVFHRAGVEIGRAM GLLNVDPQSQSAAAWAHGYPDWQKAASYTAWGIFNWSCVFALHYHKIEVEIPPGLLKP GDIDIALAAEDGVSVSLNESTEIFRVMCKLWTIFVVIARIYYGPDVEGFLNQTEALEY VEGTYRQLLTWADELPLRLVRQAGSSHAVFLMHTYYHAIIMDLFRPFLHRPDRASTPL RTFAAERATPQTVYHVSIRQMKRLLLSYRLEFHLEALSVIWQTGVIYVANATMRADYH NKDEMQFFLNLCVAGLEELFMSYKVFGAIAKGVMSMAIRQGSIEQTQVRRVRRRLKET EKLFVVDDSSTDETMAKWMIDLDLAVTNSVEAQGGKLAREFDEMIELHDDDE FPSE_09878 MTHISETRPLLPSEPAIERSISYQSNHTPYDTRALHPCQSKHEE CHVDYSDILRDIIIGFSDGLTVPFALTAGLSSLGSAKVVIIAGLAELFSGMISMGLGA YLAAVTERDAYHSQAEKKEFAVHNRPADERTGVYDVLQKYNVSRSSAAPLVDELCKNP TEWVRFMMDFELKLEEPNVHRAWISAVTMGLSYFIGGLIPMIPYFIMSRVREALLVSI GITVAVLLVFGYVKNYVAIRNHRAGVWGAVQTLVIGMLAAGTSYAIVRGLDSGDV FPSE_09879 MATETPQPAYVGQPANVPGANIFDFIFSNPFQNESDFVPRARRV PKIRDDQPIFTDHANDRPLTFSRVKRDALTLAANLQSLGLDPNTLETLPPTASCTGPE VAPVVLIQLPNCLPFATLFMGTIAAGLTATLASPSLTTTELAWVIKNSRPRVLFTAKA LLDTVEKALESQEDEAYKNSVRVYTVDVARDMYPISPASHAEDGDWRNLVVNTSNSLT TGHSFPPESAATRTAVILWSSGTSGRSKGVLLSHQAINFSLASLWHDADFYKSHQRWL GYVPFYHVFGLTNIFLLAFATGSSVFTMPAFKLDTVLSAIPRRQITYLHMAPPVAVML AKSPVVEPFARRDARGRNAFSSVVGGMTGGAPLGHEVVEKVFRRLGFLVRLGYGMSEA CSITVQRGLREKDMHGYKNDTGRPHWGVELMIAASGEVDSASDDSTTKAAPFGAPGEI LVRSPGLMTAYVPTQGLGSMETPDMSVTAEALTADGWLRTGDVGTLDTEGNLCITDRI KELIKVRAFQVAPAELEAILCSADSVADAGVVGVYDKTEATEWPRAYVVAADQNKSEA DLKTLAHDLKTLVESHAARYKWLVGGIVFVKAIPKSPSGKILRRVIRDGGVQGFEVMP YQRKKRDAKL FPSE_09880 MPLHDVEIESIPTTLQYPSTKPITTRTPDSIPNLADSNYDYDTT TFEQYSIYPSYQRHNASRMHDTCFLSTKANKPLFSLDARLAQLSPRISDLPHQGSYLH HLSTLAKPSRMHHNPDKSEMAKSFQAIEDSLLVIGWIMHAALSLHIMMTTVQNN FPSE_09881 MDTDCPVHEQPPSAQQYLLSDDVQSFAPVPDMIAISQGVPGMNP YGMLPEPHWGQWWSPGDAAFTESANATAPNLLGQEQRFSHGNSILDQDKPEQWGSPST IYSQTLASPSTDTISPNSSPDQSQCRRGSSATQPDQRRQKRNPAKSGPEPTKSTRRVS TRKTPKAKPTSTAASEKPKRGRKVKALTKPPLPRRSKQKDEDDEDEYEEVKFDPEQEY KEHSKKVQERNRIASNKFRVKKRDDAKQLRIEEEIVEQANRKLNDCVSDLTMQVYDLK MRLLQHTDCECTLIKEYIATEAQQYIRELGEGKHANSTPALTSATSLSACVP FPSE_09882 MYGFSSHSSSSSSLAHSALHPPETFPIPKSASTFRSSRKQESED TAEMILTDTNDSRSPSPVPLSSICSRHYIFAALERRDYMLRQPRPIRSADDLDDIQLK RCFEILHACHKASEVDATLISTRETMEYFLDAVFSDWAADGNDVMTMPEMDFVVRGYL RAGNICSQPSEHRTPSACIDCANCQTPVYCVVTYQTQPAQLTACFSTQVPTPYPREPI QNRVSPIQRPDVRFNQDESWVSELRQNMMSSCDKRVCRYNKRLAIFRARVLIRGWARG SVEMGKDIEVQSFVRKEAVRASFSMLVQAGK FPSE_09883 MAKTKVKGAKSKGIRKHSVPLKALPVTLLSGFLGAGKTTLLQHI LRSEHGLRIAVVVNDIGAINVDASLIKQTHRVNKTQEKVISLQNGCICCTLRGDLLEE LVRLSQLQEFDYIIIESSGISEPEQVAETFDSRLAEQMDAMGSIEGAPGLDADMVKVL KQLKEAGGLEKFARLDTTVTVIDAFTMLHDFDTGDLLSSRRDDVTPEDERTVSDLMVD QIEFADVIILNKLDMVDASTKPRLLDLIKKLNHRAKVIESSYGKVDVKQIVNTGMFNL QVAQAGYGWLQDLHDMTVREVNGRNVLTPKPETEEYSVRSCIYTRHRPFHPRRLWALL YDKFILQLENPEEDEEDNDEEGEEDDDLEMVDYADAQDPSADVDRETEDSSSSRGKRS APSSPRSSHSTIESAPSPEPASKKQRLAEAEMDKTEDYFTPPNEVILETKRNHPIFAR LFRSKGEFLLATRPHRAGDWSQAGAMLTMTGGRPWFCTLPAEDYMTGDAEVDGLVQHD IEKGGEWGDRRQELVFIGENLDHKALEKVLDECLLTDAEFKQWEEVMRDEKKSVEDKR EALEDLFDDGFPDWSEDGHEDHEGHDHSPSGGLRSIKQHLQEVD FPSE_09884 MSPHRNPPKLDIQPSDATWKEDVNQLQMSIKLCQALIDKTKPGE EITASVIEKMVLEALFSQYQTIRDPKNRVIGHVLFSNLLVTVGTQTQLGKMDSIGSWI RIEMYFSMI FPSE_09885 MAPVYKIAIIQFEPKSIALEENFAKAESHLRSAAEKGCDIALLP EFHLTSWEPEHPEFVSASKKSADYLSKYQDLARELNINIVPGTICEVHNVPNSKDEEL RNMAYFLAAGTGEICSAYQKKNLWHPERPHLTSSTHTPHIAFDTPLKHANGKPVRAGM LICWDLAFPEAFKALVNDGADIILIPSYWFMSDAGDEGGDLNPDSERLFLNCALTARA FENTAAVAFCNAGGLSCVNMPILGPLGRIEVGEEKMEIVDIDLDVLRIAESQYKIRMD MQSEGWHYKYGMNGGAKA FPSE_09886 MKSLLSLALLPLALIARTSAAPNCRCMPSDACWPSNNAWASLNK TVDGTLIKTVPIGSPCHDPTYDADACAALQSAWMLPQTHIESSSSVMQQFFANQSCDP FLAQSRPCLVGNYPSYAVKVSNARQVAAAVRFANDNNIRLVIRNTAHDYFGRSTGAAS LAIWTHHLKTKEVVEWADNLYRGPAFKLGAGIQGAEAVEFANANGLTGVPGECPTVGL AGFTLGGGHSPLSTSFGLGADNTLEFEVVTAAGRIVRASAKENSDLYWALSGGGAGNF AIVTSMTLRAHKTTTIGGATLTLGAGTDKDVYYAALTKFHELLPAMVDLGPTVVYLMT AAGLSIKPVTLANSTGDYVRDKVLAPFTDYLTKNGLKFSVSYTTLGFRDHYELYNGPL PNGHIEASQFQYGGRLIPRSVLENDNVAFNKVIRSILSNGLVMAGSSGTFKAPKGVSN AVLPAWRKAIMSLQMGTLWDVTRWDDMLADQKKITEVYMPQIIAVTPGSGTYMNEADF NQPNWKETFYGTNYDRLLTIKKKWDPKSVLYNWRGVNSEVWNVAKDGRMCRA FPSE_09887 MKLLHTLLAGLAALPTALTLDDKAAAVLSTLPTCAASLGAAKCL VTNVLTSTCELDDVKCTCMNAALQQQVEICVLSNCTVVEALSTKNTTTTLCGAPVRNG QAPFIYLNDTMGIISGVFCILRYLTKIVYKVPLGLDDLFMLITMLISIACITINTYGL GHSGFGRDIWTLTPTQITSFGRWFYVMAILYFADQAFLKLTMIFFFLRIFPSKNVRKI LWTTMGVTITIGITYIFLAIFQCRPVSYFWTKWDLEHEGKCASVNGITWSNGAVNIAM DFVILAIPISQLKKMNMGWGKKLLVGSMFSVGIFVTIMSILRLYATVIAGMSHTNNAS WEYLAMSKWSTIEINVGIWCACMPTLRIMFSRLFPQLLGTSKRYLKYGSGKSGKTSDT ENNTRKCENCDGKLRPERVVSVARVDRDSQNRSAVRPDGITCDRTYDVQYGYDDETYL VHMKQMDNKSANSYPRSDGDSV FPSE_09888 MSYPGATPPPPGAEPNMENPADVLWTINYLTQALTLIFTTGFVA TRFYAKYKVMGGGMTKDDVATYVSFVLMVGYCVTALIAGQYGMGHNQWEVSPKDLTSF LKCGYAATIFYAPMALGVKLSLLFITIRVFGTVHRKTTIGIYIFIGMLVAYYVSGLFI KIFICKPISSYWMGDVDKCLDQNAIITADAIISVVSDLAILFLPTPLTWSLQLSMRKR LRVIGILCAGGVATGFSIYRLAMILSARNSTNQTLVFTKVILSGNAEAGIGLICACLP SISALIVRRNGYASRGYQSSGPADRSRHGEIMLTRSYQVETSKKGQHDPFHLGNDEAG LIASIQANSKSDCSSRARTPEST FPSE_09889 MGDIFDAGSNSKLFTPLDIANGKIHLKHRIIHAPLTRNRGTPLS PESTPENPNRVWIPNDLIAEYYSQRATDGGLIISEGLPPSLEGNGMPGVPGIFLSEQV QGWKKVVDAVHAKGGYIYAQLWHSGRANIPQLTGTPIVAPSATPWDDPNETFAYPPPH TSTPVKLADYPPVEMTVEKIKSTIGDYVNAAKNALEAGFDGVEVHGGNGYLPEQFLSS NINKRTDHYGGSEEKRCNFVLELMDELAKAVGQDNLAIRLTPFGLFNQARGEKRVETW GHLCRELKSQFPQLSYVSFVEPRFEQIFSEAEKQQFLGSWGLPTVDLSLFRNIFSGTP FFSAGGFNDVNSWGVVESGQCDGMLYGRYFISNPDLVERLRNGWPLQPYDRSTFYGPF EDSTTGYTDYPFYKGE FPSE_09890 MDPLSIIASIAGIATAGAQLSSTLFRVHKTVRHAPREIQSVAIE MAGLSVTLEHLHDIFKTGQLYTKPSFLEAVRHVIKNIEATQKEIFSMVNENTIIVRFK WLKAAKYLSEIDKQKVTLTLQITILSAAILVKSSKNESSSVQEKVDNRFRLQAESVIQ TGQASFQREKLDVPKQNNDEPNSAMIKHATLYSPPDPHGHLGDDTQDHDDDYCEYKNN RGRAAGYDPLAGRVAQFGQNFHLHGDAATFLYNLVFMTEVVQYGKAPQVPGSSDATRG GLQDESPYYSGDDLSIDSEDLDNADGSIYHRDRSRISPGNVHRRPQEPARVVNQLLLA WTSLSQSEIEKDVADEEDAADIKDATDDKDDEDNKDVTDEKPTAARSFCSRNAYVESD DESENEGVKSSQGTSNWPHTESNSRPGSPNSTGYRNEIPKRDAYRRGTVPPLAQPYFY NHNQPGVTAPYYPHMAPNHFSHQQLNHPRYDRWGYPYAARAVQPPTPTPPTGRRQQTT EQIPTQEPPSKASSKPKFQKPHAVVLPYADAADSEIGAMTPKLDASTCQKMSIVRQDE VAVWNSDEYNSKNSIPGKSIMGALIGDKTARNPHGLDLAHTLMQGQDMKLIYIRGNEL GETWFINEQPVFLQFFHCGYIPQFYPTKESEITAMKQDYVAVGEEWASFEALNQLGLP SKGRDEGRSMVKELAITTLQLRSMRQRRIYTPTFYNSKVTFRLKHCGAIPEQSVVGEY PDDLLHVIKTQAEVKLGGEQPDILKFLVEDEEKKEEVAKSPKVETQNTQPRIAITPPP TPQETSSPDLYASDRSSTASSRSRLSRFVHRYRYGRKESQLSPPLQRYSSKSSDDDTV REEFEDDDKRPVTPADSGVGSSLG FPSE_09891 MSSFLSRNEFPVEGRTVLITGGSRGLGLAAACQLAAKGANVAIG ARDPDVLKEALAEVEKSARSSTQRFFSLTADVTKAAECARVISEVTAWNEDTPPDIVW CCSGSAHPTLFVDTPVEQLQTMMDSNYFSSAYMAHATLNAWLRPALDGVAKKNDEQKS LLARHIIFTGSFVSLYSFAGFTPYSPSKAAIRSLSDSLSQEMNLYAGAHPNEPRVRIH TVFPATMPTKSLDDENRVKTDVTKALEEGDQILKPDECARRAIAGLESGEELIATSTI IRLVMTTVMGGAIRGGFWTGLINTMLSWVVMIVMIFIRWDMDVKVRNWGKKHGASGKA S FPSE_09892 MHLDVLMLVEGRGKKPDRGQGPVNLIIKHQPSAKSLADLKNTCC CHGDFPITKAQNNSSKNSRQPCGYLDCFNCFCSIGDVTSLIHPDEASIGQLIVSLALG GTCNDLQGFYEPSVSAIVR FPSE_09893 MYNVMPNDNLYEIARPCDYFDMMCGTSTGGFVCATRTANTEPAL LRSYRSDNEEGCLATIWEAGRATSAAPTFFPPIKFGSPPAEYVDGAIGHNNPIQLLMR EVESVWGSSVQFGCVLSIGTGVSEPKRLGSKDHKVLIACKTLATSAENIARDFQNDRG GKLQREGKYFRFNVARGLQGIGLEEWQLSDKMDAATRSYLEDVKTNIKACCDRLKNLN TDLDSPVSPITAPFHHLVSTNSMSHGTFDIPHNSSLYFTDRSCSMQVIEKQFLTRHPH HPQVVVLSGLGGIGKAHIALHYFECHRSSCSSAIFVQCNSEQEAIGAYVHFAGLVVDE DLRATPTSNYDEVAKRLGFSALLIGHHNHLLDEAHRRVVKAVASRGLSSSHPNQHRSF SISASCIARMLASNSSSIQTK FPSE_09894 MTVTHILLVSFKPSASQATVDSICNRIIAFKETCLDPDGKPYVL ATSGGINNNPEHSNKNMTHGFIIEFRNDADRVYFLNVDVAHKEFVEHIDPNNNDFLTL DFTDGVYGPSLT FPSE_09895 MILQSLFGQLRSAVSPASVEAPKCQQEADNWLSSESQVQLTRKV PLPGLSRGFGHVHSTEDGSVCLLIVGTALINAALTVNNLVFSGRYDLTKTYFILSGIA GGNPRVTTLGAVAFARFAVQVDTQMEWDAREIPSSWQSGYVPMGAETPNSFPLGVHGS EVYELNDNLRQISMDLAIHATLEDSEKASETRAKYAGSPGGIYRAATLPPTIFKGDVL SSNTFWHGFYLSESMDNTTNVYTRGKAKYAMTAQEDTAILAGLLRAALQNKVDFSRVL LMRAAANFDREPTTDVLPQLPWIMDSGGLRPALRNLYKTGVLIIGGILSQWSSNFEYG IKPDNYIGDIFGSLGGKPDFGPDSIGDSGSACSTNKPLA FPSE_09896 MENIDIAIIGTGPAGLVALKNLREQGFNAVAFERRESVGGLWAY SDNTDYTTALDDTTVNVSKFVFQITRHFRLVDKCNDTSKLTRHILIFTSTSDLESQYT GFCETLQILNGTFIVQTPMASLYMKNDLDAKRVMIVGIGNTGCDIALSLCKHASKTYL AYRRGRFVVSRYKNSGVPTDSQIPWPVLRLKYLMDYYLPTLSKWATDKFMTNKMINDC AKHEPDDGKIFGRQRLRMAEKRIRGEWRLIPCPSMAHRNPAVQEDIFPAFHSKDIIPM QGFVDFAGEDTVLLADCTTVEVDVVIFATGYRHDFSIMPELEMNGAAGMGLQTVKMTE KEQNLEDKEQEPSLPRLYQMIFPPKRATSIAFLSWLAPQEAVWCVSELASMAVAQAWV ADFALKQNHNLPTSYQPSSILPTEKEMEAEVDSYHTWWRKEWKTDHSIMEGYVRGHSF YRFLHDMAGTGLYSYLDHPLSFKGWWLWWNDRNLWRWLSRGPLNSYSWRLFETDPDDI PGCGRKAWVGARTAVQETYEELERFRDTKAKNE FPSE_09897 MDGLSIVTACFAFIEIADKTFTVISDFVRDCKDARNDLAALNQE LLSLKRTLNLLKDLVTDGNESDLTNNTKRDIRDIIQNSLGIASTLENELRGQQGRLLA VHWATRGKRKVATYQVILETNRRALSLAVETITLATAKNIKQDTTEILDDTAHIRGGI TNIISRIQTLEAIVTSQETGDPHTFMLTRYLNDLSSVAGSVCDPLSRPTSPTPSEKSE RSNDSSASAVSHNTDVEESSQPGSTPSGLPTDKDVPLVSESEASGETSTYLANDPIVL PDSFPASVTEACSLENYAFQLRQSRFLSKHLQIEQETYPTGLSSDGSKLVWLQPGKKH DVIYDTESKRMSNVISMGTVDRFRQSIHKTEMRVLNTDASLILFKTSKSCWKVYDRVT GKTIKQDFFNSLATWTQQIVPLSKDCGLLLLGHYRKGYTLNRIRRSSQGEKGLAWNYK VLPSSGDSILHHVTLSADSQNVTGLSGDARQLVAWTWDIPSEWFADEHIVVFPYIGSP VTVDLHQEDLKNHERTLGLTSTRGTVIIIKGGVGTRTSYHAGFRNTDLSATTFNIDSG AEISSHYFSVSRIHGDDNKDSFFKDARISADGKLLRTRIARTPGIGKRSTSEFKRQAW IGVETVILRVEGLEVVHRFKEVWNANFTEPVTLSIFAPKFDVLARLAWKIRGEDKKQR VWLEVYDLKETLEKDEAASKIESVA FPSE_09898 MATYANAPRKIDNSIPFEFDDEDMEDIKGIDPDADAHIEPEGTL TPMESEIFKRIFDDISHARLPQNKKSGRPQEAEPTQTSTTTNLERQRLGDTLVEKARA SDASDDFLKRYPLSLRKAAQHALGKFESAPKRPKLHNLAELDKAEKAQMREWAKYEDL RGKERERVMNLMKKCTSDVELWDLMEKEVFSLPVELGIVEVPKTEKRGRKPKNAPAKK EKKVATKEEKPIMDVHGYLYSDFLTYGLTRLDSAFPKPSLLAFNILPRIKELGLSSYV LGVSSPLFIKVAQIHWERYGDAVSACEALDEMKPLGIFPTETEKINEVEKLVKDIEDN LHSCTWGAQGPFVMAMMQAGPYDVTLTARLGRLKSIIAKKHFYNERDALNLAQDEEVK AQHVEAAR FPSE_09899 MPPKRGGDAPMSEFERKRLANIEANRKVLDDVAVIAKKVAPTPP KRTTPSRPKTRTPVKRDTPKPTRQSSRLAGIDADNDTLKRKLEVEAEVVAQEAKAKKL RVNGDLSLDDISVEGKKWSAGVDGIRGLVRGAQPGVRTFTEDDVKETTDKGLKELRER IGDLQLYEHWAPNEIKITPQRVYALGFHPIEEKPLIFAGDKEGNMGIFDASQTAPEVD DEDEDAVVPDPVISAFKTHSRTITSFIFPYNDANSVYTSSYDSSIRKLDLNKGTSVQV WAPSDPDDELPLSALDMADSKPNMLYFSTLDGSVGQYDTRTRDAELWSLSAQKVGGFS IHPLQPHLLATASLDRTLKIWDMRKITGKGDLRHPALLGEDESRLSVSHASWSAGGHI ATSSYDDTIKIYDFSEASSWKTGQDITVEPTHKVHHNNQTGRWVTILKPQWQRRPQDG IQKFVIGNMNRFVDVFAADGSQLGQLDGDGITAVPAVAHFHPSQNWVAGATSSGKLCF WQ FPSE_09900 MATQADDATASQANIGDAGLREHVHDELDKIQRQSSTATVFPEP LRESDSEDSDDEDNGPAQAPPMFMNMNQSIYGLIAQASNRVDFNDRFDGMSSDEEGES SQNTHTESIARTSILQPAGKGKDKVHRRRKLSEHKLLRSLPALPKLRSRHKSQHSTLP APEEVADEADDEHGDGGLLPAPALTLTRQDTQDRLAPVMSRMLEAKADLSIRPSFDLD RLSSDVSRSSDGDEVSALSRKLKEIFEFDEYEQVIEEYPCWLLQSVLLQGYMYITSKH ICFYAYLPKKTHEAVKSGYLSKSGKRNPSYARFWFRLKGDVLTYYKTATDVYFPHGQI DLRYGISASIVDTDKEALHFVVETHHRTYKFKADSAPSAKEWVKSLQRVIFRSHNDGD SVKISLPIKNVMDIEDTQMISFADTCKIRVIDNDETYAIDEYFFSFFSFGKEAINVLK ILIEDSSGARSAERVITGDDHDSSQPSSGHASKAASKRAPSKLSTGKLPDTVKATLAP MSPLSPRSPSQLSPRASMDAPRSSFDGFRRFGKKSLDITSSVGDHSPRRSFSGRRSTS HQHRQGTTTPKQAHDSEDSFLQSSVENPSISTLSPSSYDEPSASQILQGSDVFHSPMM RRSASASRKRDQSGKRTPRSSSAHGDRHHGLPHAATTGAIHKMGDEQADSQRPVTPTL NSITKIGAYPLQRANAFAEYLSRTSQRMGSMFATESLGYVEKVHGMWKGEHRHYDEPQ ELRTDDDGEDIDSEADDKMQTTIDRFRAHFALPESEKLVATYFGAIFKVLPLYGKFYI SDRSFCFRSLYPGTRTKLILPLKDIENVHKEKGFRYGYYGLTVVIRGHEELFFEFRKP GLRDDCAVTLHQLMETNRFLEQSGILDQEEQDDEEAAAAMAERDELQEARQDEFVDHE LRLPRTTSGVSNAPTILFDNPNSSGLAFKPQKSMKITCLTIGSRGDIQPYIALCKGLL AEGHKPRIATHGEFQEWVESHGIEFARVEGDPGELMRLCIENGTFTWAFLREANSTFR GWLDDLLDSAYTACEGSDLLIESPSAMAGIHIAEKLEIPYFRAFTMPWTRTRAYPHAF IMPEHKMGGAFNYMTYVMFDNIFWKATAYQVNRWRNKTLGLPSTSLEKMQPNKVPFLY NFSPSVVAPPLDFSDWIRVTGYWFLNEGGGDWKPPQELQDFIAKARADGKKIVYVGFG SIIVKDPAKMTQEVIDAVLKADVRCILSKGWSDRISPKDDPSKPPPDEPVIPAEIHVI TSAPHDWLFSQIDAAAHHGGSGTTGASLRAGIPTIIRPFFGDQFFFSTRVEDLGVGVC VRKWGTNSFGRALWEVTRNERMIVKARVLGEQIRSESGVDNAIQCIYRDLEYAKSLIK RRAGKNNAEHGLAEDDDDTEESWTFVGRDEPDPDAVTKKLSDGLAGLGAAGDRPLPLG SQAPTAA FPSE_09901 MDSLSTKVERVFYSTSTSSSPSLLLRIMDQSQEIAFYYRQPPGN IQATWNDIYNPFLNLYPYPHSSPVDTGKQDEGWFTAASNVPIFRLEPACTEIRLRKSD HLQFYFWTALERTNRLKRKDIWFYGDMCDRDPCHAELEQMFMHLWWSQAVNLALRRFP NSDVVLPLTAKYYRKIYGDKAMEWPVINNETEEVGVMEEEAPGVKKEESS FPSE_09999 MAQDMPPKGGYEPVQYKRNLPARGLRPGILLLGMGAVMGYGWYK LIGGMREMNELGREKMWARINLIPVLQAEEDRDQVRRYLADQKREKELLGDNAKVYNS NRFVRPTFAVTPPPTTN FPSE_10000 MVSYNGFDSTTDVPDLSGKVILVTGGTSGLGRSAITTLAAHNPS HIYFTGRSSSAAESLIKDISPISATFLECDLTSIANMHAAAKKFKHDRLDLFIANAGV MAVDGLTKDGLELQFGVNHVGNATLFMALLPIMLKTAEKPNSDVRFVSLTSLGYRGHP KNGIEFDTLHSKQEDMSFGTWGRYGQSKLANIVFAKEIQRRYPKITSVVVHPGVIATG LVTELSFWKRMLVYVTNPRMMTVEQGGLNTVWAATSGDVKKGDEKVAFYEPVGKGNAG DEMCFSGELGKKLWEWTEKINSTKM FPSE_10001 MYDKLVPLGSRLHYPIIITKLLKKPGDSIKKQESIFEYKFNWRR RVNEDDWQDETTYTEFDSPAEGKLKQWRIREGQQVAADSPCLIVEEACGHEVQVQGLC SLCGADMTEINWASDNLDTDRAMINMSHDQTVLRVSESVATKAEHENQKRLLRQRKLS LVVDLDQTIIHACIEPTIGEWQRDPSNPNHDAVKDVKSFQLNDDGPRGVTSGCTYYIK LRPGLMEFLEEVSKMYELHVYTMGTRAYALNIAKIVDPDKKLFGNRVISRDENGSITS KSLQRLFPVSTDMVVIIDDRADVWPMNRPNLIKVVPYDFFKGIGDINSSFLPKRQDTL PILKAKPVDNGPKLAPKSSPMDELVKMSSGDDAASLKIQAEEQEKTLEKQIKERPLLH MQEELDKEDDQQETSTADSSAIHHRNVLVDDDEELIALQDHLTDLHTAFYETYDRRRT ERKDKEEGTHPPPHSKSKRRPSVDDGVDLSMVPDAGDILDELKSNVLSGLVIVLSGLV PLGVRVEESEIGLQAQSYGAQVLDTVSKRVTHLVVSSARPRTKKVQQAAKIASIRIVN QNWLIDCLSQWRRLDERPYYLDILDADRERGIDDITEVTSEAEEAEDAQTGQELKDFD WGEAEDELAEFMDDDDDDDDEGSVAATVTESDVETVDGNNKQTLKRKADAEESDDDGT ASIGESVLAKKQRLARNRGASSLRSIQTPNGDDTEDGSLPTPVPTGDEAAEDRDKGQT VNPDDSADFDDDELERELLAELMAG FPSE_10002 MDTVDFDLNDALKHYMSDPASIATPEADGALFDCENDPEALTLP VVNSVLNPIVDAVADNPDAIMRASNMDSLQFLLKLAPISLHPSPDSPTSLGHNSELEN PRYTAHLPTHALSKIFDLIMSGLSAEADSVHSDIDSPDEQDSVPHHKRLLEIYGFLLQ WTIAAVETKAAEKTTTAPVARGRGKPKKGSAKDKDATWDSATQLQAALEIMCKVLKLK LSKIFLTTSERDTFIGLLTRPVYMVLESEQRVKTTAIRMHCFKVLCIAVKHHGHAYAA QINIIQNLTYFEHLSEPMAEFLHILAETYDYPQLADEVLREISNKEFNSNDTRGPKSV SSFIAKLSELAPRLVIKQMTMLAKQLDSESYTLRCALIEVCGNMVAYLSKQDERSENH KSQLNAFFDVLEERFLDINPYCRCRTLQVYMKLCDLAQKFPKRRQKAAELACRSLEDK SSNVRRNAIKLLGTFIKTHPFTVMHGAQLSRKEWQARLDKVEEELDALRPPPGVPGFG SDQANTTVDNELLDEATQLASPQKPTQMTEEDKAAAIQKAQEEAATGEAIEKLTLTRR YYNEAIKFIEVIDEATTIICQLLGSRNKSEVIEAIDFFEVGDAYNIEQNKVGIRRMLR LIWTKGNSDEGKGVQTHLIECYKRLFFEAPDSFSPNDAANYIARNMISLTFGATPAEL TSLEQLLATMMKGGMIPEVVVNKLWQVYGVQKREISRTQRRGAIIVLGMLATANPEIV VGEMETMLRTGLGAYGRSDLQLAKFTCIALRRINPTGRQAKDSTVKFSRLPNDHAVSV RLAAITEVQSDSKEWYGVAEQAISAIYAVSKHPDTLCSDLIRRKARQVFGQSRSPPSS QPNSRPGSRDETKPVPMEDQIQSQLQSQGEKHKKRDNAIALSQLLFIVGHVAIKQIVH LELCELDFKRRKQEKEKATPAKNDKDKEDADELDLIGGTTEDDFTEAMAHIRERELLY GPSSLLAVFGPLVSDICANNTTYADKGLQAAATLCLAKLMCVSAEYCETNLPLLITIM ERSPNATVRSNAVIALGDMAVCFNHLIDENTDFLYRRLADDDASVKRTCLMTLTFLIL AGQVKVKGQLGEMAKCLEDDDRRIADLARMFFTELSTKDNAVYNHFVDMFSLLSAGDN MDEDSFRRIIKFLLGFVEKDKHAKQLADKLAARLGRCETERQWNDVAYALGILQHKNE EITKLVSEGYRVVHSSA FPSE_10003 MTTAVQQHVEESFDIHEDLRTEDTEMMAEEERTEEAAPMEDDEG VREFQQYQNHHMQQRHPHQSSHHQPQPEPQEEEEDEPEEEESEEEAVDRSVQADMDKL QSDFPGFRNRYRLIKRIGEGTFSTVYKAEDIMYDHYDNSWDYEEDSSKWTPPPSSTES PVRRTRRKARYVAIKKIYVTSSPSRILNELELLHDLRQCPSVCPLITAFRETDQVVAI LPYFRHGDFRTYFRDLTVPEISVYLRELFTALKSVHDHKILHRDIKPTNFLYDPGTQR GVLVDFGLAEREGSDAKPCLCHESRDVRKHRQANSVWAQNAATPQAGYPKSDTRSSRR ANRAGTRGFRAPEVLFKCTEQSTAIDIWSAGVILLTILSKRFPFFNSADDVEAMIEIA TIFGSKRMKAAGLLHGCVFETSIPTVGQGGFSMEKIIMWSTCRGEDKPLTPDEKMAIG FLEWCMELDPSRRITAAEALEHEFLQIGELEAEQRAYNEEALAAEY FPSE_10004 MPTRLSKTRKHRGHVSAGKGRVGKHRKHPGGRGLAGGQHHHRTN MDKYHPGYFGKVGMRYFHKQQNHFWKPIINLDKLWSLVPQETRDAYVKGEKKDTVPVL DLLPLGYSKVLGKGRLPEIPLVVRARWVSRLAEEKIKQAGGVVELVA FPSE_10005 MAENDMENITSEMGKLDFHHPYTPYDVQEQFMKAVYDVLESGNG QVGILESPTGTGKSLSLICASLTWLRNHKSNQFEASIQESAEAYKDEPSWLVEQLLRR KREELVSRWEEREKRLETLRLKEKVQEERARKRRRVEDSLLSGRSRVVEDEDAEWLLD DPDDQHDTPQDSLSGLSKETREVLAGIGLGGARKPEEEDDLVEEPIKIYYTSRTHSQL SQFITELRRPSFPPSLPTSLSKQEETKTEAVKLLPLSSRQRLCINPAVSRLGSVQAIN DRCSELQQPKSGQKCPFVPKEDLLSQTHQFRDSALATLPDIEDLHQLGKSLAVCPYYA SRTALPGAEIITLPYPLLLQKSARDALGVKLEGSIVIVDEAHNIMDAVANVHAAEIKL SDLRRGRGMLGVYVKRFGKKLKGVNRVNVGRVARVIDGLSEWMDGALKFKQEHGIVDP NDLTRSKGIDQINMFELIQYIQDSKLAFKIESYISHVESEEAGTKTPRSSTPVLHSLV SFLIALTNLSSEGRIFYQKIKGTPDIQLSYLLLSPTHAFSSIASSARAVILAGGTMSP FDDYKDHLFPSLEPDKVTTLSCGHVIPPENLCVWTLASPRPGAPPFEFSFQKRGDTEM ITQLGLAILNLCSLVPDGVVIFFPSYGYLDEVVAVWQKSQGASTQSVWDRLGTRKALF KETRGASSEEVLQEYSDAIQGEKSNGKGALLLSVVGGKMSEGINFSDRLGRCVLVIGL PYPNIASPDWKAKIEYIETTTRNNLVAQGTTQEQAVSKAKQTARDFYENACMRAVNQS IGRAIRHRGDYAAIVLVDRRYGTDRIRGKLPGWIRGGLVGDSHEKGLGGLMGAVGGFF RGKKSQR FPSE_10006 MTDTTNKTVPVRTMPPAVDNDDHDHDHSDVESLKAAALFHKALR MGRVEEKGIQPIPVEERTVTRFYNIFTIWASINSNILGRYLVSIPVLLNLATLTGFMV IIFVVGGQCLSAVSSGHLSPDVGIVIIGILSLFISFCGFKVLHYYETYAFIPAIIAIT IATGCGGSQLSKQATPATPATASAVLSFGMIVASYMIPWAAIASDLTTYFDPKVPSWR VFAYSYLGLVTPTILLMTLGAAIAGALPNVPEWSAAYGETAVGGVLAAMLSSAGGFGK FVVVILSLTLLGNTGGTMYAITLNFQTLIPGLIKIPRYAFAVVVTIIVIPTALRAQRD FFVNLENFVALIGYWSASFIGIVTVEHLVFRKGRYESYDHAIWNVASELPLGIAAIAA GVICYALVVPCMAQAWWTGPIAKTTGDIGFEIAFVMSSAFYVPFRYLEKRLSGR FPSE_10007 MSVPAFKSPGLLMTIMSATALLIAVLYRGYRARRFYRDLPGPPH SWLLGHISILKNIALSMPPNSMPQLYYTEIAHRYNLEGIFYLDLWPIGPGLVIISDPK LIEQAHIPRPMVPHPMTNTFLAPMVGDGNIATKGGTEWKKLHNTMSPAFSRAHAHGMV GVMVDESRLFRSKLDKLSATGQVFSMEELNLADMREMVNLAEEEGDPRIAYNPFIQGP RRLRRYRIRQSLEASLLGVIYKRLEALVSEGIVPSRQTPTSLLDLMLREHVEFAIREN RQSRKGFVRFPRGEEKEFLSQILLLGGHTTTTTILSIRQEHIQQLGPNPQATLIDNPD MLSKLPYTEAVIKEALRLYPVGSSLRLGPPGATVYHQGRHLPIDNNLVIMTNAHGIHY DPNIYSQPTDFQPERWLSQNKAHPGPGYFRPFGGDGRWCPGQNIAMCMLKVIVVMTIG DYVFECADLKPNRKPRTLHTDVDVVFGDIAFQQLGLEGRPRDGMMMTVRKRA FPSE_10008 MAHSIWEIPLQTTNFNIVVALLGGFISLFGLVSYLLKENYYLSE ALISLLAGVAFGPNGANFIRPEDYASCSVLSREACEADLSAITLNFSRLVLGVQLVLA GVQLPSKYLVKEWKSISLLLGPGMTSMWLATSVLVWALAGQPPFLHALAIGSCVTPTD PVLSAVIVKGKFADHNIPQDLQYLITAESGANDGLGYPFLFLALYLIKFTGAGATSGG AGDAMGLWFGMTWGYTIILSIIYGAVVGWVGKEMLHFAEKRNYVDRESFLVFAIALAL FVLGTCGMVGTDDVLACFIAGNVFTWDDWFRLETKDDSLQPTIDMLLNVTIFLWYGAY IPWSDFNNNNVISIGRLVALGICVLLLRRLPWVFAMHKWIRQIEEVKQAVFVGFFGPI GVSAIFYLFITVEFIETHLSDEDGRPRSDVKDFAEQTRIIVWFLAVCSIIVHGLSIPV GKLGYFVPRTLSQAVSDSVINAPDDSLRRRIPFVGKYFGRNNDTPRRPSGPIVITGGR SLRAEPHGPTHAGDETPPVRREIRFGDEV FPSE_10009 MYTSTIALAIAPLLLLARADVSLDRDDIPRACDAICNPIVDLTR ACDTDLRGDRDREEDRLEAQCVCTNDSFNVARVAALCAGCIHQNAPRDNDDDDDDDRR DDTKDIDDILYTCGFSSTTYVASAASAAVSGVSVDATRPTDASQLTTTIIAGATGTRG SSDPSNTGSSGGNGGSGNSNNNNNDASATNSAASPDETNAAAAMAPVAFVGAAVGAAM LLV FPSE_10010 MSNNYHFRIATADEAPHLQNLIHAAFRFTGASIEWIGSPELAET FTMDMGVITERINSPENVFFILSDEPNGPAIGCVNVFKKGPDYGRIALLAVDPTIQRS GLGKIVMNKAERHLKEEFGVKRIGLNALQTRKGLIAWYERQGFVKTGDVTRIPIKGSD DEIVLIEFEKTV FPSE_10011 MGAALLAAPASLPSSDLGIDPGLLLFENYSPYLEFLPADYSGFD EATWDFGNIANNNNTTAATNDNEVQNFSGDGLFDNIMIPDLNVLDTQSSLDVAGDGFL SQEQPLLTVNSTTPIEHPATASSSFSTPHPPPTMRTKSPTSTSAIPGTSTFSLHPSPS SSSSSSSSSRKRKSSPEEEDSAVTLKRQRNTLAARKYRQKRIDRITELEEALAAKANE CDDWKLKFMRKEAENDTLREMLAKK FPSE_10012 MPEYRHARSGSLNVANGGSQPNPPPSSASSAAGGGGNGGAAPRF DGPRSPPNTSHVPCKFFRQGACQAGNACPFSHDLSNAAENVCKYFAKGNCKFGPKCAN IHILPDGRRINYGKNGVTIGNTSALAGRSNPTSASNNQSSTSALTTSLYRADVPSYGS AYPYDEQDQHPHSLGRQPSLENGLPTIDMSYTGSNYGSPRDEESLRFGMGLSPVNNKG LSVLDAPLPASFDSNGISNAARFPAGPWPSSVPNQFGIESPTPSLSNAKDSRTSETLK LLHTSAFGSSDHLLAPVDKSPPNSHQANGEEYFGRRAMHSSRYTKPRMLSSSMPKTSV DRDWEPGFAFGDDDDNLPENYVPENLQDLLTPVEKARRGSMRADGDGDGLSKYGSPIG TSPSRWGPMFQRQKEEEETSRSLRGVSAFGHVGSPLRNSVLSQEMGGTNGFNRPSSLR SGSDSMSMLSQQFQRSRLDDNTISSSPHLHPSTARAGTTASAISPISPIGKERERIER HVSTGSISSSMNGRFTTPINEDDEMFHMEGMEDDGDSAVKSPKRATSGLAAMNVWGSY AAVAGKTSTNGTKGVPVSGR FPSE_10013 MFRSAVLRSLRVAARPAAVRSFAAPRVASVAVPKIQSFQAVRFY SAGGALNKDEVEGRIMSLLQGFDKVNDVSNIKSSAHFANDLGLDSLDTVEVVMAIEEE FSIEIPDKDADSIHSVNQAVEYILNQPDAA FPSE_10014 MKLALVAYALSASACLLPSDSVPHSERSYGLSARQARPEPDFPI GTGDRFNKGKVVPKGLSTSDRNLKSILTPTEVNSALEGLAKQFKEVELIHPPHKTYEG FKTVGAKIGKNPKFFIISGAHARERGGPDNVVYWLSDLLHARKAGKGLKYGKTTYTAA EVRKALDGGIVILPNINPDGVKHDQKTNSCWRKNRNPKSSKGNPDAVGIDINRNYDFL FNYKKAFSDSIDLSSVASEDPTSEVFHGTGPNSEPETKNVVWTMDKFKSLSWFVDLHS FGGYMLYAWGDDDAQTTNKAESFANKKYDGRRGVLGAAETPKTKYKEYITKSDLDGQL KLGNRMKKVMENAGTSKYTVQEAVGLYPTSGASTDYALARYYGKACGKSKLQSYTIEF GEESGSAACPFYPDQKQYHMWMKQVASGLTEVALKAADTTPEVKKCPY FPSE_10015 MAHATMLARRQLASSSLSTRATSIVGLVQQRGYATPHGPPPANF RTSKPVRWTWDNESTLDRMGKFFLMTEMARGMYVLLEQYFRPPYTIYYPFEKGPISPR FRGEHALRRYPSGEERCIACKLCEAICPAQAITIEAEERADGSRRTTKYDIDMTKCIY CGFCQESCPVDAIVESPNAEYATETREELLYNKEKLLSNGDKWEPELAAAIRADSPYR FPSE_10016 MMEARSLTALNNLAGNPPQYPINPAQERQDPVTLYISRVPGTRD VILSPFKPQLKIVTAEDVANCLYYVHYEVPSLEPTGGALGYPDEDRRSSSDESSSIRN IKRKPVPGGARPLTPPTDHLPVPDQDPTRKRGLSVNSTFDPRQQSSTRYDHEQDEQSP VLPPRPTNVPRIQTPEPSISRGSGSVTRKPVGPRTSSSSAPGSENQHPLPQLPGPYPA AADLERMLGTNRTPPNRNNHNRSPSPKKHYEGKQPFKLALIRRDPSTGNQWNVGHISS FQTEAPPPDQDDANPLFASVPPVAQSPNAGNPPINVQIETLGYGKFRGMPARRSFDAG PGMDMTQPKDGGVVLSRQIAMGYAKSWTTNWREMLQDGGRHGRQSSVGSVDSVEASSP VEPAISMQPGPGMRPRGYIFTSPWNGRCEFRTGAAGRSLRCYHILHDDRSNNPLAELN QGPVQGGGGGMVMSELRFNLPTSELFKSPEGREEVKTQLQGHFNKLLGREDRNDAYDD GMVSPFDINLGKEKAGGGNRGKRAKLGKLIIYPDGLKMLDLLVSANMGLWWQAWEKSF FPSE_10017 METNGETEKPPSEPTSPVIQPWDKEDRDIENKEIVLITGANTGI GLETVKALFHSSKAYHVILGSRKASNGEAAVAQLESEFPDTKSSVDVVQIDLGNNHEI PLVFETVKSKFGRVDVLVNNAGANFDSFEENDGADPAGARALFNKTYDNNVSGTQVFT ATFIPLLLESSSPRIIFLTSGLSTLHGAHKSLLSKITESIPKGWPKAGVPTAIAYRCS KTALNMVMLSWYQLLKDDGVRVWSVSPGFLATGLGGNPELLKSAGAGDPATGGELVLK VIEGEREEDVGTVVCQHGVQEW FPSE_10018 MSFKKVLLVGANGTLGSVLLEGLVSSKSFDVSVAKRESSKSTPA HAESISIVTIPDDFAIDALVPALKGQDVVIASFPLTNVVDQHLRLAEASAKAGVKRFI PADFGSCDAQSEQAKKLLKLYRDKDEVRNKAIELAKEYPGFSWTSIVCGHFFDYGIRD GLLHTDLTTNTAVILDKGDVPASASTLSRVAESLVAVLKHPDSTKNRLLYVQSFCKTQ LEVVVALQKATGVEWKKEFVDSDDFLKEQTDKMAVKYDPHALEKIVFVLGALDAEWPK RGNAFAMKELGLEDEDLDEVITSIVKQWRKEREGSRRSDGMGLVI FPSE_10019 MLIPIILNFIILATALPYSPPYQYIGCISTSTKVSFSPSFLQAP FTAPQCLQSCAKAATLIAIGVGSCFCDAGGASASFELIDEQLCNVLCIEGDESGGRCG GEGVLSLYQLSECDGGDCLAKNGTVPPVVQKPSPCTSCNGTGTPVPLPLPTQNQQQQA TGVICPPEGCTTVIPLPVSTPSGNSTGKTCPSGGCSANKQAGSQAGSHGGSSQSPSGS SGNGSNEAPRLASESPRLYAPSILSAITAVIFGLCLL FPSE_10020 MAWTCSGDTNSALIENMWKNGLITDARVKEAFLKVDRAHYAPAM PYEDSPQPIGYSATISAPHMHASAVEHVLSYLLPSSASPSPRILDVGSGSGYLTHVMA ELVGEKGLVVGLEHIRQLKELGENNMAKSDQGRRFLETGKVRFRYGDGRKGWVEEPRE GEQHEGTGWDVIHVGASAVEIHPELIEQLKAPGCMFIPVDDDKMGYNQHVWRIEKDGN GEVTKKKLFGVRYVPLTEAPRE FPSE_10021 MSGRYERVNAQDEEDFHNNNNNNNRISPVPHSPPPSFHSRAPSP QRQVDNTLADAFDDDDDSDDEVDDRQRLMRQNSTPLFDTTNATSTPAQPAVPAPTPSA SRSTRVVGGGSGSDGVFANLSARPERGGNSDPEKDELPPSYEQAAADAAPPYWETTIL APGMGGPDEVYIDGMPVGSFFSFVWNGMISTSFQLVGFLLTYLLHSTHAAKNGSRAGL GITLIQYGFYMKGASEDEPPAMSGPDGYAAPPDPNSHNFKQGDVTDEDLGNITGSEWL GYVLMVVGWFLLIKSVAEFLRARRHEQLVLQSPDRGLGVPIIAEGESNERVV FPSE_10022 MSRSLSQKIYSDVFARWPKQALRPDHQLQDVLGKAVTERFQNYK PSMEREELLKARALQFLAQDRYNDRFKLKGRLLEPKSQPTYFADLIREIDEAPNRSWL ERLGKRLSGMIRFQ FPSE_10023 MASTAQPAEKKKQPSPLRSVIAGSTAGAIEIAITYPAEFAKTRS QLNRRLGEGQKLPWPPFGSQWYAGCTTLIIGNAAKAGIRFVAFDQYKALLVDENGKLS GPRTVLAGFGAGVTESLLAVTPTESIKTTLIDDRKSAKPRLRGFLHAVPIIARERGLR GFFQGFAPTTARQAANSATRFTAYNFFKQMAESYTAPGEKLGVVGTFAIGGLAGLITV YVTQPLDTIKTRMQSIEARTTYGNSFKCATSIFKQEGVLTFWSGALPRLARLIVSGGL VFTAYEQIQVWFTKIDPEEKYI FPSE_11204 MTPQKVYSLDELRTIRLKKGDTEIMRIFLSPGMAFQAPHYNCHW TPHILTTKQKKSHDLDMMQANIYNAFADYIPTHGIPVQPIYGTKSILWFPEVFRNAAL SQCAYPFPRFEGNDTNPSEILPFLGKEGVDNSELNTRLLQAGMYLTEIENFGIDVKSL AATLGDALAMIIFKCGLLYRGKLAFIVLSSESPGCFTIGLSVYVAQLFDKQRPGDARL ERKDMTRMAEAAEAQLPSPRVSPLLWNIFKTAFITRGKKYHSLVRDMTPEEMMAFFEG AYNS FPSE_11205 MAVLKNMATRKGDTAEAQFAHILDDASKLYSSSDSDSFKKLADF LNPPLHNVKDLVSQIEKQNGQFAQFREKRQSVFSALAACLDPVEQIGQIVSGAAQDTF APAEGIFGAVAYLIGAARDVSSAYDTIVELFELLKDFTSRLDVYVQYRMGSALRNKVV TILAQLFEVFVLAAKEIRRGRFKAYFRNLVGKGTPVQEALDNLKALNIGEERQVLADT YGGVSKLNVATENMQSKLDEMNRTMMQIRSEGRERTVAAHQDKLKEILDPSPFPVDFF NMFNKSRAESTGDWILTDGGFKAWLDKMTNFLWITGASGTGKSFLTTRLISWGSDRIP RLAYFYFRDNNPETRSVLQALRDVAYQLSESDPFYAKQLLRGVQNIDEIRTIPSAYRK LFVQPFQEEAQPKTIYIFLDGIDEADSEGVQELLEQLGSSDESVRRPKHVTIQVALIG RTYMTETVTYTLDPDNRGSQVITTLHVTPDRNAEDVKLYIEDSVWHLRNLSRTPPDFR QKIIDAMIKQADGLFILAKFMIEDISRKRHPQSILTSLQSYPKEINGIIAQTLKNLSE TLYEDQTRDLNEMLQWAACAEEVLSLEQLEAVLIMRFGDPPFHLEETLRGQYACFFEL EREDGLTTDDLIREHEKQQRIKNGDLGSGRRSSSASRPGSGRSGSPKSPTIFGRQPGT PDLARNLSPLRRASPTHGLSPVRGSPGRGVSPAANSDLFDPMSDMDFRSSKTNTWITF FHSSIKGFFSTENSSKYGTGIGFYPLVSRIHILKTCLSIFTNKAGFEKYRLSPSGRES IKQYSAWYWQEHLAVLDPSTVPAPDKKVLGEYVYKMLTDDNIILDWTLMYEKNDEGLE VLTDANMKGLKKWIADPDVLASLSPEAREWASKAVKESPGIFKPIGDLYARAWLSEDF KKYIPTLFCFKIVQSIAFMQDGYSWSDCNTHWTEIPVDKRIVRASEWAKIPETAHWHR RCGSTYLTNGMHNKALGHYDRALALDNNSVETRGRIAYCLSQDGRYKEALDQALKCEI IERESIDSGKLDEQALKSCKWRLYKDHILIACCYEELNKVDQAIDYFLKSMVSAKDVG LDRKECKEYFEPELGYLELVATENRHEAVIKFLKQLSEQVTDAEQNRTRLVDFLLEFH NKPLVIDWIPKAASKVGATEFVYDRLLFTIDVATVSRLPLKALYLRLSLGATYTYSRD IEAALDLFEGITQLGYRPRGNVPTRQAYALAFQKLASLYKERILHAGLKTAEAEEWLK ELEKIKHKQNRHQNHDMPIEMVGSDVNIAAIYMILFNRLLERSSSTDRDLRKLINDSL DILSDDDVSNDEYALDNLLGLFIAADDIENAMALSQSMRKVDPKILASTPEDSPILQR IDPKLPEIQTMRQNCAQCLDLIPPSDEYYICEFCMETYDAKCMAVIKGDGNKTSDHRD DLICRSDHKWFAVPPLRMVLHRGEILLRSGKVASFGEWKAGLEQKWGRQIKK FPSE_11206 MAQTQQGRLAALVPDDLDNDTDSSLGEDILSSTTSISSSILDYR RENGRTYHAYKDGKYVLPNDEAENERLDLQHNLFLLTLDDKLGLAPPNEPDSKAKHIL DIGTGTGIWAIDYADEHPEAQVVGVDLSPIQPAFVPPNLTFMIEDIEDEWNYSQSFDY IHSRFMSSALASWTDFLTKCYKYVSALTYQCRADLCRNLAPGGYMEIQEADLNLQSND GTLKPDNVMLKSLRLLTEASVKFGRPYQDIPPLADIMKEVGFVDVVVKQFKWPINGWP KDKKDKLLGEWSLINMASGLEAFTMAPLTRAHGWTPEETMITNKLNKVAIFGASGNFG APITTALAKAGFDVTIVTRAESKSTFPEEIPVIRTEYTYDALIMALSGQDAAVCAVGP AGILSQGTMIDAAEAAGVKRFIVADFGWGPNFTSFPEFDAVRAQRAVGFEHARNHAAA NPNFTWTSIAIGNPIDWALKRFPIMGFDIKNQSAIIYDQGKEYFTGTTLQGIGKSVVG VLQNPAETANRTVKVVSIKTCQNELLEAFQNKTGSQWEMQRRTTRELIEGARDKKENG VGGWILDLAVAQLYDDGKARCLVAPSWEESDSGLLGVNEETAESLVNSAVASV FPSE_11033 MTDLAFRNATKMKNYNFFEGTSTGCDTIIRMLNEDDKELKDEMT KNWRNHKLEELNFVGTLPWLVRGLLYSGLVLALFSVVTAGVQSMRLHRLSAHPDGLEK IRHSLGRRRRSDNKALPSWLHVYAWEFSLAFLVLAVLCMVVGLTILIWAAAEHGPWKP EAAEWWDGNAKASHSFCTTGSFTDTWNLPDGGTLHMHSGASSGVPNPITRRSVEKSRT RVYRTMDEESYPFFAYGTLRALPLLAHILTGDSNNTHIVTRIIAPSRVHGYSRWAIRN NCSAAAVKDSHGSMDGLLLYLSKDQRERLESLRGPLFDTTHVMVSLNVGMNLGADMWV FRGAGEMLSNKPWSFDDFCHDVLPHCIPMGPTDTEPSRRRA FPSE_11034 MAPLQPFRAEHMGSLLRPQALLDVREQIREKGLSPENAGLETVE NEAVKDVVKMQQELGFKAVTSGEFTRTRFWGLMWDEFEGSTQLQDAEASLFRLYHPDV VSLIEKDRQVMPGDSVIAGSKLSHNPDKSVSNLHELKLVQKFVPKEQWGSIKLTMITP AWFHMRYKQGKAYAPEAYKNDEEYFADVAKVYQAELDMLYKAGLRNVQFDDPGMAYFC SQKFRDGWAADSDNIGTVDDLLDAYIKLYNDSLSKIPSDMHTGIHLCRGNFIGGRHFA EGKYDIIAEKLFRDLNVNTFYLEYDTERSGGFEPLEFLPKNKSVVVGVISTKLPQLED KEEMKKRVLSAADWVAKGSGESQKEALQRVAVSPQCGFSTHESGYPLNLDEEKKKLAL VREIADEIWGEA FPSE_11035 MQDASSSETHGLLISNSSPYDKWSRTSMTTTPDKESKARKAYGA IDHNVDARRNSLVDAAEDEAIIPKGALDPVYEAKARILNRAVSEIGMGRYQWELFIVI GFGWASDNLWPIVTSLILTPVANEFGVSNPPFLPLAQNIGLLAGAMFWGFGCDVFGRK WAFNITLGLTAVWGLISASAPNFAAIAIFDALWSFGVGGNLPVDSAIFLEFLPASHQY LLTILSIDWAIAQVIGTLIAWPLLGNYTCQQDTVCRRKDNMGWRYFTITIGGLTLLMF LARFLLFKIYESPKYLMSKGRDEEAVKVMHTIAKKNGKTSTLTLEDLKACEPEGYVAQ TDASAALKRYLEKVDMSHIKALFATRKLGLSTGLIMSVWALIGLGYPLYNAFIPYIQA TKGADFGDGSTYITYRNSLIIAVLGVPGALLGGWLVELPKLGRKGTLSASTVLTGVFL YCSTTATTSGALLGWQCAFNFFSNIMYAVLYSFTPELFPTPHRGTGNALCASCNRIFG IMAPIIAIFANLETSAPVYTSGALFIAAGLLVLLMPFESRGKAAL FPSE_11036 MASPKIGWIGLGSMGLPMATNLQKHLSSTGAPNLLYFNRTISRG DSLKGIGAQPASSARDLVDNSDIIFMSLSDDSALDSTLNAVLDSEASGKLAGKLIVDT STVHPDSSAKAETRIQEKGGQFIASPVFGASPVAAQGKLLWIIAGPSGAVDKITPYVE GVMGRAVIRVGEDIRASGKMKTAGNFITAGFMEIIAEAHVLAEKSGLGSGNLEALIEQ QYGPLPFSMSQRLTTGAYMPARGDRPWSDLNLAIKDVGHGIALAEQSGTKLEVAEVAI KHLKDAKAFSDSEQRPLDSSSMYGILRKEAGLPFETELVKDRDAKDEK FPSE_11037 MPRRRPPGNPKPVQRRTRSGCQTCRTRKVKCDEAKPACRNCITR GVECNNSIQLKWESEFAARGASFGREGVWAKEDRPRQRNPVAHSPTLLVLPSIEPRHF INTYHSDFTGNPNLEKSLVREAMQLREEAACGGSTALTHRSPSASPSLKPSISSFPTL NTHQRNLFEYYLLRLCPLTTPSSQLSSPFASLVAPLFTNSGQDLLVQSVLAFAARHRS IKDPSWERSAMRMKGNALTGLLKKVRSPDVTADIILDPQVPAIMMFLCLYEIIDNGDY RWVFHLRASQNFMRKRRQLALPSSDNTGFGSLAVFAERYFAFQDVISRTACGNPPIFG LEYWQRPDHREDIDAWMGCSPAMASVIFKITDLARTRNKDQSSQKEYHLQVEDLEKEL ASVSSNITAAETMDENVRRSVDLKKTSVQLYFHCLLRDGDPSTPQVARLVTEVLQSIH SLIQRGSAAGLSFPLFVAAVQLDPLRDDETFFHEILGTYLSGRQMVLEVLDAMVDSSL ANIERTKAVILKVWRMRDLHTQSESPSVGMGDVTDWSYFVSPFTQNLNLA FPSE_11038 MYGGFITPPDDAGAHFGVLFWHKDGFSTACGHGTIALGYWAVAK KLVEVPENGNVDVVIDVPSGRVVAKMTIVNGRPVYGDFINVKSYQIAKDLSVTVPSRN IDVQVNLAFGGAVYAHINAAQLGLSVSPSQHIDFIKIGREIKAALGTRAHYGDYDLYG VMFYIEEGSGDSGELRQRNVTVFADGQIDRSPCGSGTASRIAVLLAEGRLSAGNGKLL HRSIIDTLFEADIVSEEASPVEFPACIPRVRGTANLVGRMSFFIDPDDTVFPGFLLR FPSE_11039 MPQRSQTFKQGTRPPMRHLIHPSQASANLSKAKRTFRFWTSPII QKFRRLPVLAANSLSQKSIIKSFIMAEPSFMALVGRILPIAFCVLLFFAITVHQVYPS DDPYRCRALLGESKRNGSWLHTPDVTGARKPFSNWQPDGCMLHHYNAAEIKECMGDRH AVFSGDSTTRQIFWGMARLLNRKAANKGRHELSPHRSYNTTFAGVRLIHIWNPYWNTV RHKKYPLRPQLELMSDNKHDPSSEEKESGQPGLIMLGAGAWYAGNYYANESEVQFEKA LDNITQILQLGDLPTFGTAQMDPIEGIGNEVFIAPVAPPFYAQMPAARTGPKGVHRGE VEAIDAYTYSQEKDHNLRLLRAFPQLSHHQPEAIVDKTGTGFHVIDSVAEIKANILLN LRCNAKMDRRSGYPYTRTCCTDYGGRSWVQIVILAIIAMYSIACVFFEGFTFVSSLTV KGVDMKVGIFAVALIYCYAADRTHLFSKGMKEFVSNEFYLLSGICAIFGGLTIRKVQF RAPRPPPAIVATEPESEPTPAPVTPVVQDAGILARDQTEEWKGWMQAAILVYHWTGAS TSLPIYIFIRLLVAAYLFQTGYGHTIYFLSKKDFSFRRIASVLLRLNILSCALPYVMG TDYMFYYFAPLVSFWFLVVYATMAVCSSFNDSFKVVGIKILASFIFFTLVLNVTPLMK WLFAILELVFRIKWDLNEWEFRVTLDGAIVFVGMLAGVVHQRVERDAFWYTNYKFAAI PSLIAVFGFGYFCSSMETKAIYVLLHPVISIFPVLGFIGLRNAITPFRNRYSTAAAWL GKCSLETFILQFHVFLAGDTRGVLLLDIFKGDGSLINDRWRALAVIVPVFLWISHLVA EASGQIVKLIMGESKPKPTFQDIEEDDDENQLKIVEPVWESMPMLNNVHLDRAKDFCK TVSAGLHVRVGAILGVMWLLNWLY FPSE_11040 MPPSETGTVIITGANGSCALSFVSHLITHYPHLTLLATVRDASP SDPNTARLNEIISTSSRASIEPLDLSSLSKVRTFVEKTAKRVERGEIPAIKAIVCNAF TMSLKEQVYTEDGFERTFQVNHLSHYLLVLKLVGSMSQDGRIVMLGSNTHYTDRPHPL FSMRVGIPEDVETLVKPKKDTVGKDYDHGFHRYGMSKLANIMFMHDLNAKLAKNPKLS GITATAMDPGGMVDSRAHKIQKPIIRFAFSLIVLLLPITKYFTDELRSSAQSGRELVE LAVGDEYQGEKGYFMGARREKEDAICMDAEKRDILWKACWDWAGIKKEETALE FPSE_11041 MMERIKYSESQLETYYNRINFQDSDRKFTIGNLSTEAQIDFLFS LTKRQLLTVPFENLTLHYSWHRTVDVNADHLFTKIVTERRGGYCMENNSFFHAVLVSL GFEVYMVAARVFSPDAKKYGGITHCLNVVAIGDKSYAVDVGFGGRTPTIPMEILDGKV FQRTDSGQMRLRHDTIPEYLTKQKVWIYEFRSNESGEWIPQWCFIDHEVVPDDIRVMN MAPSKSPSSFFTFKVIAVQVVSEKEDFSEMETRDLKNVGGNIDGSVFIDGNVMKYRKA GVVKMEKTFETEDERLEALKKYFGIELTEENKRAIRGTASAIP FPSE_11042 MTPPTSNQLELAPLSEVLPLVDNYFRNYNSIIPLFEETVFMRIL LDFFAQTTKRSIVSWAAINVVLAINYRVLEGRSSNDAALNRCLQNVRSVMSELMVQGK DLMGLQVLLGVVILFLGSSDFQLAIVLTGSVVKLAQSLRLNSKEALEGLTNAEKDHRT HLFWLSYIYDRQISQRSQCPYSHLDPDTDMDLPESYLENNLGVMTSSTDSVRFNYLRA KAQFAYIQGKVYDLLYSQKSTTLTQDQKYNMILRIEEMLAEWVQEIPVELHTAEGIRE RLSPAATDLMMNLWFHHAECRIKIHSIFTFEDAWVNRVRLYLSPAVIDMSNDIDAEVR RGDIPPLPSGWDECVGYGRVCLELLLKKRPTEYILWLHNCGSFSCLILLIVNLIEHPD HAFTTNDRQLLDNCFMVFQEMSRHLPNEPYGAMLAMARELDGKAIEQVNRKRIFKEGL CQVDDFMMSPSTAWEILDNVDFQ FPSE_11043 MATRQRTATTVVVEKDLPKVTLEATSQPQFPDIKTIKDAIPAHC FQPSLITSYYYVVRDFAMVGSLVWAALTYIPGIEDQYLRVAAWMAYGFLQGLFCTGIW ILGHECGHGAFSTHSKLNNVTGWFLHSFLMVPYFSWKYSHHRHHRFTGHMDLDMAFVP RTSPKPSLSFRIAGMDVAELIEDTPIAQAVKLIFHQLFGWQVYTFFNASSGKGSKQWE PKSGLASWFRVSHFEPTSAVFRPAEAPFILISDIGLALTGTALYFASKEVGVSTVLYL YLVPYLWVHHWLVAITYLHHHHTELPHYTAEGWTYVKGALATVDREFGFIGKHLFHGI IEKHVIHHLFPKIPFYKADEATEAIKPIIGDHYCHDDRSFLGQLWTIFGSLKYVEHDP AVPGAMRWAKE FPSE_11044 MNGNGNRDYGDEHDREYREMVEIDVQRQHQQQHEQRQQLHHGQQ QQQQQEYFQQDLRSPYGPPEQLQQHQQPYTPYSPYQSEFEDMQQGRSITEQGRPSRPS PAHLEDYSPAPEWPPHIANSPGQYFHDTPGGSRSGTPSLRSGQETPARLHYHAGNSSV DWRPSSLMVPSPSVRTLVNKSSNVTDQTFSRDKQNQSHLSLAALLPNGSPQNSSDNTL AKEYIVDTSAIETIHKRDDADIWKGWRRWVFKLVPLLTFTNTGLYMAYLALRIACVIW AQDAAGQTYEGAWVFIAVEIAVAIPSLMHNMWTMMSMKKRQRPKLRVTGNDVPTVDAF ITCCGEDDDLVMDTVRAACDLDYPRDRYRVIILDDGKSAGLEDQCNKLAMTYPNLYYM ARVKIPGQPHHFKAGNLNYGLDEVHKLPGGAGQFMAALDADMIPERDWLRAIIPHMLI DPKMALACPPQLFYNTPPSDPLAQSLDFFVHVIEPIKDALGVAWCTGSGYVARREALD QIGNFPLGSLAEDVATSTLMLGKGWKTAFIHEPLQFGTVPEDYGGHLKQRTRWAIGTV DTSFKLNFCLYGDKVRQMTAAQRFSGFLYASLSLYTVLLTISMFAIPVILIMQKPLVA YATDEQLRWLIRACFASVISNRLCEFALFIPAGYHTGQRGSRYQLWMSPYIALCIIRS FVLPTWLGGQTQAFKPTGSLGSALNERDAKLRKNMFRRLWGILVNYMALFHLFFVYLT LVAVVLTSFRSFVTTDTVRDTLTALLTHAFWPPLTFLFICSSLWTPISYAIDPPAMPD REDLLNRDPKTQVAHPTPQSKKIAFGGQAAWFELEYTVTTAYTCLVFVASFIF FPSE_11045 MTIRFLVNFGLLALPIAITLGVLIGLQSQREATGGPPLFKPDPK PTGPKKKTGITTEQHCQKSFGIHPKTKGQEYTLNPNQWGWNEGDDGGLCLYVDMNNNE TYATNQTAPRWSVVWEYPQGPETAPVHAFPNIKVDGDVFPAKLSSIDKIEVDFEWTYA IGNKSAKGAKQATKTDLTDLKDHFLNANVAMDMFMDSDKTKAQDSVDASHEIMVWFAA IGPATQPLGFNVDGSNPLAKKTLDGTEFKLYYDINQAKQKVLTWYADTPAEKFDGDLW PLIDEILSMDNSDYPSASDYIGYMSWGTEAYSVNTTVTFDVPSLSINVGKKA FPSE_11046 MYESVEPPPLAIEILTDPKEKKDALKLIVDSVAQQRQSASRALI FHPISLSIFTACLAIVHYGANIGNDISIMLIIYPGIILTYLVAIRYFTSAYIHIAEET NWLDWMKEDTIIGARFGDEIIGAVILRLDRTEKKAIIRGWTTRARYRGRGLGSDVLSE TVKIAKGMLGKDCTLEFAPDHANSYMPLYSIFNGPFLAREAKARKTLSAALKDSDKGG DGSR FPSE_11047 MAQEARPVAKTSGGNNAFHNFHNDFAHIADPNERRRLALAEIDK APFGWYHVRACIVAGVGFFTDSYDIFCVSMLTIMLGIVYYPEKGKLPTSSDNAIKLST SAGTVIGQLGFGMLADIVGRKRMYGLELIVIIFATLAQALTAGSPSTSLVGLIIFWRV IMGVGIGGDYPLSSIITSEFATTKWRGAMMAAVFAMQGIGQLVAALVMMFLTLGFKSS LEQAADTKSCTGDCQIAVDKMWRTLVGFGAVPACIALYYRLTIPETPRYTFDVARDVE QADEDVKAYINGKSEGNTDEVSRAQNLQSAKTNLEVPKASWRDFIQHYSKWKNASLLL GTAGSWFCLDVAFYGLSLNNGTILKVIGYSTKDATNVYEFLHNTAVGNIIIVLAGAVP GYWVSVATIDTLGRKTIQLGGFIILTILFIVMGFAYNHIPSNGLLAIYVLAQFFFNFG PNTTTFIVPGEVFPTRYRSTSHGISAASGKIGSIIGQGAISILRTHGATDKNEAPWMD HVLEIYALFMLLGVFTTLLIPETARKTLEELSGEDDYANHDHALESETQVSHDKAGRT SV FPSE_11048 MEWTKATYNDQYEKWVPWIEDVYLRWFTKDNKASYATKQNLDKT KVTGIEQVDTLQDGVHNLATSQVGQGGLLQPVGDLASKEGVNRAERQGKDDDGGYVNK NTPGAGAMNSTANAVVGGGKGAAQGLGDVGKGVGNTVGGLLGGAKKE FPSE_11049 MAFKIELDTLGQLPGLLSIYTQISLLYPVSDPSQYPTIVSTLEQ GLKRFSEAFPFVAGQVKAEGISEGNTGTSFIIPFEDVPRLVVKDLRDDPSAPTIEGMR KAGYPMAMFDENIIAPRKTLPIGPGTGPNDPKPVILLQLNFIEGGLILTVNGQHGAMD MVGQDAMIRLLSKACRNDPFTEEEKTAMNLDRTTVVPFLENYKIGPEVDHQIVKPDVA GGDAVLTPVSASWAFFTFSPKAMSELKDAATKTLDASTKFVSTDDALSAFIWKSASRV RLERIDGSAPTEFCRAVDARPPMGVSNNYPGLLQNMTYHNSTVGEIANEPLGATASRL RSELDPARMRQRTRGLATYLHNNPDKSNVSLTADADPSTSIMLSSWAKVGCWEYDFGF GLGKPETVRRPIFEPVESLMYFMPKKPDGEFCAALSLRDEDMDRLKADKEWTKYAQYV G FPSE_11050 MKVVLVSGGVISGVGKGIIASSAGLLLKTLGLRVTAIKTDPYIN TDAGLLNPLEHGECFVLDDGGETDLDLGNYERYLGIQLSRDSNITTGKIYKQVIEKER RGDYLGKTVQVVPHITDAIQDWIERVAKIPVDASGEAPDVCIIELGGTIGDLESGPFV EALSQLRHRLGRDNFLSISVSYVPIINGEEKTKPTQHAIRQVRSAGLIPDVIACRCER ELDQATITKIARSCQVEDEQVIGVRNMDTIYQVPLLLEQEGLLKLLQKGLALDKCQVT PPMAQKGQALWDLWKKTVVPDRHLEPVNIILVGKYVSLDDSYLSVHKALEHSAMRCNR KLNLVSIDSEHLEPEMQEKDPRKFHEAWAHVVRAQGIIVPGGFGTRGIQGMVDVAKWA RERKLPYLGICLGMQTAVIEYARNVMGLKGATSEEFSATAEHRVVIFMPEGSKEQMGG TMRLGSRTSHFKPGTEWSKLRGLYGGVDVVEERHRHRYEVNPDYIEDLEKAGLSLTSM DDQGVRVETIELKDHPFFVGLQAHPEYKSKTLAPAPSLLGLVAASSGCLDEIIEAAHK KQSSSNGVSDVTNF FPSE_11051 MTRPELYIKATKNAQDTIAYGTKVVGGVTKGPKVDTHLDLPLFG SMEKAVEELKPDVSAVFIRGSLAADAIIEAIKAEVPLIVSVAEHVPVHDMLRVHEVLR TQSKSRLVGPNCPGIIAPEQCRVGIMPYKQYTRGCVGIVSKSGTLSYEAVGATSRAGL GQSLVVGMGGDMIPGTSLVDALKLFFEHDETKGMIVIGEIGGEAELEAADLIERYRRN TPKPKPIIAMVAGQTAPEHRIMGHAGAMRRDGVDPTAREKAAALQNAGAVVVPHPGVM GNIMEKLLASYESGNFEYMGKRKEAEPIDIEARMMRNRIDIWGRYAREVESNQALDTV PPDPNGENRGSGLLNMR FPSE_11052 MFKLASITTIALAAIANAMPQGIGTGSNACPPGCKNKDVFESKT PDPHQTYFYQQMTNNHQCGKNGGCEISKSEVEGQTVSLSASISGDGWISAGFEVSEYS ESGEVQTCYGEPGDTICVWWRTANTMYTVDVQYYKCCQKNGDLTPQVITSPNSNNQGS SAICGRNEQCHTKGHSYWNNMERSNGGVTVSGGPQEWKFGGDLTGLVPDSDEIPEAKA P FPSE_11053 MYILEHHSQNLLRKYGGPIPNSFSSTQMKSVLKGLESFKTLIGP RPSVVTKSPSEARMLAAEVLPEDGLKSYLKGDLQPRKTVYFQEELDLYKHWHLTMTID RKACRPVIKIRDAKPDNKTRGAPEGELFQKNYLFDLSDTDSEFMAETIQKIASELGLV SATRQGFQHGLIALRKIFMEAQAIFLEVDVLRRRNSKIVFANSRFCFDDDAKKKARNV YLSLWEPLMEDEAELYAETFGLVYVKMDGDIGTVVNGAGLAMATNDAIRVHGGRSANF LDAGGQATNDTMKRAFKIVMDDRRVKTIFVNIYGGITRCDMIAESIIDAVYSLSHQSV PMVVRLQGTNSEEGLKLLEDANLGIHVEADFDKATKKAVELAESIPTPPNADRPKAIT REVLHEDTKRHTIEVRKKMKRQLKKKAKRDEKAERARK FPSE_11054 MSLKRRLGFASTRSFTLTSIFAGALFLFSTLQFPYIDIDRVFCA DVPWAVPGECYWFKRPGLMRNGLFLHLVTFLPAGALVCFQFIPVLQRPNTSLLKAIIA IRQGKIREHRAWMLRGWFYNLAQEALVTTNWDLNDLPGITAALRIGYAVGGWVAFAIH SVGIEVHIRKTSPQYKFKTI FPSE_11055 MTFSLPTKATGLREINPSLLDLRTDSEIIAQLQTFQPITSEKNV WAIWDNGFEHMYPTHQQTVLNWVRRLGPSWTVRLVDFKEGSPNNIYNYVGKEWFPECF INKTMDGKHAPQHAADLARLPLVYTYGGFYLDVSNMLHTHLDTLFWDQLSSPDSPYEM GVWIITGQIRNKWGDFGNYLFAARKNCEFIKNWHNGYKELWKGRTNVDGFNKHPLIQE IGLAEGMRDPEHEDQIHWMTDYIAQMVLGDRTRNLVDVETGWNGREFWENKVFMVEGI QNGILGALKTNLCGQKQIDLFTTRLNEPDLAKRAKAEEFVIEMLEKSHMYKVYHNSMA GLPALGDLIKKKENEDVTFRSGTFGELYRYGTVHWEATRKVERLEPQRNGDDLIHGTP TRTATTFNH FPSE_11056 MSVHPVLLTTLWSANPSSGTSTGRKCDGYQISPAPSTPPTCLVP TYTSHAEAECFQFFFEKTLVNFQTFFPDDLWSTKILQVAHHQDCIKNGLMALSHFHRL YLTHQQWQKVDSVPALKHYNFAIRELLAPSPDVQGHVLVLSCLIFICIELLQGKTQSA IGLFKYGCSMIQQHRKDSPQCKTKDGPGSDIEETLSLAEGCFKRIAVQFLTLMADNDP ALWFLFYNTFGSNITVEKNTFTCLADAREALLDILVEQASPGLKGKSARDIMAHSAKV NRWGELFDALLLRRTNSGSPPSDADSRTIALLQVHRKYSEINVAKYIYGQGDPCFWDR FTAEFSEIIDYATTAAGLDLDWTKRNWNTDSSPKAYFHIDLGFTSVLISVIARCRDPF VRRRAIAVMLTDRVQEGAFNGSQSARVAARVMELEETRSGKEVKCSSDIPHEARVRQI RVHLKGGGDKKMRLVYKFSQGCFEEERSMTE FPSE_11057 MSSANIKQNIEATTKGYVSSFADAKAENNPQLVNRNTSSECLRS MLPSILGGGGTMNNEAYEVIFTKGLQAGGMESANITDLVIDVDARKAAVTAVADMVFL GEKSSMDFSWFLQFNEDGTKIVKIVEFVDSLTFAELQQKMAGAAKQGE FPSE_04439 MANTESVIIVGGGIVGSSLAYFLSKSPAPRSITVIDRSFTSLLG STGIAPGFVGQFNESEVLTKLAIDSVSEYVKIPGGFQNVGGLEIAFKDEGIDRLKTRC KDAEKLGLPAAMMSIEEAHKLAPDLVNQSATGSALFFSSDGTANAVKITTWYQDEARK NGVNFVEADVQKLLVSQGRISGVSVQEKNIAKHLNANKVILTTGIWAQGLTSDLDFPV PVIPVGHPYMHGQTRDPLPHKLPFVRWPEHHVYARDHGTNFGIGSYDHAPISQKPAES ATGEWLEWFNEPLKFATGLLPPATAEELKDGKHFNGVFSMTPDNMPLAGKVASVDGLF MGVAVWVTHAAGTAKFLVDVMEGKEVDKKTTRALDPERFRGQEFAELEERSLLGYNSI YKTVKSD FPSE_04440 MAKLDTAQSNSDYPVPREYEESLTLHKDWTPEEEKKAKRKLDRI IMPLLTLGFFCLQLDRGNIANALTDNFFQDVGITQNQFNVGQQMLSLGIVLFEIPSNM ILYRVGPGKWLTLQLFLFGTVSTFQAFQNNYASFVVTRFLLGCTESGFIPGGLWTLST WYTRKETAKRVMFFYFGNQFGQASSKLLAYGILHMRGVGGKSGWFWLFALMGGFTVIC GAVLGCLLPDSFKNPRSAFLPNINIFNERELHILQTRVLLDDPMKGKKKKKIGLPAFK KAFSNWRLWVHAVITLSNNAPQRGFDTYSPSIVKSFGFKGLTSNALASVGLFLQIPVS WCFSYVSDRFNMRPETVMAGLSLHLLGYVFNRIFTELSLRGVSYFGVVWTQTFGTFSH PLNIAWMSLACDDSEERALAMAMVIMFANIAGIAGAQIFRSDDAPKYRRGFSINIAIL AVGLALAAVRFVDDKFWRREKVAEIQQQLAQENESTGDEKSDGQRETTPTLGVEKASP AALNPLAKPT FPSE_04441 METINLLCDGFAFAIPESTAKRESPVLSKAIENLPEAEMRVIPV TEFDLDAVNCFVEFLNTGQYEVNQSLFPSVAEAGIGKHPQTVPFTRDFLIRHVTMSKL GQHFQVHKLSEHARDQIEMILRRQWSDGAFLGATNIALTHTNDHELHKLLWSQARSHL HSLTATSEFDPATFLRSFHSRLRTRTEPEPEPVSASSIAVDSVEVAKLRERISLLEKQ VSDTCIERDEHQKRASNLCVERDELEFKFSELSSKRDDSRHLEETTQRFKSQISILQQ QVSELSKERDGLCTSIEAESRSRREAENDTKEAQEQTRHALSSTQTALARFEQATKRL AEANERYNASEADHTAKAQIAHTKLSEAEKSLSTSETELRITSSERNLLRQRWKDEKV KSSGLAKEIDELKLVIDLERSVKDTVPTTVRDELKRALEAEQAEVKRLNTELEKSQKS INDMSTFYATTTMPQAERDSLHKSLKAEKNKVVNLSRERDQAKAERDQALSDKHAAVM QQHNEAQERLRATGKIQGLVGCLQENQNCRRCSWGFGIWVEDDGRKLLVRCDRCRTRH WQD FPSE_04442 MDTNLGDKTDAGNHAAIPGPSISDLTDVALLDNADFGLVLDHNT LDPNGFDMNFDELWSNDFNQSPGDANITQNHLQQLNTFDSSSNQSPIPTPSTLPPKVG HRFTLEALRSLKEWLSAHTDNPYPTEEEKTILEHQTGLSRTQITNWLANARRRRTITD GRASGNTQVPEGYTPTRSGTPIPRRGQPLRDLNPLQRWENSPPENEPATASDIARAME SGELIRSICLFCGQDNPDENHLANHNPSACQERAFSRKDHLKQHLRLVHNAALTDLTT KLWKTAQPDITSRCGFCQASLSTWPDRVDHLANHFKLGCTMRSWIGDWGFSASVLKTL ENAMPPYLVYFEGGTPFPFTANGSPPDCPRSAYELISIELAYFIQNHNDTTGSLPSHR ALQLEACRIVFGSEVMFPETDPDLHGGSSWLRDLIVSSDEIVQQARFAPIRSRAESRL SALRIKGRNALFEECPLESRLQAFVRTQRAKGIHAVSDEELQREAGRIMLQMESELQA KPEYVANWLIGFLNHSTGWIGVFRQRAELVLVSDSQNAVELNNISQWQDGAQWQVGGS AWLTGNDWLLVGHGDAAGDNTPWGTGIENSTDHTSTDASLRDFTWLWSDDQSPPAIPQ SNPSPEAESQSTLRPTWLGPGIYVLNDPNHIPWFAREMKRWVKAAMSPNNPISHVPSD EELRHQARCLLYNDDDPWNQTAADNAQWLEMFKKDVGIL FPSE_04443 MSVSNKTVFTLNNGVKMPGVGFGTFANEGAKGETYKAVIAALKT GYRHLDCAWFYLNEGEVGQAVRDFLAEDNGVKREDLFICTKVWNHLHEPEEVKWSFEN SLKNFGLDYIDLFLVHWPIAAEKGDDYKPKIGPDGKYVIKKDLTENPEPTWRAMEEIY ASGKARAIGVSNWTIDGLKKLMAFAKVKPTVNQIEIHPFLPNEDLVKFCLENDILPAA YSPLGSQNQVPTTGETVRSNKTLNEVADRSGNTLAQVLIAWGLRRGYAVLPKSSTPSR IESNFQIPNLSDEDFEAVQSVAKGRHTRFVNMKDTFGYNVWPEETPENGTAIA FPSE_04444 MSPAYDENTPSSPSTLRNMSNANGESNGVDHRESLAIPGTEEGN GPQEIPATRSSISEAAKYMHTLSVSPSMRDRRSSRNSFGTALPIPRKRQSRLSSVHHA DGRPTRPGMPPIQPTRDLLVAQVQDQMADKVKKAKNMAFAFDIDGVLVHGDRLIPEGK KALEILNGDNELGIKIPHIFLTNGSGKIEKARCEQLEKILGNPVNTDQFIQSHTPMSA LSEYYSTVLVVGGEGYRCREVAEQYGFRNIIVPNDIVAWDPTIAPYRVFTDEERATSR PRDFTKICIEAIMVFSDSRDYATDMQIIMDVLRSKNGRLGTVAEDPVAERVPIYFSQG DLLCPTEHPTPRMSQGAFRIGLEAMYKALTGADLERVVYGKPELATYKYADDILTSWM GELHGEERLPENIYMIGDNPASDIVGGNMYGWNTCLVRTGVFQGGDNDENNPANFGVF PNVLEAVKKALRAQIGDDFKCYFDEKINPVLHGTANDAAAVV FPSE_04445 MVRILPAILIGAQLFSTAISHSIVGMLPREGQYPYPIVGSDDPA NSATLGTFLNHMAINTRNLTASIEFYTELLGFRKLFTLQITKAYSITYLAHAQGGKNG TGYQTALEMNREKNNAQGLLEISYADVPVKNIESGRQHPNTFGHIGIVVPDIQAFQER LDTMPHISVLKRSGEPFVELDPSLVVGPAVGLLPDIVEQLDEEERKAIVQNFGQSVES LIFVADPDGNFIEVQPQEGASLVG FPSE_04446 MKGATPDRSFPCVVADQHSEYCDKSFPTIWKHNEHLKRCHSPVY WCEKCLYKFNTSLPEGSLELIKAGHDKNECKGKPSPDSLLAWSGHWIMDQDQYHRFKV VGWRHTHVPNPIFINGKKEPKPMTSWRRIRETIFPGSSIELNAEPVAPGRTTEDVITM VGGRPSVMPQVPDVLAHGTDLSQRLMPIPPSMTTDASQLSTEFSWETSFQTDPSSLML SYLGADGQHETYDIEHEITTGHEDSFELDPEEYPEQQSWNSLSDIGGWQNPLPYQVLI EGNSSDPQKAADRE FPSE_04447 MAPNNEKYVVFDIVGTIVSYDKLVEALENQLGEKLRAENIKSSL LINLWIEAAEREYTYLSMTNRYVEFDKLFSSLFYRMLWLSGIEEPRSFATEADIEKIT QGYFTLEPRPDVKECFEKLRGAGFTVRGLTAGDYDRVLGYFTKAGVEFPKEHLISCDS FGVGKPDLKAYASTFEELKGAQELWFAAAHMWDVSAAKQVGFKSAYCSVLEKEACVDI FGEMDVMSESLSQMADLIIKASS FPSE_04448 MTLFQTSSLLSITTFALQVAAHGHVDWIITNGVAYRGYDAPAFN WNPTQFPVAGWITGATDNGYVEPNSFSDPDIICHRAARNARGHIAVSAGDKINLKWND WPSSHKGPVIDYLAKCPGNCQDADKNDIEFFKIGEEGLIDLSKDSGRWASDVLISNGN SWTLQIPSALASGNYVLRHEIIALHGAGQRNGAQNYPQCFNIKVTGGGNVLPEGVKGT TLYKSDDPGILFNLYVPRSSLSYDIPGPTIVPGLPSSVEQRTTTATATSSATIPGQQT TSSSSSSLSSSSSTSTTAATSDSSSSSSSSTSTSSQGTTLITTTTSKSDSTPTGDIMC GPVHGLAKYSQCGGKNYVGQTVCAWGATCQYLFPMTTFVTEVLEDRSRSGVQRFTFHQ YNPVTAPMSAPSNETTKLAAEFVDLIGITDERYSFEIYGPRFFKTLPQRFGSHPALDD MTSAVIASFQSVRLRKQSSPRALSLFGKALRSLQECLNDPKQPVTFKLELVIMVMLCQ LWIDNKSSNKHRGIIAYLLKEAVTQRKIIDSNDLRGFCLQGVYAALSDPNVELGSWYW DVALQDPTQARPHHYEQGLYCFELCAIGDLPVFLRDPERYLYQLKCYWNTLSTERPIM RDKYEMVIPMALAPNATFISRLKAIEYASGHAILLIQAALIGPTIKPFGVLPAYTEES HQICDEAIILAQQCQAFRPCGSSWAAELLKMVWAALDDGYRKEELEELMDRYAEDVQG ADYLGEAKNMRNRFDKLGWSNDQRFLTAAKDGQGASPPCVIL FPSE_04449 MADKSNTNANTNIEPPTMTAEKSSSGFVKFCAFIDAIAKLLIAG ALIGILVVLVKLHASIDNNIKGRESFSVRVWQRSDSNPLRIVPAYGQEFSVNMQNSFS SPLYFKAVN FPSE_04450 MIITRALSITNFVVASSALSFQVGVLYPWHKQLDDDFEALKREH LRVLTAVEGKVGRTQEPALLQENRPGILSMIGNLAPWKA FPSE_04451 MEFLIDDIFFTQGDSSAQTLAYGEAQHHSPQSPSSSSSPAGRAN NVESIPQTINPQDVFDLPSSQLQDFQIDWDADFQQPKSASDVVTDHLNPDFSFLNQPH DNWNISAPLPTASGSVQTPLTSIDEFLIKNGASRPPAPCTNCRRSRLQCLILQTTLAN PNPDSSCSTCVALFRECSLSGRKKREPSDFETLEPVIGRLHGVSEHGILGVPPTVEEG QPSQGLSIAELSGKRTNTRSVRKTRVLRNWYLSNLDHPYPSEEEKVDLAQQSGLSRSQ VVNWFANSRRRHRLASTYSAVPTRGRQGSAPASPMPRYLRKNMSPMDRWKNSPPDQEP ASATAIQNALAAQSSDPSSLDSDNLGGSGPGSSASNDSLWPSTLHDASSNSASSCYSF RSRDGKLYPRSGNSSVVEGTSTSRPTSTRSRSKKLIAFQCTFCRQSFKKRYDWVRHER SIHLPGLDSWVCTLPATPGQSLLVWRMNEEGPQCLFCGSDSPNDEHIQAHEFDTCAER PVSERKFTRKDHLWQHLHKFHGCRRWEGWQPDLSLLQYRQDTIRSQCGFCQATMNSWE ARTDHVAAHYRDGFTMEQWVGGSGVHVHDPSGMDNERQS FPSE_04452 MALKKLFLVVVLALLQLCLPLLLLAITFLLSYKPNETHWFLILA WFGTLTAIFVLAWFTRRYDRFSVVSVATKVPVEYREEEIVDLSFLELDLSRRSPLRDL GKEWARY FPSE_04453 MASRRLVHFNPEAKSWVSPPSVSSPQDIDRFHRGLPNYELTPLV KLEDLARELGVGAVYVKDETSRFGLPAFKILGASWGAFRSITEKLGLPLDSDIETVGQ AAQSQQLTLYAATEGNHGRAVARMGSILGITTEIHVPASMHHSTVKLIESEGAIVVVS KGRYEDAMIDAKVASENGRGIMVQDTAFGDYQSVPQWIVDGYGTMMREVDSQLGSTNA DLVIAPVGVGSFAQSVVSHFKRKGASTSTVTVEPDTAACLWKSLKTGELTEIPTASTI MAGLNCGAPSTIAWELLKHGVDASLTVSDYEAYQSVQYLQSQGIDAGPCGGSTLAALR RLTPADKSELGLNDRSTVVLFCTERSRDYDIPYSVSNDDPVALTQTLVQINSASPDLG STPGPGETAIARYIVSWLEHRDIETHWIEYSKGRPSIVGVARGSGGGKSLMFNGHIDT VTLMGYTDNPLSGNIIDGRLYGRGAADMKSGVAAAMIALANAKKLCLRGDVILAAVAD EESLSKGTEDVLRAGWRADAAIVSEPTNLEINHAHKGYCHVEIKVHGLAAHGSRADLG IDAIVSAGHFLVEFGQYAKKLQDGPDDGTLGTGTAHASIISGGEEAASYPAECTIIAE RRTVTGESDEIVEQEFHDLIGKVTKEIPDFKAEAKIVFSRPPQMTPIDHPFTQLVSGI VGEVLGVEATVAGALFWTDCALLSQEGIVPLLWGPRGDGLHSKEEWVDVSSIEQVTDG LSRIAEEFCR FPSE_04454 MSQDPATAPAKGGISTDADYHDAQTDVDLPRGWMYRRLHLFGKT LPWYASPRIQLGMVAFVCFMCPGMFNALGGLGGGGKTDPTLADNMNTALYGTFAVVGI FGGTFVNKLGIKACLSFGGVGYGLYAISLLVSVHKHVPGFNIFAGVWLGLCAAVLWTA QGTIMISYPHENQKGMYFAWFWGIFNMGAVVGSLIPLGENINIKENKTVSDGTYIGFI VLMFFGALLALTLCNAGDIIRKDGSRVILMKNPTWQSELWGLWETLRFEPFVVLLFPM FFSSNWFYVYQQNSVNGAYFNTRTKALNGLLYWLAQIIAAVIWGYLLDIERVKRTTRA KAAWAALFVLTFVIWGGGYAFEKTYTRETVSPDSGFVPGDWTDSGYAGPMFLYIFYGF YDAAWQATIYWFMGALSNSGRRSANYVGFYKGIQSVGACVVNHLDAKKISYRSEFISN WVLLSVSLIIAAPVILLKIKDHVSTEEDLQGTDETIADVLPSGHPEKNHERTSVA FPSE_04455 MSSKSIFTVLALGLFASFIFERINPLKTFYINAPARLTKINNIG VHEVKFADRIRSCEDVLLVEKYHLAILACDGGRERHNTVMGVFAGNVTENANLWAYDY ETTGEDSLKAILLAGFPNGNDFHSLGLAFDQHTSNLFVTNHAEAGPRIEMFNLDIDSL TARYIQTIEHPLLHGPNSIEIINGQEFYVSNDHYITKGQSVLISNLETYLGTPTGTVV YVSLKESKVEAKIVAKVPFANGIEILNSSTVAVASSSRAAVYFFDTPDPTTLKYNSKI LLPFLPDNLSGYGDKLMIAGHAHMPSLVKFTHSRRICNDPVEYERADTKTKEYCEMVE ATSWAAEWSESEGLKNLYVDTEYPSSSTVVKGKGVGIITGLYAKGILVWRDSSS FPSE_04456 MPISKKDRRNKEHKRADAAGTRAPVKANGLPVKAPKPTSICQNC RKEIVNTNKLQLEVHAETHDAKLWPKEKCWPNDFQ FPSE_04457 MHCLLLLTAASLAAAHVPSVSVPACPKYGTIKFSKSVPDGDPFP RTEVDLCYTDTALSLQFTALDEKYFYFNESQGTNDDIWAYEVVEAFIYKGTDDPQTYL EYEINPNNVTYQAFVYNPSKVRAEGAPFDHFFISDPEGDGFTAETQLNKRAKKWVSKA QIPLGLFNVDPGCARGTKWRMNFFRTVTSPKMFPDQDLGGWSSPDKASFHITKFFGHV SFVLYLFRQQIIANESSSSVMPRKGNRKVRSGCLTCKARKVKCDEGKPTCQRCVIGGR PRNHVLGNTARSESRTIEFFCKVVAPTLSGPIDPYFWTHIVLQFSEIEPAVRHSVMTI SSLFENRRSPDKSSALAIQRNVVALKHYNAAIRQLQSPKSPDLILTLLTCLLFVCIEV LHSNSDVAIRHCYHGINLLGTSDPPDWATRFLMPVFRRLSLLPFYFGDSQPLACCPAL TQPSPTITSSFSSYFEAQVSIDAIYNLTIQLFRQTGEFRLGKMRYQPGPPEILAEQNK IHQYLTQWKSAFERFESETPVDISPATKISRIWPFQLYHVCTVLSTVIFTKEETDYDD FIPNFKEMVKGAAEIAGLRTRPMRSEFHFEMGFAPLLFFVAIKCRDFETRMAGLRLMS VLCAAQESLWNSHTLQVVAQRIIDLEHGVTAGEHSAQYNSLPADDVRVRHFVVGPVKS GPDGLIGREISFYMPTKDGINIKREIFSMKRLNEPLELEGSPGVFTVDLATETLPMR FPSE_04458 MPCRSSLRLEAKAPKDSSNCLRTLSQTKQENRVTKPKSAKTLSK KQVKATQKVATCLADLLKHDLLEHDLDHEHEHEELAKALAEAQAIFPALPSDLEPPRP LSELTPSEHLDYVKTLTQRRDEMAASIRQRDALNGLIERYNSKRRLDSLQLATSE FPSE_04459 MAASKDKSPFGLKERLDAFLETEIHDREEFCNVLTDVSDDDLKN GIVALCTELPSLDHVEVERAAEVARHAKHYYFLSKEATNNNPLVINLTPDEKSNLVSE RERLFSQRGMLSIISTVSLAAFLQGHVQSSINAMSLFVETVGINIESQDETQGNGADN MAQWKLGAMNAIPFLTAAFPGTVLSLPVNYCLGRRGALGLSALLIIASSIGSGFAHTW QQVLGARVVGGIAMGIKAVSAPILASETAVGYWRGSTILAWQLWVACGIMIGFVANFV IAAATNTLDGHPNAETNQHGGRYYALQWIAAAPAVPSLLLFIAVCYCYESPRFYMRPD SPNYDLDRAFKILLQVRKTRLQATRDLFLIWWSNQNESNDDGSGNRRTQSSGLTYSSL IVYVLQLSADQFKPLFTKRRLRNALWSSCTVALAQQLCGINVFAFYSNGIFSDWGVKT SMGYSFGFGCVNFLFGLLAMRSIDIIGRRRWLLSTLPLMSLFLMAATVAYAVGPQDST GDQPKPVANTSAAIAGIIFIYLFAAAYSPGLGPIPFTLASESFPLKNREAGTSVAISI NLFFAGLLTILLPRINAQFKMAGTLGFFAGLNIVAFVLIFLFVEETKQLSLEELDKVF DRPKRQFVKERIAKRVPFVFQQNELARDVKGYHSYDVIPLENRETRV FPSE_04460 MAELALAIVSSVTAGIDTWAKIDKALNSIKNAPTYIEDLRLQGP VLVTSLAMMRDKIKTRPNNLTSTEQDFYKAIIGFTNRFEDNLKELENILLTQERGKKS WYYFKLQRKVDRQLKESLSRNIEMFHLSASFLSILATPSTSASGLVVPVDELTRFIES ISDDNPKQLDEGEQSDVDKLKTALREVAYVTFRQTLSSSATDKTTSPVLPNIPSNNEV PRRYLGVIRNKELGDRFRNAISLTKRMYNEAPPAFANRAYEEAMQHRKMMQSADADAI EDPVELEILHIRIINACVPSDKSYKSLQDEQLTKLRDSLLKENPELRDKQEKVGILCA SLHDYDGAIDLLRLSLDTYLPDPHLSNTYLPDHDPNKDKIKQISMLVAEQYERSNQSI ELLAFKEALLDSLTYDPTSEPNAVNNTIEWCRQHKFQAMKRDECLYIPEYLANGTLLH RAAADMHIQPEVIH FPSE_04461 MSDSPPPPPSSHATAHAYASNGLEMLQAASDAAHAIIDSPQNLQ HAALAAAEVVGHQQQQQQQQQQQQQQHQHQHQSMDQSPQEALPHTSELSPQLMPGNGA VMRDPTINPKLTRLRRACDMCSMRKVKCDDSNIPCRPCRELGVDCTFNRETKRRGPPN KHAEAAKAAKRTRPDIPAAAAAAAVFESLSPSPQTAAKTLMNISTEGALDAEAIAPMP VLELLVDDFFTYIHPLAPFPHEPTFRQSFANREDRTKPEFLGLLASMICSLVASFPRS AREHLKAQHSTHLFPRAIVMVEKCREIALLTRGSKWQLKQPKTLDDAATSYFLGLASG YTHQWNASGHFMAETLTLLRELGFNRPKHPGDLPTFGNDNCSPDPLPFNHVKDQIGKR IFYCLLLGVRSFSQLGASSADMVIAPSTPSLPYPSYPENVDDICVLANEVIHQQDGSV TLLTGFRFAIDIYTTMNGIVSLELAYGMSTLPWADQRILLRDGLLAAKSITDNLPPEL QLGDHGMEANALASFDDSGMQYAPPAWPNSQPAHDLRNVIKNQPVRRRHLQYEIQKAN IFVSQLATRSYFVELYFDLRDVHLTEQEQQDNNPIDGQSEEEKAAQDADEKEIHDFMS AERELIVQNLLTVLGSISQRNMEPNGASLINKIRQVASTLLNDAPERKGPFAVKSEEA LSQLINILVKLEKTGPGDGGGIDTHDPSQMTSQDEEEELRHWADLRDYQIRFAMQGGF AGNL FPSE_04462 MNSRPVKHIDREDLYTNLEARVQYLHSFLDFSSRDIEALITGAK YVKALIPAIVNIVYKKLLQYDITARAFTTRSTSFEGPLDEVPDENSPQILHRKMFLRA YLMKLCSDPSKMEFWEYLDKVGMMHVGLGRKHPLHIEYVHLGVCLGFIQDIMTEAILS HPRLHIQRKTALVKALNKVIWIQNDLMAKWHVRDGSEFEVGDSDIEIEREGYLHGKRI IGDNSGSASDDEASDQLPPSRIPHPNGASAGGVCPFSGMGAPSEE FPSE_04463 MAANSENNGSEPTLRRRESLSEIRAANPDLALSGNIISATFNTP HSFVYRKGGDWDLKSRRGQSALFDSFAYLSSDATPWNHTVVAWTGEIDAPTDDVVSSP GTPAPNTLGATSLNALSAPVPIDGNTRLPTPPPVDGLWVPREDQDRLEYRLSHNKTIR TYPVWLSDESEATSEGILLKDQARWRRYAEHDLYTLLHYKQHEPTDGRRERVQWADYY RMNQKFANKIIEIYKPGDIVIVHDYFLMLLPSMLRQRVPNMYISFFLHSPFPSSEFLR CLPRRKEVLEGVLGSNLIGFQSYSYSRHFLSCCTRILGFPSDTLGIDAYGTRVQVGVF PIGIDAAKVENFAWTDAVTEKCNALRQLYRGKKIIVGRDRLDSVRGVAQKLQAFERFL EMYPEWREKVVLIQVTSPTSVEAEKEDLEDDTKVATRVNELVMRINGMYGSLGFSPVQ HYPQYLSQNEYFALLRASDMGLITSVRDGMNTTSLEYIICQKVGNAPLILSEFSGTAS SLGDAIHINPWDLSGVAEKINAALTMSDDKRQEMQSRLYQHVTEHNVQSWITKFIRKV YNVLGDTSSANSTPLLDRALLLTQYRSANKRLFMFDYDGTLTPIVREPSAAVPSQRLI HTLDLLAADPKNAVWIISGRDQEFLKQHLGNNTRLGFSAEHGSFMKHPGSDEWENLAE TFDMGWQAEVMEVFQKYTDRVQGSFIERKRCALTWHYRLADPEQGIHMSRECHKELES TVASKWDVEVMPGKANIEVRPTFINKGEIAKRLITMYHTPGTAEDNDGNGHLEFALCM GDDFTDEDMFRSLNAASGPVLDANHVFTVTIGASTKVTLAKSHLLEPEDVIECVALLA GVQDQGERLGEVNLGALSAVEGHVPQQQEM FPSE_11008 MDDNGASGRLRPLLPGPARDGPSGSKPPFNVDIPRRSFTKNACQ SCRQRKARCDGQKPKCSACTNGGRECQYVIRPFEAEVAVLKRENEALKENLTGHKNLY SSLMTREPHETDEILRMIRAGQDVKTVTGDMQGVDATMQSNTSTISRQQMNPLIRNNS ANTSNSTNTSGSASSPSLFATYASFSQTQQPRLTALHDHPDHIFEEGWRDTQRRFSDD VFVDLPGYTLPISRWTSASQDDKLLSHLLLLFWAWDTVCNRIIDRTIFEEDLKNLDPK TTGAPWQLRFCSPFLVNALLAVSCLYSTSSATFSVPGDISTRGRGFAEEAKRCLELED TRPLLPVVQGLALMYVYEGALGDGNTALEFHRLMHQRYMELRLDDIQRSTDTAIAGSR QRAEAHALSWIQWGFYVWDWKPMHGLCRRFVIKKPAREKTWQQDITPLNRKESPEYWW FPYPLSAEPQRSLKREIFDVECTFAEITEQVLDFIIPIEQGVSPSLNAGRAVELYSQL IEWKFSLPEPLRAENAVLPAALLLHLSVDLVAISILQPFDNVSKATFGPFNPRSTTYA HATNAMSTIWHFRALYTLRKEHWLIQASSVCAFRVLLIIKESPIQLETFIKACRALLE LGEAYPVAETVRYSIESVVKNKGITLPTYAKEYMPHGLGAGVAELTHIKVKDHTVIAE KASENNDDRFALTGLLSALVPSRMEPN FPSE_11009 MAATFRPVNSPLAMTVSREDVMGPSSSTPRPNTAPQPHPSRPTD DGTTPTRATFNMASQKPLPSSPFPQGIQVPDQPLKPKMPQRHDSRHSNKSGDSGDVDM DDSDGETGTIDDGVGSDDESVGADGPRSSKKKKSQRFYCTDYPPCNLSFTRSEHLARH IRKHTGERPFQCHCSRRFSRLDNLRQHAQTVHVNENIPMDSLAATGSRFQRQMRPDRV RQAGNRARASTGGSAGGPQRGHSKSLSTSSINSVSSVGSAYSVQDARRRPPPLVMADP RSRLSFESYRGSMDGGLPQYRAVSPSEYGTPTSSTFSTGQSSPRWGPGVSSPATSHSR SHSMYTTGSRTPGRRLSVPSGNNPFQSPGALGGRPMMFGPNPGPANASNVGAPPPTSN GIPPSPTSATSQWPRRESMSESDWRRRTWHPDSRNINGNPSQLSSVVNQSSVRPNPPP PIANPSNSQSSFRLPGIESFDPLPPRPATPSRRQPSPMIVDAEPHVRGPYQPHLPETP MQDERRNLNMYDASIQRGLNRLDINHNTPPRDSAGSWASEANKAVQAQAEHVRLNPPV VRFEERPSVYQGSKPTSAPRSFHQHTMSAPSITTTRENKRRGWYHGPVSVQRDGRLPQ EPQDPRLAHVERMVHPNFTGFSGFPVKEAPPPSHHHYQHPQQQQQQQQQQQLPPQAQQ QGQQHQYQQVQHPQQQQQQQQGRPGSNGSLGRLEALVAVATSEGSTAKAY FPSE_11010 MDGLRDAFAHISARAVKDWPAGSKGNTSETIISNVPFNLTTLKY FNYTYYSNETISNGSKCYLTFDPYTPAYVFPNGSWTNATKCYTAIENIKTRGFVGIGF AVAFGIALVLNLTVLAKHGKIYTPRDSRFYPVGRRWQWYWALFTSAAALISLFVGVDI DRYYLQELPITVNVFFWYLLCTGTVALTWEAVRHWGSWQERKFVDPDPFIVKDNDRRA KVEFWLPLWFYLWLWLNFFMVVPRSWKFTQLQHSEEQTLAKAVPGATNTRFKAGAFCL VVAWLTIVFSLYHSIKHYKPRHRGVFNRAVGFIRYVPFRFWLILPLSAATIAYQGFIS WEFKYSIVKYNGNIPVIYCWGFLPSLLILFTQYFYGFFTPNEDKELVRLRRERGEMLD RELGLVNKPAWWKRVRGDHLLTFKDKLTRNVHEIGGGHATGRRIEGDAERDLREEALA AARNDEGFEMGHMHRPLDAANNPRVDRAGAASISSNASRLSYVQPYTGKNDRRRLERN VQAAAGILFPNNLAEERARREAQLGLDGPAPPPYTDGQGRGRAPGRPGSTGRSNSTET TNSITAPPQQIKSMLDV FPSE_11011 MAADTTADEEPSYIDYETFLDPDFSPASFANTLVISTNNPNDTP LDLSTPLSRVLFDAQEIDSHIDVLTTRSAVPLLDYTQQQTQASKNIVGELDGQIQSLN DSYRQLEKEVIDKHAEADEVRIVALRLWETLKLGRSVGRCLQLGRQLEVQHLELDNGS EKEDHRALVRCAYTILSLKEILDRKGPGEEGFGLNRVDAVKSLQDTVITPIDRSVRER AERIIREFSIQQTSTFAQVEEIKARTASAMTTLYLLSPTLGLKADKWVPRLLLQSLET YIRAALQASITALSRSLGQLPTLDKALSDVMFKCQNVVSLEAVLETTKPPAHPLLPAS NQPSQSSLLHFVLAYLETGSLASFFWRTMASSLATRVQDIMNRGGVVARTLRTNKNTV GDAIRQAVVKGSQLHGALTGSKGRTKAEANWDREVAVMVGSVVNNLR FPSE_11012 MAPNQGLVHPKEYDIKDSNVELIGTDIDHQVKYNSALTEPAWND GRVGIEPGLFIWRIEQFEVVPWPKEKYGHFYDGDSFIVLFSELIGSNDGTEKLVHDVF FWLGQHTSQDEAGTAAYKTVELDEFLKGTATQHREIQESPSDDFLALFPRISIRSGGV RSGFRHVEEEEEEPQETLTLLRVFKNPAAGFSGVVVHEVKPVWTSLDDTDVFVLDVGG KIWVWQGKDCSPMEKAKAAQIVHDMTVAKHSEVEVISQTESRSRRIVDLLGGDDETPR DGFHCRKPFSPRHVEQTSKKLFRLSDASGQLSFGLVKEAERISNGDFQSDDVFLLDDG GKAIWVWQGSGSSAAEKKSWFKVAQAYVRHLSAESGRDDAYLTPVAKVVEGGESRAFA RALAA FPSE_11013 MRFAILLAGAVAVAAAPLSRTTDVRLMTYNIRLAPNHPERGEEL WPVRRPRLVSQLNFETSGRPESLVCMQEATYPQIQDLQEDLGDEWDYYGVGRKGGNRG EFSPIFYRPSVWNMEDSKTYWLSSTPHKVGSRGWDAAFPRIVTVARFQHISTGNRLVY MCTHFDHKGKTARENSAKLISATAEEWSSHGFENVPVFIGGDLNSSPDEPAYKHLASA MNDVKNIIPLAKRFGHSSYTYTGFTVSPSDDMDLDHIFVKDTSGLQFKSFAVLNNLYE DGVFISDHRPVVVDLRLNHVSRKNRREEDASK FPSE_11168 MPPRRPYQNQQHPRVGPWTEPLHRPLMYLKRAGNAGSYPLRGIW FFLCNREFWPLFMSRILPLSLISFLVYFVLFTFTFLPQYAFLAIFHGWGAWVNAVVLV LGEGLVIIQGLFEGFFVDECRVDVFDAALIKLGHKDLIAPQRILFLDAPNPVRMLGKP TTDAVYTPWSIIQIVELIVFLPLNFVPVVGTPAFIIITGTRLGKLAHYRWFQLKGYSK VEQKKALRDRAWEYVWFGTVAMILELVPVLSLFFLLTTTAGAAQWTAEVEDEDRTSDE NVQNGQNANHGQIGHNEQEQYDDHHPDAPPPPYTDDLV FPSE_10783 MRISASLGVLVATGLLGRSASQATDYGNTNGSPIGNQPDGSGNG IPGNNGGVPNTLPYPSNTAGSIPGSVPSGVGPVGPVFPGDDSNGLPPSGPVGNPASPG GGSNGPYPSGLPPNGPVGGPASPGGSSSGSYPNGPGSIPGNFPSSGSPDDGSNGLPPT GPVGGPVSPGGSSNGGSSNSPYPSGLPSNGPAGGPGNLPEPIPGSSSSNGPGNAPGSI PGAGSVIIPGSFPTNIPQNVPGSPESTPSGVSDIPTCPLRSTKTVVVTIYPTDSQSES GFHWPDDSDSDGSYTPTPMPTKPPGFTSLPWNSGVPIFTTLTLDIWGPGGIPSGSGSG NNGDTGTSNGNQSNDGTSPSDGSSPGDGSGNNDGTNSNSGNGSDSDGEGDSHSNPDSP FFPPTSGPTGAPQYSDSASDGSSAGNTGASGINTLAPTPLQTPGFPNGGIPGNQPQFP STGPAQEASDSLPATTQAGPEGQDGTSPWFTNIPGGNGAGQPSPSYVTIIGQDGLPTV ISSGGNVVSGNAQPSAAPGSPQNGNNPELPGGVSSGFPIPPPVFTGIPGSQNPSNSPA GSLPGSGPDVGVTTCATFTITGSDGLPTVVDSTWVVPLSTPSFEESSQLSATFDPQAP LSTISSELGLPTFPVTASGSPEDGPGGAVTITSTSFTMIGIDGNPTVVYTTWNIPAAT ASSGDPGDASAPAFSGDFVTITALPPVGSSGLGGEMGTAPGPDDASQVTTCTTYTVIG ADGLPTVIDSTFVISGPVNTGVTIGGDPDLPSGASGALPNGVTSPATFQVTNTPEISS PISGAVTGSGGFGSQDTTTCTSYTMIGSDGLPTVVDTTWVIPGSINTLSELPANPSFV SDSLPSGLPSGVTGPLTSVGNPSNGNQGNMPGITTCITYTTIGQDGLPTVVDTTFVIP VATEAPSGTDLSVTTANGGGQIGSSPNPSGAYTTTTTAAILGPDGNPTPTVQTIVFSD SSALGVSASAPQGATSGVTLSGISGPLFTSGDWTTLSTDTPSLNGYDDGIPDASMSAI LTDGSAAAEGTSVIGTTTGTLTWTVTSITNPPGAPIFSDGAAQPPFVSNPSDGAPDGQ AQPAYGSLATESTLWPLSAVQTSTWTNIIKAETTSYTFNYPLTTLATVNVPMRRLARR QAMTAWSNSTTSLSSATSVSASTTTISDASSPTVCPDGGSIGNTTIDFDNSKPGPLFN PVENIWFSGGFLIAPPTSKQPQPYIPSSGGQLVEFVPPALSNTTTTISGDVAQIGVGP HAASPCFRFDFFGANLGCDARGDEKWCEFDISAYRWNETSSTEESIAWSETKQVPACP KFSEGGYQLTRVDLDGYKDLSSILITLRVSSNLRVWWGDDFRVGWSDNSCIAASCRAN APSQVVKRETVISALRQGVYRWTPYELKRFDDSLVWESAN FPSE_10784 MAAAALANKKQLVRIIVPKALLQQTAQLLQARLGGILGRGIRHV PFSRRTPTTEKNIRAYHSIHLEMLKSAGVMICQPEHHMSFMLSGRQRLLDEQPQQAGP MIKVHDWLSRISRDILDESDYTLAVRTQLIYPSGSQTTVDGHPHRWLVAEAVLRLVDN HLYDLSNVFPHSISVIRRAGGGFPFVFFLRQDVEDELVRRLTADICQGAGGILPLTEQ VMLAKDRVAVKEFISSARPRPTSIKLVRNLSPDKPSLKQTIYLLRGLLVNRILMMTLK KRWNVEYGLHPQRDPIAVPFHAKGVPSDQSEWGHPDVAILFTCLAFYYDGVNLSQLRQ SLEHILKSDDPSTEYDTWTGSTENFPPSLKAWNSINVDDEMQLCEIWKIVRYNGVVVD YFLNNFVFPRHAKQFEVKLQSNGWDIPLSSLGITSENSKGPTDKSLSTGFSGTNDNRT MLPLNIEQQDLNSLHHTSAEVLTYLLHPRNRHCILPQDVQKSNLGRASELDLLNCLKW MSIRILIDAGAQILEMDNITLVREWLRIDHHALAGLYFDEDNKPWIVTREGRRTPLIA SPFADDLSNCLIYLDEAHTRGTDLRLPPGAKGALTLRLGQTKDHTVQAAMRLRQLGTT QSIAFFIPPEVHQSIADLQGITMHDQIDSADVIQWLLDNTCDQIEQLQPLYYSQGMDY CRRMQAALDHPKFLTIKAERKSYVQEIKQDEKQSLQSLYEPKTKSRLPGMHTSSNEIL KGFILELNARRKTFQDTGKAVHASALQEVEQEREVAFEVESVRQVKKPQHYAALSFPG LNASLEAFIRTGRLPADNNYFVHVSRALARTGIGRKFKVQSEATKSKLFETVEFGRTI RPHGDLSMDNFLRPVNWILWSSVADIAVIIIPEEAEALIPLMRNLNVNVNTHLLVYSA PITRKMLQFNDMNFHSIPPLPTSWKAPGWLQIELGIYSGRLYFEWEEYLSMCALLGIQ EATTESGVEGDGESLGDEVSLEGGEVSKPTAREQKQLVQTPLTFLQEWLAVRRRGQDF AHSPMGFVSQGKRLQEDHIFFKQAEHFSIECNDTMAPLPISVPTDKHEHQDDGEDGVD DMGANEACTDSSDDEIEYDESEYGSTSSSD FPSE_10785 MAARLKSQGQQRQERALSLDEALYNHLALPPHLPHRQDSNLTGI ENALTDRLLASVKHLQSLPNNGHSAIWDSVRRGLETTKSIHIGGHVDRTALARELSGL GDSDFLAVYVSSQNCALYIRRSLDPVLGASVVFEAFETSARNEDVLATDNALQWDFPG CAVAVPLDTFRENGFVSHLANFLDNASRETLSEFSAHALKAGASMPEYRNASEPALIS SMLMGILQQSGRRLAPTLLQKMVRDDVLWRNAEKPWRRLPYWLVLRVAISRYLAQRLG GEVGRIEYKFLLAHLFSEFLSHVQRTNIGIDRLDFLKKKICRRLVKLDLDNERAQDPS TTDRVEYLFSRLSPGIQNAVSKANQFIEASWKQQRFAMTKTIPVLPKQATFEDTRVDL KITGQYLYKIWQGFTRPVRFGLKQQNTISVAEAAKQHLSSFAREHFKLIEKERSYNKF CDDCGPFPRPTIEKASTFIKEYLPRAYVYVDIPELKSTMILNIMDLWVTMDKAACSLY PILGEFHPLFRPEMIDVLILPTVAEMKRLQGVQVYLQDRIASCRGSTVSIFDDPVRGS FAHRFYDSSDMSDEMKDLHESIETWATSMRTNKEKEWRTKTEEYARLSKSVDESTCLY LVNDNDPFALPVHDDQNCRRCFLKRTMNRIQIQAYEHPLPSDPFVAKAVIFELLCPQT LATYRDVTWTIMSYLAMPAEEGIEPKCWAREYQQLHQFSNNSSMSCSLASVTKPFLTT HYATLRFPVEWDDGRSGVCRPNGLKLTYCDDRSKRWPSRRGHLSFLQHVKLQIPRSPQ STFSQIIQDTSAKSIYGASSYEIMATASRCPQGINVHEYLAFQTVASGKSRRWLSILT ELASANLNFSNDATVMLLCHLAIQCGPLDSTGSTFRLIHEVFHDPTFCMRFLEQLSFR LDSLSANWRETHLMELIITFTIRTLDFAWEANMPSIADGAISLLLRARATCVRWFKLL RVESYKFVDAETAQRFQQYALWAAILCKRTFTPLAHGPLGLDATALETYIQSSIMLND NLVVKLEALPQILQHAIIRDIRLSYRVSGLVSESILQNPEAFRLSLREMWPEEEGCAR TFNELKLDPEAPRWISCRTRVGEALDTVDQMVFYNFVQGTLLVDGRPMGKLPQDPNHA VVLEELFGNQSLMTFPSNRPAMQYSLCVTPRHYQVHVGYSETGEILVRAFRKPYSLQL IPREKFRNGIHSDLPGPLVDDCFHWLNLRNGEVLITRKTRPWPESDFKFFVLSIQDST CTRKRLYKGKPAKDYVINTYSPLFNRVTRILDSLEDRDQILVYQPEGRNLAVELRRLN LTFHTNKNRLLQSPQLQCQIDENQDAGTWYGLRSKLVCTSLTNPMHRSILVPLGHLVA QSDGCHVTVRFTATGKYGRFNINTTLGRIDCAPEPTLVFTKALLHACTSFLLPDPLTG RTGTEEAIQWLQAGISQPWTPLTPPSMAVLRRIAQLTPQRVYYPQELKVMRTDYWIDE LPLRLQSSAFRPIVDRILEGSATLAIFATKDQAAIEQPELPPAGEPHLHARVMLRQEA VERYLESASSRAQPVNRHYASRDRPTVNNIMHRNVLEVTHLIRQWPKNFKTTDGLAQI LSQGGTIGGFVAPLDATSLNEKLKVDILQSWGSLVRFAREAAVDRYKLMYLLGPMSFH LDANMPLLRTLVASAVFADLNDLDLPQWEEFEHFQPNQTPQLDYVLQLLKPYKVAPAE SVAAGLGQYSSGKVLRKLQIERAKHESKVEEDCKFFANHLLSQWPCLEPTVSGLERSL LIDIGPALEAIRPEWRRLFMNRDLAEHVKEVQAILDRRTLDERYEPPPVPSSEEVYTV RIRGGELVDLRQLLAKPYHIVKVTTGENTTPTECRGSTERPFLSENDFIQRFGNFAWN SNRGTGAGSVANGRRFGIAKTGANLFPTGRQVTESAAKLRTIAQRLGSSKSAVRRRYA DDFQQSLNAFERLDTSQRFTGVMQTRDAAISLSSKTIEDGFYAISSALNVPSQVCSQR RIFWLKAGNLWPIVMKSTLLSCLGSVASQGSHFGSGMKKALLNMGINITKYQREVRLH DLALKKVSGRYHEEDSNEGHSNWSPEEYPDWLLLEIESDMMIRPVQIDVALATISPES GSNSVLQMNMGQGK FPSE_10786 MDRSSQDIDLESLGSTDSAQHQQVPERAVSRTDTNTDEAALEEF EPSILERAVDSIWGRFSSCDGSGQVTHPDRGWRPWCIILSCHLIFMNTCGWVNSSGVF QMYYTENTSLPAGKISWVGSIGAYFLFFVGALTSCLVYANTVRWIFSIGIILQFFGLI LTSVCREYWQYLLAQGVLIGLGHGLIFCPALAVLSTDFATRRPLEIGFAACGSVMGGT MFSGIVRLLLPNLGYEWTLVVVSSVKLVTLVLALILVKPKAKPCQSHPIIGFAMFKDT EYIMFVVASFFTTMGVHIAYYFLALYSRTAFNAPLSSEKSLYLLVIFNGIGIVGYFAT FVASHVYGIINVSTLVTLAASLFIYFWIAVQNSVGLYVWSAFYGIVAAAVQSLLSTGA SSFTEGQDRRGVRASVTFMIASIAALTGPSIADAIIELQGGYMGAQVFGGSVTLLGFA ILAAAKIRWMKRTSVGWLEKI FPSE_10787 MAPPQLGATFVPGGFDDYYMPEVVAPSPQRVTPQVPQNMQEDLQ RMELEATSVEPRSQTGPRHSREPSLSTYTNPRGTDPPRPQTATQVSNDDIRAYQSTDK ITESLSAMSFDAPSFSPFPKVKGDNIPPTDEEKEEILWNARKHVLHSQNVSMQITWAR DVLTWADISQESMIREYGEKGRPATPRIEHELRVDAINIITYLSQQEHPEALYMRSKW LEFGKFGNRVDKREAYIGYKRAAELGHGRSEYRMGMLYEQSNDMSKAKEHYYRGLSLK DSASLYRMGMMSLLGQHGETKDYQTGLERIQAAADSSDEDAPQGAYVYGMLIGRDLPD ITIPDGLLPNNPVTTKMYIEKSAYLGFAKAQLKMGQAYELCQFSCEFNPSFSLHYYGL AAKQGLPEAALGVSRWFLFGYEGVFKKNESLAYKYAQEAAAAKLPTGEFALGYYNEIG IHVEKSLAEARKWYQLAAEHGNQDALGRLESLDDNNTLSKSDHETTTLTRIKSQHGSQ RGKRPDRFKQPSQQMPTLSEGSAPVSPSLDGPGNSSLGMRPTIVSEHVNFPDPSRASF VLPASSTDRAPAFNVRLDSNQPPARPQSAAPYPEDDRPPPLTVRSKSTAPYPEDDTQT SPRFNQGQHMGPPSDRAASAFGINPQAGAGRPMPQSQSLGNLHPGGPGGPGRPDPRNR AASTGWESGQQGPGGRPSPYPEDNGAQYPGGLQKAPTGGQYSPGLQNPQSQNYERFSR VPGASGRPERGSSLPQGQQQQQLPQSPPNARPPRTSSAQPPMSGGGPGGRPSPGPFGG PQGGPQGGPSGPPRTGSAPPSQFQRPDNKPSPAPSAQTMPTKPGKQGPATFEDMGIPQ GKADSDCT FPSE_10788 MAERNLSQIISQLKHSPSMSYTDANALLSKAKLALLKLNALTPS PSTPTQLLPLARETYEQGALFAIRARNPEAFTRYVQQLQPFYELPASVLPPNLLERNK VTGLSLLLLLTQGRYAEFHTELESLENREGGGGDVESDRYLGYPIRLERWLMEGSYDR VWKAMKSSEVPCDEYSVFSEILKNQIRSEIASSSERAYPSLPISSTKSLLFLDSEGDV ISFAHHRGWIVRDGHIYFPDAAEGESGDQNKEMSQMVIENALGYARELETIV FPSE_10789 MQSSPGMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGVDFHKTQPLFVSGGDDYKIKVWSYQTRRCLFTLNGHLDYV RTVFFHHELPWILSASDDQTIRIWNWQNRSLICTMTGHNHYAMCAQFHPKEDLVVSAS LDQSVRVWDISGLRKKHSAPTSMSFEDQMARANQNQTDMFGNTDAVVKFVLEGHDRGV NWVAFHPTMPLIVSAGDDRLVKLWRMSETKAWEVDTCRGHFQNASGCLFHPHQDLILS AGEDKTIRVWDLNKRTAVQSFKRENDRFWVIAAHPEINLFAAGHDNGVMVFKLERERP ASAVHQNLLFYVTKEKHVRSYDFQKNIESPTLLSLKKLGSAWVAPRTLSFNPAERSIL VTSPADGGSYELVNLPKDGSGAIEPAESKRGAGSSAIFVARNRFAVLNTANQTIDIKD LSNNTTRSFKPPTGTTDIYFGGTGNLLIITPTAVHLYDIQQKKSTAELAVNGVKYVVW SNDGLYAALLSKHNVTIVSKTLEQVSTLHETIRIKSATWDDAGVLLYSTLNHVKYTLL NGDNGIVRTLDQTVYLVKVKGRNVYCLDRAAKPRILQIDPTEYRFKLALVKRNYEEML HIIRNSSLVGQSIISYLQKKGYPEIALQFVQDPTTRFDLAVECGNLDVAVEMAKELDK PKFWTRLGTEALAHGNHQVVEMCYQKLKQFDKLSFLYLATGDRAKLARMAKIAEHRGD FTSRFQNAVYLGEVEDRIQMFKEIDLYPLAYMTAKSHGLEEECQSILEATGVAEEDLT LPALGSPLSATAPIVPTFKASWPTKATSQSFFEKALLGQVEGLSLEDEPAAANAGFED AMEIDSAAKRNGTLIDDDDEDVAGWDMGDDDVPEADSDFVNVESVDAGGAASSEADMW ARNSPLAVDHVAGGSFETAMQLLNRQVGAVDFAPLKSRFLEIYSASKTFLPASEGLPS LVNYVRRTLEETDPRKVLPIIPRDLEHLASNDLQAGYDSMKANKLEAGIGIFKGILHS ILVNAVTSEDEVSEAKKLITSASEYAVAMSIELSRRQLGSPEVVAQDPEKLQRSLELS AYFTIPKIEVPHRQLALLSAMQLAIRNKNYNSALSFANRIIANGGATKIVENAKKTKA QCERNPNDAIEIEFDQFAEFDVCAASHTPIYSGTAYEECAFDGSKYHTKYKGSVCRVC EVCEIGKHGSGLKLFA FPSE_10790 MLRFSQYMAMAMLAATAASASPSVNVGMHAAFPHGPYLLELLET AAGENSTAYFPLLDKIASGHFASANSDAELYHQFLQVLQEDRHIVARDALSTFKLSLS LRAAAPRIEAHYQYYSTAVDPESQIDGAGKCQSWALIDNQKYCSPELDAAVEGKVVSK QAKVLPFDRVLGLGKDAILYADPTHASFGPFHDALSKAARQGDVSYRLRYRRSPGVSN TPLPVSGYGVKLDLKRTDYIVIDDREASQETQKPHIVADVDLDTDEEVADLKPLSSSE LASLGLKTASFILKSDSPLDALLKSTQDFPKFSASIASHEVTQGFAEEQEKNVAAGVP SGINFLWMNGVQLIERQIEPFTLIEMIRRERKLIDGVRELGFNGQQAVSLLGHSEIAS SKADDEPPRFDWTDRLEDGKAVMWLNDLEKDARYQKFPSDLTALLQRAYPGQLPQVAL NLFHVVAPIDFTDLEDGRAFGQLAQFMQRGITIRFGIVPLATTPASIAQAKVVYHLME TYGFESLITYLQESEEGPEGAADKRSFAKAIDGREPMPAKTKMTLSEVLEAKSYTQKV KAGQAWASRLNAATPVRPILVNGMVIPREKNWVQVMGQRLTEDQQTIQKAVYFGHVNE DTPVSDLFLKTALSKRNAYIFPDDDKTLRILDVNKLYTDHAELFGKIAVLPADAESAK EDWAVLTVIADLNTDDGQDLLLTALKFKRNNQGIRFDLIHNPSLPSNAHAINGAFKLS EVKLVEMKCKDDLKAVLEAPWTAEEDGFGTALASFLLTSNIQPGTKGLLLNGRFVGPL PSSVSFEDDDLKLLLEFEQRSRILPVYAAIKELGFADRLSDPIAVAKLTSITALSTIS DLPQGIFESAPSIRSTLYNTWNATHSAIEIGNPETASVHIAGLLNPTSEQGQRWAPIL KVLSELDGIYLKLFMNPKELTGELPIKRFFRYVLDSTPSFDDSGHVQSPKVTFKGLPS EALLTAGMDVPPAWLVAAKDSIQDLDNIKLSSIKSDIDVVYELENILVEGHSRDGKRG APRGAQLALATEKDPLITDTIVMANLGYFQFKANPGFYSIRLKEGRSSEIFTIESAGA QGYAAVSGDEGTDIALMDFKGTTLYPRLNRKPGMEEADVLESVDSGNDGIVAKGLKFA ESLLGGAKSPKEISAQEHAEINIFSVASGHLYERMLNIMMVSVMRNTKHSVKFWFIEQ FLSPSFKEFIPHMAAEYGFKYEMVTYKWPHWLRQQKEKQREIWGYKILFLDVLFPLSL DKVIFVDADQIVRTDMIDLVNHDLEGAPYGFTPMCDSRTEMEGFRFWKQGYWANYLRG LPYHISALYVVDLNRFRQLAAGDRLRQQYHTLSADPNSLSNLDQDLPNNMQFAIPIHS LPQEWLWCETWCSDDSLTQARTIDLCNNPQTKEPKLDRARRQVPEWTIYDNEIAALDQ RRKGVVDKNENTESRESEDKAHTKDEL FPSE_10791 MDGFYSPHQQQQQQFQQQQQQQQQQQQQRMQQNQQNQQNHMQHN MNDPSYFPGGDSLDDIVRDNQDKLYRRQSMPQAFANHMGQFQMPQHQEQHHQGQRRMS AVGNGDIMTFGTDNSDLNSYQFNQPMGAGFPTINTSIGMDQMGNYLTADPNDYSAISP DMMSSMMPNTFASMNVGAQMAGNGSPMNLYSPSIGQFPQGQLTPQVQVGNDFSMDLSP DGSSINMNQSNPPRPNSIPAPANTAMDTIEEPGDNIMNNHQSYNNTNNGDSSQTLPPL SRQVSTASGSVVSPPQQQSHGTVSTTVTQPTSASVATTPSTGDGPRESKEKTIYSKSG FDMLKALWLVATRKNPRIQLGAVDMSCAFVVCDVSMNDCPIIYVSDNFQNLTGYSRHE IVGQNCRFLQAPDGKVEAGSKREFVDDGAVYNLKKMVHEGREVQQSLINYRKGGKPFL NLLTMIPIPWDTDEIRYFIGFQIDLVECPDAIASNQDLGGVKVNYKHSDIGQYIWTPP QSSQWEPENGQTLGVDDVSTLLQQFTPKGLTSDWHKQSWDKMLLENTDDVVHVLSLKG LFLYLSPSCKKVLEYEAADLVGNSLSTVCHPSDIVPVTRELKDTTTGNPVNIVFRIRR KHSGYTWFESHGSLFVEQGKGRKCIILVGRKRPVFALSRRNLEANGGIGDSELWTKLS TSGLFLYVSSNIRSLLDLQPDSLVGTSIQDLMRKESRPEFGRTMEKARRGKTVTCKHE VQNRRGQVLQAQTTLYPGDASEGQKPSFLLAQTKLLKASSRNLAPASASGSRSIAATP RSNNGNESHATGHVSQPAGGALAPGTQDAALASEDNIFDELRTTKCSSWQFELRQMEK VNRILAEELGGLLSSKKKRKRRKGVGNVVRDCANCHTRNTPEWRRGPSGQRDLCNSCG LRWAKQTGRVSPRNSSRGANTANGDSRSKKSNSPSSPLHKELSADSSNAQTANGENGI NPAQLTKQKIVNGTAAPSGAPSATGVKTSAGMPPLSHSSMLGVGQPSSMASIQEERET SQS FPSE_10792 MSLLPQDVHAELSQLLQALQSPDNSIRSQAEEHLQNNWTASRPE VLLMGLAEQIQGAGDNATRSFAAVIFRRIASKTRKNDAGESMDLFLSLTKDQAAVIRQ KLLETLAAESDRLVRNKISDSVAELARQYTENGDAWPDLLSALFQLSQAPEAEKRENA FRVFATTPAIIEKQHEEAVLQAFQKGFKDDAVMVRLAAMEAFASFFRTISKKGQAKYY ALIPDVLNILPPIKDTQDSDDLSKALLALIDLAESAPKMFKPLFSNLVQFSISVVQDK ELDNICRQNALELMATFADYAPSVCRKDPSYTNDMITQCLSLMTDLGEDDDDASEWMA SDDFDQDESDQNHVAGEQTMDRLANKLGGQTILAPTFNWLPRMMTSMAWRDRHAALMA ISAISEGCRDLMIGELSQVLDLVVPALQDPHPRVRWAGCNALGQMSTDFAPKMQTDYY DRVLKAIVPVLSSPEGRVKSHAAAALVNFCEEAEKATLEPYLDELLSQLFQLLQNDKR YVQEQALSTIATIADAAEAAFSKYYDTLMPLLVNVLQNQSEKEYRLLRAKAMECATLI ALAVGKERLGQDAMTLVNLLANIQANITDADDPQAQYLMHCWGRMCRVLGSDFLPFLH NVMPPLLELAVAKADIQLLDDDDQVEQMQNEEGWELVPLKGKMIGIKTSTMDDKHMAI ELLVVYAQVLEASFAPYVSEIMEKIALPGLAFFFHDPVRYISAKLVPQLLSSYKKAYG PQSAELRGLWNATVDKLLEVLTAEPAIDTLAEMYQCFYESVEVVGRECLSGDHLNRFI DSVHSALEDYKDRVAQREQDKEGATADDVEDEAEDTLMAIEDDQTLLSDMNKAFHAIF KNHGAAFLPAWGRLMTTYEGFLSSPDPTQRQWGLCIMDDVLEYCGPESTQYANFITQP LIDGCRDPSPAIRQAAAYGIGVAAHRGGAPWAQFLGSSVPFLFQVTQVPDARNEDNVY ATENACAAIAKILHYNSSTVNDVQNVITQWVETLPVINDEEAAPYAYAYLAELIEKQH PAVVNQVGKVFVFIAQALEAETLVGQTANRVVQVTKGLLQSTSVDPSPLLQQFSPQAQ QTIMGFFN FPSE_10793 MDPSPSRELKDTRATSSTSLNSAASGSSSSQKPPTLSQRSPSVS ISAGSGPSSISAHRQSFAENLRNAPSSPRSQRHPSFTQAALQELLSHPPAGNKHANPK FAGRDWRDVSVGELVSPDDIKWVEFDTSVEEATKALLKSHTNVVLVREDASTHTAVST FDYTDLNVYLLVVVGLAKPEDDQVELFNSILAKAQEGGQIPLRDVIPLFRKESLVALP SEEKLAQAIQILGSGIHRLMVTATSGEVVGIASQLRIVEFFWNEGVNFPSIDRLYPAM LRDLGVGTKDIVSVNSDAPLSEALILMHNEGLTSVAVVDNGLNVVGNISTKDVRHLTK SSNAHLLNSSCMNFISVILNERGVEHGRDAFPVFYVNPYSTLAHTVAKLVATRSHRMW VVESASPSPSAPATPLMGPQSFTTPTHPPPAALASTSTHAAPPSPVPTAAVPASPVPT AAVPASAMAGARLSGRLTGVISLTDVLNMFAKSTGLNPADPNEERARRRRSSSSSVRP SIDSHRASIDFRR FPSE_10794 MASTTTTSTDDPLHEPPDSRNIGHDGLFKLGDFTRLNSQSLTGW ASPKPKIAKDSNSPPALGNFKRLFEQLRFKDNGTPQHRPVVASVKAQPVTILTTSLES VSLAKAVNVPNILAGPIFDPITDSETGGGSDTDALPADSYSTAASTPPSSNESPLKDF DETKKSKTKVVKTKEKSHSRGNSEPKVVVWDLVRPESVKHVLSYQPFKYGPISEIHSN VLPTSAKHESLMGKLVDERIIDSMICSDFSTIAGNGIHVFVDMSNIVIGFQKALRARF GIPESSRFVPLPQMNLPFFHELLTRDRYAEWLNVGCSMRPDRGEPPFIQELKDLGYRV DLRSRRAEQSGSNEHTTSETGGFRYVEDMVDETLQIRIGESVMQYFEMPGTLVIATGD ARPAKYSDGFLAYAQRALKMGWSVEVVSWKASLSSAWNALLKDKTIGSRFRIIELDQF VDELWIC FPSE_10795 MKRFFTSAVAMAAAVAAIPVGRPLIKREPVTTITLTAASTYTQV VAPATPIPIIPTGTATFPGTPVPVPGDQKPPKGSRSKLPCVTCSPSGSSGSGSSGSPG GSSGSKLPGISYAPYRGDHQCKSGDEIKQDISDLAGSYSLLRIYGTECDQVSKIYPCA KANGMKLFLGIWDINDVQSEAKLIIDAIGDDWDIVDTISVGNELVNNGVATPAQVLAA VDEARAILKAAGYNGPVLTVDTFVAVQEHPELCEKSEYCAINAHAFFDPHTSADGAGK WLSDTVQVVKSKLSSDKRVVVCETGWPTKGDSNGNAVPGLTQQGSALRAIREAFSNHP DDLILFSAFNDHWKKPEPATFHAEQFWGIDGKDSASE FPSE_10796 MELDTLQAKLGDIIEQASVYFHKVPGSAVFLRYIKSSYQNDPVR SAIEAVLLLFFVRYLLSPSYSTHKQNFVKLREDEIEELIDDWQPEPLVEEQTAFEASE TERLPVLVGPTGPKSKLANGRTVTNLASYNFYNFNGNDQIKEKAIQVLRTYGVGPCGP PQFYGTQDVHMKTESDIAAYLGTEGCIVYAQAFSTISSVIPSFCKRGDVIIADRNVNF SIRKGLEQSRSTIRWFEHNDMDDLQDVMKAVAKEQANAKKLTRRFVVTEGLFELSGDS IDLPRLVELKEKYKFRVILDETWSFGVLGRTGRGITEAQNVDPQQVDMIIGSLAGPLC AGGGFCAGPKDVVEHQRITSSAYTFSAALPAMLAVTASETLNLLQSNPDILSQSRENI KAMKAQLDPRSDWVYCPSDPENPIMLLVLKPEVVAARKLGLEDQERILCDCVEETLAN GVLITRTKTRPYSHAVKPKDGAWFAQPALRICVTSALSKKDIEKAGVTIRHAITKVMT RKTSNKTV FPSE_10797 MSGYPGGGQRDHYDDGYGHPQGGNQQQGGNTDSYYQDDQYYDQG YDNRGHNNNNPNNNSNNNDGYYDESGYYNADPNNPYQHDGGYYDGHDQYQDGYYDNGQ GGYYDQDYDRGHQAQGARQGSEEDSETFSDFTMRSDMARAAEMDYYGRGDERYNSYND GQRGYRPPSSQISYGGNRSSGASTPNYGMDYGNALPPGQRSREPYPAWTSDAQIPLSK EEIEDIFLDLCSKFGFQRDSMRNVYDHLMILLDSRASRMTPNQALLSLHADYIGGDNA NYRKWYFAAHLDLDDAVGFANGLGKGRKKKGSKKKKKDPEASEAETLQDLEGDESLEA AEYRWKTRMNKMSQHDRIRQIALYLLCWGEANQVRFMPECLCFIFKCADDYLNSPACQ ALVEPVEEFTFLNNVITPLYQYLRDQGYEISDGVYVRRERDHKNIIGYDDCNQLFWYP EGIDRLVLHDKSKLVDVPPAERYVKLKDVNWKKCFFKTYRESRSWFHLLVNFNRIWII HLTMFWFYTSHNAPSILIGPKYEQQLNQQPSTAKSFSIVGFGGAIASLIQVLATLAEW AYVPRRWAGAQHLTKKLFFLLFILVLNIAPGVKVFFLEGIGGKKIDTAIGIVHFVIAL ITFLFFSVMPLGGLFGSYLATNNRKYVASQTFTASWPTLRGNDMAMSYGLWAVVFGVK MGVSYIYLILSFRDPIRYLSIMKVNSCQGDALLLGNQLCRWHPTIVLALMAFTDVIFF FLDTYLWYVLLNTVFSVARSFYIGSSILTPWRNVFSRLPKRVYSKILATTDMEIKYKP KVLISQVWNAIVISMYREHLLAIEHVQKLLYHQVPSEQEGKRTLRAPTFFISQEDHSF KTEYFPAYSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVMIPHYGEKILLSLREIIR EDEPYSRVTLLEYLKQLHPHEWDCFVKDTKILADETSQFNGENDKDEKNTAKSKIDDL PFYCIGFKSSAPEYTLRTRIWASLRFQTLYRTISGFMNYSRAIKLLYRVENPEVVQMF GGNTDKLERELERMARRKFKIVVSMQRFSKFKKEEMENAEFLLRAYPDLQIAYLDEEP PVAEGEEPRLYSVLIDGHSEVMENGMRRPKFRVQLSGNPILGDGKSDNQNHSIIFYRG EYIQLIDANQDNYLEECLKIRSVLAEFEEMKTDNVSPYTPGVKNNVSSPVAILGAREY IFSENIGILGDIAAGKEQTFGTLFARTMAQIGGKLHYGHPDFLNGIFMTTRGGVSKAQ KGLHLNEDIYAGMTALLRGGRIKQCEYFQCGKGRDLGFGSVLNFTTKIGTGMGEQFLS REYYYLGTQLPLDRFLSFYYAHPGFHLNNMFIMFSVQMFMITMVNLGALRHETVACEY NRNVPITDPLYPSGCANTDALTDWIYRCIVSILFVLFLSFIPLIVQELSERGIWRAFI RLMKQFCSLSLMFEVFVCQIYANSVQQNISFGGARYIGTGRGFATARIPFGVLYSRFA GPAIYFGARLLLMLLFATLTVWKGVLIYFWITLLALTISPFLYNPHQFAWTDFFIDYR DYLRWLSRGNSRSHASSWISYCRLSRTRLTGYKRKTLGDPSAKASADVPRAPFANIFF SEIFSPLMLAVVTILPYLFVNAQTGVTKQSNPNFRGKIQPTQSLMRLLIIAFAPVAVN AGVLAAMFGMACCMGPLLSMCCKKFGSVLAAIAHASAVIFLLISFLGMFILESFNFTR TVAGMIAVVAIQRFFVKLIVSTCLTREFKGDASNIAFWSGKWYSMGWHTISQPAREFL CKITELSMFSADFVLGHWLLFFMAPVILIPKIDTLHSMMLFWLRPSRQIRPPIYSMKQ SKLRRRRVIRYAILYFTLLVVFIALVAGPAVVGKLMGESLSKTIEKMIPMPGLVQPNY LHNNNTNSTLATGIKNPSYTGAGLTMTGSKRVQASATGKIKLF FPSE_12272 MARLAGVYSSSDDDLPDLKTLLQRPSTRATNKAAKPTDPTTTAR TSKAIINNPETRRVRRLGESKQPTANPLFSKWMSSESESVRGRRSKADSKKLPRETTP TSSFSSGSRKDMGSGSDSEEDSTMPQFRQQPSRGRNRRIIEDSDDSDNSEGSSASEKE TVLTRVRRLQSTKTSTVTVPNMGAKPQPSKVKSKALAFAREIDSEADTEGDHEEEDIE EPSVYQTADEDSGSASEPDWLNDSPEVPTRKGTRQFSNPPPRVAGAGGRVPSIKNAPT LLPKVPNGVADVSNSKTRNITKKPTPGVEVASSRKASQSDRKATSGTSTASDLANTLS KLRLQLEDFSDEDSKVSSKDQFTTPPSTPPRATNLGGLISPSKKIVIPRTPHHPSTDA FWNQDLVNDWNEQHSPRKLMLPPVTKSPKKASPKKDPKKETKKSFAARKHALAESFLL ELDSKITQGRITELAEPTGGVKLVWTKTLNTTAGRACWRREIIRTTRKADGEQISVNY KHHASIELAEKVIDDEHRLLNVMAHEFCHLANFMVSGVTTNPHGREFKVWAAKCSAVF GDRGIEVTTKHNYDIDFKYVWECDECATEFKRHSKSIDPKRHRCGSCKGLLKQTRPVP RGAAAGKAPSRYQAFVKEQMAIVRGENPGSPQKVVMKLIAEKWAKQEGRSGSKGPSKS GVEGIAETLVDLTIEGEG FPSE_12271 MPEFVSTPLYGGAITCDLPAKFADVSKLRQVPDNQEVWIDQDGF TSIIFDITERVGGSGSGPEVDGRAMTTHLEDMVGSDIDTVKIWNTAETEFTRLNGTPA YTLISTQTPHVSKSRDTTSAPDFTAIILTLLRLEKEKTDILITINVPHIKGEYDESEV DLELGRQGKLIGDAVEYSAKIWETFKIKDWGLFGA FPSE_12270 MSNCIFCKIIKGDIPSFKLFESDKTLAFLDIGPLSKGHALVIPK YHGAKLADIPDEDLAEVLPVLKKIVNATGAADYNILQNNGRIAHQEVDHVHFHMIPKP NEEEGLGVTWPTKPANMEQLKAYCEEIKSKI FPSE_12269 MRAQLRRTLCSYSPSIHSSQSLARHIQLRSSVLSSSLLRLPLHK PRATPALTRSLATARYLTSPRIRPTTLKTLDYQTVIAKRSHTTASGAAGFSSSGNPLL QANLLHSVVIAAAVIAIAVAVSAWTVGSSNNPPPPGDIEEEIAIMSFQVPPGRPGNLT PEQEEKLRKLWVAVFQLTGVADEESSGAEILSPKEETSSADADQKKKRGFGMFKKGKS GTSTPTESADEDKYNETKQFHETLAKETPETIRHTIWSMVKHDHPDALVLRFLRARKW DVEKALVMLVATMNWRHNDMKVDGDIMKNGDAFAVEDEKTDSATKQVSADMMKQLRMG KSFLHGTDKQGRPICVVRVRLHKAGQECEESLEKYTVYIIETARMTLEPPVDTACIVF DMTGFSMANMDYTPVKFMIKCFEANYPESLGAVLVHKAPWLFQGIWKVIRGWLDPVVA AKVHFTNNRSELEDFIAPNHLIKELEGDENWAYKYVEPSPGENDKMKDTQTRDRLLVE REELVKKFEQATREWIRHPEGEQGKQIKSEREKIAKLLKEDYWHLDPYIRARTLYDRQ GAIQSGGKTDWYSLKPPTAVASTSADDLD FPSE_12268 MSTTPKDTAKRSNSRSRTSRPTTPLRPSSRSSFRDSARGARHHD APFPLNAFEPAFAELSDAMADLETNMMHFQLMHESLARFSESFASFLYGLNMNAFCVD FPEGPVPESFRRDRTRQEQPAVTPSKSEADAEMTFMTTDGSFVENPPTTTKTSKFTTP EPPKRQSRLPAAPGRGTTARGRSTRGVGRGRPSGLARARGRGVR FPSE_12267 MGVTNKRTITKTRRKTRDVDQVKADMLSPKHLKQFMETKAAEDL PGLGRHYCVECSKWFDTDSTLVSHQKGKPHKRRAKQIAEGPYTQEEAEAAVGLRTDNG DQKRTFADGDNDIAMDA FPSE_12266 MLATRQVLGNMSRGRALAGAASGFRRMATVSDNPLDKKVRQNNW EEGNYINYKKMSENLDIVRSRLNRPLAYAEKILYSHLADPHGQDIQRGQSYLKLRPDR VACQDATAQMAILQFMSAGMDKVANPTTVHCDHLIEAQVGGAKDLARAVDINKEVYNF LSTACAKYDIGFWKPGSGIIHQILLENYCFPGGLLIGTDSHTVNGGGLGMATIGVGGA DAVDVMANLDWELKAPNVIGVKLTGQLNGWTAPKDIILKVADILTVKGGTGAIVEYHG PGTDAISCTGMATICNMGAEIGATTSVFPFNDRMYDYLAATKRKEIGDFARSYAHGLR PDENVEYDQLIEINLSELEPHINGPFTPDLGTPISKFSQAVKENGWPEELKVGLIGSC TNSSYEDMTRAASIARDALNHGVKAKSAFTVTPGSEQIRATIERDGQLQTFEEFGGIV LANACGPCIGQWDRRDVKKGEANSIISSYNRNFTGRNDGNPATHSFVASPDLVVAMSI AGSLHFNPLTDTLKDKDGKDFKLAPPTGDGLPSRGYDPGQDTYQAPPKDRASVNVDVA PTSDRLQILTPFKPWDGKDAKDMPILIKAKGKTTTDHISMAGPWLKYRGHLDNISNNM LIGAINEANDEANKIKNYTTGEWGAVPAVARDYKSKDIKWVVIGDWNYGEGSSREHAA LEPRHLGGLAIITRSFARIHETNLKKQGMLPLTFSDPADYDKIRPDDKVDILCTKLQV GKPLPLVVHPADGSKSFEVPLSHTFNEAQIEWFKNGSALNTMAKATKN FPSE_12265 MRPRLQNFNALRARSYLTRLPLFTRLIVLAIIALSIASLQSVWN LREWGALIPEEISITNAYRLSTFPLIHLNVIHAILNLLALTPLMERFETEHGTLTSLA LFFGPLTSIPAVVYVLIERCIFRANHGVLGASMWVFTLLAMESIQTYKSNPHFVIGSV NIPTWTTPLIMSLVVAALIPGTSLLGHLCGIAIGYVAGFGYAKLLAPPEWGLRWVENR LNLLKILPHYVSIDKTTYGRFGVLPTTNRPGPSGSAATELVGTTQRLGP FPSE_12264 MSRLLSSALSLRRDPRILKLPPYLSFLCIAVGVIWLFLLPLNEY SRRTYISENALLPGQVHTYFGGSEQNIFRAYRHEVDDVVNKSNYEVNDRLEKILTGVG LKVGRQNYTYNSAGHEYSGQNLYAILQAPRGDATEAIVLVAAWKNVEEQLNRNGVSLA LTLARYFKRWSLWSKDIILVFPPDSKTGTQAWVDAYHDAHNPDLVAPLPLKSGALQGA LAIDYPQEQSFKSVHIIYDGPNGQLPNLDLINSIVNIAGGQMGISTSIQKMTEHTGTY PDRLQTMLRGMLNQGLGYSASAHSSFIPYHVDAVTLQPYGEGWHDEMAMGRVIEGSFR SLNNLLEHLHQSFFFYLLMQTDRFVSIGTYLPSAMLIAANFTIMAISLWVKSGQTPAT EKSKEKDSATSVDAIQAGRDLFVPLGVVAVCQGLAAVPLYTFNHLPASLLSPAFAGFS VLSAILPFAISRLLTLFTKPTIQHFQLTKSFSLLVLGMCLSTLATLNFSLAFLVGVLS SPLSFVQPVKNPALRWSLAGLLNIVAPPTVVYAAAQLWHISIADLLKEASFGWNVWGM YTPVVVWCLWWPAWLVGMVNVFGEVAA FPSE_12263 MSNDAIADFLSEQRDEAPEELQPLILDFENFWERKLWHQLTDAL IDFFSHPESAPQRLQFYRVFILKFADKINQLKLVDLALKAATECDEEEERLSFLQSVA KKVDNENSQDAYVFALVAVAQAKLDLEELDGARKDLDTAERILDSFDSVENVVHAAFY DANAIYYQRKMDFSNYYRTALLYLACIDINELSPDERHKRAYHLSIAALVSTSIYNFG ELLLHPILDVLSKSEHSWMRDLLFAFNRGDLAAYDQLSDRVDSHKLLKSNSTHLRQKI YLSALTEAVFRRAPHDRTLTFATIAQETKVRPEEIEHLIMKALSLGLLRGTIDQVDGV AQITWVQPKVLDMKQIAAMRQRLLDWDSSVNQLGNWIESAGKDVWAA FPSE_12262 MASPLLAARVARKTAFNVAGKRFLSDISITRTGKPIMRVEGGRS SLGGHTVTVFGATGQLGRYIVNRLARQGCTVVIPYREEMAKRHLKVTGDLGRVVFIEH DLRNTPSIEASVRHSDAVFNLIGRDYPTKNFSLEDVHIEGTERIVEAVCKYDVDRYIH VSSHSANSQSTSEFYRTKGRAEEVARSLFPETTIVRPAPIFGFEDNLLLKLAGVTNLF TSNNMQEKFYPVHSIDVGAALEKIFFDDTTAGQTFELYGPKKYSMEEISVMVDKEIYK QRRHVNVPKAILKPVAELLNKVLWWHTMSADEVEREFLDQVIDPKAKTFKDLGIEPGD IINFTYHYLQGFRSQNFYDLPPATEKEKREEKKYIHVLDELSLSSHALAALAEFHAEK DAHEKTFQKLRTGAAPRAGAGLGVVEPEDEDPVAEDVDDQPLSMAAFTEDWNESQFWF LDETALALADQLLDGASSSSTIGVVSTPSVFIALKNRLRFWPVQDRPKLVLFEHDHRF SVFPEFVFYDFQRPLQLPLNLKGSLDSVIIDPPFFSNDCQTKFALTGRWLIKPKSPRV IVCTGERMAPIIDKLYSSVGVYPTTFEPAHAGLSNHYYCYANFESSTWDWRLDESH FPSE_12261 MSARPTTPASPKNVRVKSPVPGLPMFGCEHIQLLLSKNQEVMNS SITHYKLILRGIFDATPIVPQTSTLDGRPVTSLTSNYLCLQCPSTVTEEERLRHGTKK QHRFYVDSRSGCLYCQICDDYIWDPTLEELRVRKIGTGSFSGRKRKHDELFTGSFKDD PRFISNNTNTASCRANGLRGIYNAGATCYQNVVLQSFLHNPLLRNFYLSDGHQSSDCQ VPHCLSCAMDDMFQDFYALETTNGYTAANILSGFWISEKKAFENLVTTKEQDAHEFFQ FLAEELHERNGDGKKPEIGSEHSCNCIMHQTFYGKMQTTTTCQNCSGQSNSIQSFLDL SLGLDNVVQKKSKRTGQKKPTVSLQDCLDEEYIKYDKCEYRCNNCNGTQQAKRHTSIK RLPNVLSIQLKRFEYKHGRHDRAASKVDNEVKFPLQLNMMPYTNRIRNHDSRESLELE RSCTYDLLSVVVHVGELDTGHYTSYCRVGDQWFKFNDHKVELASLSDVLGAQAYLLFY IIRSLA FPSE_12260 MATQLIALPAVERLSPTCIRILGGNPGKFTLQGTNTYLLGTGRS RLLIDTGEGRKAWITSVRETLQRENATIDTALITHWHHDHTGGIKDLLSTSPQTRVYK HTPEDGQLDIKHGQRFEVEGATLTATYTPGHTKDHVVFVLEEEDAMFTADNVLGQGTA VFEDLVIYLQSLEEMKPLFKGRAYPGHGPVIENGPAKIAEYIAHRRQREEQVIRTLKT GPGDVETNDPASWSAWSAMDLVEVIYKDVPRALYPAACSGVLQILGKLEGEGRVAHEG EKWRMIADSRSSL FPSE_12259 MIYKKTTPQVSNPLFLALLPIVFAHGDSQDTGKMNMRMDHGSNT TQSPKHQGYPNTYFAHPEHSGFIYAHISLMVISWVFILPIAVMFSLTRSRFTLPAQLV FLASNTVGVFLGVVYNSHTPDLYPGNAHHKIGWIATWVICAQVLVSVVGYIAGAFPSD ESSRETRYLLPIPSQEAELPFCSGYHDDSPNRTFDDTGRGTEPNSPSLRSDSVSTLNG MGSPPQHPSKEYEDDQDLEELSLSSPAPQGTLARHVSKVATSRVWKHLDIGRKVIDRI ILPFGSIVLATGIATFGRFFEGDGIFNGLAHWVKGGVFFWLGIFTLGRWSGSFGELGW AWNVHPKKSPQGWRPSAELVESFLVFFYGSTNIFMEHLGGWGGDWTAQDMEHISITIL FLGGGLCGMLVESTRIRNLLNMNVQDIEPMNPHAVEDANEIKAPDTYELSLNPIPALV IMLLGLTMSSHTQHTMMSNMVHKQWGNLLLGASFARGLTYILMFLKPPTSVLPSRPPT ELLTAFGLISGGIIFMASSTDTVDGMIHYGLDAMFMYTVTMGLVGLLMAWTVIVLALK GWAMRIERRCIAG FPSE_12258 MSSKPTNQSSPEFTSYYLQRATQELSEDLDKVRNAEDFKADSIP FLVHALQQGADLFSSEDQNRVIAEPKRKEENA FPSE_10614 MGAASPLVATPLAGKNKTPGKRMPTPSSIDRLHKPFKCPGAAGR SPAVDRPSRKRRKVDYGGADGEADSDKPYSNDDRLAITTRDNNRYPVFEPKDKLLVFR KSFSVPLKNKDSTAYNPNRAPPTLGLRQGAVFVAKPLHDPSGEFAIVLYDPTIDDKPK DMPKAIEPTKAETPEEKLDAPLVHKSLAEILGIKKKTDDEHPRVPVVIDPRLAKILRP HQVEGVKFMYQCVTGLIDEKANGCIMADEMGLGKTLQCISLMWTLLKQSPDAGKSTIQ KAIVVCPASLVKNWANELTKWLGANAINPFAIDGKASKDELTRQLRQWAHATGRSVTR PVIIVSYETLRLNVEELKNTKIGLLFCDEGHRLKNSDSNTFNALNSLNVSRRVILTGT PIQNDLTEYFSLTSFANPDLLGTRLEFRKRYEIPILRGRDADASEADRKKGDECTAAL LGVVNKFLIRRTNDILSKYLPVKYEHVVFCNLAPFQFDLYNYFIKSPEIQALLRGKGS QPLKAINILKKLCNHPDLLNMSDDLPGSEKCYPDDYVPKEARGRDREVKSWYSGKMAV LDRMLARIRQDTNDKIVLISNYTSTLDLFEKLCRSRQYGSLRLDGTMNVNKRQKLVDR FNDPEGDEFIFLLSSKAGGCGINLIGANRLVLFDPDWNPAADQQALARVWRDGQKKDC FVYRFIATGTIEEKIFQRQSHKQSLSSCVVDSAEDVERHFSLDSLRELFQYRSDTKSD THETFKCKRCKPDGKQYIKAPAMLYGDTSTWNHFVNEGLKPIQDLLLRQECGEAEVSA VFQYISH FPSE_10613 MSADFWAGYLSGAVGIIIGNPLDVIKVRRQAQQASPPPVLSSPS RIAGETSATSQGTSFGTRFLRSYTSLVTGTAAPILGYGALNALLFVSYNRTESALNSV FSTSENLWNTWIAGSVGGLATWIVSTPTELIKCRAQLASPPMSSLAIARQIWRNEGVR GLYFGGAVTALRDSIGYGFYFWSYELSTRWLATKAEEQTSFQQEAAKVLFCGGLAGVV TWASVFPLDVIKTRVQAQTLGGPVETSPLLQTSGPLRTSRAGALQIAQEAVRAFIVNA VQWAVYEWVMLELGHGRKQAVFNDFRVEMVEAL FPSE_10612 MSTRRTNATEVRESIEAKTAGTDVEMKDAMDNDIDAEGDPDVDM DAEGDEDAEGEVDDEGRPDMYRLIHNLSTYLCSVEDDGEQLAAGFQRIPNRRTLPDYF EIISEPIAFSTIRGKTQKKQYSSFAEFVKDVAQICHNAQVYNRPSAPIFGAAVRLREI LVRELQKLVEKGHITTSDTQLPDLGELPPAEESPPVEEDEEDEDDEDEEEDEDEDEDE DDDDSDDEGGRRRGRRRRASGRKDQDKDYEDDSHKRRGRPPSVLTPNEARITSILKGL RKPRDAEGHLLVHPFERLPDKATVPDYYTTIQNPIALDNIKKKVKRKKYQSVDQVLQD LDLMFENAKRYNEDDSEVYKAAVDLQREAHMLAEQEKAKPDDDFRDEDGRLPLAEIQY HGQSWKVGDWIHIRNPNDLAKPTVAQIYRTWQDRAGQRWINACWYYRPEQTVHRYEKY FYEHEVVKTGQYRDHQIEDVLDRCFVMFVTRFNKGRPRGFPLGKEIYVCESRYNEEKF TFNKIKTWASCVPDEVRDKDYEMDLYDVPRRMKKIPSPIKHLLREDAKETDELPKPTW GSPNAPPIVGAVHRRPREINESPPPEPTPPPQAMSVVPLSDVGTDAGRRATMLPVPGA MPGDHSARHPSISYPGGPSPSPVPYNAHMTPHFQPATPGAQPPQVHQTPVPIPHPPHL GPQPQVSVRPVQYQPQPQHQQAGYAQGFVPNYGQPAPPMHQQTPMGTHITQAYNQAPA PPVARSPMAPTPGMPVQSGNAYNPPRPPEVYALPDNMNDALPRELRQTFQHDSAGRVL FFTAPPLERSHKGISHESAGLGHSVKYLAGRKEWLAEREKKRKERDEKTGDISQKRFE NDVVGAHEAGKAIVAQASDAMAKWLEKYDSDTQRWTDQTGLEGWREVTKANKEKRAA FPSE_10611 MRARFKGPAGTGILELPDDATVQALFDEIRIKTGISKFGVRYGL PMAMKSLEATQGDQIARSLGLHGETLTIVPEESSSASVETVPTGTPQSQVTASKASKK NESPEDVNVPWPQREGTLLLRVMPSDNSCLFTAFGGALQNQLSAQNLRRMMADYILQH PEEYSEAVLGSPPSQYCRSIQDPDRWGGGIELSILSSIFDIQICTFDVQTQSKIEFGE EKQDRCILVYSGIHYDRVAFSCTDPPYNSSTLPPEYDQAVWPTGDDDVLKKTKELIQK LNKAHYYTDTDGLILRCDVPGCDWIGSGQLEGQKHAEATGHVDLSEIQDEGDSILRKC DAPGCDFIGQGDKAVRQHRADTAHQNFSVIHDA FPSE_10610 MSSNENMSIDKDKLKEKLEQKLDVSHFDPNTVIRGAQLTLVGAH RALQNPALFTTDHYRQAAIAVVAGLAIRLVISVPIVGIKLLLWLISFFVSLDAVTWDD TLVNGLDFVAEYVLQVPFFLMALMRYVVPTLDNLFMQSLQWVDMTYVQKHSNEKPSEL RDMYYPNLKMYRPTDGSTHSESTAQAVSMFLYRFLRKGGISLAVFALSYTPYIGRFVL PAASFYTFNNAVGLGPASVIFGTGIFLPRKYLVIFLQSYFSSRSLMRELLEPYFARVH FTKEQKRNWFRSREGVLFGFGFGFYVLVKIPLVGVLVYGIAEASTAYLITKITDPPPP PQQMNEFTQGQQNWSNKHEFLNLSLANIDSVHTEDSLKKAK FPSE_10609 MDDSVDDLPHYRAPRRVSTSPMPRRDSVSSDTPDKDLVETLYSH PNIKIISFTATGRAFARSPATSNVDPPGTLSWSSQLERTIAVGPFRIYRAPRSVAFLS CGSALQPILRKSQCWCIDEVNSKFILQIRRPNYWRIELPVEDLEDQQRAEELREVLDK ILQFEKTECPFKRTFTVDLPEQVPVTILPWTPRAQPAMPDDAAVPSTSSRRSSFAGRA TTPTPLSDRLPMDFQSSPLVGRPTRAASCVVPSNISIYEEYGMHLDPLQPIGGNTSES STRPLDVVPERPTEHISSYPFLSSLPESYSSPSFASDSGAHHDGSSVFSTSPTQSDLS VYELHEGSGNRGGRMKARLRRRTAGFTTTRSATMPPHLMPTVDKTTTAASASRDPVTR SVSETSKKPSARAPITPKSSNNNNKGESYPLKSAQLDRPTNQPRLQRRDSEESFHSVE SWHSSGAPLHPSPPTSQAESAVDAKYESNETDALSVERCKRDKSSRSRGSSPMPCAWE SDLDSDDSESSSESAKAAITDTIDVETKTPSSTATPPQRPFITRHRATTSSLSVRRRA LSPLPPAANLFTPASTMERRPYKSRLETVKNLPMAIIAKTCEMIMGPPSSLIRLILRV AARIASGQWRGLVYGYGEDGEEIPVQWDYSEGEFSDWSDDENYQHDHRSGQGKRHSRH RSRNDNMTKETAAQKELHRRPSSSDDSRSWGVD FPSE_10608 MALILSRTIYRIVEYFSIAELRYGADFDPATISPVVRYEWYFYV FEATVMLINLIMFNIYHPRRYLPRNNKIYLSTDGVTEIEGPGYKDPRKLWQTLVDPFD VKGLVNGRGRQSERFWQMEGSETPQQSKASSRKPETV FPSE_10607 MGDRPAIYVHLEMGLSLPKIMSKDRHITYISKSMRDRANQFPRQ LPPTNTNYLNAPSQYQAGHQQMLRQTQPPQDQLQHQFAYHVSNVLPNTYGRDPVPAGL VQEQSYQPYRPQQVQHDIREYYAPVPSAATQQGTLKPDEVPRSSRSTSRGSHERGKSA PPPLNSSPWDMSPSHASESEPHLWKALPATPNQFRLGEGDMPWDSWTFPMGIDDNNEE DDQGEGGDGRIRSREASIRATWGPEFPGEYSRPSQFVQVDDRATGKAKDIQSLASALM TVDNGFEDQWWYQGPRLVNIHGNVMVPTAMPKSSFHPDHQQSSVGWAVSHEEAQRLKD QNDQHQLQQKRQEQQRRRQRHLSLQEQQFESFVPSNASLQPVSPHSHSGTGTADIVSP LSDYPSPLSNYGGLRRSLTTRSDELHL FPSE_10606 MLSRSIATASRMVPARVLRPRAHPLVMLPAMMQTVRTYADSVIK VPQMAESISEGTLKQFSKSIGDYVAQDEEIATIETDKIDVAVNATEAGTIKEFLVAEE DTVTVGQDLVRIELGGEPSGDKKEAPKEEPKKSESESKPEPKQESAPEPKKEPAAAPG KPEAPRQPEKKEPKSESSASSGSSMGNREERRVKMNRMRLRIAERLKQSQNTAASLTT FNEVDMSNIMEFRKLYKEDVLKKTSVKLGFMSAFSRACVLAMRDLPAVNASIEGPNGG DTIVYRDYVDISVAVATEKGLVTPVVRNVESMDMIGIEQSIADMGKKARDNKLTIEDM AGGTFTISNGGVFGSLMGTPIINLPQSAVLGLHAIKERPVAVNGKIEIRPMMYLALTY DHRLLDGREAVQFLVKVKEYIEDPRRMLL FPSE_11260 TLKILIIVYRELITVNRGFTYIGGVSYRKNIIRLLKVYIILIIL TFSSVNILECYRFLRYLLLLYRKILIKGSSNKVSIDFNLIKASYKVSSIIIIIVLLVI FPSE_07646 MSTRRAALSVITSRTAGPTIARYSELYMLTSVKHRSLYRRSLKL ALDWAVHRHLWRGQALYIRSLFEANRSVTDPRHQRALLTETEKLLESWKHPDPYTPPT APGGSKYERNLPSPVLDPPPHPVNRH FPSE_07645 MTGGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQ RVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGH VTIAQGGVLPNIHQNLLPKKTGKTGKTSSMEL FPSE_07644 MAPKAADKKPASKAPATASKAPEKKDAGKKTAASGDKKKRSKTR KETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVASEASKLAAYNKKSTISS REIQTSVRLILPGELAKHAVSEGTKAVTKYSSSTK FPSE_07643 MARNKKGAPAPRGAKNARGGRGGRGGRGSFRGRGNYLSTSPRVN FARDETVGFTLADEARQTSQHDHSAWGNSSLRSRPVTFVSAGPSEPLKLLDEIMDGAN QESETTGPNEEIQEEEDLDRSDEDELIGPDDLEEAIQERITVKDDDTLDAPCFFFDLK GDGLQEKLDKPKIEIPERPSSRSSTSSEEIILFKGRGAPRKPEPAPDIDMVQMQAEIR VVEQAITNESTRPEPSPAPEFLTESLRNSKPTKKEKKQKQRDKRKEIDRVKEEEDAIL ADYIANLKENTDVDEYFKELIQGGMYSDASGLTDANGQQRLTTHAHVTDEDQSDEDSE HDSEANNGDDPASDIDDETLARLLAGHELGYDLGMEDVNFGDSLSDSDSDGEEPTKKS KQQQMLVDDFDMMDWDRPSLRPRKGKGARAQINFNLSDSELEATLQTSWKNDRLKKSE RKRQREEMRALGMLGKKTNPDDLRIKYPDGMNMEQVGDEMRTFMISGDEQLILPPMDN HARKIIHELANKFKIKSKSIGKGDQRRPTLYRTGRTLPYVEAMFDQAFNRVNRKYLPR LDKKGKKGKRLPPVRGGASVAAATYQDGEVVGGSAPELGIENRGRAMLEKMGWSMGTA LGASDNKGIMQPVTQTMKRSKAGLG FPSE_07642 MERAMANVDLGPYRRIVQIFWDPEPTNDVVHDQPVWCLGRSYRL NGKKNTKADDHHPQTPPSVLKAETETQEAHDTAQPPNPPTNAPDTPPDSISSSFSSSL AYDDPVVDGGWPSGFISDFESKIWMTYRSEFEPIPRSTNPQATSALSLSMRLKSQLGD QSPFSSDSGWGCMIRSGQSMLANTIAMVRLGRASFDMAPVPVANTLASGLGHQRQPVV YTLTNSHESSIRVYSTGDGPDVYEDELMQIAKPPGEDFHPTLVGRPSSSHYFIGAQGS FLFYLDPHHTRVALPYREDPIEYTSEEIASCHTPRLRRIHVREMDPSMLIGFLIQNEV DWQELKRNVKHVQGKSIIHITDRNAVLGGSSEGRESAIDEVETLSDDDTDTIHEA FPSE_07641 MGAQQSSETSNAGGSAPVAKTCYYELLNVERSATDDEIKRAYRR KALELHPDRNYNDVENATRRFAEVQTAYEILSDPQERAWYDSHRDAILSGRDADGDGG NPTTFRNVRLTSAEEIMGLIRKFNAAVPFDDEPTGFYGICRETFEHLALEEEVAADND DLDVRDYPVFGSSDDDYEDVVKPFYNAWAGFSTVKSFAWKDKYRLSDAPDRRVRRLME KENKKMRDDAIREFNDAVNFLVGFVRKRDPRYLPNSQTHDERQASLRNAAAAQAARSR AANQERMSAFEIPEWAQARSDENGVEGDFSESEEESEVEILECVVCNKTFKSEKQLEA HEKSKKHTKAVQQLRRQLKREGAELQLDEASPQSQETVNQDGQGEDTTSSKYKEMEDE DEGGPIPVEQAAPSTNDGLQEGVAAHSTDASDDTDYAPRDIVEERIANASKYKSDGNK TNEDELSESVQNLSVDEPAQGKKVGKAKAKRAKKAAAAQTQQEISPQCGYCGESFTSR TKLFAHIRDEGHAALKPVTGGNGKKKR FPSE_07640 MKFGHDFKESLRAQDFPAHWVDHAIPYSQLKKCLKKVARELHEL GLDPETLRELLNPDATSPVALKYKLNDGSDSHLRPKLTVQVHLQDGVPIDASLAPNSR DFLNKIAINLPQNQWSHAGHTAKPQTHDDVQGVASPDTVAETAVAPSTETIDALADQA NEKLVITLADEKVNAVEKTVADAEQHNPSAATQNHVSNETTLNPSPADVTTGVYEIIE VPLTFDAEFFEMLQSDVNHLDALQTEEEKTMTSEIVALGKEVEQVVKPKRFSKTDLAR WRQIFELYLDAEIFFATHEQDHGQRTSQKALKQLQWFQDQVTKQNLVQDFKLPESKAA FTRFINLNASLLKNMQFQELNKTAVAKILKKFDKRTALGVARKFPTVVHSDKLLAGTI ARDVCAQMSQELVSKVPQLNDYLCPVCFSVAYMPVRLDCQHVFCIRCVIKIQRRKEKH CPLCRADVVLKASAMNLDYELQKYMKKYFAKEVKEKERANEIERGIEDYGPGYVHQEC CIM FPSE_07639 MPVIGLGESIPSDTAHAVSVSLPTWKANVGYEEGEDWVVNRMTT GYPRGIQAFAKEIVDRYGSPGQQAMLFPTPRVAKRCLDFLVQRISPELASQVRIFDFA LDKSKELSPVLKKLTSTISAVLYPSDVFPIAKQYWQHTGEGTSSRRAEFCHGLFKDDL LIPGVRTRTPPEVVQSRPFRGPRRYTRPGSIDGASSPIPTAPKRTSPDNPVESIESST FLEERFGRNLDLSMVERAKSAIRRRIAGAMAHEVDMNNGPLPAMTSNTRGIPSLEETD VYLYPAGMNAIFNAHRALLHARVPAESINFGFPYVDTLKILQKFGPGCLFYGHASPED LDDLEARLKKGERYLGLFCEFPGNPLLTCPDLTRIRKLADEYDFAIVIDETIGTFANI NVLPVADIVVSSLTKIFSGDSNVMGGGLVLNPDSKYYRALKAAMEEIYEDNYWPEDVV FMERNSRDYESRVVRINANSDAICEVLRNHHLVKRIYYPKSNDSREHYEKFRLPDGGY GGLLSVVFRHKEYAQAFFDALPLAKGPSLGTNFTLVSPYVLLAHYQELEWAESFGVDP YLIRVSVGLEDTTELGDIFTDALKAAEAVTVTG FPSE_07638 MTVPFVEVSHLASSSSFYSATLQILGLRYLNESRDPSSSIGTVT YGLTAGVTKTGGKHRQALTDGRGEEIKGPQPVLQIREVSRPFDPVRISTVVIAVPAPG QIVDFYEAALTANPWLAVQADSDPTYSGSPRLGIDGGEPPRRATVRDLDGNTIQVVYR QPTCPRDYYGRVIEWTYDGASHSTYKTTIHTTRKIHTRNRSLSQPQLQPVASARSSSS SIDGLPPFQPRQSSAKHGPNTVTAAEMAQRAAAHPEKEDEPVSVSPRQSSNNNGLSTT TVVGAILGVAAAGAALTYGFASHAAKERERKPRQEYEGQAPQLPRRSTFPEKAPPVHK LHQEERRTVYGDYPPNASQYPPKALPYRGHGGFPTPDVYPEGYPFQQNMQNDYAPRKM SHHNSYPPKNLGYTEEDSSEDSPDEPPPRQIQYLTQVPYNEENPWASNTRARSRSRTR SVAKSTARSVAANSAAPSTRTVTKSTARSVAPSRARSPSQAPDEGYETTRSRRPSRQP EDTYEFDETRSRHTSRVRDDGFEPRSGRSSRPPPSDVYDRRSRRSSRPPPEDGFERSR RSSRPPVTEKPRSMRARSEVGAGRSKSVVLADDLQSEVPFSRKYDDRQSHAGSRPPKS SRSVVRGNRPTYDDERTYVSTRSRPSSRAPRRSSRTEVDDDIMEAPEVPEVPDAPEIP LDPNIYEVPDDMMPRGQGRSRYNMPRMASGPEYQHMGFPGKAQSYVSARDVCLPMSGV GSSHAEWDDDMVSVAPSDSISCVGMKPSRRSRKIR FPSE_07637 MSSPERRTAGVKRPRTQSLPPPSLPQLVAEQSTPIPPTDKDSQR LIVVLSNASLETYKASHGGTSRNREDKYSLLNSDEHIGVMRKMNRDISDARPDITHQC LLTLLDSPINKAGKLQIYIHTAKGVLIEVSPSVRIPRTFKRFAGLMVQLLHRLSIRST NSNEKLLRVIQNPITDHLPPNCRKVTLSFDAPLVKVREYVESVNSKDSICVFVGAMAK GEDNFADSLVDEKISISNFSLSASVACSKFCHAAEDVWDIM FPSE_07636 MSSPVAKAARRVTTELRGVVVSAGLMEKTVKVRVGGQKWNKTVN KWFADPKHYLVHDPNSSLRTGDIVSIAPGWPTSQHKRHVIKEIIAPYGVPASERPPVP TLEERIADYEAKKAAKDERRADRRQEEENKRREEKRLVNEKKEAKRQAWEEAQQKHKL DTSTSDVD FPSE_07635 MLRNRALAVLQKTYDDSYLSCSTAIYYEGQGSEAEAMRHWRAAL DQIYDYNANRAPPSYSPRTDTEKALQEALKQLELQCKERIDLLEALRVSRQEDSTPPP GKLTKRPSIPEGRGSLGQGTITAMQYSELSRPSLPHRPSQPRRTSSELAIVDGPSAHL DPNLPALPRSASPGGPALPPRPNKTLRTPSPEKYTMRTTLRSGKPGEKSTKPRKASKP LAEGSSKAATLAWSALGSRDRFTRGTQSEFTPPAASPNSRTSLEQTRRPAPTQWDSHS RRLVVPRDREFDGDVSGNSRHSDEYCYARPSMLSVSAASSALNSSSYQDLPSSDVYTT RDERPSISYNAIASPSPRKVSKQELANDIETADDSGEGSERRNFSNNLPARSTVVRPP ARPQKPSKANTEVGDRSRRRSRKTQQTSVSSTSDDDTNRSGPKPRRVKAKETPVEADS GEETSDISESEKSPDDLTEWKNKKKQILKTLPPGVDSAAAKQILNDIVVQGDEVHWND VAGLDIAKNALRETVVYPFLRPDLFMGLREPARGMLLFGPPGTGKTMLARAVATESKS TFFSISASSLTSKYLGESEKLVRALFGLARTLAPSIIFVDEIDSLLSQRSGSGEHEAT MRIKTEFLIQWSDLQRAAAGRETTEKDKERGDANRVLVLAATNLPWAIDEAARRRFVR RQYIPLPEPTTRETQLRTLLGQQKHDLSNEDILKLVGMTDGFSGSDITALAKDAAMGP LRSLGEALLHMTMDEIRPIQLLDFEASLTNIRPSVSKTGLKEYEDWAQEFGERGG FPSE_07634 MAPSDHSLSLKRLPSRDNPSSGISFTDSHPQPPATPKGLTPLTS KVTSVLSTTHSDAEFRDALSLLDERGVRNDAESRRRVRLDLQREVIDSNGEVIAEFGR VAEQLRRIKTTIDKLNNGYETMKSQVVEAHRQTLPALSESSSLLEQKRQVEVKQELLG AFRKHFIMSDNEVAALTQTAEPVDDLYFDALSKAKKISKDCEILLGFEKQTLGLELME QTSKNINLGFQKLYKWIQREFKTLNLENPQMNSSIRRALRVLAERPSLFQNCLDFFSE ARERILSDSFYTALTGTSPSGAEDPSVKPIDMLAHDPLRYVGDMLAWIHSATVSEREA LEVLFVAEGEELAKGLKSGRDAEIWRLAAEDDEAEADFNALKALNDLVDRDISGAARV LRQRMEQVIQANEDTIPAYKLANLINFYRITFQKTLGPNSNLVDLIGGLETEALRQFR ALVRDHIATLQGEFQHAPSDLGPPSFLQDSLKQFKAIAKTYDSSLSASEDRESEFENV LTEAFEPFMAGCENMVKNMSTQNGGIFLINCLSSAVACLEPFEFTQRRTKQLREKSDE QSKGLVTSQYQFFRRGSGLDTIFSKLEEDGDKNAISLDEKALAQASQVLDDFLPSALM DAMDNLKHLQDSKLARQITEEAAEQFCNDFEQLEEAITEGDSESVDSEEDEGLRSIFP RTTAEIRVLLS FPSE_07633 MASNIFSRIKSPSGGSQSFYQQLRSGEDPEYDPGLDEENLGHRF DDFQAEGMDIGDSRMTVESVAPGSKGKGKATFRSTAHARSSGITSPRWQQDDEGDNEV PASLLMEPKDLDPPVSPPNKRATNPGSSRTPANAGPSSARTRAQWEAATTQQQLHQDH PYTTPMGRQPIPVARGTMSNNPREKALWRWVNTSNLDSFMRDVYDYFEGGGLWCILCA NALWLLETLFVAVLLTFLSQCIDYSRVPDSRSLHEVIVPQCTRKMTGLWNFAIWLYTF FFIWKCVQYFVEIRRLTYIRDFYIYLLDIPEQDMQTISWQDVVARIMALREENPKTAT NISPRLRQFMGSQSKERLDALDIANRLMRKENYLIAMINKDILDLSLPIPFLRGRQMF SKTMEWYLQYCILDMAFNELGQVQQDFLRPDRRRLLSQKLRQRFLFAGFLNLLFAPVV LAYVVIVYFFTYYYEYQKDPKQAAARKYTSLAEWKFRQFNELPHIFYERLHMSYPFAT RYIDQFPKRITEAVARTIAFMSGAITAILAIGSVLDSELFLNFEITKDRPVIFYLGVF AAIWATTRGMVSEETLVFNPEYALRNVIEYTRYVPDHWKNKLHSSEVKQEFSELYKMK VVIFLEEMMGIITTPMLLLFSLPRCSDQIVDFFREFTIHVDGLGYVCSFAVFDFQKGP GNTGPQGPRPDVREDYYSTKHGKMAASYYGFLDNYAANPKTGIPGHLPPGPKPSFHPP PSFPGIGSPTLAADMQGSHIGRTGTETGRARSRAPGGRGPRIGVMPQPSPMASMLLDQ HHQPPGGNMVARSLHASRYPRGYRGESQIIEETEASSIRRNGEDDELYEPGGALGESV WETSPARGVTRENSAANTEDPEAGVLGLIYQLQQTQRPRRGGGMV FPSE_07632 MNDTSYIYYLPTKVGRDKRPVIPRIKLIMTAVPSLPRTLLNRDF SHNLTTIDPPSRGTKSQQTKEIARIATYWPAFPSLPDTLHYLTITVTDILSHSRLLHE KVLVKGTLLHHSFLMFLGYV FPSE_11026 MAQPSAEPVEEEYDYESLPPNFSLLQNMAAGAFAGIAEHTAMYP IDAIKTRMQILNSSNTPAYSGVIRNTVQIARTEGFFSLWRGMSSVIVGAGPAHAVYFA TYEAVKHAMGGNQAGVHHPLAAATSGAAATIASDAFMNPFDVIKQRMQIQNSSKMYRS MFDCAKYVYRSEGLGAFYISYPTTLSMTVPFTALQFLAYESISTAMNPTKTYDPMTHC LAGAVAGGFAAGLTTPMDVIKTMLQTRGTSTDPQVRNVNSFIGGCRLLYQRAGVSGFF KGVRPRIVTTMPSTAICWSAYEFSKSYFIKRNDAA FPSE_11027 MHINIAALAVAATTLLASANALSPQDIPANLPVAQLLTSAQSHL SRGETNEALVYYDAAIARDPTNYLTIFKRATTYLSLGRTSQATDDFNKVLSLKPGFEG AHLQLARLRAKAGDWEVAKTQYGLAGKPPGSAEVVELEEAKLAAKLAEMAGKGEKWDE CVSHAGTAITVASRSPHLRELRAHCRFELGDVEVGMSDLQHVLHMKPGDTSPHIVISA TSFYALGDMGNGIGQVKKCLQSDPDSKVCKKLHKQEKKVEKAYKKIEGQLGRSQPTTA GRALVGTADEPGLVPDVQQQVKELKENKSIPKNARIQLLESLIELTCQAYTESSHKDA AKYCDESLQMNPDSFWGLLHKGKAQLKSELYDAAIATLQKAAELRPDQKDKVNPILNK AHIALKRSKTKDYYKVLGVEHDADERQIKSAYRKQSKIFHPDKAAQQGISKEDAEKKM ASINEAYEVLSDPELRARFDNGDDPNSQEAPRNPFQQGNPFGGGGGHPFMFQQGGGGP NIKFQFGGQQFGF FPSE_11028 MAHHRLLSEDSAIFSPSVARIAASTARDWSYIDAWLSSKFHPRP APSFERNNDTLKALLALASANEAADEERNLVAKAEAAALQELTDSEANAENKSRPLRG GLIEAVQHNLPADGHTALDTMADVALQFGVAFPEPEMLGQRMIQLQSSIHDAEQMKAR VEALHKHIDDEAARIDEILENLKSEEYQPPAHLAKQNLEMQRKIKALSTKLPELQDKV ASLAASSNSSHPTVADLARDEQEYLSVVARKKELDLQLASFQGLPSNPDMARAELEGL QQELRSIESQRDAVFEGLVERESPVKRRR FPSE_11029 MDANIQRALNDKLYDKRKIGALELERVIRDLVSVKDYQRVHDIL EQLCNEYAYAVHQPHARNGGLIGLAAAAIALGPELPRYLAKIVPPVLACFTDQDARVR YYACEAMYNIAKVAKGEILVYFNSIFDQLCKLGADSELSVKNGAELLDRLVKDIVSES AASYVSILEAPPEFDSDDKVSFGENSHLPTAFSLPRFIPLLKERIWVINPFTRQFLVG WITLLDSIPDLELVTYLPDFLGGLLKFLSDQNSDVRVATQNCLDKFLNEIKRIARIKK GILESKRSKEGAKRKRQDSIDSESINPDFEEGDELDSDAALDDDDDSSGEDWIPGQDV EINYKEILQILTATLDSPLEEDCLLESLRWVVEFLDICPEEVLPFTPKILAHMLPAMA STKETIHQAATRVNTCLMDYVVSLSDDSELTQPPPAQPPQNFSTSRLPVPGEKGADGV NSNRASLSSSRDLDLRSPTPAQGPSISTPADINITQTQADLDYAAAVNSLTLLFLNDH EATRVAALTWLIMLHRKAPRKVLAFNDGTFPALLKTLSDPSDAVVTKDLQLLSQISRN TEDDYFANFMVNLLQLFSTDRKLLETRGNLIIRQLCLSLSPERIYRTLADCIEKEEDV EFASIMVQNLNNNLITAPQLADVRKRLRNLETRDGQTLFVALFRSWCYNAVATFSLCL LAQAYEQAYNLLQIFGELDMTVNMLIQVDKLVQLIESPVFTYLRLQLLEPEKYPYLYK CMYGILMLLPQSSAFAALKNRLNSVSSIGYLHTAPRTAAATTSTSNFDRPNRLKSREE GNIRWVELLEKFRSVQERARRAQRQSMDGDEMPPMGVSELRIGDGAVDIKGKEARGVG LQPIPQKEPAPAPPVPAKRIGLGRQFGRLGGAVAGKGRRNQ FPSE_11030 MAHSSFVTGLPPTAGNAPEKTPKLMSRDDIEVMIAEGKHITIFH NQVIKMDAWMPYHPGGDKAMLHLVGKDASDEIDALHSYGTRQHVLRYRIGRVEGTWEN LLPPIQGGVHRTREEIDRANSEGDLNKDQDSSTPSTRVPSPVFDEDEAKGVRQRRGRG DKMKKDGARASSISSASSVDEPEMDGMSYLDTITRQHINLDLDKYPPPDKETQAKIAS KYRELHQKVYDTGLYDCNYKAYGIEFLRYIALAVGSAVCLQYEWYALSAFLLGALWHQ LSFTVHDAGHMGITHNYLIDSCIGALIADFMGGLSIGWWKRNHNVHHVITNAPEHDPD IEHMPLFAVSHRLLGSLRSTYYERVMSYDAVAKVLLRVQAWTYYPLLSLARFNLYRLS WDFLLMGRGPKKGPALAIWWLEITGQVFFWTWFGYGLVYSMLPDNWTRFYFVMISNIT ASPLHVQIVLSHFAMSTVELGPQESFPQRQLRTTMDIDCPEWLDFFHGGLQFQVIHHL FPRVPRHNLRATQKLVQEFCNEVNIPYALYGFANGNQQVIGRLAEVSRQAAILSKCQQ SIQNGDLSHH FPSE_11031 MLSSRLISRAIARPAFQRSTLPSVVASPSLSRWSRGYASAAEEK DLVVIGGGVAGYVAAIKAGQEGMKVGFTNLRVTCIEKRGSLGGTCLNVGCIPSKSLLN NSHLYHQILHDTKHRGIEVSDVKLNLANFMKAKETSVSGLTKGIEYLFKKNGVEYIKG AGSFVNEHEVKVDLTEGGETSVRGKNILIATGSEATPFPGLEIDEKRVITSTGAIALE EVPETMTVIGGGIIGLEMASVWSRLGSKVTIVEFLGQIGGPGMDSEIAKNTQKILKKQ GLEFKLNTKVVSGDKSGDKVKLEIDSAKGGKAESIESDVVLVAIGRRPYTAGLGLENI GLEADDRGRVVIDSEYRTKIPHIRCVGDCTFGPMLAHKAEEEAVAVVEYIKKGYGHVN YGAIPSVMYTHPEVAWVGQSEQDLKSQNIPYRVGSFPFAANSRAKTNLDTEGMVKMLA DPETDRILGVHIIGPNAGEMIAEGTLALEYGASSEDIARTCHAHPTLAEAFKEAAMAT HAKAIHY FPSE_11032 MTSATELQQKIFKRMGLDVPEYKPPRSGRPKAGQQVTRKEQRKA QRVQKKSHTYARPAQERPQSASHAQKKSGGPQRKPPVNRPQSRPSKQISEDEEDEDED AGDMSLGEDGDVDDLFDKDESEDGDGDDDDNAEMTSPHKSANKSVSKAVQERLAQDDA EIEAFERKLGIKKGRKSLPQSFKEDGLGDLMGELSGEDDLSDDDNDNKKRKSEYDDWL ASKRRKTTETQSQSKSLEMDDLDKGSAKEDDDLDSMDEDEDDVGDFDDESFGGFDDDD AAENGKPAEPRQRENPYVAPTAGVAVAKYVPPSLRKAAGSEAERISRLRKQVQGLINR LTDANILSIVKSIEELYQNNARGDVSDVITDAIMAQICKPETLPDQFFVLTGGFAAAT YRLVGSSFGSVLIRRIVENFGSHYEQASKELSAESAIQKEASNILTLLTQLYVFEVVT CKIIFDYMERLLSDLNEINVELLLRICRMAGRILKQDDERTLKHVSGVLNQSVSKAGF NNVSARTKFMVETITDLTKGKRKARGLDFTVVSEHVQRMKKRLVELKSQARRLNGLSP LAVGLVDIEEAETRGQWWLPSASVPNTLMDKSKKGRTSDTEMSDHEDMDIVLPDYPKK ARAQGLNGTPQIAIFTALMTASNFEHAYRQFVNLKLKKDDQLALATVLVQCVGSEMQY NPYYALVGGKACLLNSRIRFAIQDRLWKIFRSLGESMFGEAPEEDETADAERMKDERR ITNVAKFYAALVVDGTLSIVILKPLELPEANHWASMFVQLFIIALLKECRTKNKDSKE NKLEKIFGAARELPGLAAGIHWILRKKIRKTKLISAKELKKLEDVSEKAQTTVRPAAI EI FPSE_10358 MADSHMSHDEFFAKLGELFDHRKGSDHGSIYLSQKRLIYGQDIA QPSEEEPDINPSKPLPLIIKATNGKGKRDRSKKVKLSTVVNPEDLEAFYVRYADVCKS GMTALKPRDRSKKKAKAKKKKAAA FPSE_10357 MKFSVFTIALSTLAAASPTPDMEHEKRILGLLKPKSSNVPFTFT STWEVVATPDQVVNADNEFTGGLKGGKGLFKFGINSHEDIICYNITLYGFRGDYQSPA NTATHIHEAPKGKSGPPRIAFPNPVGDEKSRNAVGCLQGPFRTGVMVEGQDSGVGFTV AEIEKNPEKFFADSHSSLAVPGAFRGQLNTGRVC FPSE_10356 MPSLCAFPRQIGLLLLLLPAFFSRTSSALTVAATATGSESALFA LAALFYPKHLSSQYPSFCTTLAVSFNNQASDMASALVLRPANNLQRERSRSPRRRSRS PERPHRRRSYSPRSRSGSRDDYRRNRDRSPMTSTGAPGGGPSAGYGGQAPHRSYEERA VAREQMMNNIRESSQQDRRVYVGNLSYDVKWHHLKDFMRQAGEVLFADVLLLPNGMSK GCGIVEYATREQAQAAVAQLSNQNLMGRLVYVREDREAEPRFIGATGGNRGGFGGGGG MPGHFNPAYGGGAPGGGAPGGGGRQIYVANLPFNVGWQDLKDLFRQAARTGAVIRADV HIGPDGRPKGSGIVVFESPDDARNAIGQFNGYDWQGRVIEVREDRYAGSGPGMGFGGR GGFGGGMRGGFGGGFAGRGGFGGGRGGFGGGFGGRGGFGGGAPGGPGFEPPASSVPPN PFTDYATAGSDRGEIIYVRNLPWSTSNDDLVELFTTIGKVEQAEIQYEPSGRSRGTGV VRFDSAETAETAITKFQGYQYGGRPLNLSFVKYLNQGGNDVMDTDPHGGLTQDQIM FPSE_10355 MAASTRSLPKTSVLRASRLTQGLNSRRPFHAYDHPPPPGPFGNA EKSILAAAYKHVPELGFSQKALGRGARDAGYLDISASVIPDGAFGLIRYHLTTQREAL AARSKEALPGADQPSVAARVEALTWERLMGNKEILGRWQEALAVMAQPSYVPESLKEL AKLSDEIWFLAGDKAVDPSWYTKRATLSMIYSTSELFMTNDRSPDFVETRRFLQRRLG EVKSVGGMVGSFGQWAGYTLSAGVNILRSKGVRI FPSE_10354 MAPTKRSRRDAEDDEITDVQRARSNYGNGDARKRARVTLDDERT IEQTPRREETPSDESDDDDDDRRQRNPPPQTQYEMMRDDGFKHLEHADWDDQQATQKL AQRGAALGNNMVSESGIIESITCFNFMCHERLHVDLGPLINFIVGENGSGKSAVLTAL TLCLGGKASDTNRGGSLKSFVKEGTEHGSLVVKIKNAGSDAYQPDIYGETIIVERHFS KSGSSGFKIKSANGRIISTKKQEVDEISEWYALQIGNPLTVLSQDNARQFLNAATPAQ KYKYFVSGVQLEQLDNDYKMSQDTLEKTLLLREDLDSKIEQVKKEMEDAKRLSETAQK NKTMREKARHYRNQLVWYQVVEQEQSLAEYEKDLVRRAQTIIDKERYCESTTEELRLT EEKLEQRRQTKEELEQDRGSYEESIVKATEAHREAAKDESELLMEERDAHQRLKTIKD DIKACKFKIQEEERRLSQSTGNARSEKDNELEQARDKESLLRQQMEEIHNNLPDLQTR LSDAEQESKKLSYSKDIKRKDIVSVEQQVRELKATTGGRFDGYDRDIRDVVSAIENEQ GWEQKPVGPIGAHIRLSKPEWSGILERTLGEGLNAFVVRSKSDQTRLSNLMRRLRLKK QPPIYIAYGGKIDTSSLEPDPAFDTILRVLQFDDDIVRSQLIIGNQIEKIILIRDREE AQKVMVEGVPPRNVGACLCFHDGQNKRGWGLRLTNRNGSMATSPVQPYTMRPRMQTDT GQQVRIQEDNLKHLGLEMAEINRKERQAQQAVQRCRTELDNQRKDNRKLEGDLRRTQA DIERIQMELDAFEGVDGRLNILRAELESRRSDEESLGNQYGEMALSKRDLKAKTEKAR LKLEEAKNNQEEFEGKVQKASQKIATAENMRRVAVAKKNDAFEQVDIEKNERRRVEEK REHKAIEVAQFIEQATEAVPERVHIPEDETYDSIEQKYTKLREQLKQREARIGATDDQ IFDRSHEAKDRYESVQRQTKDLDDTIACLKRAIHSRLYMWRQFQRQISARIRIQFNYL LSERGFRGKIDLDHRNRKVHVQIEPDETRKSSSGRNTKTLSGGEKSFSSICMLLSVWE AIGSPIRCLDEFDVFMDNVNRAISTNMLVDVARRSVSRQYILITPNAIEGRARLDKDV KIIRLTDPRQRTLDDFR FPSE_10353 MSFRSAIIEEEETSQESGQTEETEFKNHLPDNCVEYLLFYLDPQ LDARKQLSQIENIRKSAIDLANTLTKDYIWQKDEFNLALKNESGLLHLHGITDYSDAV EDEWLIVYILRELSKSHPNLWIRVFDTDGEFLLVEAANVLPRWLNPEIDNNRTWINTG HLLLVPVKDEDGLKTRNLELPEAVEIIRAKREALVHSGFVEAEAFYRLEKYPAQIGES IHHSLVTIPRKLACVLHSLPKSVAPAVETFYLRDPIALKRVISPSGPPVFPPQDLITT SARFSKVLFAQLRSQRFDTPPSWADVLRKAQKEVPSGESDKVMARLDIGMKLTCGFEM LAAKADKSKNRAVRELAIVIEDLEEDGIDALPSDEEIKSWKDAERDDSEAWMDIDYQE FERELDGKRGNNASDAKTGFGDANTQSDLRKIVSRFEAFLNDDSAGMDGAELDEMDYD DEEDDEFDDDSESEDKEVSFDEEEFAKMMREMMGLPSTDSNNNTPKKTKHKPEPPVMD EEDEEIQKLTTEFEAELNEHGALKLDPAAEKQPRLKNTSQKEGETSLPNIEEEGGEDS EDEVDIDYNLAKNLLESFKSQGGMAGPTGNLLGMMGFQLPRDEDEENDMDDDDEAGGS SSKGKRKAKA FPSE_10352 MTRLSYQCTLRDDGSLPLLSHCVAQKSCNLVHDNIPRSARDLAF TESSSTDPTPTSPLIPMAAAPPESSVLSLLSGISPRLSVKELEVIPSARLQRLYNVKV TEGPSLLLALPPPPVIRLLRSEKSTLGSEAAVLKWLSSGSRERKVCSSSVTKESMSKT TGLVLGSEAPDNMLTAYLPLLVRHESVSGMSPVEYNLVRPPRGAAISALSKSLSSSER RIVDFQTGQLLRRIASQVSPTRKFGIAADVLSVPPSVVHHPPRRFEGSLSESKGADSW GVAFHSLIESVLRDGEDLTIMLNYKNVRYQFARFAHLLDAVTKPRLVVIDAGEDSNTL VYRICETSKKPKSPAEDLKGVPESKPARGAPRRSNLVRKRRPDYRIVIHDDTSEKEDR ATPEDSVGKQIELTGLRQWSNCIFGDPLIASVFSKTPSPSDEFWRGFDNQIPGQDTAS PNIIEDRENAHIRILLYECYHALVALVGEYYRPQNDSSKKELAARKHLASVLAQLEEL DDMGRQRRRRPSGEMSPAKRPRSGQESEEDSEA FPSE_10351 MSDSAGKRKQGPGGNGQPFKKSKGGASGKWQTAHQKAKKSEQME LGRTLEVEDAGIWVTYARGMRGKAIREFKAVCDEYGESLFGVQAPKEDGEGDDVEDGG DIEASIEKELASLSQPKPKTKQTFTAIGTGLDCVFFMKTVKPIEPLQLIAKICQDAKD CPDPMQRKTKYTNRLTPVFDTDKATDKGIERVARTVMGPHFELKKESGEDAPTAEAVS SNEETDGSAACTYAIRYNIRNHTAFKSSDVIKKIADLVNPKHKVNLSNPDKVVLVEIF QTFCGVSVVDGKESEELKRYNLNELYKVALDGKKKESKPAAEKEAAAST FPSE_10350 MSNSSLQKPIDVAEYLFKRLYEVGVRSIHGVPGDYNLVALDYLP QCNLRWVGSVNELNAAYAADGYARVTKMAALITTFGVGELSAINGVAGSYSEHIPVVH IVGCPSTISQRDQMLLHHTLGNGDFDVFANMSSQISCNVAKLNKPSEIAEQIDTALRT CWLRSRPVYIMVPTDMVQEKVEGARLDTPIDLSEPKNDPGNEDFVVDEILKAMYAAKR PVILVDSCAIRHRVVEEVHQLVDKLDLPVFVTPMGKGAVNEDHPNYGGVFAGDGSHPP RAQTIVEESDLLLTIGALKSDFNTTGFSYRTSQINSVDFHSTHCKVRYSTYPGVAMRG VLRKIIDKVDPKSMPAPSIPEVRNEVEKNNDDSETITQAWFWPRVGEYLIPNDIVVTE TGTANFGIWDTRFPRNVTALSQVLWGSIGWSVGACQGAALAAKDAGKDGRTILFVGDG SFQLTAQELSTMIRHGLKPTIFVICNDGFTIERFIHGMDAVYNDINNWKYKDLVSVFG GEKTCKTFQIKTKNELNELLTNKEFNAAECLQFVELYMPREDAPRALVLTAEASARNN AKKH FPSE_10349 MSSDPNDQQQAVAPASLSEGGSAGPPKKIPKGVVLGPDGKPCRN CTSFAAWASQTKSTLKQDAGAKAQRPPAECPPDVEVLGRSTWTLLHSIAAQYPERPST GQKSDLLSFVGLFSKLYPCWVCAEDFQGYLKREAPQVNSRDEFGKWLCGAHNDVNRKL GKPEFDCSKWEERWRTGWKDGRCD FPSE_10348 MQNSSASGANNPEQHLRAQLELLKNHDATGSSSPTSPAPRDTRH QPLQPAPARPSNGFDHSPNPQDQPRALAAKGEVEAHIHPDLRARANNAPTATMMPIVP PSGHSPGASAGPSNAPIASMPPPHMSPDDHGDGRSKAKRELSQSKRAAQNRAAQRAFR QRKEGYIKKLEQQVREYMDMEQSFKSLQSDNQALREYIVHLQSQLFDATGEYPPPPHN VDIAQPAQQPPAPAPTPAPAPAPAPAPAPAPIASAPTEPALEAVAQAVAGLAAQEQIE RQQYPTAHE FPSE_10347 MRPIQTSARLPSLFRFASSMAQPRFSAGTDSASVTPALNALLTS EGGRWTLAKDGTALERQFKFKTFGKTWDFMTGVSLQCKIKNHHPEWSNVYNTTFIRWT THNPAGLSDKDIAMATQCDALAAQLGELPPEPETLSTAEVGDQSCAIRGLADQAAGAA GDCCTPKRK FPSE_10346 MDALNATSFASFNQTKDYFVVLEEVSKYNVQLNMVEKLWAAWYL WMQNDTLATGIMSFVLHELVYFGRCIPFMLMDFIPYFQQFRIQKQKIPTIKEQWDCAA IVLISHFTAELPQIWFFHPIATYLGMDYGVPFPPVWKMALQIAICFVMEDAWHYWFHR ALHYGPLYKAIHKMHHTYSAPFGLAAEYASPIETALLGIGVVGCPIVLLAITGELHLF TMYTWIVLRLFQAIDSHSGYDFPWSLRHILPVWAGAHHHDLHHEKFIGNYASSFTWWD YCLDTEAGADAHKRRREKKLAAIKAQKQQ FPSE_10345 MYFDDTRLGLDPNTNKIGRSKQFQPSTPSFLMNTTSCRKVISRF PTFGSSPASHSCYVELAQALEKLALTLSPSLSARDLTPSSTAAQPPSSPVRIPVIRCS PSGRKSPQLLPQSATHPGLSAEPSDFLEPPLPSHFLPSPAQGCYSYDQVRRLDAYLDR LPVLSEVTSEDEHSETGEHGFAVTNAFSTPRLDHLLRTIQSLSTTSSSQPLLPAWRIR DLLISSDIPAPGTLSVNETQSDGAKSPYENELEWLLMSKATIQLHGVVLNTLLDQILP LNDDVWYWDEVLGSYSYSSLYAVQTSPLRVWAWSQEVCTATKLRIQAGSLHHAPGELV DSTTSSLSQQWSQFYGIVQDSVRERSIANIQRRVLSPVALSRSEARRKQAQLKKLREI TASGLGVLMDEGLQFGLDDDKADLQDHHDLKGVVERSVALIDMVLKEVSTLDVNISDF EDKVFAGVEEDPELSVHVEGSNTADRPAILARRLLSIIDKSLPEHFTAMRALAKENGR PGAFVRYWIPATIGLLSSTTVLRILVNRKADIIEWFIGFGETIRDFWFNWVIEPTSKI ISTIRHDKTSEIAIMSRDSLKADRESLERMVVDFALDKPHFATEAGSTLTDIQVDDIR HKVAEGDVTPVLRAFEKDLRRPFVGTIRGDLVRSLLIQVQKTKVDLEVAMTGIDSLLK SQELVFGFVGLTPGVLVSYSMFQYLRGVFGGRSGQRQTRKAGQAIRVLRNVDRILSEA RPTETNLLSYKDHGLLLAEVHILRNLVDKLMPREIGREFLEDLDDLSNMKGIQLQTRS LERIRWAYARWLH FPSE_10344 AVLCRREPNNSYDRNAIRVDNVVGDQIGHLPRKVVEKIAPYVDR GDIVLEAQLTGEKAYYDCPVKLFFYGSSDPQERSRIEESLKKDKLVKATELKSTRKEA EARRKAALGLMNGSSTHGVGSELAVPQKPEITMDNVLQKSEAVEMRKGGDAIKSLAIG EDELEKMPMAEQPEDLKAQLLPYQLQGLAWMTSREKPQLPAEGSQDSVQLWLHQSKKK FFNVASGFVTSIAPKLLSGGILADDMGLGKTLQIISLILTGGKGPTLIVAPVSVMSNW SQQIKRHVRGDKQPSIITYHGSEKATAKQLQGYDVVITSYGRLARERDQGVKRALTSE DIKWRRVVLDEGHTIRNSSTKVAQAACEINAESRWVLTGTPIVNSVKDLHSLVKFLHI TGGIEQSEIFNAQITRRLAVGDKTGEKLLQALMHDLCLRRKKDMKFVDLKLPAKKEYV HRISFRKDEKRKYDALLDEARGELEQWQAGSQVGQKGRFQNVLERLLRLRQICNHWTL CKERVSDILKLLDEHEVVPLNDKNRGLLQEALRLYIESQEECAICYDNPNDPVITTCK HVFCRGCIIRAIQIQHKCPMCRNKLDETSLLEPAPEDAGDEEDFDAESQSSKTEAMMQ ILKATMRKEGSKVVVFSQWTSFLNIVEAQLKADGMGYTRIDGSMKADKRDKAIEALDS DPKTRIMLASLAVCSVGLNLVAADTVILSDSWWAPAIEDQAIDRVHRLGQTRETTIFR LVMEGSVEERVLDVQSEKRELVTKAFQEKNSRNKKRQNTRAADISKLLG FPSE_00812 MSKSTKRAHDFVDLTGDDESETRQKRPALNGPASQHQHQDRPGQ GGTSVYGGGSSSQNAPSSTAEPDYLDLTQDDEGPPLELYGTFHGKIVGVRYYAGYASP G FPSE_00813 MSAPTSTASPPPASDLPFANLPSSPAPGRNSTTALSKPAYASDS DSDGQARGGRRSQKQQFVVPLPTSTGLSQPAGQLLKGATDENGNQKSAALLVGIKLDL EAEVHLTARVKGDICIGLY FPSE_00814 MSPPSPSSSPNVDSTYSSSSFTSQPNQNSHSAQSNLQHLLRSAC KQKLLEEVDKLKEAVQNDDKDKVIMAIPMLLALTVCPAMLGTQEAIRQSQSKTKREEH RGRRCNLVVSCVKPSIRSRDINNKLVVLKDSKLYIANEHPFYNHDPKNSISKGYAFSG YFLPFPDSEYEGLVTTISDDPPFLNWIYIDKNTYEVKYGVRADTEGHITGPFDCTKQD RRMMCESWEGFCAVEELPGIWALYYDRDDDGLRSKVAMGTRVLEVELTRREKKEPKPV PDPNAPKTVDEKMKQHKEQTAKEEAEKAEFMATGRHPGAEPPSPAQKPEVKKNTFLDP DSKEAKKQRIADALSRLNIDSPTKSEGGSDGSILTSLSQDFSIFSLAKKMTDTTNNDE EATVASSVWGGEREKGNDKDKGHKKNDSASEAGSYRKPTVEEG FPSE_00815 MSSSSAEQRSDLEEEQVDQEKPQRKTKTKKSKGERRKRRARFDD YDDEQDNNQMSQNNFNAQQQQMQQQQQQQQQQQQMQQQGGGGGGKSDALSLHLELNLE IEIQLKARIHGDLTLCLL FPSE_00816 MTRTTLLDRDCVVTDRGGIIENTHGVHIAVTDIKGNVLYSAGNP SRMTLSRSAAKPAQTVAIIETGAVEKFGFDDVDLALMCASHNSEDFHIVRAKNMLSKI KAEEKDLQCGGHPAVSEEVNRGWIKAGFEPTGICNNCSGKHVAMMAGAEALGAEVLNY HQFDHPMQLEVKRVVEELSLDPKQVGWGVDGCNLPAPAYPLYDLANSFAIFAEAADVV KNYGSTTQRTHNLARVFDAMTQYPEQVGGTGRFCTVLMQSYKGQLFGKVGADACYGVG IRGSADTRRLGSTSALGIAVKVEDGNLEILYAVVAEVLEQLGIGTAEQRAKLDGFHHL KRKNTMNVVTGLVKFNFQLLPDAVA FPSE_00817 MATFRLFTALHVLLLATFIQITSAASPKAHTLNGTYTGKHLSGW DQDAFLGIPYAQPPTGNLRFKWPQSLNTSFDEERTATEYGHSCMQYTQNWTMSEDCLS INVIRPSGKPKKLLPVLVWVYGGGLYAGSSADPQYNLSGIVKVSQDIKEPVLAVSFNY RLGMWGFLQNFSLLKEGNANAGLLDQRLALRWIQENIEAFGGDPERVVVWGESAGAQS IAYQMFSFDGQDENLYRGAILESGGTTGAQIHDLSYYNVAFENLTRTVGCWDKKDQLS CLRGLDEKALYAARPSLTWNPLIDGTFLKGYPSQLIQEKKFVSVPSIIGANTDEGFCV GAANTTEDLFYEAFRWRNYALSAPTIRKLLELYPDDPCHQPPYHITNCSRPVGNYQGR RSCAIGGDIAMISGRRKLAELFTESGKAVYSYRFDQRWYQRSEWEGVKHFDNVAFSFQ NISGLLGPSPQYDSHAELAHNIGQAYVRFVHSLDPNPRGSSKEKVPKWPKYNMSKPKN MVFNATKNRVEDDTWRKEQIEFINSYEVARELYG FPSE_00818 MNFESWPLWQKRSTEFTNTLNNSVSGIIFIKTGQLKAGTPCVVN GFIGQLSVSASDFSVLAISVTTLLTVTRHMYIPTTSKTRKTLICGAIWTMPLITSLIP TIMGEMEPVGGNWCWISSTRSDLRYGMTHGWRFFIIFTTIIIYIYIWIYLRRHLGPRN KKTRQTISFSTHNTNSVFSKGSKEMGFRVMREDTLELDTFGTFEPNILPNSPTMFDQR RSFWNTLQRDKIEEIQDAGLETQEPHSSRQPYTNVLQTNASEFPQRRGTRDVEVEIKR MMLLNGYPFILSDLPTRQHMGLIII FPSE_00819 MTGKRTQDKDNSSRVPASGQDLTAPAQGDNEPAKKRRRRILSCD ACRRLKTRCEIEYGSDTCSRCRNLRITCLKSDDLEKPNGSNETNANTQPDLVKSLHEK VMNLESSMQEMKTQLAKLQSQPQREPIQPRTEQQIISLAISSNDRALVEQVEPADQHV HAAPAEVIRRVACQVVGDYRRAFHTKEDVVSIGMLSAATADSLVKAFIKRRRHVLFID SESDLVTRGTLIQTSPFLHAVCCTHEMRYTYRNQPDALKHRQVYEHCEAEYVDSWLLS GHCAQQAMLCIQFSDIIKRNSAGTSTMIDLRSIRLWANVCLVHLHWSATTGRPSILPG SYFSQCRNILNFEQTTIRDAMLLAEISLYCTLQKTGCGKPDFASDGSCEKFTPWNHKW GYLLELPTGLILNLSYWIANMILAKRSLDEIEALALSAPTSASPQTPHGSTAQFQAIP TKDLQDRVYELSFRVTLAFVRIPSSSSGDLPEFHSLCVAYSMLILCQYDELPSSIPHT ELFSVLSEVKRRCSESNSYSVAVEFSAERALERLRADAHPLTTAESMGQQTQLNGPPN QSQNSGINKSNVNINEAGLDNLDFFFNGGYLDILDIDNFLL FPSE_00820 MRVLALLAAALSVSLVEAVPAHKPAQKEYVEYLISTFSDPTPEV QWHLSDGKSASSFNFLNGGKPVLTSNVGTKGVRDIFLATNSKRSEYFTIATDLDINAQ GFSWDWATRNGSRGLVVWSSKDLVNWSKPSLRIIESPTAGMTWAPSVVWDDATNQYYV FWASRHYATSDTQHKGEATLDKIRFATTKDFVKFSAPKDYHAPEGTGLIDQEFQYLGK PGHFVRFLKNETVNQVYQESTATGLFGTWTRVPGYVRPESPLEGPGSFADLRTPGLYH LLLDDYTQYISFQTSNILSPTWEKSDFPNFPRGLKHGSVTPLTKKEYDAVVAKYGK FPSE_00821 MAIGIFSNPAIIDHSGIPHVGPCQRNRFDELVVRLKDALGPSSG LASEDVDVDYLQQLMEGYDSSDNQWSRYAFGDSSRGYTRNLVDEGNGKSNLLVLVWSP GKGSPIHDHGKAHCLMKILRGDLTETRYAFPENNQEEGPMKAIAETIYKSGEVAYMCD DLGLHRVSNRGSDFAVSLHLYTPPNVAKKGCHIFDENTGRRSHVPGCHYYSAYGRLLK E FPSE_00822 MPDCNSTTSTGTVQAACNGSTPKPCESNLSCKEETGWRRVIRNF TPSWFAVNMGTGIVSILLHNLPYNAIWLQYISYIFFGLNIVLFTVFTALSIARYTLYP TIWSAMISHPGQSLFLGCFPMGLATIINMMVFSCKHWGDWVIYLAWSLWWFDVWVSVA TCVSMPFIVMHRHRPGLENITAALLLPIVPAVVAAATGGIVAEELPNHHHALTTVIAS YVLWGIGESFSAIVLALYFHRLTIHSIPPKEVIVSVFLPIGPLGQGGFGIQQLGKVAM HVVPKTNAFGEVAVRAGEMLYVLGVFFGIVMWGFALVWLSFALISIAMMPNVPRNLGA WGYTFPLGVLATCSNALAENLDSDFFKVATMIISLAVVILWVVVAARTLKLAITGEMF HAPCLKDLREKSQAAGSDRRV FPSE_00823 MDWANHSSPSRLDSFVDELVDVWHLHRTPILFTICIILVAIRIY FQFNGQKTEVIAFKKAYEEPAKPKVAVEEVKVEQVEIEEKTPTIEPVEEKKNAKSQGP RRIKGGLARKPSKKTEEEKDISRPVVPLVFFCSVTTKTAKIAQAYVEKLGGKLDELSK QSGRQFLKPETRDLTEIDFDDYFLTPYKAHGDADLFYLFLIPSYNIDTINDTFLEHLQ ETHHDFRIDTAPLSGILGYSVFGFGDKENWPTEADGFCFQAKEVDKWMAKLTGRKRAY PVGMGDMQSDHEERLQEWTQGVEEVIEYVARTGSLGEGLPGSGAADESDVEDIAEDED DGEVIIEDDATDKKTKGGRDLDDVEDLGRMIRKDDGSTVQKAPLAVDFTNYGSSTKKA VPQGPKKMVAKESPTYKALTKQGYAIVGSHSGVKTCRWTKSALRGRGSCYKWSFYGIA SHQCMETTPSLSCSNKCVFCWRHGTNPVGTTWRWVVDPPDLIFDGVKTNHYKKIKMLR GMPGVRAERFAEAMQIRHCALSLVGEPIFYPYINEFLGMLHAERISSFLVCNAQHPDQ LADLKAVTQLYVSIDAADKESLRRIDRPLHRDFWERFNRCLDILREKRFSHRTVFRLT LVKGFNVEEEAEGYARLVEQGLPCFVEVKGVTYCGTSTSSNAGLSMSNVPFYWEVVDF VKALEKRLKEKGLKYGIAAEHAHSCCILLASERFYVDEKWHTLIDYKKFFELLEERGA GNFTPEEYMGAPTPEWALWGNGGFDPRDDRVDRKGRKIEAS FPSE_00824 MVQTSALVTASVATAAAAILGYVAYFDYQRRNQAEFRRNLRRNE RKQARVAKEEAEASTQQQRQSIRSRVQEANEEGFPSGVEEREAFFNEQVMAGEMLSQD PSKALESALAFYKGLKVYPAPSDLIRIYDSTVPKPILDILAEMIAFDESLDVRGPASP AGINLSDIPNVGLD FPSE_00825 MEDRNKISLRSGRRKKRPTISAPRQISGPIAQDDSNRPPLPGAD PSQASARPRPRPPPMAGGKTSDLVKRRYSTRFNQPSSISNGGAPPMPQMPSLANYEPR ETVAAARKPPSRSGPGVAPVIDIKGLRDPKLKPDRYVQAALSDATEDQIREFEESLRQ VKTRVGTDLQQSVMQNRTQFIKISKEAEKLKSEMRNLKNFMSELKVNTTAMRAAAAKS DEPMPSDLGVAPGISSRRDRRTSIADRSAMWNSQMQALYKGVEGSQKFLPNAVGRHVV QDAGPWIELDNATYKSRRAMQIFLLNDHLLIASRKKRKADAPGADARGPMMKLVADRC WPLLDVEVVDMSSTGESSNSGRNKLADAIMVRGVGQESFIYRTEKLQDPEKKQLLLNV RKAIEQLRKGLRSEMEANNKARETINYFASRDPGLLQKTELLATLSDIKDMLIEVDGK QQNLRWVESEMDDLDIDVAMQRFEDAVVRVEKLKAIARGLKNHAIAQDFINFKVNERC VRLANMIGRELEMTHDNNTKTRRNVSWLTRLGFEDSARESYLAARSGTIHKRTRQCIF QGDLHLYIWELSFVYFMVIHNTVQCFQSCFPPPMMSVCVKWAKEEVDAFNIILARQLS STEPRGQVWTQCMERAKEHSKLLSEVGLDFENLVGKNLMIYEPIDQGPSVGLGLS FPSE_00826 MEDVGRAPAEASPVLNFEPTTIPTLDGWIESLMSCKQLAEADVQ RLCDKAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFKIGGPNPDTNYLFMGDYV DRGYYSVETVTLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKY FTDLFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIRGLDRIQEVPHEGPMCDLLWSDP DDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLIARAHQLVMEGYNWSQDRNVVTIFS APNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL FPSE_00827 MSSQNPSDMPPQGPPYLPRTAGLGGRPIPSVDDPICGVILAFFV TGAVLNMTILQLNRRRSHKFILSGLLFGFCMARITANVLRIAWASNPTNSSIAIAANV FTNAGVVLLFVVNLIFAQRILRAYHPHIGWSKPASLAFKFLYFSVAASLIMLIAAVVY NFFTLDPHTKQQLRDIQLTGSTFLTILAFLPLPIVGLCFVLPRRTPMDKFGQGRMRTK IQLLVFTTVLISLGAAFRTGVSYKIRPANDPAWYHSKACYYCFNYVIEIIVVFSYALS RFDRRFHIPDGSHAPGDYSTNKKAPQDAIYRVNTEEEVFGEDERPRTTEQNQQMPRQW DANLKEEREEETV FPSE_00828 MRKSLSVTKKWAIERARCVAQEPFDDARLVFWTDASMSRGSVGW AAVFREDRTWVRIMARGSGGDSSHIAELQAIDLALDCTIQLVEKKTENGKGRLQVLEV YSDCQAALLCLRKALRDLSALDIDNEDRRYKYKTIKSQVTQKIALEMGRKVIKLQEVG VYAEFHWVPRNKAIGNILADKGAGLARMGVGCDCTLNNALIEFLPADPVGEGDDNEKS VCLDHVYATVPQKHMAEEITSTLRERAATVKEILAEMAREAAKEKEREATWQRLAEAE PERPEVGIDWHSDIFAIDPELYLPLPEEITETTGGETVDFIGQSPEVENDWGNDILLI DPQLYLPLPEDMTGVVTSKVAAEGATDTCTGE FPSE_00829 MTVEGTAKLFTPAKVGNVELKHRMVLAPLTRLRADKTTAVPADF AAEYYAQRSSDGGLLVSEGTFIAEEAGGMSRVPGLYSQEQIAAWKAITDAVHAKGGYI FCQLWALGRVANPNVVPNVWSAGSKPFEAKGAASPLPKLTTMTEADIDRFVGHYRQAA LNAIEAGFDGVELHGANGYLIDQFLQTNSNDRTDSYGGSLENRFRFPLRALNAVCDAI GPERVGIRMSPFSRFQGMREADPLSVFVPWAKAIVKAQPSLAFIHAVEPRIEGGSDSP DSLLKTDDTLAPIRDVVSSSNVKFIVAGGFNPETAVKHANQTDDFVGFGRYFIPNPDL PARIQNGWPLTKYDRSLFYTPDRKGYTDYPAYNPDASRL FPSE_00830 MAAPSQNTGPRRARQSRKHIASKIAAFALLSASPMLVTAQDSKC ISLKDSKECPAFTSASISTGDTIRNFFPFLQYVSDRASFDSQLSSFVEREYVQRQYQS LFGCKDLDLTNTSALYARFTTSVLCNAIVQNSIEPCGLSATQSRPLCADDCADFAQSE VYLTSDDDICSNPSDGLLQLIRADFTNCALPGGSLDSSTCIKAIDNESENCGYGNSTI GLCSYCGSSGLNSTDTCCYNSNAEDRCKDVQLPTLAATITFSRESPTASATESSTADE ATDKDGGGGLSGGAIAGIVIGALAGVGLLGLALLLCLRRRRRPGSPKGSIFNQPSPAR QGPTAMTQATTSTAPQGYEVLPGGRIARMSALEGHSGNSPSHHRDTSSAAGGVVAVGG YQRRGVDNSSSDEFASSPPSSETRGGILRPPPARPRRTGSLSSNSALGSSVPQSPSSA GDFSSPQGVASQQSEQLPFFKDYYSQDDIHPGDKIAALWAYQPRAADEFSLERGDMLK VVGIWDDGWATGVMLNERADEWEARRQAQRDSGVSNASGRRDSSPAAEGEIKAFPLVC VCRPEHWRKTIEGDGSTESGSNAFAAF FPSE_00831 MADVKANAEALVPKFKLDRVLNQDQAGRRISLYGSIDDNPALLI LERAPFSTSQKYLADVPAQLARVQNLGANDIYHWYMGRSGAETTKPDDSDFFADLKIN LIYPCTEAHIKKYSKQGVRFVTETPEIYMNHIRPYMLSKREQGRLNWVFNIIEGRKEV EDVIYRTKLGEAGDEGFLMLPDLNWDRKTLDALHLLALVERRDIWSLRDLRKKHIPWL QHMKTRLIDATVKTYPSIEPDQLKLYVHYQPTYYHFHIHVVHVRLEAGATQATGKAVG LESIMETLGAMAGDDEAGMDGIAMSYTLGEAISTYICGPFNTVAQETQTIAAIFLDST FVQLLEVDSGFEGAVFDKGSAGDI FPSE_00832 MDEKQPSQRVMSPIQARRSRPTMTLIVMAIVTYTFWIWQPFRPL IDDESWVHITNDDIQTTDGLVPLEAHIMSKCPDAKDALELLVLPVMQRVHDKVNFTLS YIGRYIHSQLPPSCLN FPSE_00833 MTSSLSIEEFLEPLSVDIDKIEQLSQNFLETFEKLASDSENQFL PTPISGAILRPEAKRRQGCHLAIDIGGTNLRVGFVQLGNDASSATSDQLNGHVGNGPD EGATTRQVRRRLEKSWPIDEHLKNENPDSLFQWIGQCIAEVVQDGCKTLQLQADQPMP LGVTFSFPMVQHSISQATLMPMGKGFAISSDQDLGGRLTDGYNRAKSPDLPPIRVEAI ANDSVSTLVSFIFNFHEASNRRACMGLILGTGCNATIPLKLSQLSPSKRPPSVVARPE ERAEDVNIAINTEWSINGTAPPLYDVGLVTRWDRALDQQGELNSFQPLEYLTSGRYLG ELGRLMLVDYLTEHLGIAAGTLPKALLQRHNLTTTYLSHFKPLQPSTLMTSLQQEFPE SSGSPPFGWTEEIATALYRIAKAIEVRAAGIVAAATLALLTLAGDVPRDGAHALDRDC ELGVGYTGGCIVHFQDYLQDCQDFLDELLSKRFGDESPLRVVLSPCHDGGVTGAGILV AAASQSRTIKN FPSE_00834 MSPQKTSPVSDAPTTRPVPVPVRTNSRGSESDQDVVDPTAYEAL SRSFTTGAHFLQPESLESAMLRPSSYKASHHLHRGVDEEDQGAVTEGDDESEISTDLD DEGPNEETPLVRHQSRRLSLTGRSTTSVDVTPSPFLNNTSPTRFWFIFSQILAAYFIS CFDGTIMASSHPVVSSYFNASNSASWFSTAFLLTSSAFQPLLGRLSDALGRKPLFVGA MGIFAASITWSSLAGSIESFILSRAFCGIGAGGVMTLGSIIVSDLVPIENRGAYQSYI NMNYGVGSSLGAATGGAIADSLGWRWEFGIQVPPLLICMVVAWIAIPDDLGIQGQRKS VWQALREFDFRGSLLLTTAITSVILGLTLGGNVMPWSHPIVIASLVLFAITSPLFIWV ESWVHKPIMPLHLIYKAPRANLVASNAIAALIANSILFNIPLYFQAVLLTTATSSGLR LIIPTIAASATGTFTGFAVTYTGRLKWPVQIGAICTLIGTIGLASLQRDLPSWVYLFI LMPSSIGQGFQFPGTFMAILAASDQSEQAVVTSTLMLWRSLGQVLGVASSSLVVQNAL FHYLKQFVTGEDRDEVIRRVRESVEEIIKLEPKYREQVILSYEAALRLTFICCIVVAL ASALIVAPIKLPRLGVRKHK FPSE_00835 MPVVKGGVWTNIEDEILKASVSKYGLNQWARVSSLLARKTPKQC KARWNEWLDPSIKKIEWSKEEDERLLHLAKIMPTQWRTIAPIVGRTANQCLERYQKLL DEAEAKESSLGLAGPAGGETQAPSADDVRRLRPGEMDPDPETKPARPDTIDLDEDEKE MLSEARARLANTQGKKAKRKARERQQEESRRLAALQKRRELKTAGINIKVTSRKKGEM DYNADIPFERKALPGFYDTSEEQAQNEAQRAAFDPRKQQLANKRKGEGEEDNDRKRKK TEKDGSSESHKAALKAGQLQKLREAEQSSKRRSLVLPSPQVSEGELEDIVKMGRMGEA ANLRARESENDATRGLVNTYSTLDSATPIRTPRAPEQEDHIANEIRNIRALNDTKSAL LGGENTPLHEGASSTGFDGIAPRKQTISTPNPLATPMRGDNVAATPGRPGQTPMRTPR DTLSLNQDGGMSMVSATPRDMRMRDLALRNQLKSGLASLPKPKDIEWEFDIPDEEKER MQVDEMTEEDAAERDRREQERRQVEEALEFRRRTQVMQKNLPRPAHVNLSNLMKQASS ITDVAESLIAKESANLMANDAMRYPVPGGQVSGAPKPLQQFSDNALSEARLLILSEAR PLPKFEAIQTAFEGRASSSLLLGLGCYNDDDEEEQGVALKAAFDAVQDDIMATAEAGA KLEKKLSLHLGGYQKRQKMLRDKMGDASDALEKARVALVGFKTLAISEDVAVERRLGA LREEVGFVNRREREAQENYRKAKEELDTLMATGVNGVH FPSE_00836 MAKNDSIHEEETAITNEATHLLPSSSASQDGISETSSTTPAWDG LKDFEGLPWWRKPSVYWLLGPYVIFTLAFGGVVVPKLDLILDLVCRQYFADQHSHNPD KVFMPVLLGTENPQCQIPEVSANSAKFLLVMGLFTGVLSAFVTPKIGHLSDRYGRTRL MALASVGGVLNEFITILVARYPDIIDYRWLLLGSIFDGMTGSFTTGSIMSQSYASDCT PPSKRAVSMGYMHACLFAGLAFGPLLGGYFVKWTGSLLSIFYVVMGCHFAFMLFVWLV VPESLSGRRQLIAREKHEKGKEMRSQTSSSWVATLQNANPFAPLKILRPTGPGTSPAL RRNLIALAIDDTIILGASMSAGAVIVLYCGLVWHWDTLEKSKFVSLISFVRVVILMGI FPIVNYFGRTRPAARRRRESGAAAVEKNNGADELDIIVLRVALVSDVVGSLGYVFAHS SGVFVASGMVTALGGLGSATGQAIITKHVPSERVGQLLGAIGMLHALARVFGPVLFNG VYALTAGRFDQAIFVLLASFFGIALAMSFTIKPHVVWEDQPEEERQPLYPTNRTRTLS SDQVPIDEEDQLRIQ FPSE_00837 MTSAGPAGRTIKFGPFEVSNQVFLSTPHSFALVNLKPLIPGHVL VCPHKPHKRLTDLTPVETADLFTTVQLTQRLMARAHFRTPEPESGSFSIAVQDGADAG QTVPHVHVHVVPRTAGDMETTDAVYVKLAGEEGNVGGALWDRELGARPQPGGGMSQVD DEDREPRSAKEMMEETEQYKAMLKEMGIE FPSE_00838 MAMLRTGPRDPDAFPAMQLFLLAIVRLAEPIALTSIFPYAWALV KDFHIGNEEHASFYSGILISAFSLAEAAMGTYWGALSDRIGRKPVLMIGSLGTMLSML MVGMAPNFGIALFGRALGGFLNGNIGVIQTMVGELVTKPEHEPRAFSIMPFVWSIGTI VGPSIGGMFANPHDSWPEAFPAGGLFQRYPYLLPNLICSGLLLVSIVLGFLLLEETHP DMQNEHVPAIRCHPVEETPLTLSTNRMATEVHADAYGTIEIPSDISAKDWGTLCVEDE KETADLPTKTWNRQVVGFIVALSIFTYHSMTFDHLLPIFFEEKRTDAVEMLQGASLFP FYSPGGLGLTLRDVGVVMAIDGGIALFIQVFIFPWAVQKLGTYRLFLLVTVLHPIIYI LMPMLLLVPEILIYPAIYGCLIVRNILSIILYPLLMILIKEATPTASALGKVNGLAAS AGAACRMIASPIAGFLWAVGSKSDCSALAWYGSAIVAVFGAIQCFAVPRQRSEGPSEE APALPCKQTVVVTEIEFYDSD FPSE_00839 MHIEEISDKMEESLKVSQPQQTTPEAQPSAADATKPQLPPAHAM ASGKTVDEVWEDLNKSPLFMTDLDANEENDDIAALQALAYEGTPLENGQEFKERGNEY FKIKNYVDAKEFYGKGVAILAGEERKRARGEQTKNQEGVMDTEVEIQKQRETLEALYV NRAACHLSVKNYRSCWLDCAAALRLNPRNIKAYFRSARALLAVDRIEEADDVCARGLS LDESNASLRAVADDIIKRAKEIDARRKRDAEREAKEKRRALLIKAALRARNIPTRTTP QPPEMEDAGIALLPDPDDPRSTLAFPTVLLYPLHLESDFVKAFEETHSLEDHLSYIFP LPWDKEGAYTTAGVEAFVETTQGGLLKMGKRVPLLKVLGTGKVEVVDEVVRIFVVPKD KAEAWTKEHKAKRAAEKGKAS FPSE_00840 MLSLKGLLNPAPSGENASSFSRPSHTPEFPPILNNRVSSNQPQG RVVMPTDRAGFKDASNLAKSKLRGQVRFPPHEHLDEVALQEIRRHRVTPFGNIHETCL HIPYNSGKKDFFEKTGRESFEVFKYEFVSLDHGTEYAVMWDYNIGLVRMTPFFKCRGY GKTIPAKMLGLNPGLKEITHSITGGSIAAQGYWMPYRCAKAICATFCHPIAGALIPIF GPGFPAMCIPPGMPEYGRMVINQQIIIDATREADIWRMQMSAIPPKFHSPTSFPRDDR NLQHSIYPPEEHRHRYRPRLVCDPAWALESDIDRHYMSAPNSASSTGSGLPAYMVTSR PGSSWTPANRPSPEPNPWLSAIPRNPPLHHEPTLPHPAWGSKRRLENEEWRYNYRGSA SPNMSTSSVAMAATPEASPMRQRVVRGPTIGADQAQQSAALLLLNLRQQEQEASVSTA VTSPPNINMGNSIEEHRSKRQRATSP FPSE_00841 MDSDESMFSLEDESDGFVPETKAKPKKAAAPKKPAAPKKMVQST LKTKAQPKKRPTPESDNDDASVASDASNTPPKAKKQKKEADDDSMAIDSPAKPAKQKT ATEMYTKLTQLQHILKRPDTYIGSVERTEQQLWVLNKETQLMEYKAINFVPGLYKIFD EILVNAADNKQRDSSMTYMKINIDREAGVISVENNGKGIPIVLHEKENIYIPELIFGH LLAGSNFDDDEKKTVGGRNGYGAKLCNVFSTEFNLECQDSVSGKKYKQTWTDNMNTMH KAKITASKSASYTRITFKPDFKRFGMEDGIDDDLESLMYRRVYDMVGTIRGIKVFLNG EQVKIKDFKAYCDLYAKSIAKDRLDEEGGSPICTVEMDKDKSHPRWEVGFAVSDGTFQ QVSFVNSIATTAGGTHVNYIADQITKSLLNTLNKKRKGHTLKQNHLRNHIFVFINCYI DNPAFSSQTKEQMTTKASQFGSKCALGDEFLKKVAKSDAIQNILDMAEKKADKMMAKS DGNKRSRVNNAKLVEANFAGTRRGHECTLILTEGDSAKGLAVSGRAILDPDRIGVFPL RGKLLNVRDASPDQIAKNQEIQNIKQFLGLKHKTSYTDTKNLRYGHLMIMADQDHDGS HIKGLLINFLEVQFPSLLRIPDFFREFITPIVKVWQGPNPKKPQKLKSFFTQPEYEEW KDVHKNELTRWHSKYFKGLGTSSNEDAQVYFTNLDDHLKEFEVMKPEESKMLELAFSK KKADARKEWLGNFVPGTFLDHSAKQICYSDFINRELILFSMADNMRSIPSVLDGFKPG QRKVIYACFKRNLIKDKKVVELAGYVSEQTAYHHGEQSLQQTIIGLAQNFVGSNNINC LEPSGNFGSRLAGGSDAASPRYTFTRLSPFARKIFHPMDEPNLLHHYEDGKKIEPMVY APILPMVLVNGADGIGTGWSSNIPNYHPADIVHNLRRRMGRLDENDTEEKPFETMMPW FRGWKGTPEAAGPDRYKFNGVAYANEQKDNEIVITELPIRVWTDDFKAKLEKVISGEL GPSWIKDYKEFNDHSTVHFEIAVDDKHMGKVMEEGILERFKLTKQVATSNLVAFDTSG RIRKYEKVEEILEEFYQYRLDMYTDRKKHWLGVYHADLRKLQNQARFIKEIIDNKLVV GKKKKAVLVQELRDRDYEAFPPRGDKKKTADEEEEDEDNQEVEGDSEGGARDYDYLLS MPIWSLTAERLEKLKQAIEKKKAEHEELLALSEKDLWCRDLDDFMTEWENQLAVDAEI KTNIRRLGRRVSKKIGAGTARGRKAKDDDEYAPEKKARAKAKAAVVKPVKVETKSAQR FAEMFSSKPKVKKEPTADVMELSDAFSDDDYAALSRGKSSAPAMKASQSPPTETPGTG RAKRAAASKIKAVIDDDDSESDDDQMLGDVGALVKGIDKPAGDHEKGRFSLHAMSRPD SSHGNTSNSGLPKAKAKSAKAFDFDDDSPDDTNYELLAKSSPHKTAAKDDHVDSFLSD DEPFVAPAKPTSAKSKSTGTESEDPTPAGLATVKKGRGRPAGAKSKEAAKPKAAPKAA TKVTKTAASKVASRPATKQTTLSPAAKAYAAKKAVKKPVLDDDSDEDMADPESPPPKA AARARPGRAAAARRPIVVDDDDSSIEQPDESDDPFSMDDDED FPSE_00842 MSSEEPQSLRSLYEAAEEKRRALENAFEATSPAYRSDLEAALSL YNSARDRISGLALFSPNEGIEDVSTTDIPYLLLDAHIAELVQKTPNQSPDQRLEVLAK SRAAYERFLAAVDGYGLVQAPYDRALERYRDEPESFAVVATADAAARRDGKIANYRAE KALKEKLEMLRRNPRYVEQGDEELVRELYMTQITFAVHSALQALDSLNREVDILAQAP RPLAPSSTDTPSTDDHSYRLDQPLRRLHSLPGGPLLSKQGKPLQPFTLVGSRDQMSRD VFRSGHNLPTMSIDEYLEEERRQGNILQGGVEEKTVIDEDDYDAVDRETYKQREWDEF VDHNPKGAGNTLNRG FPSE_00843 MNFPDQGQNYPMNGRLNVVPGARNSGISDTSGQPSNTSVYLMNQ MQPPSAPSQYGNPQYQHPILPPPSQSEHQGSDAVNQDNNQNEDAQWVAEDQSYDQIPS PEPAPLSGYSGATFNKAQHVYASRVPKLMADDEVEQSRSFERYRLHSIRFELSQGDVL VFIDYPYYHKDTIAHTDCNGLIYKSQQFRVHSKKLLETNSSTFEEMLNPTYQFRIQRR RKMVNKMPEGIKYLLDLTPPSEGDELVFQMTELSLTPALIKWWKSSIENGTDMSLVSG HDDVCMCNRQHEEDMLSTRGHTGNSERADTGPNRRDRSPENPSPGAKVITAMQMKARG ENEFIPTPQYRHAPDYCPVRHRNGIIRLLMLIEGKGVILDSAPRLWTLVKLGNIFDCS ALLRDRVTQWILHGSNTAFIEIAPEEALQIAFSLKIPQVAESAFKILVNELALKIAGE AQPHQNYNHTTVFGRRLGSLPDELNNLVQHAAQALVERVSDIDKMMRNPCLYDFWDID EWNGLRVIEQLLTRETTGLASQALAAIHALMDNLVAEVAQAWETNISVPVFDKHLANQ SMDQDRLTYVEPPDFETTTMIMNTFNSTQMLLCASPYNDVGVELDARRWWSCRCRLTG HQHRKYSDLVQMATNTLGALLACNPWLRTDPLWVRTLELKDYENPIIGGIFQFNKPIV SLNHLEAEVKDRLRPITLSWVRRHFEPSLNITRHLLLTLTDNELKYLPLWCGGCDDGT GGVFEDPIPSTDMGPKGPGPGFHTGQTIPSAPASISGSMIEDMDALRVWGSTTGASIN VHDSISTVYRTDQVIAEDKSIASESFTAGGSEYADARFALPAGHQGMGEAVSMLVETI DEPADSESRSATEGRSGVGNDSDDDNYMWDGDSDSGSSTRTLS FPSE_00844 MFPSLLRRPRAGPRRIDRRQNTSASPSPGPARRRYTLERHATAD FTEADDDDADFTHDEGLRRFRNNERRTNNDGEEEEEEEDEDDNEGDEEYGMNEDGRQS GLPVLPLFSASHLDSLPVYSITHAIRIIISTRTETTLSWDQLRSPQVSQFLVKPMQQQ IRAQHFNRATLYALMINCLQFSKEGARYPGNAGISSTRAKVCELLTLKLLKEYNTREL IDALAYDFYPLQGLPGSQLPTSPRKSPPANSLPLIASRTSTLEVAIRASAKHLLAHPL VVQQLEAIWSGAITFHSSADSLHREPPPINSRNSNGFIGKADDRTPLLGSSAPKDSYF TPPGRRTASLYDPRQASLLKLSRLRVPRYRSFLSTISLAVLIGLFLAVLAERSVQITG LELIFWFWSAGFMLDELVGFNEQGFELYIMSVWRVFDLGILLLLIVYYCMRAYGVFLV DPHHWNDLAYDVLAANAILLLPRIFSILDHYQYFSQLLIAFRLMAVDLVAVFILIGIL CSGFFFFFTLTKNSFGASDVAYKIFQILMGFTPAAWEVWDAYNWLGRALLVLFLSICH FLVVTILITVLTNSFMAIASNANEEHQYLFAINTISMVKNDALFSYIAPSNIFAWLLM PLRYFMPLRHFVWLNRTVIKVTHFPVLFCIYLYERYWLAPSMYEPTDLVEHPNRDRTR HISFMDPTSRAAIFSPSVRMREESVAGFQQDRALEEVFRRLPDPLTLRTQRRQERRKT QTAIRNWMDQHDDNEPSPEASMPHWQRRSSVGLARTSNLRRVSDVRSIASDPADLISN AGQPLRPSHFSRTRLAGETEYKDQTDADGDDELVTNDEDEDDHHTNAAQSQVARSHRI SEEADSYFAPNANSFGKLASSVSSSGKSKNLAPTPRPKRGAHNRTLSTNTILFNPQDF KPVSKASSLSPPPSGQPRPRTSGRPATGEKTPRRSSPRRTIYVTNTKPRPVMPPRGIT EAGAVSRSALLSIEPRNRPKDVRRLSSVDLSAISDHVGGDPIGAMPGSFQTQMAMAMM KDNHLRGVGGNDSGDRDRMGRLVLARMKTLEESFADVIKEMRDLKKSSTVPTTRRNSS GEEGPSAQMIEVAGRDRLRKYTMENNQRKVASKRPVSRRSFKEAKPGWDTKGKGKEVA YESDDEAETDDSLFQKGGSL FPSE_00845 MDAQVGESLHESLPLTASTERDSMIARDPAPETPKMAHDSLVTV RLSEPDPIVLDSPIANSVTDTDQTTPTKDPVDDDLVEIPVSPLSPKPSLLEDDKDKSL EDESKTLTKEETVDTGALRISIANMPPPILTTRTLQEELADDDNSSDDQDEVNWEKLE RKEDEQTKDEETDNSTALLLARLEQENAKLATNPKSVKVQGVDRATAERSAVSRPRPP SMAQLRQMVQGPTPPALRYSMLPPPPMTDLEFYAALVKDYQQTAARLPTLLSNKIRKG IPPPLRGVVWQSMSGARDAMLEDQFDRFLGETSPYEVIIGKDLGRSFPGVDMFRDPEG DGQRMLGRVLKCFSLYDTKIGYCQGLAFLVGPLLMHMPDKQAFCVLVRLMEQYDLRAC FLPDLSGLHVRIFQFKELLRQSLPVLSNHLDELQVDPAYVSQWFLSFFAVTCPLPMLF RIYDVIFAEGASETLMRVALSLMRKNEARLLACTEMEDVMQLLLSRGLWDCYHYNADE FVQDFVGLSGAVTAENMQQLEQSYRDSKAAATNPVRGSEITNAASRFLGRIWASSNNK SSNLSPGTSAPARPSSILRRTASKQSLASTLNSMEASSASVTSSTSTDATTMSRDSSN TEDRRDSTPVGNKTITIHKNTDERNLHSQIEDLLTALSELQRNHSLLSNQLQREREDR QEDQKAVQSLLNGLRQKADSTSSRSSSPQVTVSPDKEDSPDDEEDESTTPSPKETVES SEDESPKEGPKPAEDLESLLKNVEQRFQVDEDKRRSSSLVSKSQLQDELNHAKNQLTA ALSQSQEYKRQILDLNHEMSGVKEQLRESHAHVRSLHQDKQRLEKQIHGLKSRVSSAS SAHETTKEFEVDRSSKTGGGLREFKLNRSKTTPPQPHPFRHQHQHQYEHEPEVTSKFN KRLSSLPRGHETSVPTVTTTGPAPSQSEHESLLAELVQAKTAEAVAKQEAEEARQKLE SIRKSHGLSRSVSGHSSSASQSGPGGVFGLLTGHGAPAVNDVAMKPASANAGSPGSGG SFWGWRR FPSE_00846 MADSSPAANGNSLLQTTKTNAAAAYQSVANGPVAQNVYGHTKKA STELSNLAASRRTPANPAATGQPLTHYHSFFSELLSWNNPRASAIAYATIVSAIFAAR YLDVLRWGLKTSWMVLGVTILAEVLGKVILNNGLATQVRPRRYYTVPRETLDAVIGDV HELINFFVIEAQRIIFAENVAASAAAFVAAFISYYLVKLVPVWGLTLIGTTVAFVVPL IYTSNQELIDEQLHHASELINNQTAQIQSVASKQMEQVSNISKQYVGDYSGKVQDLLQ GKTPSRQKIDMPENSNSALPTKQPQFPSPPTDEPIASANPPQIPTPAALREEINEPTA IGTAAPEVPLENVVPSKEPMLAS FPSE_00847 MEDQVHKPHRKSKDRKEKKQHTGERNPKAFSFANPGKLQRQAAR SQDIKEKRLHVPLVDRLPDEAPPRLVTIVGPPGVGKTTLMKSLIRRYAKETISDPQGP VTVVTSKKQRLTFVECPNELEAMVDIAKVADIVLLMIDGNFGFEMETMEFLNTLAATG MPGNVFGILTHLDLFRKPQALKDAKKRLKRRLWTELYQGAHLFYLSGVMNGRYPDREI HNLSRFLSVMKNPRPLIWRNSHPYSIIDSFRDITHPTKIEEDPNCDRSIVLSGYLRGT NFASQDQRVHIPGLGDFTVSNMEVMPDPCPTPNMETAMAKITGKTGRRRLDEKEKKLH APMSDRSGLKIDGDAIWITSDKGFSFDREEDEADRGEGEEMIVGLQAERKLLGQMEGG VQLFKGGEKVEAVPEEEDTGRKTHRKARFAQQEEGDEQNDEDDEDLASGEDDVDSDAE VEFNEGKLGKMFRKDADKDLDEDDLAFADSDSDLGSMSGDEEVEDDEEYDSDEEAAAL RWKDNLNGTAMKLHGKRRSYHTSDLARFMYDESLSPEDALKRWKGDDDESDEENIEDD EEDDFFKKSKQEQEDSVEDRAIPAYDYEDLAAKWASEKNVEALRRKFTSTALAAGDDK DGEGDGSDFEGLDDEDDEGDGEFEDLEAEGQEQPAQPAQPTPEDIEAEREKNAKRKEE LKMRFEEEDREGFLNDKANARRDGGDIQEFGEDDWYEAQKAMIQKQLDINKEEFENLD ERQRSVVEGYRAGKYAKIVLEGVPAEFVKLFDSRRPIVVGGLSATEDRFGYLQVRIKR HRWHKRILKTNDPLIFSLGWRRFQTMPIYSTTDSRTRNRMLKYTPEHMHCFATIYGPL IAPNTGFVCFNSMSGSMPGFRIAATGTILSVDESTEIVKKLKLTGTPAKIYKNTAFIK DMFNTSLEIAKFEGASIKTVSGIRGQIKRALSKPEGQFRATFEDKILLSDIVFLRAWY PIKPHRFYNPVTNLIGWQPMRLTGEVRRDQNVPTPQPKNSQYRQIERQTRHFNPLRVP RALAAELPFKSQVIETKKQKKETYMKKRAVVMAPGSEEKKARALMQQLLTIRNDAAAK RRAAKDKNRAAFQKKLAENEEKKEAREKRESKDFWRKNGRKRAAAEDGGGGKRRK FPSE_00848 MSDNAGNNNFASSNFQVNAAKYSSSKTADPETASIATVSSSTPL VKKEEKKQKGGAEIDIKKLQEQALKSQIRFSM FPSE_00849 MSRQSVAARNLSLTEELERLEQSITLTLQEIDHNFSKSHRIVTT SILPLVEKYGDHSRAVWEASKFWKQFFEASANVSLSGYEELANDDESESVEESTAMRE DISEDYTAHHNEDVTTATGAEQSTFQAEDSMLDDAELSGSTPRPGKTKTRFSDMESPY EVMKREMEDEDDGHDNTTVLDGNEDSTILFAQHTARLPDMSMTPQGQRDHTMTGEQSV QPQKDPLLHRILDKNYRIQATPHKPALRTSPLKSAQSKSAKKNVPTWNDSPGSSPEMA VPTLRSEAFMSPYKSNARQRLAAATQGPRTPGVSVQTPATARKTRDVFAANDSAKGKD RYELSWDSDDDDEDIDLYAGMSPPKTIQFALPPSKLLQTPG FPSE_00850 MDKYQEDPAAVFAIPDFWKSSKWLHDLDGSANTPDPFFSSGLRD DIDSDLVQLDQVSVEKDGFFKLPTQFGLQSELNPETDVATSNDGLVASESVHSLEPEE DIWANTDEPVENPPEHKTWDGFIAGTPAGRQPLMASEAGSTVYDTYLSWSIDPLDLKS SKVPVVDIKAYLSSLLALALGQESIFFTKLEGGRSFKSAIPSMRVTGYSKDVLRGLEK QCHRCGSMFFELRSFVDSTYARRTSRCGVALAGAIDQILKDVQRYVALHGQTPRSLLE LQSTVKGLLAILVPFQRLTSKFRKGYSDEHVLSFVFHEAYSVDYGEEQLRGIMREVLQ RVSSPWIEFLEEWIGTRQEEGIPLTKANVGASKGFIKVDTEKYTDDFGHECEDIDFRL DDSKMPHFMPFDIARSIFETGKNLRFIRECHPEHPLASAHIINATKPPKVGWLYDWDA IEDLEKRVTKYHDDLLRAIRDCSSHQQVLMSEGPKHAVKPDGTIAFVDEDEDEDEDED GGPENRLLGALMARMDEPLPDVVSKEDTLSQILRERLVQSVTRKSKPPTSGFDFTPHP SLLPVLSFGGIASAQSEIVNQESLRLLFNNHDLRGHLKMHRDFQLLGSGMFISRLSHA LFDPDLETAERQMGVARQGGVMGLRLGGRDTWPPASSELRLALMGVLAESFDSDNEFA TNKLVSISRDASELPGDMSFAVRDLAQEEIDKCMNPDSLEALDFLRLSYKPPTALSSI ITPVILTQYDRIFKLLLRIMRMVYVVNQLFRDVNARTSLWADPEDISFRFTREAHHFV SGITSFFMDAGVAIPWQAFEAKLDKIQADLKDPLNTKSSPDKVESPDRLREFHSRVLD RIMLSLFLRKRQQPVLNLLEEIFSAVLEYAKFSRMKAIEKNQAPDEGETQEMHRLYRK FKTKVQIFITVCRALTEKSRSTSNKVSDDLGLKQEGIGEDHMVAQLLMKLDMSDYYTK H FPSE_00851 MAPRKRARASTQTAATPTPARDDDAMDVDTPQTGDQDASSEIRE QEPDNNYNDLWTDDQVASLFKGVIRWKPAGMHRHFRMIAISEHLRNHGFDPDLYQHTR IPYIWQKLKTYYNIEVIDERENFDEDETEDRYNEFSLPRDQFFDAMMERAQADPSEAP TSPAQLDLSPPPPSPAKKRKRGETKTRGASVEDTEEGTDAPSPAPKSKRGSSRQKKKA TPAKTEKQEKAETTEEEEDDDSEDEEEEEESDGSSSNGEEESAEESGTQVSKSTRGAK KGQKAATTKAKARPKRRR FPSE_00852 MESEANHEPLEEDLNETEQIKDLEVKDRIPSPFPHQQYAADDTD VSGSVYTSSEDEFDRNDGHYSLKRPAEDDLLPRQFKRQKGVLNTDYLDLLNRDIEDAA QRICFTHEDNETALGSTQFGLTYWSLPEKKLFFEAIARLGQTDLPGIASRIGTKSEVE VNHYLDVLRRARLLRQREVRRPAIEFSEIPAAVELSQQCCHALDEAADAISVRQERKE ELREEGKWGECWEITPKIAHRLDKGESINGSQNLQFKGLFNLHTWLRLSERVFMNSSI PSENWQYIDNSPPSMWATTFEDFHSLAVSITKRLVQTTLFMSMSRIRAKRELHPNTRD IVRAQDVQAAIASLGMKPDSRQFWSKCARRLRLEVQEEPPSNDEEGDGEPLSYEEIED ILSQTDEDVKPITKSEFDDDVEYSEPEALNPDFEEPPLLSDEEGAAIDREANEVLQFS AADFPETYRKKEVLKNRITTERRQEQYAEERDQFANWQAENEMWDLLQKKPPIELPKV PEPGPLQRSTMDVEGIFPIGRDWMTKTNYRSEWEQELR FPSE_00853 MLRLVPRCFFRLKAAKSPFVIKPRTFRAFASVKASTSTSTIARE PPKLQLRDYQEECIDSVLNSLQNGQKRVGVSLATGSGKTVIFTQLIERIPPPSENAQQ TLILAHRRELVEQAANHCQRQYPNKKIEIEMGNVHATGTADITVASVQSITSQGRLKK FDPSRFKLLLVDEAHHIVAPGYLKTLRHFGLDQKRPESPNLVGVSATFSRFDGVKLGA AIDEIVYHRDYVDMISKKWLSDVMFTTVETKANLSGIKKGAFGDYLPGELSKVVNTSE INDITVRSWMAKAEGRESTLVFCVDVAHVVELTDRFRQHGFDARYVTGETPKVERGQT LDSFRKGEFPVLVNCGVFTEGTDIPNIDCVILGRPTRSRNLLVQMIGRGMRLHPGKKN CHIIDLVSSLDTGIVTTPTLFGLDPNVLVERATVSDLRKIKETGQVNSEQPLSYHTTP GPGSGSVTFTDYDSVLDLIADTSGEKHIRAISKYAWVQVQGDKFILSAPDGSYVRIER VPERSNDSAPVYRAVEVRALLNGAKAPYAMPKEILTASTFTDAVHGADSFAAKAFPHT FIHRYQRWRSARPTQGQVDFINRMRGKAEPLTIEDLNKGKAADMITKLKHGARGQFAR IEAGQRKQDKQNKMAEAKRLREHVTVGPVAA FPSE_00854 MASNASSASCAQPPHSLIVEKPYQLNNPGGKDEQKPQNSRSSSP GGGGKLFTPKTQQRLKDYLYNSDSVAVSSCDEHTPPTDPPKPILRRDVKPGSKGPVKI IQRQYKQVKYATPTAIRDDELVELSPNDLSRAMRTTWARYPPPANEEFPVDKEFNFTP KLVANEIDPAGLFERSKQRSEVSFIQPLCLQGAVRNEYTAHLAYEKQDHDDLMEKVFE VRRTKAEYDAANFYDSIENNQPSKIYDSSEVNRPVKFPVLSPEVQMKIYDDKSRMSAL SRKIAIYDGSIEGMIAGEFTYNKDTAHLPPTEDQMAILREAEGLRDFFPKAPHNLSLY DQWLKDQSADPCPASNWLKDEERLAKKKAFESAYSKRLESRFPPKPLKNQMPTKLQVP DQAIGDKTKFSYMLPKTSVARERQSMRETPVAVQVPKWLPAFVDYEIVPIDKSASAGE QYKDLLTTIWKLESQMLKETKTSKWDKNWHDANPRWNKPHHKKSEFCCAYHRGKRESY DTFNETKANKNLSETDVVPEEFSFDDVFTFGQDSETEISSKKSPEVLLKEIAAGVRKA ISKVGM FPSE_00855 MSILFRGFQNASRRAVQPSKLAGAIVGSRFISKTKRKTTKPSQL IYPEAANKDHSDLATFLSYVERTSLNKNSTVYRGTHYEYTVADTLSQYGFLLKRVGGH SDRGLDLLGIWTLPSTSQTSKVIIQCKAGARSLSPMYIRELKGALAVAPPGWRGANVL GLLVGEKPATKGVQKEMYSADAALGYVCCTREGEVAQLLWNLKAQEMGLEGLSVGVKY GGDKSQLVLIRGGEMLPLLEKVKIEKDNVVDVSVIAAEGGTL FPSE_00856 METDATSMNVWDLLTLASQFNWLEVARRVSQEPVIPYHGIAIQN LRMVPSQSQPDHLQDTLRYSPRPVLRLDEEEEEDERSWDRALRPVASDSPIINRFGHS RDSSAEKIAQTHQPVASPRLHGSHSRSPLGGVVERIIDPKSAAYGHHRQTSIVHGYQH SRNGSLASTSSSPLSPQMIAAAGVGLERPDMQSVATRIDGDAGYPSRPPTSLSGNAAT MERPTAADAPYGQTQRKLERIHSKSRRDHTPHHSHSSRHHKDEQKTVGEYALHVLFTS FIAQAEEKLTECVTVPLDPEPNVEQICGPGVDPAFDQLIVALGHIASPKPKALIDSMM LWRKSKSDAANEARSHLQQSRNAVPGGGPLIRRNTEPVQAGIPGQGGPDTAFPNGPPT LAARQEFVAQAERRSTVSIYILCRVLLEVISQSNLASITPEMEDKLENIIFGQLKIAD TDQLMVSPLKLANWNLFAQLLGHMSGINFVGVTKRFIEDLEGSLQARERVVKSPTTSS HPGRDVEGKVELVLGGMKHLRLKISPEESWEQCCDFLISLGRLFQKSHGQKIKTAFCQ VIEMLLLPIAAKASNSHFMHPKWAEVVAAIGPRLAQMFMKPRHWNFAFPLTATLLCVS SPDNFGSQWLQLILPLQAKIKDRATKPLCLQVISRLLWTFLYRTNETFQSSMRKIDEV MKLVLPSSKRSLVASDTACTEPLIQIIRIVGFKYPEYCFRNVVFPLINAELFISNKEL KVEQLDPDRVVVGIRAFLYIMSDLENGEQGRPPFPQSFGNSSHNSLPDRFPVSPAMSS PRNLPLSQPAAISKEEYISRPVLTHVLSDGVRDFYLKFCEILGKITIICDNTFGGQAA LDEKFSSPMPKTPITETFTFSRKDDHQNAADQKQAFYELLHVAVQALPRCLSVDIPFN SLVNLLCTGTAHVQYNIAESSANSLKAIARQSHAQQVTMGFARFIFNFDDRYSTMSDG GMLGHSHIENTLRLYVELLQIWIEEIRQKTRDAATDEPEANASDKRAIKLDLSSIWAE VDQAEAHGLFFLCSQSRRVRHFAVTVLRLIVEFDKALRKEAAEDKDSIRLIDILENES SKVMDFNDEQLSVAERSRLQRGLQNTNNQGPLVELCASDVSYDTTLWFKIFPKLIRMA YEKCPFTVTICRDLICNRILQMYKPIVYLSEPSRGLYYNNETGSARLGARSATTQPEV MVEQWKLYLIFACTTLADPGALPTPQDPQHVRMASKASSKDKIVTARMLFKYLIPLLS VSSASVRDAVVVAMGSINIHIYRTLLEELQGQVSRCNDEARARIHQRTNSNPRRNRRM DLLRTEITHVFKLTSHFLNDPEVYNNEFFLTTLTTYTKDLKLFLMDGEVQMDWEFQKL RRHYCGLMEALFEGINKTKDPSRWMTFESRKSAFSLMEDWCGFSPNQNQIRVREDTMR QSLIDQQTLGERGTATAAMEIEKRNLRTAALSAMAALCGGPISVTTESNVVLQFDVRR MLAWIDSIFNSGSDKMNVIGRRALHNLIVHNREVPYLMEHCIMRCYLAEAPKVLESYF TVVTQVLQDHIDYPCPFWKLLGLCLFTLGNDQSEIRSKSAVVLRSLEVRQQRNSKIQD FDISISDKTQAVYKLAQFEISTRLAKQHTELAFHIFSEFTLYFKDLQPAAQRNVVAVM LPWIQSIELKLDPSGGPAAPSFVLLANLLEITIKSSGALHNEVQALWQALATGPYPGN VRLALEFIMQLCLERREQNFVEYAKQIVVFLSTTNSTPGIKVVEFLLMQITPKAMVPN EKRDAVEPPADINLLPYCADLAEALPVGTKQAGFSLGQLSLILLVDLMVSPVHLTLEN VPLLLQVVTVLWDHYTPLVQEQAREMLVHLIHEVVISQIDDQTQDVDKRAIEDLIDLV RRHDRSVVWGYEDSNGKVDDHDSKVPPSMEFLTAEVIKTFEITFPGIKEHWGRLSLTW ATSCPVRHLACRSFQIFRCVLTSVDQAMLGDMLARLSNTIADEDPEIQSFSMEILTTL KTIIVKLEAEDLLNFPQLFWTTCACLESINEREFLEAVEMLNKFISKLDLSSPNVRRI LADGQPSRWEGIFEGVQPLLHKGLRSSLCWQPTLDTIDKLVLLPSDGLVGDDRRLFFS LLANFPRFLNELEKPIPDESVVHTARLLQEEADNQGLAGVAEALEGFALGDPQDSSKD FLIEFWGALREYYLPQMDFPMVTFLLGLLTNSLSWVKIQTMRILCVAIPEVDMRKPEL AGHGSDLISPLLRLLQTEFCMEALEVLDNIMTMSGNHMDKHHLRMSMTRPTSKAIRKE YERTQSLFGIPEASGWAIPIPAKKTDATRANIHAAFYTCQGSEGMLTETAPTPDVEFH ADDFPYNYFGAPERTETMLSDEGRGDVHAADLATKLDSLDDFFDEPSSPTTDDDGRSS RTITEYTPESFETGAELYDEQTLPILHEASNNASFQNGFADRSPGLSRDASSNTMTPG AFSVVVPVARPGLHFRSITSPSAPASYQPQMGDIVSDDEYLGGYSDADDERPGTGHTE GAFYLENMIKPVTRRPQSRIRRQPSVRSRDERSREKPPPRSASRLANNVYPTGMQEHA EGDML FPSE_00857 MAELDTLDVIVLGVIFLGTVAYFTKGKLWGVTKDPYANGFAAGG AAKPGRTRNIVEAMEESGKNCVIFYGSQTGTAEDYASRLAKEGKSRFGLNTMIADIED YDFDSLDTVPNDNVVMFVLATYGEGEPTDNAVDFYEFITGEDATFNEGNDPPLGNLNY VAFGLGNNTYEHYNAMVRKVDQALEKFGAHRIGEAGEGDDGAGTMEEDFLAWKDPMWE SLAKKMGLEEREAVYEPIFAINERDDLNPESNEVYLGEPNKLHLEGTAKGPFNSHNPY IAPIAESYELFSTKDRNCLHMEVDISGSNLKYETGDHIAIWPTNPGEEVNRFLDILDL SGKQHNVITVKALEPTAKVPFPNPTTYDAILRYHLEICAPVSRQFVSTLAAFAPNDSI KAEMNRLGSDKDYFHEKTGPHYYNIARFLSSVSKGEKWTTIPFSAFIEGLTKLQPRYY SISSSSLVQPKKISITAVVESQQIPGRDDPFRGVATNYLFALKQKQNGDPSPAPFGQT YELTGPRNKYDGIHVPVHVRHSNFKLPSDPGKPVIMIGPGTGVAPFRGFVQERAKLAR DGVEVGKTLLFFGCRKPSEDFMYEKEWQEYKEALGDKFEMITAFSRESAKKVYVQHRL KERAQEVSDLLSQKAYFYVCGDASNMAREVNTVLAQIIAEGRGVSEAKGEEIVKNMRS ANQYQEDVWS FPSE_00858 MEQNTAAAPFLSNHSDRRPQHLAIPGSADHNALLSQFHGLSLAG MSMSAPQAASVPMQPPYMVAPDGLILAPMQGAQSLAMNHPGEPNYSGYQMNGGYAGPY ALPGSLMPFTPARANAGNPRADRTQSEVPGLENRRGSYSTTESAPATPFYGSWPPREH GPRVHSDRSAYTTPSPHQLMVAHHAEAANKPKPSPASERVLDELLEKNPRIPGAVPAV FTPAGHMKSLEQSLENRIPGNRNVYIRGLHPTTDDELLFHYAARFGNVETSKAIIDTA TGACKGFGFAKFYDVSESEMCIRGFHRLGYEVGFARESFNSRLKAEGDELSTNLYISN LPKSLTEVELGLIFQGYTILSSKILRDSMGNSRGVGFARFENRDVCDDVVEKFHGRPV GEEGLLMNIRYADTPAQKELKRVTAERRQFRTNEYNIGAYGTPLVGYGGSMFPHHAQN RRAAIPITRPPMSPELTASDTNDAHRRAGLQHQSSGTAPSTPADEAVATPTSSECGEN TTVHAETVVVGATFDASPSIKKEVKKDA FPSE_00859 MKLDTKAMRYLTDEDWRVLTAAEMGSKNHELVPTTLIEKLARLR GGAGSVHRSISSLAKVGLIARVKEAKYDGYRLTYGGLDYLALHTYAKRNDIYSVGDRV GVGKESDIMIVADHTGTQRILKIHRLGRISFRSVKSNRDYLKKRQSGSWMYLSRLAAM KEFAFMKALREEGFPVPEPFAQSRHTIVMSLIDAFPLRQIAEVPDPASLYGDLIALIL RLAKHGLIHGDFNEFNILIKENITKSEEGEETLTLEPVVIDFPQMVSMEHQNAEMYFD RDVNCVKRFFERRFHFVPTEPGPFFKHAKKAMIKEGLKRLDATVEASGFTKKMLKDLE AAIKEKGDETTQQSDDEEDEDDEDEEDDEDEEDEEEGSSENPKDGQTSGGLLGDDALN SKDDPVEESMSKLAV FPSE_00860 MAQDSEVPKAADKGKGKAVDDAKKDKQQSNGKKEDEKIETAEEE LNEEDQQLKNELDMMVERLTESNPELYKPALEAMKTSIKTSTSSMTAVPKPLKFLRPH YETLTKLYEQWPQSEDKTSLADVLSVIGMTFSDEDRQDTLHYRLLAPTSDISSWGHEY TRHLALEIGEVYIKRINNEEQTKDLIDLALVLIPLFLKSNAEADAVDLMSELEIIEEM PKFVDENTYARVCLYMSSMVNLLTYPDNETFLKTAHDIYMEYKQFAQAIVLAIRLHDI DLIRADFEKAEDPALKKQLAFLIARQRIALDIEDDSDESDAITESLSNIKLSEHFKAL GKELNILEPKSTEDIYKSHLESSRVAGMTNLDSARHNLAAAFVNAFVNAGFGNDKMML VDGEKETWVWKTKADGMMSTVASMGTLLMWDTENGLDKIDKYTYSTENEISAGAMLAI GIVNSGVRTDSDSAVALLADHEKLNHPDPLVRTACIMGLGLAYAGSNREDILEHLQPM ISDSSCDMQISAMAALSCGLIFTGSSHPEISEAIVTTLMDDDRKNQLTDKWTRFLALG LGLLFFGRQEEVDVILETLKAIEHPMAKSTAVMAEICAWAGTGAVLKIQELLHICNEH QEETDEKKGDELLQAYAVIGIALVAMGEDIGQEMVLRQFGHLMHYGEANIRKAVPLAM GLISPSNPQMKVYDTLSRYSHDNDPEVAINAIFAMGLLGAGTNNARLAQLLRQLASYY HRDQDALFMVRIAQGLLHMGKGTLTISPFHTDRQVLSRVSAAGLLATLVAMIEPKEFI TGQSHYLLYFLVTAMHPRFLVTLDENLKPLKVNVRVGQAVDVVGQAGRPKTITGWQTQ STPVVLGYGERAELEDEEYISLNSTLEGLVILRKNPEWEAEK FPSE_00861 MGKHTTNSSSASFSSSSKQSLSRKSSASSSLAGRVGDRVVDDSP TLRQDVALQPLTSSSSSSTSRSTVTVSPSHPVQDQGHVLLYQAPASDILPLPLLTTTA TPAASASASASAPPPVLFDHQNAALPSPEHSNADDSLDATPQPSNADLDMASKKTPFL RSAARSDAAPYGSVSLTPSRNNSPAGSDRWDPDSHQLRHVTDTMRSETSHRSGLSKKS TSRLSEEIDGAVLVSGLEGRMGLGEPTHPGVLEGSLKDDLSEDGALLDDDSSAASDTE YQENSPHEAVRASVPPTDNTTLSINTPRMWCLSVLFAILGSSTNLFFSLRYPSVAITP VIALLLVHPLGHLWDFVLKRPYDPEEEFVDGVRVPFVSDDAHSLHKVKKRTRVRRWLA QGRWNEKEHTCVYVSSNVAFGFAFATDVIVEQTQFYNQEAPIVYQLLLTISTQILGYG FAGLTRRFLVRPSGMIWPGTLMSAAMFSTLHKQENKPAGGWTISRWKFFYIVWTVSFL FYFLPGLLMPALSYFNVITWFAPKNVVIANLFGVSSGLGLFPLTFDWAQVTYVGSPLL VPFWAAMNVIGGLAVVMWIIAPILYYTNVLFSSYMPILSAAVFDNTGKVYDVSKILTP EFLFDREAYQNYSRVFLPITYVLSYGVQFAGLSALLTHTACWHGQDIWRSWKRALQEA REDGQAKYEPVADSPGSLPRQSTDESGRRMSSASHVDGIISREDIHSKLMKRYKDAPL SWYLMTFLTMTAIGIFVVEYYPVHLPWYGLLLALAIGALFFIPNGIIMAVTNQHSSIY LICQLICGVVFPGRPIANMVFVTYGYISSAQGIKFASDLKLGHYMKIPPRILFLVQIV ATLVSSLTQIGVLNWMFANIRGICTSEAINGFTCPIARVHFNGSILWGVVGPNEFFGP KAIYRSLVWFFPLGALLPIPLWLYSRRHRSSILRKVNLPVIFGAMSWIPPATGLNFSV WVLVCYVFNYLIKNRHNAWWSKYTMTLSAALDSGLAFGIVVVFFGFIYPGFAQNLKWW GTEVYKQGCDWQACSYNTLPEGEHFGPKTW FPSE_00862 MASSLPAAKQQLRKLVKQKLSTISQDSITTQSRCIFESLKELQP YKDARRISIYLAMPTAEVQTDAIVRHALGAGKQVFVPYLHKSPFQTPDTPSRVMDMVH LENIQDYESLKLDKWGIPSVDPATADARKRILGVSDVEAPDPAILDFIVVPGVAFDFD ESGSIRRLGHGKGFYDFFINRYMAKLESKGIAQQNPLHLYGLALKEQMVPATSEHQIP TDTHDRRLNGLVIGDGEIKHGVHEASTSRYPLQDL FPSE_00863 MATNGAQEAFAPPDVLAAVMTMRSGEQDAKKHAHEYLERFQKSK DSWATIIGILQSDAEPEATLFAAITLRGKITYDLSTQVPASELPALRSQILLLLKHFA PGPKPIRVQLCVCLAILAIQMKEWDDVLSSVVQSLSDSPESHACILDFLRVLPEEVTE GRKITLSEEDLAMRTQALLADNAGQVVQLLINYSQSSPAAAQNPQLMECITSWLREVP IGDVVRSPLMDIVFNGTTSDNCSQEASECLCTMLRETSDVDESREIIEMLFPRIISLK PQIAKAADEEDTETLKALTKVFATAAESWVVGIARQPAHFRPLVDATLECAVRDTERE VIEHTFNFWYELKLYIVLDIYIQGRLELVDVYSKLVDVLLKHLEYPKPESGNENDLFD GDREQEEKFREFRHHMGDTLKDCCEVMGVTDCLTKVLQSIQLWMSKYANQVTDTVVPH WQELEAPLFAMRALGRMVDKDESIVLPQLMPLLVQMPSHEKLRFATIMVLGRYTEWTA AHPEYLQPQFNYIVTSFQSDSKEIIRGAALAIKYFCTDCKHLLSGQVLQLQEFYDQVL DKLPDLSKEEITEGVANVVASQPTEEVYRLLKVYCDPLIQRLMTKANVATDEDGKLAL ADHLQLITIFVQYVVPPVNPGQENPAVKYWQEVFPILSTVLDNFLSFTPICERVCRCW RNMVISHRTAMAPLLPEMANKLAGGFNNSREGCFLWVTSAILREFSEAREHVDQATTE NIYTFFEAQTTTFLRVMTELQPKELPDVIDDFFRLLIDALLYYPQKLIPSHLLRSIFE ASIYALTLEQRDPLSSTLHFLRDLLSYGGDNPATSDGLPEAAASEMKNIVKGLLQTLG ENLVKQIMAGMMITFPRDCFADGSGVLLACFELVPLETHQWVARTIELLPEGTVSPTE ANRFLLKTKEKLQSGDPSAMKNVRAILQDFTNTYRRRNVAPRDGLGQLEATRFQFSG FPSE_00864 MADKNHFPPTPPGPNDEDMPWLDPALIDPNLFGLAQPNSPLASR PPIVQTHDPTLAPAINPITDEPIVSPTVLPRNRPARTLGFPVPTPAYPDGYPALDPGY LIPNQALPPLVVSNPSPAPSGPSLLPNPDPASVLNQNPFISPAASDPGATKGKTKGKK RGREPSPSADKPRRTRRKTTKSAAVGDDGNQVDDPVPNDNTPVSGRTRSRATTSSKSK ASSGTKTPATSKPAKRGRPGKGPSKAVKNVYIRPSRLNVPTDDNGPLLVVKRPKRVVG EGKDKDENVGIDKNKQTAAEVRGNHEIIAEGAICDFCANHPIGKAMFTANPVCDWEQI PSLGADIFNRECGNCANYRSRTRQPGDIATENDHMCRVPGVKTPLVDFKHKKYGDHDP PTFAEPTCDRCSNKRTSETCDVDAMLGYYCSHCRRDQRCCVDDNLMPMKRPKKLARPA WYRHACDRCLARQLEFKALKGDQCCDWITDRRLWDTQRQGCTRCLQDGAPCMDDKSNI IAPPSHEPAPTTWRIRDKFEVDETKKLKHRKIKWYEYVEATTSTIWRKKCTGCQWAGS AAECLIMWHQSGYACERCTQFGIDCTTYDYANDEWTRYPIYDLSRVGFGQYAPYMVCK SCKDNGRNCDRMRPCDSCTSTDSTCDALKKDNAYGCISRYKVAAHGMGDSAPPPGPLY YLALGYGPGGVNDIKDGRSVEHWIGPIAPIYGISTVADGATHYRAVADLHRHHRPPNN VIPPGISAPLIKADGTLGDFKDVQSRELTAEQLGALISQFWPGYQVPIDNGPVYRMIW NSLRDAQNAMMTQAGIDPSVAPAMPRTVGGNPVLADQEPFHSGLLGLPAGQNAALPVP AQPPTPDFLKQAPDGGLDLNDLYGGDGGGNDFVLPNLDQQPGFDEPQQEEENSYAAVD AMIASGAFGYQQDGDDQQAQQAQPPAQSNLGIDIAMMNQDDLRTMFGLDEDQPRGRFI EKRSYTGTSKPDRRRKPFANRVPGDAGTKEESFNPFLGFVLDANQKPRLKAKEKSSRW KVFNPLEGLDMEKWHRSSNQPDGASSEPRLFSVVNGQWKQPAPQANVLDDVPYQQRGG RSQQCCAEPGNGGIGRCSSQNGSGQDQAVCQSLAHRSTMPGYFPVCDDCIQGNVKDMF RHDHNPITEDELLSMRAYLCNDCASHMSSSVQNAAEYRAIGARRIYSAVADTEHSQST YTPNNDPSRTVEFCNTEALTGCSCANRLFGTSLCRFHRLRYAEEALKFSALMKEWRLS SFKKAVCPGCLARKPLDKVNLSADSGGFLDGAPTAWACVNCNDWVANEKNDTTNEPSL IDKKLWNSNIGRELIGPRRGAVHGRSHEIEDVEMGGT FPSE_00865 MAANTKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIE DSYRKQCVIDEEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFEEITT FQQQILRVKDKDYFPMVVVGNKCDLEGERDVSRQEGEALARSFGCKFIETSAKSRINV DKAFYDIVREIRRYNREMQGYSTGSGGTSGANGPPKPMDMDNGEQEAGCCAKCVLM FPSE_12420 MFSRISQITRHLSVPIANNTRRFALGRIMAPVSADERNSRTIST AACLIIGDEVLGGKSIAKAFNLPLKLHQETFEKMKLLSKPHPNQPTFDWEVDSPARRA KLRMAELPTDESRDPKKQVIFPQEDLWVPVSVVNGNIHILPGVPRLFQRLLEGLKSHV LPRLSDPEGKGTHRVLFSTPIPESGVAEFLTTLAAKVEPKGVKVGSYPRWGKENNTVT LVGRDLDYLESLVEEVEAGIQGMRVTAESDTEEDPKQIKKQATEDADKDTAKQVAQKP FPSE_02303 MASESRLYTFSGESKDHLRKFRLTTSRAKGPQAVIYLIDKHTYE IRQDEDKTVYTSLEEVGDDLPDHAPRFILLSYPLTMGDGRLSVPYVLLFYLPVTCNAE IRMLYAGAKELMRNTAEVGRIIDIETAEELEEIPDKLKSE FPSE_02302 MAPSLETPESVDDVFANPIKQKPQLVAPEPQNCVGPDSQQAGKA DSCAGCPNQAICASAPKGPDPDIPVISARLENVKHKILVLSGKGGVGKSTFTSLLAHA FATNPDSNVGIMDTDICGPSIPKMMGVEGETVHVSGTGWSPIWVMDNLAVMSIQFLLP NRDDAVIWRGPKKNGLIKQFLKDVEWGDLDFLLVDTPPGTSDEHLSVNSFLKGSGIDG AVMVTTPQEVSLLDVRKEIDFCRKAGIKILGLAENMSGFVCPKCSNESQIFKASTGGG RALAEEMDIPFLGSVPLDPRIRMACDYGESYFDSFPDSPACLAFQGVVKNLAMQLGLD SQDVLPDE FPSE_02301 MSPSADTPEISNSADSTVLSLKKSLCSEDSPLPIRFRALFSLKH VATTADDDATRVAAIEAIAAGFASPSALLKHELAYCLGQTGNTAAVKPLRQVLSDLKE DPMCRHEAAEALGALGWADNLDILREYRDRKEEDISIVETCEIAIERIEWENSAERQK EKLRPSDFASIDPAPPMPESDKEAEVEDLGRKLMDTNAHLFSRYRAMFALRDLASPPD LPTATPAVLALAKGLSDSSALFRHEIAFVFGQLSHPASIPALTEALSNTNEASMVRHE AAEALGSLGEKDGVEDTLRKFLHDKEKVVRESCIVALDIAEYEKGGEAEYALIPESTG AAA FPSE_02300 MAAIGTLVFCTDCGNLLPATKGTQRNVLRCECCGAENRDTGSKV TVTQTKPSDFPSFLRQKLQSSVQSVEKHNLQTESTAHETCPKCGREEVKYTTVQLRSA DEGSTVIYSCECGNSWNENN FPSE_02299 MHPNKHGGPSNGLFAQTGTNNNNPAINRTRPFTLQEALPYSPQT STVPFIPDIIPDPVLGSGCPALPLNDLFQAHEFNSLNREAAAHPQMPRNVKQAVEHVL QDIKPSQRTHYKFTPISRTIASQPPGKSLMEGLSPVTRSVFDKVGSYFKTTKPGASEG KQLNGQAGGHSTPKQRSSSVNSPNPPQPHALPVHNSQAYKSNSVRIEVVVPSKRQFDP STYVDVDSSVVAAQQVHQQPQQQHTQPLSQLPTPFAQSSAQDHMEINQNGTFRIELPR ANINREEYIEVKERSEDLHHLSVRKQSQQAYSDSQDLIGESLDQRQRSEAALHALEKL MRTVFSSVGRALGDEQGYEEVVSMTPDQEVAMTASSQQKMHGAIQKAITLKCFDQVPV EHLVQIMKLSDASLKQVENLELRVDETWNEEAVDAWVQQLSEVETMLKSARTCLRILS GGREDKQLYSETIIQKSVDIFRKVTEDIVMPLVELRPSGPASGVFKTLSKSKKPITSV FLCCQKLFALLAELVTKIELSETVINSLEYTASRLIFMENAYFEKDSVVGVQKFDGLR SVAMDMLSQIFLIKPEQRQGIFDEILTSLEKLPVGKQSARQFKLSDGGSIQPVSALIM RLVQASSGRVDDNKNRDRHKLLRNIDGEQGGSDDDDSAPSGVKQFVSSIKSEVQGAKQ HSVAIRDLEISVAPLTDAAQRNASYVISFIVKRAVGSTKSGDTPYRNLLDLFVEDFTT CLDSPDWPSAELLLRLLMVLMVQLFEAPKTAAPAKNMALELLGGLSAAISRLRSHVQR LTNSSEGNEADDLSQYLADLANQALDHKTRVEQLVAWAGPYRLVLEYVQNRSNEDPHL SSAISFLVAGWASQVHTGYDSYNDEDEDRDEELGRMAYRVRMMVEDRRWLVNEYTFKS VSPNQAKLSYSIILLRSPLCEAFSKILNILLGSMASDQATVRSRSLKSVNQVLETDPS ILDGDSTVIDLILECAGDPSIQVRDSALGLLGNCMAMRPRLESSLTPMIVNRFQDNGL GVRKRAMKLTRDIYLRNPSKTLRSMIANGLLRRVQDPDDTVRDLARQMIEEVWFAPFY QGEGTAVYQTSLNEHVSLVIQTVKSGTVTEMLDKVFRSILKPKDKSLSGPFTVCTKLV ANMFGLIDNLDPEDPTVPSGRDALQVLTIFANAEPKLFNFEQIRLLLPHLANFSKPEE LAAFRHVTIVYRRVLPTLTSVHTEFLSEVRAYLLKALSKVSQRNPLDDLVACTQVVCG LLNVYTPLIGGLLSSLLKIEQIQARPIDQNSITLTYGYSLLIGMIAKHCKLDEWTNTF RAKFPKWKGDSVPLLAIEKLVPLAAPSKPLEIRKSALDALGLICQAWPRNYVVPKLYT LFDQVFKEQTPGLEMLILRSFREFLLTEEKRSETGAEGSATGKKRELTVMGGTNFDDV ASATSQRFLKYISRIALSGQGDHAFLATEVLGSINRQGLTHPKETCVTLMTLETSTNR RISELAFSEHRYLHEKHETVLEREYAKAVQSAFAYQRDIVEDTRGATTNPFQSKLHLL MEVLKISKMKNRQRFLDKLCGQLDFDLAKLDTAEEVPSHMAFARFITENLAFFEYQSV GELQTTVNAIEKMVTSTGASLAQAIESEIFNVRVDTLQSSAPEAPAPAPGVSANLTPG VLPMEVDPKSALAMASEVEPGRLRQLATASIILLSMWETRTHLRRLYGMGNSRHDSKA KALAKDLNRIPVKVQGVHGDKVWDEIEAHMAGLQNQERMIQKCTALVELLNVDKEFKI ADDGDQMDMDPSTPSGDEDEDGDSMLDRGRKRKGANTPGGRKKRARSDSQPRKRGRPR KNPLPESDVGADLDESWI FPSE_02298 MSTFNHFNIKRQAHNLSRTSTDQSWNPFRHVAWNRRSRTLSEAG LEGQQRTRDQTTGEELTHAVTEPGRSGPAREWSTAETMMDARRSKEDGYDREKMVGGG SPMDGSDDIPTSQRSLVGDENQLRNRKREDVPAAADQNVDLEKRKLDRRQSSKLFRHV EPKEPFTVRNQLQRTFLNSYINILLIAAPVGIALNYIHSVNRIAVFVVNFIAIIPLAA ILSFATEEIALRTGEVLGGLINATFGNAVELIVAILALVDGKVIIVQTSLVGSILSNL LLVLGFCFFFGGLRREAQYFNETVAQTAASMLALAVASVIVPTVFDQAKNTPAANVAR LSRGTAVILLIVYAAYLLFQLKTHSSTFRQEGQKVAAKPWSRGGGGNIRQGIMVPGAL IGGGMTGREENERLSKMLMNPHRLPKSQEDEDEEEEPQLHFWVAVATLTTATVLIALC AEFMVDSIDAVTKTGGVSEEFVGLILLPIVGNAAEHATAVTVAIKDKMDLAIGVAVGS SMQVALFLIPLLVIIGWGMGNDDMNLSFDLFQVATMFVAVLLVNYLIADGKSHWLEGW LLICLYSIIAVCSWSSERQPDPMSRNGTTRNLVPRMGPPHWRQYSKSSLDRSHAEVPV SPASISTHSTIKDDKRTRIERRCTVTVNEGYTRDEVLLNFDVVGADVKPGMLMCISTA RDDLRKISAGHGSSSKQNQDASKGTSGTQNGDGAGFKYFFVAKDMPQETKARNPDVEV YVLKHIADAFGMKKGSQVLLTMVDAKNPATEASHVELSFKDQYLSRSDIWRMTVGELT GRTVYKGQSVLFMGTIKAQVTAIYVDSRKTHSAFFTRDTRPIFRSESARYVLFIQMAK EMWEFDAESSGEIMFNKVVNGFLPALFKRWAMLKAKHLVSIVLFARVEYDTGLTAEFD GLAGDFYTGIQPSGLRRPYKDFYRVVVSEMGSGEWTKILHQLKVEFNFFRRDISLYHH KLNAQAEINGPDSIPKDTPSSRVKAESTYAMYGNVLEAINLASSQFAHDHIDRDLTRT GISIAVISPGSGVFEVDYETLRRTTEALVGNGIGIDLICMPKMPLHSVPLFKYRNPQY SDDHGHAHRSSFSRSFHSRDSTPNHPTPVIGSYQSLGESFSPSKGMSLSRRADPLISM ATSDQWCFALPQWLHVSFWTGASDEALSYEGIALSVSNKVMQDDEDEFNIRCRMYALQ MRSVLETNEIETTPLQVDTHFPANITEPPSSQKYRNTGINDTVYIPSRRAPEGLFEHT SGFQRFVPDRLARPGEKSLWKQLQEFDDHRAKISHGRSRHHSSRYAKDLDEITRRQLA EDSGLYGTSLPEKRAPILGGASARKLSMNITDGDKPSPTSLKKSAEPTPKPPKQIAKQ PKLMRQISLGQRGFGIAAPKAVVAEIKAETVNASAVSSGDGKQPSTPRIRPELRPSSP QTITSHPSSVSIQKYRLDAPDTIIEGVPMTPSIPILKRNNSGLDVAAMQLRTASTTIG SSFNNRQPKRDDDRDLRYSEALRADDAQKLYTNKLRAGVLSDAVQDLPTTLSPTTAIT PWLTLVNPSNPESLAIDDTVLYSRWQHVYPRIAQMKVQKWKALCCPASVPLTTEYFPS KTQFDTEYHRHPYTVEQDADDDLVETPKSRQEFIQELISLRFTQGFQVVVGPLVARAF GQNLIKIGDIFSRDQPLEDGTSIFLSVGNSIHQLSCVNGTEVEVNIFMRKPTDTSFTS QGFSPIYKPAIRTLLDDTYEAREIDLLTPRTERNWNMIDSYIAGHHNEMSESLRFWRA RFVLIPVLRKDVPISRTQTGDHAEEVRIEGIKRLAQSWQKYRYIPLSERRYHSVAQQK RRRDPNPLDIVWKTDDPSVVITAEVETLPLTEGVEGANRKGLVSAKERFKKSNLNLST LADAMQQPVENGGVRLQNRRWHLRLYSACFIGSDMVTWLLDNFEDLDTREDAEALGNA LMAHDELKSANPKDKGIFVHVDKRHQFRDGNYYYQIASEFAKPHVGWFNTRRAPVPPT PSVESSNRDSPRTLMSARSADESGSPASTSTTPTISLHHGGKRPRVVLSKVMKYDVDH RKRSYRPERIDLHYDRLHNPDGCYHIRIDWMNVTTKLVEDAVESWAREASQYGLRLVE LPIKEACTITETNPFRKPYHIKLAARPPDQKPETYIDPNSLGPTTSPNKHFYQTAILK KFDFVLDTEAASNFPSNVDVSYSWGKPDYKYTQYIHRSGTLLAEITDEGDLLILANRL YSNRPAGMRSIDPPPLVERGGRMASYGPYSTFGMAESATLSSPLLKPTHYFHSPALKP ADQQHNKPIPATTPDPDALNTEIDEFCKNKTALEEFYREALEKGQKVQGTPATAPALE AVPEASIPTLGLPPGVLGGNDGAPTKRLNSPMSFLRRSSVQYDGGSSLTGR FPSE_02297 MGTQNTSPRDTSDLFARGRRRSRSPENDGHEQDPRGEGNQDGPN GASSNSDGPGPARKRRRSRKGLDKRFECSAEGCGKSYSRAEHLYRHQLNHNSKQTYHC TFPNCTRTFVRGDLLKRHMDRHAAKGSQLNQRDSMAGHMGPVITPGQPPNFSRNNSID YGKSQPTNMSYQTSQIPTPSPYSPMGNAPTGMYQNGPMPNGMETYMSPTQGYDNRTPQ LSQPQSPAMSQRPSISAGNSQYNAMSPIANQHGFPNQQNVAQNSSFVSQQNVMPMNLP PAQYSSGPDSTVVTSAEYSEPGTTQASEMMMLDQMSMPATVPVFGEGGDLNKSPYVGM PEDFMAYLFNSPSQAMTPVMGPNYTNYAELQGNQFNVSLLGTDSNSLGYFPTAPQQVM AVNNLLDQQVPEATISEEKSQELFDFIKERFHDNKHAPPDRQWADLIEGDRANGDHML SKRMMQAYIGSYWYHFSDQMPILHKPTFSPDKTPNLLLLAMMAIGGACLDRTHGHKVT RASAQLSNFLAWHLRWDIFMDVNFRPPAKLWIFQSLILLELYEKMFATRELHERAHIH HATTITLMRRGRSLIGKSALDSPPNPRDGSNGSRQSSTSSTTGTADKWWEHWVTNEAT RRAAFAAFIVDSIHATMFGHSAVMVAHEMRLPLPCDESMWRAGSSSEVWRIESNLLSN GIKPTSFLEGLKRTLSGQDVHTTSFGRTVLMTGLLSVSWHMHQRDLQVNVLGGGIIQA LGGRDRWRATLTRAYDTWKADFDKHLERNEAADPYQDDSTKRQEFNVVFESRTVLHHL AHMAMHADIVDCQMFARAKRLLGRTIGPQEFNSAQRRIKDHWAHSARARDAAFYAIKF LCSVLAPDQVSPAHAGGYHLDEPYDARDDVLLNRPWVMYFAALVVWSYGFALEGPCPN IPLPTNKQEKLQHMRNYFIKFGTIENPADLQNRTGFNSNTALLMVLKDTFETTRWELL HEAATLLNNCIVLNQGGTIA FPSE_02296 MPPAKSSRNHDDSKSDAPNSKEKGGNGHSSTKMRRNASQQNHSH LREVQNAAAVLPPPPAEPVPPSVGQPPLAYHDLRSLFQSPLPWALFERNVLHAYRREH RLNTPTSFSSPYCQWILSQPNSVGLHSPTMVRRRQARRQSKDQLALSVRKHFNGMGVQ ENDVIVDFIYKIRHDPNRISKPYAGGKNTTFMK FPSE_02295 MNPSLISKATRPTRVLAQNAPKIQCLRKGAQLHTAAWHVGSQRR PSFRKGSQSPSAKRLFHATNAVQQKDPYQALGVGKTASAGDIKKAYYGLAKKYHPDTN KDPQAKDKFADIQSAYEILSDPKKREQFDQFGAAGFDPSGGGAPGGGGGFGGGHPFSG FGGFGGQGGFGGFGGQGGFGAGVNFEDIFSAFTGQQGFGRRSRQQAYQQEILVGENIE VQSTISFMEAAKGTTKTISITPLSTCGTCKGNGMKSGSSRSACSACDGSGTRINYMQG GFQMASTCGTCDGTGTVIPRGSECKPCSGNGVVRERKTITVDIPAGIEDGMRLRVDGA GDAAPTGRSAEPNARSQNGDLYVFVRVAKDPKFRRDGSNILYTANIPLTTALLGGHAE IPTLDGTVNVKVATGTNTGDKITLPGMGMKRLGSRRGGNGDLRVEYRVSMPKYLSANQ RTIVEVLADEMSDKTAKRVMGVGSSRDPNDPDTHRDEGFLKSLWHTLTNHPAHQKPNE DGSQNDGSTKKPGDDDKK FPSE_02294 MASKDTKEDKDALDALELEAKEFDKDAEIDRILKAFRLDAYAVL DLQPGVPDSDIKVTYRKKSLLIHPDKTKNPLAPDAFDRLKKAQTELMDEKHRERLDEA IADARMLLMRENKWTVDSEELKTEDFRKMWRAKARDVLIDNEHRRRRQMKAQLQEEGR EQRRTDAELEERKRKRQHEQDWESTRDERISSWRQFQKGPGGDKKKKKKLKPIG FPSE_02293 MPRRGASPTPSEGEIDIFGSLYPGETDNANGQNGGDDFDFDGLL NGPEPGNDDTDEAFIALQQAASFRKATNLKGRTVKKGGGFQAMGLNNNLLKAITRKGF SVPTPIQRKAIPLILDRKDLVGMARTGSGKTAAFVIPMIEKLRAHSARFGTRALIMSP SRELAIQTLKVVKEFSRGTDLKCVLLVGGDSLEEQFGYMAANPDIVIATPGRFLHLKV EMSLDLSSIKYVVFDEADRLFEMGFAAQLTEILHALPPSRQSLLFSATLPASLVEFAR AGLQDPSLVRLDAEQKVSPDLESAFFAVKGAEKEGSLLHILHDVIKMPVGSPEGVNND SEKGSKKRKRGADGGSGKPTEHSTIIFTATKHHVEYLANLLIYAGFAVSYVYGSLDQT ARRIQVEDFRMGKTNILVVTDVAARGIDIPVLANVINFDFPPQPKVFVHRVGRTARAG QRGWSYSLVRDTDAPYLIDLQLFLGKKLVVGQETKNPSFSEDVVVGALKRDPVEGHVE WFNKSLHESEDINALRGVAVKAEKLYLRTRNSAASQSAKRSKELVGSQGWTQLHPLFG EDVDGAEQARVDMLARISGFRPQETIFEIGGRRDKGTTEAAEVMKQLRKRITPRRQIE AKADDDFEGIDDDIPTAAETGAGSDEEEVVADEMDVDEESNDGLEVTVTNTNSKKGRT DWRDSEVFMSYTPRTFNAAEERGYGVSSGGQDPSNFVEAARGVTMDLTNDENAKSFGE PTRSKMRWDKKSRKYVSRENDEDGSKGAKMIRGESGVKIAASFQSGRFDKWKRANRLG KLPHVGEQVRPGSASHAANLPSGVRYKHKQERAPKEADKYRDDFEVRKKRVDEAREKR VGRFRDGMGSKKELKGREDIRKARIEKEKKRLKNARPTRRK FPSE_02292 MLGRLLHLGSGGPSATPTQATTKTSRPVSSLESVQEDIFTRNLL FPDAHALYQHRNDQVFPLSTTPTTPATSTANAFDYSGDVDLDVRDVRVIIMQDALGPS NASLLFDSHPAPPLSPTERAPPSPELRRSSVSQQRKSSLGGISRPLVIQPEGSQPSPR QGAFDRRASLQGRRDSQVESDGQKASREYREELATFSGCIFGNSELSSYKGTSTKVHV VPSESRPADPSNSIVGDGRSSIGRSSARSSRLSQSFSSQAFSPTNTTAPTHTAPHRPT EKKKVLITRLFPVNLHIDDPESSVSPSNNWSDESAGFPFPTTSDESVPKKKKPQVKQR RTPMYAVVLVVQLPATNTTSNRMSTAQSKSAQRESSSYNDQENFFPSSFSSARPSGWT MVGSGNGDGIDSTYTADMEDRIDSLTRHWDIIMRTLTHLQSVVATSLAAMLRQVDLTS PGPTPGPVPSSTTSTKTGRAPSFTEQRRNEMPRIKPQKGTTKLVYLWPNCLSEDTNIA GEVDASRHRIVMGLSAARVVTGQGRWGIWRDEARWTSKWVSGTDQQNQFFYNLLTGFL STHTDWLQALCPPSYRRRLYLQQKNRNEEDLSLPARTIIVSDDKMVARRLIFLLSAFL PANSQFPMPRAHRPSTSTSLGAYSNSPPTFVIPVLREESLRRKINRRTGLRRASHSRT TSQSARASTVPVQLAHLTMDRNHERRVSDAASIRPPNFAMFGNDIVSRKSSAATTTTI MPETTIPHFSTAQRVESQRRPRPSSSGSVATDDLKRSLKRGESTGAMSTTSTDSRSQS SRWGSVISGLWSTRRRDSTTYSTYSGYDPKSPVKASFHRTDKLSQMVEEATLVDDVDV PPTSTRPATDTLRGTGTPRECKGHSREPSIRPDRTPDPTGAFESPVKTSINADDGVID VDISFPDYMTTFESAISSPSSSGYLSTPGQNGLESFEQASRLCIDGDLPLNAAGWLNR YHPDFALQAIPPQEDLIEQIKASLQAEPTPPPAYPILGDLNERWVDISSVMIADTTNN SITRLRYRRLVKPRAPADHRPLTGPPGLSTSYGGLLTPSILPYETQLEEEWIEEPVLC PDETLTDAVERVISLSQDTSKDHSLASSQTHSDTRMSTEVEEPLSITAPATPALAPAP LEIPRVQCKTVVLSALEDSIREVIDIREKRHLETNHLRNGRSRSPLHDAISMWLDNLD ITDG FPSE_02291 MKISKKQRLIFTICISFSFFTAELSVGFYTHSIALIADAFHYLS DLIGIVVALVALVLQDRSKPAPQQSTYGWQRATILGAFFNGVFLLALGVSILVQAVER FVSIARDDVHVQEPKWILIVGSAGLALNILTTSSHHEHRHMSVVIKSPGRDLGMLGVF IHVLGDAVNNIGVIIAAVIIWKAEGQGRYYADPAVGVFISLMILASAVPLVKNSGAIL LQTAPRGLNLDDIKHDIEKIPGIESVHELHIWRLDQRKSIASAHIVVDDRTLEGFADK AKIIMECLHAYGVHSATLQPELVASSPVTISDSGPESPATLIDANHDAPTSRRPRRGE QDCQLTCGSLCDGLRCCTSI FPSE_02290 MSESSNPEDKIRAWLQLTINESGHSKQGDWMNTLVPHLELVSSN AEGPHPSCVFSYTVQPDNCNRLQNLHGGCAATLFDWCTTLPIALVNKPGFWQHLGVSR TLNVTYMRPVPVGTEILIECTITQIGRKLATLHGTMRRREDNLLLATAEHGKVNIDAD IAKM FPSE_02289 MEFVTALRGTFDEQKPSLFEVLSEQQLNALLPPTLRYLLTIATH RHPRYLLRILNSFDEIYAGVMLLVERHYLRTRGGSFTEHFYGLKREKGLHAEVPRASM SSPDIVRETLKLTTRDVWKNLLVIVGIPYLKRKLDESYEVNAPRALLGAAYTRMPDNP TLRDRFLHYYRWFLRNIYPSVNAAYYFAMLAFNVAYLFDGSKYHNPLLWLIGTRIRRM SGADYKAIEALTQTPETGHTPGWRSLLNPREMGPRVLSSLSILLPTSIFALKFLEWWY QSDFAKQLSRKATESVDLPPPVISADGKGGSEKKKKENKEESNEEGDATPSAEDAPIA TPSLLPVYTVPFPSNSALCPICIDEIVTPTACQTGVVYCYTCIHKWIEGQHQKQEDFM ETHEGKWESGQGRCAVTGRRVLGGTEGLRRIMV FPSE_02288 MAYSLTTSFIGESLLSGFEWFNGTDLSKGFVQYQDFTGAFKNGL YSVDPFSETVQLRPDSRRKYGLDEGRPSIRLESKESYQYGLFIADFQHMPISQCGTWP AFWAYGSNWPMGGEIDILEGANLAYTNIMSAHTAEGCMLDPADSNLFSGIRRNLDCGV GTNNVGCGFNPPQSDTSSYGDGFNAVGGGVYAMEWDSEYISIWHFSRGAIPADIEAKQ PDPRKWGLPQSLFGGAKCNVDKYFSDMKLVLNINFCGDYGEGTWTNSEVCTALAPTCR EYVANNPTAFKEAYFDVSYIDVYTRLGGSIPPVLPSSASEASTQASDVIVPGESATNT PMSGRPVFPSTNFSGNSTINTRTDRKEKTTQSEEPTTTTTLTMTGISSVLVTIPGSGT DTPTVTPLPVATGGKSANPSQIGDYSYLGCFRSQSGFQTFDLADDSDDMTIERCVNAC NGLTYIGLFEGTCYCASVLDGDTLAIRNETSCNRPCPGDDAQFCGGMTTQNTRTRFRR ATPLRRDAPSNILLTVYADISDVEQPEVPPAMGPDTNDTSTDNGDSQGDSATDESESH AASDDNDSQDASGDSDDKLGQEGTNTDGSEDPTASNGSASQGDSATDSTASNDHGTQG GSTTDDPDDSNASDGNASQRGSKSNDSESLPVSIEDIDASLAGSASTSGSSAQGTSDE QDSSDDQDGTDSDRNSIMSSAAGVVLSDDQDTTPVVIIGTASGGLVLGATQSAADSNQ ELVLSTITYFTVMPSNPGSLVPQQGIITMSYEKCDYCETPELIKPPMETKVVDCDGCG PNGEDTVTLTVPVSVTVTVPGASTEQAQQTENAAAEAVTSYGGSPEQPPRNSTILPVV PNGMGSGAEGGESPEVTTVVITYLTTQLVTYGTSTDSVSTVTRTARRTVVITVSDSDG QMGILPIPSPGQPNTPVTIATPTPGVPSEPFAVSAASSRRDDVFVYFAIVAMAILALT L FPSE_02287 MGLLSYVGLRRRNQWEPMTLIDHFISSPLTYIAYLFYHIVLLLR GRPFLPPRNKPAIRVVCISDTHDLRVDIPRGDILIHAGDLTDGGTVSDIQKQLDWLKE QPHPIKVVVAGNHDSWFDQKSRPEEDARSGAKPNMDGLIYLESGLTVQKVKGRTVNIF GVPDIPEIGPKEFAFQYATDNHPWLSKVPPQTDILVTHCPPKYHLDLGLGDSNLLREV WRVKPRLHVFGHVHCAYGKEPVFFDNFQQTYERIMSRPRRGPILDFIPNEAWLDYLRF LAQGIHAVAWKWIMSGPGSNNGSLMVNAAQMKGNSGKVKSRAVVVEI FPSE_02286 MSNLKDLYGQSGDKSQTSNFGVDFVIHYKVPPSERAEAEAGFVQ LIQALTTVGLATEVRHGDSDSLLVFVKIASPDLFAKQVYRARLGDWLHGVRVSAPHSD VNQALEDEPVVEAERLRLIYLMITKPRDEGGAGITTNSNWKYVDSVFPLHSHNFNKQW IKKWSSKSTLEQADIDEIRDKFGESVAFYFAFLRSYFRFLVFPAGFGFVSWLLLGQFS FLYALLCGLWSVVFFEYWKKKEIDLAVQWGVRGVSSIQQARPEFEWDREAEDPVTGEA VKVYEPMKRIKTQLLQIPFALAAVMALGALIVTCNSLEVFINEVYTGPGKQYLAFLPT VFLVLGTPTISSLLMSAAEKLNSMENYATVDAHDAALIQKQFVLNFMTSYMALFFTAF VYIPFGHVLVPFLEFWRKTAQVVTFSDKELPTQNFQINPARISNQMFYFTVTAQVVNF ATEVVVPYIKREVFQKAKELKSKPKIQDDHEEEAEFLERVRKECTLEVYDVSGDYREM VMQFGYVAMFSVAWPLAACCYLVNNWVELRSDALKIAISSRRPIPWRTDSIGPWLTAL SFLSWLGSITSAAIVYLCSGARGHGVHGTPSPLKAWGLLLSILLAEHFYLVVQLAVRY VLSKLDSPGEQKERRERFMTKRKLLEENIGQGASEKASVPGIDNSEKITRAALEEEAR QTSIRGHGTPEEMFWQRQRGMNETIDVGRRMIEQQKAAAQKNGQSKSPVPSMKAS FPSE_02285 MDDVVDLVIGPFRDIVEKGREAIENAGDDKTMLKASQSLTKEGE RALKKIEPLCRKHLDEYGSNFLVALKENGRFLLHFSITISWSLAGTIAGQLLTEVAHT DEIASFRAELNDLLWEFDDFIELDDFDAEKFTELQALSRKAAPKIYDILMRLKLEVPI DHDSRSIMTRMSGPQSRPMSPDAPPIPPIYAFSDLTKDIPRSSSSVASESRSSNGPPT VEAATAELRRMMQSRSGPDEGLYAESPVASHTPQSALTPMEPPPRPPSGNPWDFNVKP SPVTRDNHFPDEFSFERRQPVAPIESPIEPTSPPLSPDQGSELRPRPLKTAADRSSQY SNDSQTSSGTESTTYERTYSVFPRGRYSSHNSILSTPIPEDVVSERSSAGYVSQLSPV PRTVPPRSHSLPQSRPESVETNPGSVFDSSRVDGATTPLTDNRESSFSVADGSPTLGT AETHRLSVKHLPMNNYQGMLEPVRPVMVPEVDNFPIPVEAEVVTPQHPPNPFAVDCKL SPQSSFYVHKGFCDGAKEILNGGAGVRKTVKPGFVSVATIAKCIKCQFELDFNEIDLD VNKAERGNFIKNGIGYRLRFLQKSHLPTRRSDDVMYGCVFCVNQGKTIHASDATVFTS QKALFAHLARHPRPLPAVQGFTLIEGSEIPANHKNDYDLHFKSPAEIHPHFEQASNTS HLPTATTKEAARRMYGQRLLYDRTPAHELVLNARIVGLSWPPKYLGEWAMGFHDGIQA SVPTEILRLDPPPSEQVKIDGTSPVQATAKWKFNHKDKVKGDWLKFEKDEIITNISWP YQEFWCWSGTNAKGKTGIFPQAFIDVATLRDFGKAGSDRASIVSNERNKSLSVLSRFT SRKTSRAGRPGSISGSIGSNEIPVLPTPVGTNGQD FPSE_02284 MYINWAGLFTRGSTVASSAFVSSVRPEARSVSTYTEKAVTAIQV MNEEFYNAATGTWDNAWWSSANVVTTLADFVTLELEEANKLNIGGILATTYTNAQKTT VHTMKTMTDGVVSSSYCLDSDSGCMMKRSFLGKRGFDNFLNEFYDDEGWWALAWIRAS DATGDQQYLEAAIDIFNDMQTGTGTKCGGIRWKKEGEEGSGYVNAIANELYLMTAASL ARRVPNNGTYLEIAKKQWNWFQDSGMINKDGLINDGLTDDCKNNGLQTWSYNQGVVLG GLVELALATGDDNYTKKAHKIANAAIKHLSNSDGILIEADDCEHKDGHCGVDGQQFKG IFVRNLRYLHTISPKALYRRFITKNAISIWRNDRDDKNLLGVAWAGPYVRATGPSHSS ALDAIVAAIAVS FPSE_02283 MDAPEADVDIKLQKISADLLEDFDRSLPHFLWKPDGHGGTVVRS RVRAKEIFRLTNSILDQFQELPQLLDPYLPKWIPLLAEVFLKHLQTRHRGKTLSSRSK LLVSVEFAICKILYTFCKIRGEKVIVRFLNVEAKYLELLLIAIEESEQTSADDVTLGK WSWEERYVVLLWLSHLLLAPFDLSTISSIDLQDVTAPDIPGMAWPENLPAITVRMIPL AIKYLSTPGKERDAAKALLVRMAIRRDMQQLGVLKSLIDWSLTSLRPRKDQPLQTTYF CLGVLSFLAGVLRASSDTSDMNPYLSTIFYAIHSISVGEDELSKTIISFALARKMILK VIRSVIVLRLRQPQDAASTELTETAIGYLLESVSDNDTPVRLAASKSLSIITLKLDPD MASQVVEVVLESLNRNVLWTKPAGGKPVRDLSAVNNLEWHGLMLTLSHLLYRRSPPTE QLSDIVHALLLGLSFEQRSMAGGSIGTNVRDAACFGIWALARRYTSDELLAVPTHSVF AAKAHPETSSIIQVLGTELVVTASLDSAGNIRRGASAALQELIGRHPDTVEQGIWVVQ TVDYHSVARRSRAIEEVAVNATRLSSQYGEAIINTLLGWRGIGDLDAGSRRVTGAAFG VLTYELSDLKTGNSLARFKTTAQLLTERLKSLQPRQVEERHGLLLCFAAVLDKFPALL QKETEQDNSSLTDEILSTVSGLLENLQSTAYRKPELLAEAASRLVVSALPVMQTVVLG AKPQLTLRPGQELVQPDNTAEYTSLVPELDSCNKDQSATVVRLVSALRAIVPTWLNRS EQETIEPTASASLVLLLFSSSAERKALIGEWAEIVARRPTSRTAVHGNGYFSALTIAQ PLVDSSEDRACQALLERWAWDTEIDTRVAILQSLTRSSILHKKPLTFLQLIAEGLNDY TTNARGDIGSHVRVQALRAVRVLWDQLNEVAVESEWVEASVKALFFSTLRLSAEKLDR VRPEAQAAVALILEESYAKPFLELTFSSKTYFYSLLNLHTGVGLRPLLHKVAKEDTDQ WMAELMAGFVGSADTGNEDLIIASRAALCGFCEANNDNLDLVCHSLLQNLKTRQRQDR VVVPTLEVVAFLFHVQLFQKSTVDLRGLCLQTQKAGYKTGNVRKLEACVKVYTGVASM LGQGGAEPGAQEARKRLGALLHHPWPKVRTMVVDGLWGVLGERKEAADKLTGVDWGQA GKSQIKTAVEELGLC FPSE_02282 MALYSEPPTLREFKYDKPTLLVCWWATSFCTLIILLRLAGRFIR TERLFPEDRVAALALIPLYARMACVHYILIYGTNNAQFDGVELTDQQLRQKSIGSGLV LASRIFYAATLWILKFAVLEFLHRLTRATWERTWQTSLYIIRIILAATFLAVVISDFV ECRPFSRYWQVLPDPGGQCRQGYVQLLTMAVCNVITDLLLVIFPIPIIVTSGMTIKRK IQLVLLFSLSLSVVGVTLYRVPHIIEENGRQQYRSLLASVELLFATAAANSLVLGSFM RDRGIKKQKFRRSSVAESLDPSLNLRRPTLHRHWGSDEDLVRDVGMTVDPELQDQPDN SSENGALQYTPAPLVRKLDQDLERWQFPQRQRSNAEHSDDSLIQHDPLSRSKSENSPA PRRVSFFDVGGLLDVPPESSGSVRANSRDSSKEDSAQSYTPPVPSLPASSGGFRRGST ALLQDIGGLFGPANTRQNRSKPKYGGTELQPIPQSRQESRQGSHAQLGTELRDPGGLL N FPSE_02281 MDIDHVPTDAKSKEVVRLWRAWRTVHEMVADREYELAEEEVKIS LDRFRDEYCNPDGSINRNKLSFSARPSTAMMRKFTPPATAANPDPVADCGPIWIEFLA DKTFGVNQIRAFASYVISNNYKTGIMITHVPLSPAARRSLASVESLAKIECFLEDDLL VNITHHELVPKHVLLSREEKIALLKRYRLKETQLPRILQKDPVARYLGLKRGHVVKII RNSETAGRYASYRLCV FPSE_02280 MAETATSPAPAAENKQAHVRPTKPDEEVFKKELAKAEKEHKASM DRLVRPQSLSCSVRYGSAIDTGPTSAAVKAKIDIAMPNKNKDQPNPTQQRRQELIAQA NEIRQKQAGGKNARTGKLDQIKRLDEQVRSRIAEQKTAKAKVPYKSLEDVDRQIATLD KQVNSGTMKLVDEKKALSDISSLRKMRKNFGQFEDSQKQIDDLRAKIKEIKDSMDDPE QRALSDQYNKIQAEIDTIKAEQDEAYKGISSLRDERTKLQAEQQEKYTAIRKLKDEYY GQKKAFQAYDREAREARREKQRAEQERYHQERKKAEAERRLGDASDPAYLDEIRRANS LLQFLDPNHKVEKGPLMADTGLGAQAQRSVDESGLKGTKLLRKEDREEEYAPAVKKGK KGKKAGAGPSSNKFSVPPAVVEDCAAMGIDPPMSAADIPTVAEKVRAKLDFWKNDQEA QTQRNIEKAKKEIAELEAAEANGDKVEQATSDLKEASIADKEES FPSE_02279 MACECEAKGLDVAVKQAEDRMMRSIYTEIRSWVRGHAQDYILEY FRLLTDQRKTAHAAHMDRITAHAYHYYHAPPHPTQVAEAQASLKRGIDEDWQASVQRY PEVLEYFFGLVELTLPAEDEPAVKEPPLGSLSNLRKVNRRNSNNPPGAAGAVVPPGYR GDPGQMHQEPPPMPMPRADRRTPGPGMRRPNFGAPPPMPGGYNYPPPPPPY FPSE_02278 MAPRCPTVSSTFLPFLYPSLFLTRHTFSGASALRRRYNSTVNDL PESRLNPAPDDYASPSFSDKAFLTLYAGRGGNGCISFLREAYLAEGPPNGGDGGHGGN VYIQAAHGETSLHKLSRKRFVRAGRGKHGQGSAKGGTRGDDIIITVPVGTVVRELERE DPVADDELDMKLWRAQQKQKRREENQAALERKQRREHEEEIHEEEEEEFEEEEEEEED QDPERRKWLLYPGLSKTDVKNTVFPKLPKRTRLLKQPPPTIRLDLSRPTPTPILLATG GIGGLGNPHFTSRAHPRPMFATKGDDAVTMKIELELKLLADVGLVGLPNAGKSTLLRA ITNSRTRVGNWAFTTLQPNIGTVVLDKYSGRPTIKSYRRYPAQLGLPEEVETEPRTRF TIADIPGLIEGAHLDRGLGIAFLRHVERAGVLAFVIDLSAGDAVKALDSLWREVGLYA EMRDEEEAVRAIDSNIDWDVTADLGGSMNYSPVHEPDQNSKQKQSLQIAGKPWFVVAT KADLPETQENFKELKQYLDSITKGERSHPSGVEDAWTKDCAVIPGY FPSE_02277 MGKKSFRGKGKRGGGGGGRGGARDGGGWRDYPAIPKENEKLQRF YDSLLQLPEEEKNAYWEALRRELPNSFRFCGSKGHAVTVKNLLQTRYIPEIVKIEHQD GRPVEPPQPVPWYPDDLAWWMTTPKNVIRKFPPFAKFQKFLVSETSVGNISRQEVVSM IPPLLMDLRPGMTVLDMCAAPGSKAAQLLEMIHQGEEARVRKVLRSYAQEDGLDLGAE TKEEMEADLEADPSDAGRATGMLVANDSDYKRGHMLVHQLKRLSSPNLLVTNHDATQF PSIRLPAKDNKKPTYLKFDRILADVPCSGDGTLRKNPAIWKDWQPGSALGLHLTQIRI LVRALQLLKVGGRVVFSTCSMNPVENESVVVSAIERCGGPANVEIVDCSNELPNLKRA PGMKDWKIMDKSARVWSSWKEVEDFAKENAEGVIPGRVVETMFPRLEGADCADLPLER CMRVYPHMQDTGGFFITVLEKKSEFKAKNENEGKEAKQPTKTETPADATPSEQVVEEV EKKTEAETAPVVAEASQEDVVMDDASSNGNKRPLESEEAQDQPAKKVKTDSTEPSAAA TPVAQVSQEGSKPRRNGPIEEAFKYLDISHPTVQNIKEFYHISKRFPDDRYMVRNEMG EPAKAIYYTSALLRDILIENEGRGIKFIHGGVRMYMKQDAPSADVCRWRIQAEGMPIL QGYVGEPRVVHLHNKETFRKLLIEMFPRINDGKYERFDEIGERVRDMGMGCAVLRIEP DGSDPDFKERMALPLWKSIHSLNLMLPKEDRSAMLLRIFNDTSPLINIVAQKNQQKAA EGAEEVKEEVDVADLPSPEETADVEIVSESIAEPAKEAESEEKMEA FPSE_02276 MVFHDEPGLESTTSWSHAAVSRIITSLRQLFQRFKGSESFDEKV ADVLCRNTTRPVQDTFDNFDDWIAQFCGPNIRWESIGLLWAHVEGLSDALSTLKYRQL KWVEGKQSSIVSHEHLHYIIEISRHFTAGNDMLLDLCRRHAALATLVYGDASPVYWNA HSLCVSMLLFLGRHAPEVSKSQGKPEKISFCVENRRFLYCYIFANDKSMVSFTGRPPL LSRRYCSSQAPLDFSDSCMISKESMTKEFKALDERGWNTKGEIYANGYIRARFLKSYL MDEVIEIALGNDTHVALDYLEDIKARVNQLFFEMPSHLIFEYQNLDDPGLDINVLYLR ILIYLSHKRDIFVVERLLLQHGAIDDGSLLTTSFDLVKVTVVLWIHKNKFAPMRRNFE WVLVAYAAPGGGILCQELLRPTFFGIHPLNPALSRSSIVQQLSMLVAFLNWVGPGSPN RVVCSDCETVIQRVLDEHLNASPANDASLEPLDPGFPRSLGFKFELLNTFEWLNAMER FPSE_02275 MHASPITLLALSASLVSAAKHVVKVGDGGLKFDPAETTAKTGDT VEFHFYKGTHNVAQSGFAKPCEPINSTAFFSGTWDVKQGMSDKVFTINVTSEDPIWYY CAVQGHCQGGMVGAINAPTSGQRSFSNFAKAAEDSDDSVAPRSTGGGEIATATGTLAA SGTSEPTGTSTETGASSTESAGANAGLEARGEIRWGLMTAGMAMAGLFGGLMM FPSE_02274 MGIVIGQPQTLNRPYNYLASYWASMAVVLMLNVNSTTVDVTQDH GEAVGSPLPHPHSDPNITTLDIVKVALLLYHYMFQRSGP FPSE_02273 MNNNKNNSAAGNVGDTVGGAANFLTSTLGNTVGGLGRTVGNVTG AATRGVGDTVTAATGSAGQPIGDAVGNIGTGVEGGLGSISKGVEDAGQWKKR FPSE_02272 MADDGMLLNFDLGSGPVKPQVKFKGGRWRDRKQAEKSARIASGK QSQPKSSTDGPDDGRSSKRQRTDDGDFDDGFDYKSANRFGSRPRHFDNDGHGGASGQS RSHQSDGKSKQVISRLFSFNPAQKTEAEEKQEEWTAPEATNAPLSDVANFGTLTISAR LVDELGKMGLERPTGIQNKVIPHMLTSSSDAFVQAETGSGKTLAYLLPILHRVLLLSV KGGAQIHRDSGAFAIIVAPTRELAKQVHTVLEKLIRPFPWLVSTAITGGESKKAEKAR IRKGVNFLVATPGRLADHIDNTKALNLSIVRWLILDEGDRLMDLGFEDDLKKVITALK AVDVSDTLPDGTPLKALPERRVTVLCSATMKMNVQKLGEMSLADATFLAAKKEDMELD VQKSEMKAPAQLHQYYSVVPAKLRLVTLISYLKSTFSRRGKTMKAIIFISCADSVDFH YELLRDPNTAEAPVAASKEAESISKTVSKAAYITSPASPEVVLHRMHGSLSQPIRTAT LKSFSACKSPSLLITTDVSSRGLDIPSVDLVIEYDPAFSFADHIHRVGRTARAGKPGD ALLFLLPGTEEGYIELMKGSTTPTPQSYDSILQKGMMTKLEFPVETTAKPEDGHSFHD KAESLQLHIEQRLLEDTKRLELARNGFKSHIRAYATHTKEERKHFDISELHLGHTAKS YGLREAPGGIGQGVERKTKKRMNKGVEKDPEQAAGDERQNQNIIRKKSMMLMNSAADE FNIG FPSE_02271 MPTIYSSLYSNFVRQGSTFAKSITTHGYAQSFVAATHPHVLNSQ NRPVFVRRKTNRLNRFSNLQLHSAFHTERTASSGLPSVEHRPGQNHGGLDAYFEALQH QQAAGEVDSEWTQFDFQKSIEWKPTAASILTKDQAAAQEVIEQALIEDAEVKSNLSIE DQAALAHIDAALEREIEVRSLQEAAENAVAEGRPISSLGFKSQSPVVSRPQTPASVAR SATPTVDPQSLSYAGHLHKLAKNGRYVEIPAVFEAMLATGVQPIAGAYNALLLAAIHI PMKKTEIVSKALDVYADMLRRKVSPDADTFNILVGLLASRCLEVAELKAALEDKRVRF GGMDEPGKFMLASHEFEHAILCEDERLDYAIKLFDTSVDTDVAEYTAETYHQLITACA KDGRVADMLRLFEHMEASQIVPFAAVFPTMITAFASSGDLISAVECYNEYRNLAIAHD DGQTTLNDRLDAEVYAAVINAYVVTDKIEGAMKFFKKIVDEYGVRAADIKDALVTTGF VKGFITRGIYQEAFHWAQSVEAESRAKAMSEIATIAADKDDKETAVAAYNGMPSYADD LVTPATALLAMSVRDGDIISASKYWQTLNSPEIQVTAAFIEPTAMYAVALIGSGQVAD GLAQAEMMFQRIRASLSETQSHLVEEIDEGAEFISRYMETRGIVDPRQLAPQVLDFTQ QPVAASSYLSTPAVPSFEDTYDPYAHNTDFKGSSLIADDLEGSHGRKGPRLSEALNRF RNMRHAGRHPRYITYAKLISAAARDGKVDLCYDILAMARTDMPIMPQYAVVRYGWSSI LDAMVGACLTVGNRGRAEQFHQELLEIGASPSANTFGLYITTLKDSTKTFDEASEAVR IFHRAKAEGVEPSSFLYNALIGKLGKARRIDDCLFYFGEMRNLGIKPTSVTYGTIVNA LCRVSDEKFAETLFDEMEAMPNYKARPAPYNSMMQFFLTTKRDKSKVLAYYERMKAKG IAPTAHTYKLLVDTHATLDPVDMEAAEEILGMIRASGQRVEPVHYASLIHARGCVLHD MEGAMKMFDSVVKESLVPISASLYQALFEAMVANHQVAASEPVLAHMRSKGVELTPYI ANTLIHGWAAEKKIEKAQGIYDAVGREKREPSTYEAMTRAFLAVEQREQAKGVVGEML TRGYPSAVVNKVLELLGGGQQEVAE FPSE_02270 MSLKHPTIAVSAPGKVFLAGGYLVLDQEYTAFVFGLNARINIIA GDIHTTAGVQLTEIVVDSPQFLDAQWRYGYHLAGEGGGIKVTQLQVGAQINPNPFVET TLSYALTYIDRVAGHRSSHSLASARLIILADNDYYSHSESETTRSGRFAKFPVTLSNA NKTGLGSSAALVTSLTASLLVHYLPEDLFSIDSDKGKRTLHNLAQAAHCAAQGKVGSG FDVATAVYGSCRYRRFSPATLNKIPEPGAAGFADALVKLVDGESEWDVEVLKDAVTMP KGVVLRMCDVDCGSKTVGMVKKVLAWKSSNPENSKTLWDELQSRNEQLIATLNAGDVA QLPEKINTVREKIREMGNASDVPIEPESQTELLDALSTVEGVYGGVVPGAGGYDALAL LMKDDEETKQRVEVFLEKWAAEKGTKVKLLAVKGEMEGVRSESLNVYAGWV FPSE_02269 MHISDDFNMLRERVQTIIRQRSRKEKRSLDISAPFNFEKNPVNL PGVSEEQLSMLREQAAASRIGIADHPGPGPIAPRSPCSTSSLFSHPVRPAMKSVPTCD SVSSTDSLH FPSE_02268 DLAWTGWKNSGKGQTLSKFGFDQFVKLKSYHLKDYPN FPSE_01041 MSVETITTISPNTNEAILTRNGASAADLESLPKVAAEAFQNYRK TTLKERQAIVRKFLDGLLAKKDELGEELTVQMGRPISYTPGEVATAVKRGEYLLKISD EALKDTDGEAEKGFKRFIRKVPVGPVLVIFAWNYPYLILVNSLIPALLAGCSVILKPS PQTPTIVERVTDLLKEAGLPEGVCQYFHCGSPTVMETIVRDPKIELVCFTGSVAGGLA VQQAASDRIVNVGLELGGKDPAYVRSDVDIDWAAAEIVDGAIFNSGQSCCSLERVYVN EKIHDQFVEAVQKVLKSYKLGDPFNKETQIGPVVSKRSKQAAEEHIKDAIDNGAKDAT PENETFSNPPPKGNFVKPTLLTGVNHSMRVMTEESFAPIIPVMKVKDDSEAIKYMNDS EFGLTASIWTKDTDKGYELAEEVEAGTVFVNRCDYPAP FPSE_01042 MAPETKNDFLEIADSDADSDVGYNSEEDDMQKGGRGAKRRKVES DDEDDLGSDIGGDGSDDEDEAIEKDSKKIQEEDKKEDQPEKPKRKSKDTTSTELPDVT RTLTKKNLVASEAAIKKSGVVYLSRIPPFMKPAKLRSLLEPYGTINRIFLAPEDPASH ARRVRAGGNKKRSYTEGWVEFTKKKDAKAVCDLLNARTIGGKKGSYYHDDLWNLLYLK GFKWHNLTEQIAAENAERSSRMRAEISKSTKENKEFVRNVEKAKMLDGMAVKAKAKKR KAETHTEGQEDESVRQVKRSFKQVPLARKKMDGEDQPAEVTRVLSKIF FPSE_01043 MSMDLDDQPISIAPATQQTGAATILCCNCGAPIDGTASTGALCY DCIKLTVDISQGVQREATLNFCRDCDRWLMPPTSWIVASPESRELLALCLKKLRGLNK VRIVDASFIWTEPHSRRIRVKITIQDEVQDGMLLQQTFEVIYVVAYQQCPECAKSYTA NVWRAAVQVRQKVLHKRTFLFLEQLIMKHGAHKDTLNIREAKDGIDFFFSQRNQAEKF IDFLNSVVPVKTKASQELISQDVHTSKASFKFTYSAELVPICKDDLVALPIKMAKQSG NISPLLLCHKIGTSVYLLDPQTLQTCDISAPIYWRAPFLSLTDTRELVEFVVMDIETT GTQKGKWTLAEATVARASDLGVNDRTYFTRTHMGRLLQPGDSAMGYLLAGTVFNNTEY EAIENSNTYSSTIPDVILIKKHYPGRRKNRKRNWQLKRMNKDEGELLPKKADQERMDQ EYEQFLRDVEEDEELRATLALYKAQKRAEEEMSVAETEEDDEAPHVDMEELLDDFDEL TVQDRPMED FPSE_01044 MGRKLPWKTSPAQSSPDNKASIKSESPRLTVSNPTIDSPSAFRK KPRHDRVIDSEDSARSPSTSPPPEPPPERFMRPGLDHDDRYRMVEDEFLNMAHQFTVH LHRAEYDRLKNLAKHQNADTIREIERPIVGPPTLLARQRQESGRRVSKQRKLLADSSN EKDTSFAGSSLQGLMESPRKEHKWISAGLADAAKTRASAGFGSQKITPVRMRQITKPG SGKKRQLPLADDDETDGGDDLDLATPSREPVTKAARSSHTSSPAASRSAPRPAFSTPR TSTTINKTSRSGSSIRRPTTVPGDSTRGTIKRDDIHEDIDDDDIFGITKRMSDRRKSK GLGRRTEDKTPAKHSLDEIPSFI FPSE_01045 MVSTTNFPAEVVPQAPEDPLFGLAREYKADDSSNKIDLGIGAYR DENAKPWVLPVVKKADEILRNDPELNHEYAPIAGIASFTSKAAELVFGPDSSAIQDKR STTLQTISGTGAVHLGALFLAKFYQGNQTVYLSNPTWANHHQIFKNVGMSIDTYPYFH KETKGLDFEGFKKTLKSAPEGSVFVLHACAHNPTGVDPTQDQWTEIASIMKEKNHFPF FDTAYQGFASGDLVKDAWAIRYFVDQGFELVVAQSFAKNFGLYGERAGCFHAVTSPAP EASNTITRIGSQLAILQRSEISNPPLYGARIVSTVLNDRDLFAEWEENLRTMSGRIIS MRDVLRAKLEELETPGTWNHITDQIGMFSFTGLSEKQVLQLREEFHVYMTKNGRISMA GLNDNNVEYFAKAVDKVVRDAA FPSE_01046 MNITVTFNELLRERNAPETKKRVSLDAIDGFLKEAYRINSHITS LHRELQDVRQAYLSTAQPRKTNSRAAQGQSRVLSDRDREEVDANAKQMIRELNAGIRA LDEAEQLRQETETAMIRKKYVGGLGALGSWASGGMVSSKTKEHEEADAKARDVGIHRD GILWFLRQRLESCCRTQQDMMEARLMREMEKSRSMLSRAGIAGDFAEFPPSARRLSQT APAGQIPMSDDGQFPSQGLTEEQIQMFEEGNQDMMKHFESSLDKVRTAEKSLLEISEL QSLLVNNLATQSAHIEQLVSDSFSTTENVGGGNKELKKATQRASPAKYTFFAAGGLCA FLVVWDLIF FPSE_01047 MAEKDENRPSPDHSGSHRHDLDTISIQSSLSDAPTARDTTPEKL GESMNNGISTQADEGVMGDPENMTRVSSGPAYSTFSKNTKRRILALVTAASFVSPMTA NIYFPALNPIADDLNISISLINLTLTSYMIFQGLSPTMFGDFGDMAGRRPAYIVAFSI YIGANIGLALQRNFVALLILRCVQSAGSSGTLALGYAVVADISSTGERGKYMGIVGAG INIGPALGPLLGGILSQYLGWPAIFWFCAIFSATWMIPFILSAPETCRNVVGNGSIAP QKWNRTLLDIYSHRGDVPDQNVPKRKLKFPNPLKTLYIVFDKEMAIILCINAIIYVAF ILTAATLSTLFKEIYGYNDLQVGLCYLPYGFGCAFAVVAQGRVLDWNYRRIAKAIGFT IDRKRGNDLAKFPIETARIQAIYPILLAGIVTLIGYGWALQVETSVAVPLVLVFLIGM FVPTSFSVLNTLIVDLNPNAPATATAANNLVRCMFGAASTAAIDHMIEGMGRGWCFTF LALLNLAMIPLLRLVDKRGMGWRAAKAKREALKAT FPSE_01048 MAASHNSPLWVSQLEHAPAAKAKQSGIQDPPGYSSGTAVTNSKK NKDAAKVQPRKPPTVEEMDTLKLKKAWEVALAPVKGLPMTAIMMYMSGNSLQIFSIMM VFMAFKNPLMGLMNTNQAFERFQSSQSLSSQLLQVKFVYIVCQLVALGVGIWKINAMG LLPTTRSDWLMWEVQREPLEHAVAAL FPSE_01049 MSSAAGGGDSKLFARGKVAELRLELNSGGKKDKNYAGKKIALKK IVANMTMSNNDMVALFPDIIGCMGIQSLEIKKMCFLFLVNYARMRPEIAVNAIPVLQH DMEDHNPLVRALALRTMSYIHVREFVEATVPIVKHMLKDGDPYVRKTAAFCVAKLYDH DRNMVESSDLIDRLNSLLRDDNPTVVASALAGLMDIWERSDAIKLTIDYSNASKMVAI LPDCSEWGQTYILEALMSYVPQESGEAVLLAERISPRLSHSNSSVVLTCIRVILYLMN YIADQKQISALCRKLSPPLVTLLAKGPEVQYLALRNALLILQRRPEVLRNDIRVFFCK YNDPIYVKVTKLELIFMLATEDNIDEVLTELREYATEIDVHFVRKAVRAIGKLAIKIE PAARRCINLLLELVATKITYIVQEATVVIRNIFRKYPNQYESIISTLCEHLDSLDEPE AKAAMVWVIGQYADRIENSDALLEDFLYSFAEEPVEVQLALLTATVKLFIQRPTKGQE LVPKVLKWATEETDNPDLRDRAYMYWRLLSTDMNAARQIVMGEKPAITAESERLDSAT LEEMCLNVGTLATVYLKPVQTVFRSARPRKLQDSPALQKQNLLVAGDSQKSISMFGNA GATTDIDLRSRNPMSGDGQGNIAQAVSDADAYFSGIGTQQMTPMHGDQGDDVFGGGNG HSTGYVVSAHAPQAVLQPTQGAGSNGDLLML FPSE_01050 MNHYKQCKTKQNKAAKKPSRPAATAWNLESLNALPDRERGERGR LA FPSE_01051 MSAPADSTMSGGNAAPVETSRLEQPNDAVAMENITKPSEQKPAD HERESADETSAAEPTPPTNVPAPSETTDQPTTAAPASPKGKEKETAPPPPTKQDSNLG IGPAVDDIREISGGSSDGPVCTITLLLTSGSRHPYKIDAKYLSRRNVDIPDQTEGGLP DPFSISIYTLKELILREWRSDWEAKPNSPSSIRLIHFGKLLDDKEQLKKYQLSTESPN VVHMSIRPQDLDEEEPKSGSKNLSSGSGDGQRSRGGGCCVVL FPSE_01052 MSQAMRIVPGNSNVQSYTHISHTSSAPSAPGIHDTLRHGVGASP FDSKTTPASAHPLEARLKNWEATQESLKMETLRRTYGMAEPIRRGMELKIVQNGEWRP MALGGGLPSVHEEILRGRDTTITWEDVFKGDETRAVAGFHDEMEKKLKIQ FPSE_01053 MRPLTSCPPIIRIANGTFYRHHPNTTTSRPNPPLFSDLNFELAS TSNSPRNWCIVGPSLSGKTTFLQALKGRFLCDPPIARSYPYLSSENVPKRLRTPQKAI QYVGFDAEQTGGGLGGSVATSAYLSARYESRREITDFSLKDFLLGNTELNPMKAEQGD DDAAISPDLLSRVIKDLELENLLDLPVSFLSNGQGRRARIARALLTKPEVLLLDEPFM GLDPPTVSGLSPLLHSLAEKASPRLVLSARPQDPVPDWITHLVYLRTDCQVGSMGPKE EVLEGLKKYVHGVHKGGLVEDEKLPLHSLVDIGRVLPSAASTEDSGHTEQSSFNELEP RNPDAEPLVEMDGCRVQYRGKVALGNWTQQTTDGSRDGLIWTVRRGERWGVFGPNGSG KTTIVSLLCSDHPQSYSLPIKLFGRSRLPEPGSGQRPLTFWDIQSRIGHSSPEVHQHM PRNLTIRQTIENAWSETFRAKPKLDDAAKEKVEAALRWFAPELHPAYSSASHENLSWA DDYMFGGLSFSAQRIALFVRSMIKSPDVVVLDEAFSGMDDAVRDKCTLFLEQGESRTY RGEEIVESDTSKSGQVKIPGLSDQQALICIAHVKEEVPDCVREWLCLPEANTGSPARF GRLDGPLRIDEKRWHGVWGF FPSE_01054 MTSRDANIDDEDLTVHACCAPIQNLARPVDFSELDEDERPFFHW PIFGPLVVENESSDARDHCANERTFLSYLRLSVYMAIVSVAITLSFHLKSEASPLELR MAKPLGTIFWALSVMTLFAGMANYITNEQPLYKLVGRLMQ FPSE_01055 MTPARGRTKARFWAGDEEMANKKDDDLGAPGHHYQSRTGGHWQA TPRAPRRSSVGRLISYLVFISILFFIAYNLISSPSSGSANTTSERSAQPGHKQGQVYY QGPLKFPELAETLRSIQGTAGSYERNKNILFTASSINSANTLLPMACNMASQDKNYVH FALMGRNEISIKELLQINGIDESCKIYTHDARTDYASTSSEMRLKLAALRAFYYINNF MHPQAIIIDSTYAEDDYFLKAARDQIHGTKSALIELPDKPETRLGWISKLDALALSAW NKVHFDILVQAPPVGTANLQRLLTSLRRADKSAITIPQLTIELPPVVEKPLADFLSGF QWPSKASGELPQSQMLSLRHRIPSHKMDEEESSVRFLESFWPSKPSQNHVLVLAPHAE VSPQFFNYVKYNMLHSLYSHSAILQDWNENIMGISFQSPKTLLDDTTPLTVPVADAKG EKDGASPFFWQAPTSDAVLFMGNKWVELHGYVSRLLEKQQTRTGTPAFLAKKNTSKKH PAWLEYVLQLSQLRGYVTLYPRPETAATILGTHSDLPNTPEEYMGEETRNKENGEADE ATSRFDPASPIDMLATLPREGDLLSLGDLPLVSWSGKPTSLEELEMSSLELTKLFRQD IGECPPSVISDEDEFGRPQRNPDASDLFCKSKDSGSANTAPEVKESKETA FPSE_01056 MAKSRRNRGHAARKDPISKPIKPPSDPELAALRESKILPVINDL KSADPKSRSAAASAISNIIQDEKCRKLLLREQIVHTITTQTLTDAALESRAAGWGILR VLAQEEEPDFCVHLYRVDILTAIEFATKSVTEILLSKDLEFQKRSKPEQSTILSIVSS LVSLLTALAEAQDEILEAISRNATATRLLLLLTSDELTSNPDIVSLRSDALACLMILC EDNEQLSDKVIHTKEHRTYGTLLSLRKTANGDGVLACGVLHNIFASLEDQDIALGADN SVLIPTLSQALKAYEPGQKSDAVGWANPFEYQQLALEILASIGTTLNTASEVEPQEED KPEAKDDEEMADLDEEISDGEEEGGEEEEEEEMDDDELEADMELVTGADRNEEDSNID DLPILKTLIDNALPELIRIASLSPVDDASLRLQGHALSALNNIAWSVSLIDFSDLNNA PIQNAWEPVGRALWAQVIAPILATDTADLDLATHVTSLAWGVARSLRGRPNTPFTEEH RRFIALYQATKGSPAAQDSEDPFQSLSVKCIGVLGQLALEPAPVGRNRDIGIFLITLL AGLPETPTADAVEALIQIFDIYADESYSYEKEVFWKNDFLKHLNEILPKVRSMVKAID KRAGSELRQRADEALLNLNRFLTYKRKNKPEA FPSE_01057 MATDADVDMGGVPSADDIHNVVPSGTKRKAESLTEGAGAPRRIK ALDPNVVNKIAAGEIIVAPVHALKELIENAVDAGATSLDVLAKEGGLKLLQITDNGCG IQKDDLAILCERHTTSKITTFEDLSAIETYGFRGEALASISHIAHLSVTTKTKDSDLA WRAHYYEGKLAPAKPGQSAEPKGVAGRPGTQITVEDLFFNIATRRRAFRSPSDEFNKI IDMVGRYAVHCKGVGFTCKKAGEASTNLSIQAHATIIDRIRQIHGSAVANELLEFSVS EARWGFRAEGYTTNANYSVKKTTILLFINHRCVESTHIKKAIEQTYANFLPKNGHPFI YLSLEIDPARVDVNVHPTKREVHFLNEDEIIQSICAAIESKLAAVDMSRTFMTQTLLP GAKATESTSQAESDGTPNRRTPATKKRRYSNDLVRTDTAERKITSMFARAGPSESTGS MDRAGDAIPIHEPLEYETVDRELVQCRLNSVKYLREEVREDMHLELTEIFANHTFVGV VDEQRRLAAIQGGVKLYLIDYGRTCYEYFYQLGLTDFGNFGTIKFAPALDLRELLRTA AAVEKSLITSPDEDFDTEALADRVTDQLIERREMLLEYFSLEISPTGELISLPLLIKG YTPPLVKLPRFLLRLGPGVDWTDEQACFDSFLREMATFYVPEKLPTLPGDAESLREEV ISAEMRARRQHVRHAVEHVFFPAFKARLVATKSLMEDAVLEVANLKGLYRVFERC FPSE_01058 MERPNQSVSSNKCTWGSAGNRPLTPRPDPAAAGDRDRNALNDMR RPNYPSPNTGDLGSELDDMYAKSAKLQPSRIDK FPSE_06112 MLTKGPLLDTTSLPLGQRRGISDLAQIALDLTWASESAKNDTIA RYRAYPSPPMSGSPPLPPRQPQDSGDRRQPPVGYSAPNQPDTYWSNLSQQPPADNRGP PNMQTTLPRLFQQVPPDHSPFPYRRPDDPASRHVSYIQSGAPPMSQQAGYIPPSAPGV SSPYASSARPSIVENQSMTSPKSQRKTKGHVASACVPCKRAHLRCDAQRPCSRCITNG KEDLCIDVQHKKRGRPRLRDDRETRYDPSRFPHPQDAAVRRPLSIYPSGAPMGPGGEH MNQRYSERTHSMEASTYPPHLPSGPRGADPVAFLNMKMDFAKASPAFMEAVGQAELQG QNLVDVVVLTEREKVASIRSQLIEEQTRKEPNYLPPILGRLDRILQGLGFGADEIGRF QLDRHEYLTFRAADGQPRQYPIRLGLAKEGTVYFIVMVLSVPVRHAYPLPSSPAAREA AHSYISQPPTPQSVYRTPVPPTTPFDMTRGPFNEVPLVSRPAAGPSTQLPTSANHGIA VGAGAASYAASPGRTEYRPPQSYNVPRSELPPSSAYRPQSTFQLPPIRAQPEHPGPRP TGDQKWPHEDRPTRVDIGGLIDKPENPAQP FPSE_06113 MSDNARVMKPPKNMTELASISLPSLGISNEPASVGTVRGAT FPSE_06114 MKGREIQSNGMNLATRSGADPSAPWSTHRDDIRTQPALNKVASG YEYQAITSNKSETNTTARQAHRELSPQVGYDTWVVYQVSVMLLETISHIDANHTLMAK VARLH FPSE_06115 MAKPQTANLPLIPLARGTILLPGLVQRIPVSSNRPDIPALLAHV YEQAASKGPDTRIDSIPIACVPISSPLISGNGQRLIGDAEEIDPAAIENVLPGSAKKD DLFTFGVAAKIIGIDGRGTGEFALRVEGTARVRIENFTRERPYFEAKVTYFHEDNNVT DKQAQDLFALLKTRSRELVTILRISSLLPRTRDGPVLSPVLTRRLEMLIMRKELHEAG LLADFMANLVESTHEEKLEVLAALDVKVRLTKVIELLERQVGGIKNNFKITTFTTMPI QILDRLNENQNRKPGSLPQIPGMAFVPPNGQMPGGNDHNDDQEANELDELKRKLSSAK LPAEAAKTVDRELRRLQKMQPMNQEYQVTRNWLETLAEIPWTATTDDRLGPETLHRAR KQLDDDHYGLDNVKKRLIEYLAVLRLKQSINDEVEEKIKEAEQETIQSTANEQGNQEK QDKETAEGSPATGDATKPEMAKLEILKSQRMVDKSPIMLLAGPPGVGKTSLARSVATA LGRKFHRISLGGVRDEAEIRGHRRTYVAAMPGLIVQGLKKVGVANPVILLDEIDKIGH ASIHGDPSAAMLEVLDPEQNYNFQDHYVGMPIDLSKILFIATANSLDTIPAPLLDRME TIYIPGYTTLEKRHIAMQHLVPKQIRVNGLAESQVAFNQEVVSKIIDCYTRESGVRNL EREIGSVCRAKAVEYAEAKDAGHIEHYRPELSVEDIEDILGIEKFEEEIAEKTSRPGI VTGLVAYSSGGNGSILFIEVADMPGNGRVQLTGKLGDVLKESVEVALTWVKAHAFELG LTPEPTTDIMKERSIHVHCPSGAIPKDGPSSGIGQAIALISLFSGKPVPPTMAMTGEI SLRGRVTAVGGIKEKLIGALRAGVKTVLLPAQNRKDVKDLPQEVKDGLEILHVSHIWE AIRLVWPDSHWAEDSNYRGIESRL FPSE_04332 QLNQQHLTAKIMSNTLASAAYSGFTKTSYGAQGGDDSGGFFAGG SQQGSQGGGGKAYQDESLRPVTIKQILDAEEAYAGADFKIDGSPVTQITFVGQVRSVQ PQPTNITLKIDDGTGQIEVKKWIDVDKADDSEAGFELDSHVRIWGRLKSFNNKRHVGA HVIRPVADFNEVNYHMLEATYVHLYFTKGPLGGQGGGANGDDGMFVDGGGYNDNAGGN TNSHSKLAGCSPLAKKMFNFMSDQPGGNEGVHVNIITSSTGMSVRDALTASDELLGQG LIYTTVDDETWAVLEY FPSE_04331 METNKNCSSGINGSSAIAKWRRRSLIPSSWETSVRLVNVNESAA AGSSLAQAFATDAMSQYLLDGDDMADYSDEQKWKLHVDYMTYMVAAHCYKGIVTTIGS DYEAIALWLAPGQQMDDWWTSIRSGMWKLNYQLSAEGRRRYYDEMLPVLDQTKVEVMG QRNKDSYYLVYIGTKPHAQGRGYAGKLIRDMVAKADVEKRPMYLESSAERNNGYYAKF GFEVKREVVFEGSSEPIKMWIMVREPQMPRPACGSIVVSAVSSAVKF FPSE_04330 MSTTTTTTNQPITAESMLRLFPDIDTSSAPLSGHDEEQIRLMDE VCIVLDEDDKPIGTASKKICHLMTNIDKGLLHRAFSVFLFNDKNELLLQQRATEKITF PDMWTNTCCSHPLHIPTETGSTLEDSIAGVKRAAQRKLEHELGIKKEQVPFEDFHFLT RIHYKAPSDGMWGEHEIDYILFIKANVDLDINKNEVRDTQYVTPESLKQQFDDPSLVF TPWFKLICNSMLFEWWQNLDSGLDKYLNEQEIRRM FPSE_04329 MAPKIEEQEIETYWNIFSTRTGGGKFLTGEQAAPVLKNSGLRDD QLERVWDLADVDNDGNLDFEEFCVAMRIIFDILNGEYADVPTTLPDWLVPESKAHLVQ ATQAITGKQVQFEQVEDDSEDLGLKDGFEWYMKPADKSKYEQIYQENRNARGEVDFNA LSDLYESLDVPDTDIRSAWNLINPSAQSTINKDACLAFLHILNYRHEGFRIPRAVPAS LRSSFERNQIDYQVDNQRTGANSRWATKADDSTSTGRKAKFGDQYLTRLGRSGFKTAG TDFSTEKTEDWEEVRLKRKLQDLEEKVKKVEDIAERRKGGKRDSKPALVKKELDQLLE YKRRELRELEEGTGKSASGGSLKSIQEDLQTVREQVEGLETHLRTREQVLAEIRREIE DEKRG FPSE_04328 MTKTVVVLGGSLGGMAVTHQLLKYTRPHQEDLKVILVSKNSHFF WNLASVRAIIPGVIKDDEIFAPIQPGLDQYPAGSAHFIVGTASAVDPEARTVTVEPET GASTKLKYDHLVIATGAETVDPSLPWKASTSHEELVASLHRTADKVERATHVVVAGAG ATGVELAGEIQFAYPSTTVLLISAEDQILGGDQIAGRAESELRRLGVEIRAGLRSEET TELPDGKTLVKLSNGETIATDVFLATMGLRPNSGFLPKEWLNEHGYANVDDELRVKAA TNVWAVGDIVSKPRASFLITEAQAAGVYKNIDLVLKGKEAQPVSGPRVDAFLCATGRS RGAGRLGKIPVPSLAVWTVKGRTLGIERTKKYVSGSMW FPSE_04327 MSAPQSEAFQKAVEDSKKLTSKPGPTELLELYSLYKGKAKYNAW KKVAEDDKLTPEAAQAKYVEHVEKLKKDYGYDANKVPETVGA FPSE_04326 MLFRTIASIALLASASNAASQSQVQPYKLIKAPVEGLSFGRRST PGYQPDQSVCGGGGDTCADACGAGFESCPSEDNQTHCFNPKAKQSCCFDGSGNSCDKG FYCSHDTKAQTWCCPDAMDLAECAAAYGVEDGLKTEQLLTTTATTVAETTTAEPTTTA EPTTSELETTSSQLETTSTTVYITSTSLASTSTKAATTTVAPTTSSVVVKTTTKAEET TIVAPSSGYSTAWSGSNSTIATAAPTQPSESAPGSAEPSSISSGASLTGVSAMLLAAA GAFALL FPSE_04325 MSETQTQEVGVPAVKETENATVDKRKPFSWLEPHPTFVIILVGP QEAPFGIQKDFLCCRSEFYEKHFSVANPEEKVEHVVKLPDTTKEVFGLAQHFLYTDKV ISDESSVPSYEALVGLWKLGHKLGIKGLCDKALNAMIDCRRITETIPATPLLIQVWKD TPEGSSIRKLLLSWTAEYMRSSDARAEFAKSLPQEVLSELVVTMSSFDTVSSPEAPPA TVTLPSVTPRKNVHYLEDEPENEAKRSRRVSGISVGTPVSADRSIKARGSLPKPGPRR RTSAGFAEGRDFTTAQKLEFCADLLTRMLSGPGFWTRLVGPFKDAVEPVEDGVPDYFE KVKRPMDLTTIKFKMDHKEYNNEEEFLADVRQIFDNCFTYWKKGDPMWTAGEKLQKTF EDKFSHMNKWISKMGGDEVE FPSE_04323 MDYHAIHGYDRASFDTPSTHRLPTVSASQALDDLGCDASTHVST GLKGLDRSLLGPVTVDSQDGAIKGGVQRGQVTEIWGPPGSGKTALGYFYSPANALCDG DAVVWIDCFQALQQDRLRTVTKATQARRNASFSTGIEAAPAKEIDATQFYQYSCFTLP HLISLVSRPTTKLIPTNTSLVIISSFSALVNSALPKSNDGKSTSKGSKGLSPLVKRRQ ALQSLMSSFHKLAATRNCAIVVLSQCATKMHSERGATLTAAINANVWEQGVSTRLVTF RDWVWQESSLTSVFLAGLQKVDGRACQEAVENIVAFKVELDGATQVPYEALQSFELAR HKRKLGETELEVPDSEDDEDYGWADEDEAAMPAPPPQWQGSEDLILGQDVGHSEEENS EQEEPGTDDESNTK FPSE_04322 MQRAQSAVDFSNLLNPTAPAEKEIEKPQQGDVEMATAAVTVIKP NGPLPGGQSSENSNELPRPYKCPLCDKAFHRLEHQTRHIRTHTGEKPHACQFPGCSKK FSRSDELTRHSRIHNNPNSRRGNKAAQAHQQQQHQMHQQGIPHHMLPDGMMAPPPAPK TIRSAPGSALASPNVSPPHSYSTFALPTSAVHYNRGGDISMLAKAATQVERETLTAPP HHHNNNRHHPYFGHGMHSSRGHLPTLSSYHMARSHSGDDDDHYSGSMRHAKRSRPNSP NSTAPSSPTFSHDSLSPTPDHTPIATPAHSPRLRPFSGYELPSLRNLSLQHNTTPALA PMEPHLEQSQFQQGAAPTTQPRPNGISLTDIISRPDGSQRKLPVPQVPKVAVQDLLSD NGFSHSGRSSGTSSLAGGDLMDRM FPSE_04321 MSTGYPTRYSRATLVTKFLTSTPQRIDQQFLGNKAGRVSKSGDP KTKTLSIHHRDRICEAGACFRLTLSCRHVSQSTPSVKPKTRVLVGKPRQRQIDAGSWA CCPRSSGFGAQPELHAYHETD FPSE_04320 MSLTLCSLLAREVHTENNKDGRSTIITGVGRYYPHVLDLTMCNV PTHLNICCSHISMIYGIRPPGIALFHYRLKKKRRMKASSQSNSGCVYAFIQPRQRLMF GASDFMEFVSWLTQVI FPSE_04319 MNPTTNLLVTRSTAFRGVPTTLRPFLASRYYATQQGLGATPQGP RRRAVTPFNDNGHVPWKQLSVAEKAARATQQSFNFGMILVGLVLTGGVGYFLWTDVFS PDSKISNFNRAVDKIRSDPRIIDAMGDSKKIAAHGDETFNKWRRARPVASSETTDARG DQHIMMHFYVEGPKNNGIARLHMVKYRGHSDFEYKYLFVDVKGHERIYLEHEDSSSKS GKKFSLFGVKW FPSE_04318 MSSLATKQQSLKIFEKLKTKPANKICFDCGQKNPTWTSVPFGIY LCLDCSSNHRNLGVHISFVRSTNLDQWQWDQLRVMKVGGNESATKFFQQNGGTAALNS KDPKTKYQSNAATKYKDELKRRAARDAQDYPTEVVITDAVDDGSATPAGEPDDDFFSS WDKPAIKRPTPPVSRTGTPPVVGRTPSPFLNSGNGKDIARTASPLSRTSTGENKPASR ITTSAALRKTPASTGPRKANVLGAKKTTKLGAKKVTADIIDFDEAERKAKEEADRIAK LGYDPDAEEDPATRNSGSAAAIISPTPVAPSRGSASSHTRQKSDAEVERLGMGMNRLG FGQVGGPKAAASSAPKRNAGGFGSVGPVRAQDVDDSERYAREKFGTQKGISSDEFFGK GAFDPSQQSEAKTRLQGFEGATAISSNAYFGRPEDEPEEEYGDLESAAKDFVRKFGIT AGDDLENLTQMAGEVSTRLQGAIRSYLGN FPSE_04317 MASPQKLRTPITDLFKIKHPVLLAGMNVAAGPKLAAAVSNAGGL GVIGGVGYTPDMLREQIAELKSFLDDKNAPFGVDLLLPQVGGSARKTNYDYTKGKLNE LVDIIIEEGAKLFVSAVGVPPKAVVDKLHANGIVYMNMIGHVKHVQKCLDLGVDIICA QGGEGGGHTGDIPTTILIPAVVDICKKHKSPLTGGPVQVIAAGGIHNGQLLAASLMMG AGAVWVGTRFILTDEAGAPKAHKEAVRTSGHDDNIRTIIWTGRPMRVRNNDYINDWET NRQAEIKELVSKGVIPYEADLDKLAESTSESENDDDEDILDKYRPYLMGKCAAVVNEQ KPAKAVVDEFVDDAVAWLQRGNKMIAKL FPSE_04316 MRQSFLSSRALAQGLRNAPYNASPSLSRAFATTPRYSLTSTRPR KPSLIAAARPRSLARNTVPGLRHNACGCACANGGGCESNGPRKRTAYIALGSNMGDRV AEIERACNEMDRRGIQVKRTSSLWETEPMYVTDQDRFVNGACEVETELEPIALLDQLQ AIENDMGRKKVIDKGPRNIDLDILLYGDETVDHERLKVPHIGILEREFVLRPLAELIP AKSLDPRKPWKLIQDNLNELPLGEPLSSMTPLSAKAGSLTPLASKRKTHVMGILNLTP DSFSDGGRHDRDNLAQTIVNMVKNGTSIIDVGGQSTAPGRPEVSAKEEVARVVPAIEL IRSIPEAREVAISIDTYRASVAEQAIASGADIINDISAGMLDPDMLSTVARLQKTICL MHMRGTPQTMTKLTSYPEGLIPTLASELLSRVAAAEEAGIRRWRMILDPGIGFAKTGE QNIEILRRLEELRYWSGLEGLPWLVGSSRKAFVGKITGVAEPAQRTWGTAATVAAAVQ GGADVVRVHDTAEMGMVAKMADAIWRA FPSE_04315 MGYMDMATHTGEMRYPQETSTSLTIEPSQPSSLLNLFHPPVLSS TAQYSQDGSAFFQSQQAGHHHLYPGIDIRSRLGSNTTSTTGMAHPYPPSHRTPGLPHP GSQISAREQYTSATPGFRRPSEHSVRSPSFAAQPRGRHPLSPTTSPNAAFSTPSMGSS GYGNRPTGNTIPPLHNVHMMGSLQYNDGAGTPVKVEVNGTIDKGFFLSENEWTCYRRN YFSCICSYSLSPHIPSVSIQYTATGSTQPVQVYGFAMSISAVVSDNESHNIELVQHTP KRDKGPIMKPEKVRLGSKPREASHHHHMGMFTDSAVPNRPVYPDNFSNQPGGQQLPTE HTFERIQFKQATQNNGKRRAAQQYYQLVVELWGDVGTQGGGDQFVKIATRKSAKMIVR GRSPGHYQNDRRGSQSSGPGGSSGNLGGYTVGGMTDFSTSMMGGQGYATGGFDARNSL YNVRHHDIPPESMIPPEDSKAIGTTREYQYYAGPMYEGHSDRIELFNPRTDRDTVVPH MATGMMVGSKIKPDYDGAVLPSIFHPPAQMNDRPPGPFEGKSTSSGYYPIPSSGINMT MT FPSE_04314 MLLCLTVLEFCSVPSLASFFRQSKAFPNQRLCLWRIVEALTAGC LHTHALVLASGVENTGLQAQGSVQGRNILEAQRPDAALLFSEADLCVHLWRCNDPTTK YTMN FPSE_04313 MPKKRNHIKSFKPISTASPSSSRSTDTSEKPSRTVNDLLANLRR TSINPSAASQSALPATAPSVPPAIREILQIPDTPAPAPRRQVRQRFDGNGRRLPAGPP PPRSWVSRQSADAAREASSSQSRLSSRGLEDTCLPGTYLPSRGSLIDIVLQKLAREWA IHRVYNQYHLYFVPNHLKAALIRYVGITSQDGLSLSDLKIILLPPPDTSDRDELDSLT ASNLEVNYLDLSGSACRSIRLKDVNDLLFPVRDQEPATELQESWDVSEAIPSPPRSLL PNLTHLSLALHPQNDSSASWKHLLALTSRLTTLTHLSLAFWPDPCLTPRSRMATVSSP QGRRIPYGGTNLYSHAIDHDWSEALLVLRTLSKNLYALEFLDLTGCCSWFHALMAETG HDFVNWADHWGKITELRLYTGWTPGPNALPSEKEKFTEAVQTARNVEKHIRTMRAGKG RFIVVERDSLDNI FPSE_04312 MNSTNCAASVDASFGPFVPPECRHGFDFTLVFEQSILVLLPASL LLVIAPFRIFRLRNAPVKVTGYRLRSVKLVLIALLAVLHLVLVVLWATLARPSNTRLD RVSIAAACVSFASSLMSCVLSRAEHAKSPRPSSLLSVFFAVSSLLDAALLRTLWLAPI NVAIPAVFTAAFVLKVMLVVVEGWSKAQYLVSGSGVHSPEVTAGLYARAVFAWVVPLL LTGFRKLLRPMDLLELDEDMGSARLISRFWRHWNRHNQKVPARKHRLIVCCITTLQWP IIAVIVPRLALLAFTICQPLILNRLLIFLGDTSQPINIGYGLIAAYGLVYTGIALSQA LYWHRNAWSVTLLRGVLVSAVFSKATELSTTATDDSAAVTLMSSDVDVIVRAVREIHE FWANIIQLAIATWLLSTHIGYAASGPIIISLIALIATVLVSPMARKYQIDWLEKTQKR VGITSAMIGHIKSIKCSGLTQNLSDTILSLRADEIRASRPFRIVSSVTSAIAQVPLMM SPVAAFALFQGVASSSSETLDATRLFSALSLIVLLAQPLFFMFEVILDMSAALGSFER IQKFLVQESRSDLRKIHSSSDVRVSRQGETSSIELQMLRNPTVPSIRESDTGGFAIEV SNADISWSEERSLLHDLTFTVGHDQLVLLLGPVAVGKSTLLKAILGEVPLTAGTVRLQ SDSRSGSVTWCEQSPWLLNQTVRENIVGYAHFDAFLYQKVIKACDLEKDLGQLPRGDG TVIGSKGLALSGGQKQRVALARAVYSQPRVALLDDVFSGLDHQTAQTVFENLVGKNGL FRQWKTTVVLATQSADFLTSADHIICLSKEGEISEQGSFQTLKKANGYIQSLLDDKER HSNPEASGKVIKEDAVKQTEKPKHETPKQQEESTDSRRQRGDSTVYRYYFSSTGGLFM VTLLGLEIIWAFLGSFPTIWLKFWTDDNEKGNDRAGYYLGVYAVLQIIGVIWFAILIW FVIVLVAAKSGITLHKRLLSTVIRAPLSLFTTTDLGSITTRFSQDIGMIDNHLPLGLV VTLASFFGAIAKAGLLTASTPYIAATFPLLGAVYFYLQRAYLRTSRQLRLLDLEEKAP LYTQFLETLSGLATIRAFGWGSAVIEANHALVDRSQRPFYLLMIVQRWLVLVLDLTTA ALALLLVGLAVRLRGEVDVGLTGVSLVQLISLSETVNLLIQFWTSIETSIGAVARIKQ FAEDTGEENLLGETQEPPSQWPDKGAIQISDLTASYGNGQEDAHQALDAVSFEIKPGE KVAICGRTGSGKSSVFLALLRLLDSSSGSITIDGISLSSLPRDTARSRLITLTQDQFV LPGTIRHNADPRGVFSDMDINAALRLVGLSDAIEQHGGLDAPFDEEALSHGQKQLFFL ARAVLRKRDGKVALLDEVTSSVDPKTEESIKSIVEHEFKEHTVVSITHRLSTIVDFDR VIVMEKGCVVEVGEPKNLLASDSKFKALWATRPDSEA FPSE_04311 MTSAASEHVATILCNSKQTRFQITNHRELDIDGVNITVTSGDKS TAKGKGKGKSDGVEILSAAKLRLKEGQRYALVGRNGTGKSTLLKAIAEKLIPGIPEET RIAILQQTKLTDEKDEHDSDPTESSQSSVLEQVIEKATAKHAIEQDIKGLTEGINAPD PSAPVKALRQLKHQKHQERLFRLDKDARLRSGARGMQARKALTAYEKVVAESNELLQQ SNADISPETLQAETQEAVDMLADLQLQMDPTRVSEIESKAKKILTGLGFSETLIQKPI SSLSGGWHMRASLATALVQETDILILDEPTNFLDLLGIIWLQRYLQSLQDADNPPTLI LVSHDRDFTSLCTDLLILKDKQLTYFHGDLPTYEESQSERKQWLTTMKEAQDKQKAHI EKSIAANMKAGKANDDTNKLRQAKSRQKKLDNRWGLQVSAKGGRFKLNRDLVGHHLTA REDIDIPPEDRPVVVNLPEPPDLRFPGALISLEKVAFRYSSKTPLVVQDITLSVGMGD RIGILGLNGAGKSTLIKLLVEETRPTAGTLTTHPRLKLGYYSQHAVEALQSLGRSEPT LTALALLTREVEGELTEGDIRGLLGQLGLPGRIASDVPLCKLSGGQVVRCELARLFWR RPHCLVLDEVTTHLDYETVTALREVLRDWEGAVILVSHDRWFMRGVIEGAMEEDEETD EDDDEESVRRRVVYRLKGGKMSTLEHGVDAFEQLMEKRVKKLLQD FPSE_04310 MSSESAASRAMETIDVENVSFTDENLTWTSTGVSKSTPRHELVL VREVKSSTVLPSHYLIFLLKEDQDNKENPYCLSVLKAKQIPSELRIITLAELPPHLEH GYANIHGVTNQVDVIVSVKSGVGQASRVWHDVLKPLWESFTDDHEKPQCHLIQTESAE TVRDYARHLWASQERAKTRTIVLLSGDGGVVDLLNGSDGDETLQTPPTIALLPLGTGN ALFHSTHKPLYTEPGPSPLVLGLQTLFQGVSADLPVFRASFSSGSRIVKFTDKVKGES SANDPNQMKKEETSITHLQGAIVASYGFHASIVYESDTPEYRVHGDKRFGMVAAELLK ESHPYAAKLSIRRRGSATFENIPREEHAYVLTVLVSNLERAFTISPAARPLQSQLRLV HFGSIGGDRTMDVMMKAYDGGKHIGMDWPDGERVGYDEVDEVTISVLESDERWRKVCI DGTIVEIPEGGDMAIKMLGYGLFKILASHQVLEDRV FPSE_04309 MATDNVKTDTVTVEGKEYRTVTEGKATILVPQGAKIGEDRGEVQ QVFYNPIQQYNRDLSVLAIKTYGEMSLEKRKEQYESRLEKQGKKRKRGDDVQKPTEDA TEPAPQDEPQVTEEAAKPDVADTAQTKKEYKPAFRILDALSASGLRALRYAHELPFVT SVKANDLSDTAADSIRMNAKHNGLDDKINVTQGDALALMYRGIADDLSNRDKAGSPGK ANKFDVIDLDPYGTAAPFFDAAVQSVKDDGGLLCITCTDSAVWAGHSYCEKTFALYGG IPIKGMHSHEAGLRLVLNAVATSAARYGLTIEPLLSLSIDFYTKFFIKVIKSPQSVKF LASKTMLVYSCDAGCGAWETQHMLRSKPAPNKKGNGAFYKHTMAQGPSADRHCEHCGL KMHINGPMYGGHIHSKEFIERLLEQIPNADRSIYGTMPRLEGMLRTALEENLPGPEVK EPVDPKDAQLAAIDNYPFFVIPSRLANVVSCVTPSEDMVRGALIHLGYRTARSHCRPG SIKTDAPWSTIWWVITEWIRQKSPIKESSIKKNSAAWKILTDAGIIGQEKPETTEEMA KDDSAMEGVEQTPSGPAEASSAIAQSGEDNTVPSEMELRKTLVFDESLARLARRRGEQ KYVRYQMNPRENWGPLAKAARR FPSE_04308 MSPPHTMTSNEVYLLPLNDDGSPQVQGEYIYLAPRSQEPLTVRF AIEGTSSICRHGSLWVNIPDEGAEFRRDHFREFKLTPDFNRTLEISIPIHQPGAYAFY TTYAELPELKKELKNSSEQKENLKKTPLYYIDVAPRLKLDGRPLPLPALSIFSVISKF MGKYPNDWERHLHGISDRGYNMIHFTPLQVRGISNSPYSLYDQLGWDPACFPAGEDDV QKMVDSLERNHSLLSLTDIVLNHTANNTKWLEEHPEAGYNLLTAPWLEPAYQLDTSLL ELSDNLARLGLPTDVKSTDDLMLIMDAIKTEVLAKIRLWEYYALDVDRDADEAVKAFS KGSKYSSDDTADFEKKLEKAKSGSVKEQVDFFREVGLAGTDRMGERFRKRVKPDVAAG FLAGSSDENVARAKIVEILNILNVDFYKEYDAEVDDILQQIFNRIKYVRLDEHGPKLG EINKENPLIESYFTRLPKNDTTSKLKPEEMALVNNGWVWGGNALVDNAGPDSRVYLRR EVIVWGDCVKLRYGSGPKDNPWLWEHMIKYARTLAKYFAGLRIDNCHSTPIHVAEHIL DEARRVRPDLYVVAELFTGSEEMDYVFVKRLGLSSLIREAMQAWSTAELSRLVHRHGG RPIGSFEVDEVSKSDARTPSSSPTRLKNGDTNGNGPRSREIIRAIKPSPVHALFMDCT HDNETPAQKRDARDTLPNAALVCMCSSATGSVMGYDEIYPKLVDLVNETRLYTSASSG SKPIKIGGGEDGIGGIKKLLNQIHTLMGKDGYDETHIHHEDQYITVHRVHPESRKGYF LIAHTAFPGYGNGNGDFSPVHLTGTKARHLGSWMLEVDASEEATKQALSDKKYLRGLP SRVKDVPGIRMEVNGDDTTITVRDRFPPGSIALFETWIPAAEHSSGLDNYVTSGAKAA WSELSLSDLNFLMYRCEAEERAESDGRDGVYDIPGHGKLVYAGLQGWWSILNNIIKEN NLAHPLCQNLRDGEWALDFILARLQRISATPGNEAVAEPLKWLQERFEAIRKIPSFLL PRYFGLVLRTAYMASWERSLQLMNASVRDGQWFLQSLAMVSVQQVGYVKSASLWPNKL VPSLAAGLPHFAVEWARCWGRDVFIALRGLLLGTGRFDDAKEHILAFASVLKHGMIPN LLSSGDAPRYNSRDSIWFFLQCIQDYTRFAPEGLDILKTKVKRRFLPYDDTWFPTDDE RAYSKESTIEEVIQEALQRHATGMKYREANAGPQIDSQMKDEGFNQDIHVDWNNGIIF GGNQDNCGTWMDKMGESERAGSKGVPGTPRDGAAIEITGMLYSTLDWLAGLHGKGKYA YAGVEKSDGGSISLADWASLLKANFERCYYVPVSAEDDSKYDVNTPIVNRRGIYKDLY KSGKEYEDYQLRPNFAIAMTTAPALFDPDHAMHALCVADEALRGPQGMATLDPADLNY RPYYVNSEDSDDFATSKGRNYHQGPEWIWPTGFFLRALLKFDLKRRTTAEDRTEAFQQ VTRRLGGCKKMIQESPWAGLQELTQKNGEYCADSSPTQAWSAGCLIDLYMDAVEEQNG A FPSE_04307 MADQGAAAPPVTTIVPFNNQQILPACAAACGPLYDANGACVPPQ VAADAGPKAYTSCFCLDQRVAAFKTATQGVCDDACGAEGLSSIAGWFRSMCGTATKTN GGSTQQTGQGSTASTAGDSSSTSGSRVSNEGGGDWISNHWQWVIMIVVLVVGITAIWV GACIWRRRYLKKKDRQSHLIQKHSGSASRPSWGPGMEASEGGIPYDDESNRNSHGLML AGAAAAGTVEEEPKEKKRWIVRDRT FPSE_04306 MSNKNNNNNNNNNASSKSSDAPEQPSQVGPSGSLSQNTQPQGNV QPTTNETNNTQTAVGGFENLPIKPDGPPWNPLNPNRALNPFDTPTDTEDPMYIDTSGN GGGSNNGGGSSN FPSE_04305 MTVPTSPAQAQSGSEKQIQSEDHIINDFPIKDKEDDSDEGSEIK QDGVKRVEAITKVWTPGMMWAVFIFLYLVNFVDTLLQAVHSSLVPYITSSFNQHGLLA ITSVFGSIIAGVSKLAIAKIIDIRGRNEGFLLMILITIVGVIMKACCQNVETYAAGHT FYWVGHVGLSYIIDVVLSDMTTLRNRMIMFGLYMSPRLASTFGGPTIADLFYKHSTYR WAFGSFCIILVFFSIPVSAIFMYHEIKAKKLGYLPEKSQRSAWESTKHYFVEFDVVGM VLTIAGFSLILTPLNIATRAPNGWKTDYIIAMLVVGVVCLVGFAAWEKWYAKVPYVPF KFLKDRTILGACLLSAFLNMSIFTWDTYYNSYLQVVHSLSIATAGYTLNAFSVTSTIL APFIGLFLRWYGRYYWPAVFGIPWCVLGTALLIHFRQPGNDTGYLVMCQVFHGVCGGI WAMCGPLAIMTQVSHQEIAVVLALYSMFGSISQAIGFGISGALWTNDLPREMYNALPQ DAKNQTAALYGDMKLQMADPIGTPIRDAVIYAYGVVQREMVIAGCAFLPLICVCVLVW RNKPIDKQQTKGNVF FPSE_04304 MSPSHHDFFATVLNPGPTPVKCTSPRSKNEEPGAIEGLESYGAF FRSKITRDLIRADLDNESFQDILAILLFPMAEKNATVPCLMDQWAAHKIRDPFLDMRE ENYDLFAHIRKFYFCMLRYIQDYMMKATSYYLPRASCGLPCLSDYGTFFENEYLQSNF DVGSFGVVGRRRLFWAFLRYDLMSKIRLYKATVDSSYTHPCRLNQRKGRQLLSWEDEA LRCVQEYVSGLYAAHYAQWDCIRLPDDRTADDPSHLSSPSGLRLDYHSFSRLDWTKFQ AKKWSVPELLMYKLEEYGFTLLTDLILDAKKADENRQGSELLWNFLCRLKDLEYSKPD DVHGICATTLWEDTETGRNVPDLCARMIWQHDCITVEALEGYRQRAWVFFDDAQVHPQ HRSLVDLPEIQISAHQSDSECGSDVDEFVSIPRSLYDDADSWYNM FPSE_04303 MSLEMIMMEVDEQGKRNCSGDAAVKPDTGKKLEVQLVLSLAIGI SAFFLFCFLRPRWPSLYAARKRRLDHRLGLPTLPNSTFGWIPTLFRITEEQVLASAGL DAFVFLSFFKMAIRLFVVMAFLATIILWPINHIYEGFRLPMGGNKDTKAVNPDAFYNN PSYIDVLKDKDDGKDKSWIKTWMWAYVFFTYFFVGLTIYYLNHETHRIIKFRQDYLGS QSTVTDRTFRLTGIPEDLRSEEAIKDLIEKLEIGTVDKVMICREWKKLDDLMDARETA LRSLEGAWATFLKHQRQKRKDNWPQRRRGNGVSPNGPQDQDAGDNEAAGENGQLLDPE QQPWDSGDEGRPKVNIRYGTLGLRSRNVDAIDYYEERLRRLDAKVTEARKKSYTPTDM AIVTMDSVASCQMAIQARIDPRPGRLLTKLTPAPSDLVWRNTYARRGMRRLKSWTVTI LITIVTLVFITPTAFLAGLLTPCAINEAAPALGKWLREHTIIYSLASTGLPALVVSLL NVAVPYLYDFLSNQQGMISQGDVELSVISKNYFFTFFNTFFVFAISTSGLAWWSELQK FAKDTSKIPGAIARDVEELAIFYICFIMLQGIGLMPFRILEAGSVFLYPFLKWFSKTP RDALELKKPPVFQYGFFLPTSLLVFNLCIIYSVLYFGFIILIMGLIYFVLGYFTFKYM VLYAMDQPQHATGGAWRIICYRVIVGLLVFELVMVGRIATGEAFIQSVCILPLIPFSV WYSFYIKRRYEPLTKYIALRAIRADEDSDDAAAMDDAFEDEDVARPSQTLLRRGSTLD EYKEKGMQFINPSLVAPLPQPWIYDEPPPPIVTDDTQSTEESQRPILQGVDSTLGIGD ENVWRDNGGNNV FPSE_04302 MDQPNSSRSSTTLENGTRPDEATFAPLNTHQSTMSRVRRSLSHS HSRDGSQKEHRDPDLDIDLPYRTLSANANLDEYRVEVPGGAIPGPVQPEEIARKSTNH EPGTERRYKLVTFTPGDPENPKNWSKAYKWWCTMCVALTCFVVAFCSSVITADIAGVT KDLNVSNELALASISLFVVGFGVGPMAFAPLSEIYGRRIIYGSTLFIAFIFIIPCAVA KNIETLLVCRAIDGIAFSAPMTLVGGTLADMWRNEERGVPMAAFSAAPFIGPAIGPLV GGFLSDAAGWRWLYWIQLILAFVVWILITFTVPETYAPTILARRARKLRAETGETDHV TEQELDLRPISERLRIFLIRPFQLLFGELIVCLISLYMSVLYGLLYMFFVAYPIVYQK GKGYSAGKTGLMFIPIAVGVLMSAFCAPFVNKHYLTLVKKHNGHPPAEVRLIPMMISC WCIPIGLFIFAWTSYSDLSWAGPAMGGFPVGFGFIFLYNSANNYLVDSYQHQAASALA AKTCIRSFWGAAVVLFTEQMYERLGDQWASTLLAFLGLACCAIPFMFWKYGAKIRERS KYAYAGEDESVDDIEKVKSHNASGGQVRNDSDDEDLRRARSYVSNP FPSE_04301 MKLAVFSTKPYDKKYLTSVVNAKHASSGIELVFHEFALNEDTVS LVEGADAICVFVNDIVNDNVMSALAEFGIKAILLRCAGFNNVDLEAAQRHRIMVANVP SYSPEAVAEFAVALIQTLNRKTHRAYNRVREGNFSLDGLLGRTLCGKTVGIVGVGKIG LATARIMKGFGCRLLAYDPFPSPAFEEYGEYKDLEDLLPQCDIVSLHCPLMEKTRHII NRDTIALMKKGAMLVNTSRGGLLDTEAVIHALKTNHIGGLALDVYEAEGELFYNDHSS TIIQDDKLMRLMTFPNVVVCGHQAFFTEEALTEIAECTLSNLEEWIESKTSKNSLTKD PKLRRRDSLPVRAI FPSE_04300 MFMRLFEGRRAAWMQACYSVGPSHKAIVRLADLVWASVFKYLYK DTECSFT FPSE_04299 MAKTVCIVGAGPSGLVAAKTLLHNTAPGEFKVTIFDAQKDIGGL WPTSKTDDGRQVHPFMWSNQSRHTMQFSELAWEDSDPQLPQGWMVGKYLRKYLERFLK DNENFELKLRTRVESAERPQAAAHGWTVNVKSDVGTETQSFDYLLVASGFFGKPIVPE SLEKEKNIPVVHSSHYRDVKSLLSKGRSGGGKILVVGGQMSGVEIAGTIAAHLSSETN LPHTSRITDVDKYSVHHVIQRPIWVFPLYTSPKPTNKAAPFLPLDFSSYNLNNRPKPL ANTQGHIPEETAKVVHGIYKGVLGTDQSEFSPLLKTDGTNDDKQPYLAVSEWYTDFVR SGLITLSNGKAEALEGSTARLSDGSKVEDVAAVVAATGFDASPCISYLPSDVLQALQF SPKHVDQPVALAFHGTHHPQVPDLGFVGFYRSPYWGVMQMQARFVAALWSDNVLPGRN SEVFKRKLLDDVSIQRTLDLRDDPRVSQFPMGDYPYLMNEIAEALEVQISEPLTPPVP ELPHNNLPLDMLTPARYTNPSDDQESKHAATTSLEQTRKDATDGLTTSRFVPHAVFRS LLGTWKLERDLVSKLPSHPSGHFSGTAQFLLREKTTDGLRCAGNPSEESTDGDELGME YLYIEEGEFKTSQGFGFKATRRYVWRYNELKDVLSVWFAKPDDLKRADYLFHDIEFTK PTEKGWAAKAGHLCIDDYYDVKYNFAFQAVNLKEWQIEYTVKGPKKDYTITGNYTR FPSE_04298 MASHHVLLVGGHGKIAQLLTPLLLKRSWTVTSVIRTAEQTPAIE KLGAGLPGKLNVLVRSIEDIDSRDKALGVLSEVQPDYVAWSAGNIGAGGKGGAERTFK VDRDAAVHLIEAAASVPSITKFLLISYLGSRRAGAPWWPAGEWDDFHEKVNNGALANY YKAKIEADDVLYKVSKKSPSLVGINLRPGTLNDKPATKVELGKTKHISSPDGISREAV AEVASHLLAADGVKNGWYDLLDGNEDIPAAVDRVVKEGVDAAQGEAIYNA FPSE_04297 MESMGHPQGQTLTPDQINVLITIERFGAGCSMVAIIFLLLSFAL FKKLRTTPNLFLVFASIANAGASVASMIGYDGLEAGESSHLCQAQAFIFEWFMQSDPW WSLAMAINVFLVFFCNADPRMFRSYAWLYCIICFGGPLIPAIVLISIRNSPRGLMFGD ATLWCWIGTDWSLVRLYAYYIPIWIFSFLSIMIYIAVGYHVFHARNMLRDLVVDGMKV ERSDSIPYSSSEHRGSSEAVASPHDISESGDTDCYPQNLTGRQEFYGTAVTEVQIIRE EPRQATHPGLARPATAHTGSNPPRIQSWVLPGSFEQLERTTSGRAQRFETVCTSDSTP QPSSTLITRLRAIKSNASLKLKRLDPVKMAYLRTSFIFGFAVLITWIPSSINRLYSLT HGDRISFSLSVASGCVLPLQGFWNTLIYFTTSWKVLREEVRTAKAEWFKRPEECTDGI RLNSRLGTFKGDYRDTLERTRPVRAGSTEDAEKSVTE FPSE_04296 MEYPAYSNSIICPALDVAPANAPPDLFASELSFFASHPWTASLL SAPDTIPFLPSCRNPQSSAHDQLFGNSLNNARGLTHFISYFRAPSVEVAKNPSHNITE IVVLVSVGEGVSGYPGIVHGGIVTALLDESMGTIFDLNGTLGKEARAFKTSNVTGGIE VKFLKPVPTDSVLCITAIAEEIDGRKTTVRGEMKDEQGQLLASCSSKWVALKPSL FPSE_04295 MSAPISANGNGQANGQNGSTATKNSNGVSPEQKNGTNGNDAPHG HHAIKRPNKIGTGLKDRVHQITKGPPGGFDPTPLPDAPQGYTVRFIFHGASNLAPADF VTISSDPFLHATLKGTQPKRHKEDPDLTYRTRTIQKTTEPQWDDEWVVANVPPTGFTL KCRLYDEDFPDHDDRLGNVTIKVPSISQDWQGIPPPGRVYEAKKRVMSKRAYLTKAVT SVVNHNMHMTPLLRVSMELLGPSAPPYAQMHTVGPTTWVKHFSPLIGRLAGVKVNTNS DDDAHTDQDEQAAQTGNKKSKTQKYDFQANEMQLQGPVPPKLYHRFVEFRPIIASIYS SAGLRGTILNKALHGQHRRIYNFNASTEYGRFDACTPEAAEQFLKLVHFDEGGRIFTY VLTLDGMFRFTETGKEFGIDMLSKHTMHSDVETYIACSGEFFVRRLNRPIASDEPNPH TKTHPSQDIPGGPPNEQPPHDPSYYQLVIDNDSGTYRPDKSTLPYLKDFLENNFPGMG IVTMHWEDQELQDMKENQRNVKKNEGRLVNMVLNRSQSSISSAESELDDRETSWQQGH KSKREAAYEALEDPHKVKDAVKSMVPGLKHEKGESSK FPSE_04294 MGVEKTIIKEGNGPSPQNGQKVSMEYTGWLQNADGTKGSQFDSS VGRGDFVVNIGVGQVIKGWDEGVTQMKLGEKATLVITPDFGYGARGFPGAIPANSTLI FDVELKKIG FPSE_04293 MAEQKQPEVDLATRMQVDESVIGNNEIDESLYSRQLYVLGHEAM KRMGASNVLIVGLKGLGVEIAKNIALAGVKSLTLYDPAPVQIADLSSQFFLTPGDVGK PRDEVTVPRVAELNAYTPVKLHQSPGLDGDLSQFDKYQVVVLTNAPIHQQKAIADYCH SKGIYVVVADTFGLFGSVFCDFGEKFTVIDPTGETPLSGIVAGIDEEGMVSALDETRH GLEDGDYVTFSEVEGMEALNGAEPRKITVKGPYTFSIGDVSGLGQYKRGGMYQQVKMP KVINFKDFTASLKEPEFLISDFAKFDRPQQLHLGFQALHAFQLNHKRLPNPMDEDDAI VVLGAAKKFAEQEGLEIELDEKLLKELSYQAQGDLNPMAAYFGGLVAQEVLKAVSGKF QPIVQWMYFDSLESLPTSTKRSAELCKPTGSRYDGQIAVFGTEYQNKIANLKQFLVGA GAIGCEMLKNWAMIGLGTGPEGKIWVTDMDSIERSNLNRQFLFRADDVGKMKSDRAAL AVQRMNPDLEGHMITLKERVSADTESVFNEEFWHNLDGVTNALDNVEARTYVDRRCVF FQKPLLESGTLGTKGNTQVVLPHLTESYSSSQDPPEKEFPMCTIRSFPNKIDHTIAWS KEYMFEKLFVKAPQTVNLYLTQPQFIESSLKQGGNHKETLETIRNYLTTERPRTFEDC IAWARQLFESEFSNKIQQLLYNFPKDSETSSGTPFWSGPKRAPDALKFDSNNPSHFGF IVAAANLHAFNYNIKSPGTDKSIYLRELENVIVPDFTPDSNVKIQADDKEPVEAESSF DDNDEIKKLADGLPSPSSLSGFQLVPVDFEKDDDSNHHIDFITACSNLRAENYKIEPA DRHKTKFIAGKIIPAIATTTALVTGLVVLELYKIIDGKDDLEQYKNGFINLALPFFGF SEPIASPKMEYQGPDGKVKLDRIWDRFEIEDITLQELLDTFKAKGLTISMLSSGVSLL YASFFPPSKLKERYALKLSQLVETISKKPIPAHQKDVIFEIVAEDLNEEDVEVPYIKV RVA FPSE_04292 MEALWNPQQASKVTDWVKPGDTSGEFKRQVSSFRDWISRDANAK YPAEKGRYHLYVSYACPWACRTLIARKLKGLEDFISYSVVHWHLGEGGWRFVSKDEGV PGENVVPDPIKGHESFTHLKDVYFESEKNYDGRYTVPVLYDMKTNRIVSNESSEILRM LGTEFDDLLDEEHKAIQLYPENMQKQIEEAHEWQYGGINNGVYKSGFATTSEAYERNV VALFESLDRAEKHLSEQKEGPYWFGNQLTEVDIRLFVTIIRFDPVYVQHFKCNIRDIR SGYPALNRWMRNLYWNHPAFKDTTQFEHIKWHYTRSHTQINPHSITPVGPLPHILPLE EEVPAVAAAQKN FPSE_04291 MVVRYEAEYLLYLRESPLCTKPSSLPPAEEWMGPPPEPNRTQNK VTNDRPRSNDSPLLEQANRRPGVERHVSRNSANPEDLVFAPPRTAFASARGKSALEPD KVAKESEAPGRFGNFRSRNGDLDVDRFRDGRNNNGLRRRDGESETEGWSTVKPRKSFG HEGAERFHGRMGGNFRDEKRQPKDREDRDAIRDRPVRTFDGFARDSEDSEGRPRNGIN RNKVEPWYKNESNVAITPAAEATTTTPDKRERIDRAKSWRDREALADAADDRNNNSNN NRTNDRRWGRDRDQRVEREPEWLDEPLEELPEAHTQQDFQKWMEEMKKQREEKDGISS KPAHVEPAEAMIEMEKPPVQSAPAAPSAPDKFFEAYGATLETPRAEENRNPVAGSKAT AKASRFTSFFAQPQEEVRGRTEPPTPMAGPPMHSGMPLPMPFPGGPAPSGGPDDERQA FQQLLAKLQKQTMSATPPGPSPFAVPQGTPPNVGRPNIITSPDSPFQQYGPDRRMEGP LGRPPPHHVQEILAPRPQQQAARPEQLLQDLVGQRQRAPSQGSGRPDAARNNSNTEFL MNLMRAPQEAPRNDLLMRMGPQQQKPGHMPQEPDFPRDDRGPQRQMRPQPPPGFPMEE RFHNPPDADSRPNQPTQILQRPPPPPGLDHQMPPSWMPAGQMPPPQQRGPMIPPPGLP GGPVGPVGPGPNRNIHMPHMFPPSFPPGVMPPPEALGGPPPRNMPPPPPGFFGGPPHG FIPPGLGGFNGPPGPDFPGSPFEGRGMPPTGNPGRGAAFGRHQ FPSE_04290 MGLSIIQEQHDATNAPPPAILGQIKKITQGDWKCLIVDENSKKI IDNVVKEDDILNNNIATSSYPAIERIENRREQNPEMDAIYILSPEAFAVECLLADFEM RRYRSFYLVWTGLLDPSLRRKIDDFPGARQLRAGFQTMFVDFLPRESHLVTLRDPWSF PMLYHPACNAIVPTHMKGLAQKIAGLCITLGEYPKVRYYKPQGALHDASVLCSHLARF VQEELDAYAQWDTNFPPPSQRPQATLIITDRSMDLMAPLVHEFSYQAMAHDLLPIKDG DKVTYRTIMNEGTPEAQEKDMELTDKDKIWVDNRHRHMKDTIDKLMGDFQKFLQQNPH FTNENADTTNLNTIRDMLAGLPQFQEMKEAYSLHLTMAQECMNIFQKHKLMDISSIEQ TLASGLDEDFKRPKNVLEMVVPLLDDEAVSLPDRLRLIVLFILYRDGVIAEDIKRLLA HAGLPQSDAEVVANLEQLGGRMTHGLKDVRQLPAPLFPIDPKTTQLNEEYGLTRFEPA LKHMVDHLARGLLDQTAFPYVKPPLDPNEELHLAQSASLRAGRPNWASSGRRPPENRQ RLIVFMAGGATYSESRACYEVGEARSRDIVLVTSHMLTPQLFIRQVGDLGRDKRQLDL PLERPKRHAPRHLFERPPPPRPAPSQQPPPQQQMNPGPMNRPGGLPQRPTPGMAPPTA AMSNMSINNHGGGAPRPNPHQSVPSNQSNHEEPGKLHKEKKRRNFLGMKK FPSE_04289 MQRNQRNTQDEFDEEFDIPLHRKRPFGAGIKRKQIEFVRARDPD AGLSTATTSTATLVGDLYTTIVLKSDTENKDAKTSEEEAKEAAQICPDCGLDVSSTTQ PHEAALAHQVSLRHTMPPSALDRSRMGVRTLTSQGWDMDAHEGLGREREGIRHPIKVK EKNNKLGIGAATPKTQEKKKEHMPYPINKKELKRHRAKERQRHERLQHEIYGRVDVES YLRGDGSDEVSRNNNKKKMDQDKSKGDRIHFARHLL FPSE_04288 MAETMPKCEANGHHKIIINKEAPDVPFYTPIQDPPAGTPYDVQP EGSLFSPLKLRNLTLHNRIFVSPMCQYSAKDGVMTPWHRQHLGSFAARGPGLIVTEVN AVSPEGRISPEDAGIYDDGQLGPLQDIVDFVHSQGAKIAIQMGHAGRKASTVVPWLDR KNTAFKEANGWPDEVVAPSAIPYSPESVVPKEMTKDDISKFKQDWVDAVKRALKVGFD AIEVHAAHGYLINSFLSPTSNQRTDDYGGSFENRIRLLVEIVQLTREIVPQEYPVFAR IPGTDYLDFDPSLPQWHIQEAALLAKILADNGVDLLDVTGGGLDSRQKINSGPGYQVP WADAVRKAVEGTGTVVTSVGMITSGKQAQGYLDDGSVDAVFVGRGFLKDPNLVWHWAD ELDIDIHVAAQYGWGFGITRTHRPSKH FPSE_04287 MTLDSDNEFDYDFSVEEEELLLQLASGNNNNIARQNNARLAAID AVPGRTDHLLEQDDVLTAGNASSYTLGPAQTGRASDLYRGQAFNQREVSSGLPTPPAA SSSIEDVLYPDLSKALNKLNSISPRSPRSESTVTAGRASETNRDASYEDDRSPLQRFR SYPKKPLTVTDLTSGAWCELQYWYTLTRLPGGRRTRTTAMKQGSKIHKKLEDEVHTTV KIDIMTKEDAFGLKLWNLVQGLRTLRDTGLTRELEVWGIVDENLVNGVIDSVSYENPN PEFEAELSSQESNSKRGKQQSSLADYFPPKNGGADHSGPKIYLVDVKTRGSYSAVSPA QIRPAKIQLLLYHRFLSDMAAGKLDFFKVFRRYGLNPEDAFSDTFIAQIGGLHDEIFV DASETETDFTQEHPSSSFQSSSSAGPDLLQYRTLRELVPLVQQEIDLTFPHGEHSMGH MLRVQYVHRSDGHEIDLHDFPVSRQALEAYLVKYMEWWKGKREAKGVDIEEAFKCGTC EFVSDCSWRQSMDEEMLQKARTQQKKRATRRVSANPA FPSE_04286 MGCTSGCCGPPLPEPTATKASSRGDGGSCSDQDKVVIGLKDNEE AINEQASDCCAFVDCEDGKNNSDDDNNAPDCCRGKISPCCNAACIDRLAVRDCKMSTA TTEIGTGCGSSTHSCDGATDGKPCNKHIVSALDRYGATLQALGCLCRTLIAMGQESCC ETRDRPAKMLSSRSLLRTSTDSGRSAGITTKEKAAENRSRLRKSSTESARSVSQTFAK ESCVKSCCSVAKPDKEAVLKDQCRKGCCAKDNANEQQVVVKKLNQKLPNDLAGTSGCL DACCSQKPPLKQQLVDRDNGQVDKKPVSSGDCPGVCCKTEREETATAKSYPTTEVGIS AASGRVKCCHAAKGEEIVKQLPGPTCGSTCCEPEESLSTRPPGDKCAGSSGKKPQGCQ IPNDDCCTSAALEGPANNVVSVEEPTDVENQITGKERVILSISGMTCTGCETKLNRTL ATVAAVKELKTSLVLSRAEFNIDLRLGSVDEVIKHLERTTEFKCERIQTQGSSLDFVV DGNTSQFMGQTWPDGVVDMAFLGKNNVRVSFDPKIVGARDLAERSWVPPVKLAPPVAD LSVEAGSKHVRHVGYTTLLSAVLTVPVLVMAWAPIPENEIAYSSASLALATVVQVVIA GPFYPKAIKALVFSRVIEMDLLIVLSTSAAYIFSVVSFGYLVAEKPLSTGQFFETSTL LVTLIMVGRWVAALARQKAVESISIRSLQASTAIIIDEKSGIEREVDIRLLQYGDVFR VLPDTKVPTDGTVITGSSEVDESMLTGESRPVEKYPGSMVIAGSINGSGVMTVRLSRL PGDNTINAIAAMVDEAKLSKPKLQDLADRVASYFVPVVVGLTIITFVIWVAVGLTVRG YGGSKATTEAITYAIAVLIVSCPCAIGLAVPMVIVIMSGVAAERGIIFKSADAIEVAH KTSHVVFDKTGTLTQGKLSVVSKECIEDSSLPLLLGLIGNSRHPVSVAVASHLKDIGI EPREAGEIKSLTGKGIEAVMKGQRLKAGNSHWLGLSENPLVHPLLTQGYTVFCFTVNE DLHALYGLQDELRSDALGTVKTLQLRGLSVHVVSGDDDGAVQNLATKLNIPRNNVRSR TSPAGKKDYIQTLLGNGTDREKPVVVFCGDGTNDAVALAQATIGVHMNEGTDVAQSAA DVVLMRPALSGIITMIDASRKSVNRIKFNFFWSFVYNTFAVLLAAGAFVNARIPPEYA GLGELVSVLPVILAAVLLRWSKI FPSE_04285 MSTTMELNESHIPLLHGFLSTIIARLEQLLSRFAQLSELSDMVP ESDRELRWQMDLLLLHCSMELSWCVQTYETYKQLQDMILPSSSTVAGLWAETYGL FPSE_04284 MSSPLKRMFSMKKDTAPSGPSEEPSAKSSDRKEKKILELKLEIT ELKREITGLKREIRVNKSDFENTISVLSGCRDDAMNAEHTVAEQWSRLTSALEVFQDL DKQLGSIYDRKGIDKAIMDATTKHDVLGYKRHEALKKVNQAEQEIAGCRDTIERHAST LKAYIKSRVD FPSE_04283 MSAALLRSRAAVSGTRIASSARPALPKSNLAQRRSASSSSSAGD GAGLRLALYGSATAAVLYGSYLYATDTRAFFHRWVVPPLLRWAYPDAEDAHHAGTTAL KVLHELNLNPRERDTTLNSPDLAVNVFGTEVQNPIAISAGLDKDGEVADALFDLGAGI VEIGGCTPLPQAGNPKPRVFRVRSLDGMVNRYGLNSRGADDMAIRLRDRLRRFARSLG LTERDVLDGNAKVPPGSLREGRLLAVQIAKNKETDERDEKAIAEDYVYCVRRLARFAD VLVVNVSSPNTPGLRDLQATEPLTRLLSAVVDEAAKTDRKQKPKVMVKVSPDEDEDTQ MEGIVEAVHRSGVDGVIVGNTTKRRDGVIPPGIKLTAQERQNLMETGGYSGPAMYSRT LDLVGRYRKMLDKHSFKASLGAKGGAQKVIFATGGITNGEQARQILNAGASVAMVYTG LTYGGPGTITRIKQELKGGVKN FPSE_04282 MSRAVLICGATGKQGGAVLNRLVKQNADFKILAVTRNAKSPSAQ RLLSKSPNIKLVQGDLSDPAAIFKTARSVTDKPIWGMFSVQVPVSFGQGGGGEVGQGK ALVDAALDAGVKFFVYTSVDRHGQDSENNPTPVPHFIAKHEIEKHLISRTAKTDMQWS ILRPVAFMDNLTNDFLGRSFVTAWKLAVKTKPVQLISVTDVGIAGAEAFLQPQKHAGR AISLAGDELTLDEFQKVFKEKIGRDIPSAYNFVVYPMMAMVKELGYMFKWFNDVGFDA DLKALQQQYPELKPFGTWLETESDFARK FPSE_04281 MSNNDGLDSQAINHNAESENITTAPFSCHQCRKSKLKCDRTRPY CSRCLKKSYECVYSSSRQPYRGTNRGQTKDLEAKLGRLERLLQASLQTQTSYPQGNQC KSNPVSLADITPPSQQNDEIARPGPFEEPPPQHLVKTLTNIYFDNVHQASPMLHRQRF MTSLFLPDHTKAPLCLQYIVMAFAAETTESYHHLGMEFYKRARNYLQKQKFGNLGVND LSLGHVQAWALMSCFEAEHTMFAKASITLSSALRAAQMLNLHRVDIPKSMGNVSTDWI ELEEQRRTWWTIFCFDRFVCATTGWPALIHQNKIDTRLPASDEAYAYGREEQTRFLGD QLLEAEPHSSFAGRVLTAHIFHRTIEHNNSDNIQGQGAEALTDDTYWQRHREIDNNLK FMLLMLPKNLCLPTNYKSHNAVFVNVMLHTATICLHRAALWRMKSNLQGLPSYMIRLS QDRLVPAAEEILNIFKMIPELGATFANPLICVAAYMAALVFLSCTSPVEPDVQSDENL DFILRIMMAFGDNNLVANAVSNEIVKEISQCGIVSTSVCKANLQETSVDIPLLATQRL YSSFDTFLLPTT FPSE_04280 MSGIAKIRIVNEVVRRLWFEDPDAGVTLKASLRYMSETDYIKDI MLAVVEDIGHAMMELGLREEKAVALNRCKFDLARAVDEHNLLTRDGYEQTFRPRLNCT VHGLKLVDKGSDGPAGNTQGDEPMMEVTLRFPAREYNDSPHCLTPGKDNVYIMMKEL FPSE_04279 MANKNAKHLYKAIPECVSGCFDISIAATGCGDSDYDCWCYKPNH QTVVDTLEQCLQNRERRTKKKCTEDDMFEYENSYWKICEQYWEPYGTATEPTSFPTSP ATKTSITSTASAEAATTSPPEPETTSVGESSWTTAIATQPGDSEAAEASGNPEPVAPS SGLSSGGKAGVGVGVALGVILLGVAIFLWLRERRKRRNVEEQLRVAEVEKANAIQAGG YSQHFMYEMEGDRPHAEELRGSMRTPELGAEGRRSTSVTDVAPLLDEV FPSE_04278 MAFSNPYPADVAGIDRQAVENMIFDDIINHDYNRRTKVTLLLCM RLMQDKISDDEDYWAQIEGNEEWEKAQKEARYKIARAAIDAWKAKRDNPQKEEEEEEE EEEAEEEEDEEDEDEDEDYEEEYDDEEEETEESEGSEESGDEESDDGSDWDSLFLLVH NMPTENDEPKSTARKRSLPRDDTPCPPPRPQPAKRQKLDPSAASKAPMAQDSGNDEPK PATRKRSLIAQQNGDAPAAEVPFPKRQRMDPPALVANANDEDQEQEQGQGQETKSSVP SEWLAPHQEELQNSQLPEPLRGILGRLPVSELHREAQTFLLGLKYLDPADDALAKAAI DMGLRFSKTAKSSEYNIVLDNMLRHPIQAVMYNCCREDETYHTFFWGKIRSVTGRDVP PERIRERRERRAKREAEAMARFNARKAAEEAKRESQMLKEKYVSQIGEASKLPLREKV GRWLAEIVKAEKQQ FPSE_04277 MDADIFARSGGIGGDTSDRPGFYKPVGIGLAVGSGCFIGVSFVL KKFGLLRANEKYNEVAGEGYGYLKNFWWWTGMILMIIGEILNFVAYAFTDAILVTPLG ALSVVIAAILSSIFLKERLSLVGKVGCFLCIVGSVVIVMNAPHSSSVSDIQQMQGYFI TPGFLTYAGIILVGSAVTAIWVAPKYGNKNMLVYISICSWIGGLSVASTQGLGAAIIA WIGGKPQYKEWFLWVLFVFVIGTLLTEIIFLNKALNIYNAALVTPTYYVYFTSTTIIT SAILYQGFKGSVQSIVTVVLGFLTICSGVVLLQISKSAKDVPDSAVFNGDLDQIQTIV EQEQPETDPKADAIRGTAAIVRQMSQRRLRMEAEELKRLHEEKMMMETMDPIREDGSQ PEWEWDGLRRRRTMTFRTGTMSSSRAGSVTSPPPQVPPISPRFAVRPSSPRQHPPLGM SQFPPIDDDDDNDNDGNNRQETNTLFSSIAGTIRGRARGFTNFSGRHANDDHEDDKPR PRSPLHPVPLTEIAVPRDKADEEHAAYYGQTREHTFNGQDTEYRGASFRSGNSLAPPT PPPHGQPPDITRRQFSFHNVFRRPNSSSRSTSHVEDPTEEEQAGLVKDDSRPGPAYH FPSE_04276 MSRSLQLKDEGNRCFMAGDYVGAEALYSKACSPANSIIADARNP ALYTNRAMARLKLNYWDSVVTDCEACLQLTPDNMKARYYLAEAQLALRDYDASLDSAL HAHKLCAATNDRSLAAVTSLVLRCKKERWDDLEKKRMRQAKDLEREVLDMLTRDKEAA LAETEDGMEKQEIEEETQAKIERMENIFEIARADAEKKREIPDWAIDDISFGFMIDPV VTKTGKSYERSSIMEHLRRHPSDPLTREPLTTSELRPNLALRQACEEFLENNGWAADW FPSE_04275 MLVPFLSALATLMPSLLMGILILCLLGFGAIAFNIFVYPFYLSP LRHLPGPTDNAFFFGQAAKFLQVPWFPDLFCQWSRDHPDAPLIRYLNFANGETLFVNT IGAYKQVLQTKSAFFVKPAFARQFAHEIIGDGLPFVEGKLHKLRRAAISQPFSAPRLR EFYPTVQGKAEQLVRVLSQRHDKNGNVEIETNVWKTVLDVIGLETFGLDLNHLESNES PLFETFTTMMQPSALGHIVNYLNSLVPIRQFIPIAECVEFSKSCTMVREFILCLINIR RNLGEKGFINNQDALQCLLEHDDADWNDESLVEYVLNLLVLGHDTTACSITWAIHELS RRPDCQQRLRDEIKILDDTCPMVSFNDIDKLPYLHNFVREVLRLYCAVAMAPRQATED VEIEGVIIPKGTVVQLSPAVMNTHPSVWGSDAQVFNPDRWNDLKGDAASAYAFETFHN GPRMCIGKHLSIMEMKVMLVEIVHKFEIHKPFGDEFKEVAVAGPTFTLRPKEKLVVRL VEL FPSE_04274 MPTLKARILSWIIWWWFKSTWSSAEGINNRIAKERQRPERIPPK HIYKEFAVEDYKRKGRYADYLVYIISPKTETPTRGRILYLHGGGFVFDITPQHWEIVA QLARRLNATVTVPLYPLGPETSLMKMYESVQPLYDELASAPDQTPFWVVGDSAGATMS LVLTQNAKRAGRQTAQRLVPITPCTDSSLVNPDLHNAALRDPWLDVPGIAEITRLICP EMDTQHPNVSPIYGDLRLPPMLVFAAELDLLTPDTRRMVDMAKDEGTKVELVYGEGMI HVWPILPIWEGKQAIDKIVEWLEYA FPSE_04273 MPSQRPYFLSSFLAAFRPQGPSISTASTHQHQANKHARDTTATT TSATAAAASSTSTTSPSTSPSHHHQQPQQQPTASSVAIRPPRNTASSSPSTSTSPSHG AAIPIPGSSARRGSDSSSEGFRDVIGADKWYIGGRTPGGEEKFFKLGVIRRVRSNDGL SLDRLSL FPSE_04272 MESSSPAQASNWYELLTGDWLSRPIRAVLVGALALPFVIWPRNQ PQNSSPRLFAFFVYLLHLASIPWLYLVTKLVPEPYLDEIFHIPQAQKYCQGRFLEWDD KITTPPGLYLVSLIIPGVVQRNGNLDYACSVQNLRAFNVFALAVLAYLALQCRREIEA RLYEARFSTRLSNTSQYATHTAFNIALFPLLFFFSGLYYTDVASTAAVLVAFLNHLKR IGRDRNSVLSDLVTISLGVFTLFFRQTNVFWAVVFMGGLEAVHAVKTLRPERVDQPVI LTLSEQLKHYAWRYSLGDIHDPPLHAMWPDDMIFCVLSLGIAALCNPIRVVRQIWPYI TTLVLFGSFIAWNGGVVLGDKSNHVATIHLPQMLYIWPFFAFFSLPLLIPYALPLANT LRRLHYMETSSRSISSSSNKSPSRKSSSKVSNSKGGAAVDTPGSEYPQPSKELQYFEL IFGSKIFLWPLYLFGTIIVSFGIVRYNTIIHPFTLADNRHYMFYVFRYTIRRASWIRY ALVIPYTVARWMTWGTMAGCSQWFMTMHGPACSTYSKGDGKSPFLNHPAFANRGASPQ QTDAVSPAEAKDLDANQQQELSQALKKDPLLASIEPATTSTGLIFLFATTLSLMTAPL VEPRYFIIPWVMWRLLVPAWKFHDHDSQGVAATLERHPKTKPLLLFFQRYDLRLILET FWFIAINAVTGYIFLTKPYVWKAEDGTVLDSGRLQRFMW FPSE_04271 MVSYTPIRMAEFKSNYGPKYHAQPNLAGYTTQSAFRIASRLAMY GAPAAVGVMLFANGIPRVQRDILQKIPILGGFFRKEVHPADNPF FPSE_04270 MDTYIDGRFERLEKALANLIDSVTKFHPSIHQGDELDAADKELT KGLEEVQTHQNNYLRIQQLRESSAALDTQIRETLSNLATTRKDIVTTHTTTFPAGPSY AIAYEELLNYARRISKTTMPPAGTIKAVPATAAPPTPEGQTPGAQTPGPDSQTASVMT PSAPPSSQVQSPAVMNGTPHVSQDPATQQSAMSANTSLPSEWTQFLNPLTDQIFLPWP NDLQLGAGALAAHQVLLEQGINPKGYDPAEEEERKRLEEEERKKKEEEDRIAQEEREK KLREERERQRIERERQREKDQEAWRRASLVGGSAAPGEQRSPTGPPQQKAQFQFTNLD DLDDDDDDD FPSE_04269 MHFGGFAPSKALLTSLLAIPQLTSAFYLPGVAPATYKEGDKVPL YVNSIKPVDRSQDPRLHAVVSYDYYHPAFQFCQPKDGPEYVSESLGSILFGDRIMTSP FELIMGKNETCKPLCEVTYPEKSINFVKSRIEQGYSLEWLVDGLPAGQEVLDQLTGTT FYNPRFLLGQDDKDDNILFNNHYEIVVEYHEVNKDPNQRRVVGVIVQPSSKEYSGKAD CGNHPPIVLNGKEQHVGFSYSVFWRKSETAWATRWDKYLHVFDPKIHWFWLIDTAIIV VILVLTVMSILVRALKKDIARYNRLDQIDLDDFGGTSVVEDGVQEDSGWKLVHGDVFR TPSRPLLLSVLAGNGVQLFCMTGCTILFALLGFLSPSNRGYLGTTMIIMYTFLGFVGG YVSARTYKAWQGEAWKLNIALTPILVPGVVFSGFFLLNLFLWAKESSGAVPFTTMLVI VAIWFIISIPLSFGGSWLGFRSPQFEPPVRTNQIPRQIPPVSTYLKPVPSVLIVGLLP FGAIFVELFFIMNSIWFSRIYYMFGFLFLCYGLMIVVCAAVTILMVYFLLCSENYNWQ WRSFLAAGMSGGYIFLNCLLYLVTKVRASGFAGIVLYVGYSAIISFLFFILTGSIGYF ASWWFIRKIYSSIKID FPSE_04268 MAAPTTLPALLTSLTQSLSSALDVTPKLASIEHQKDGISLLDVK NELLLSYLQNLVFLILLKLRNSKTNSENADDSDSELDESVRAKLVELRLYLERGARPL EEKLRFSIDRFLRTADDAEREKQAKEARAAAGSDSEGEEEDSEDEVDAEKLSHKPGNF GAMADDVTARRAERNGGAAGVYQPPKRERQTMEAPQRPQKFDRRAAKSHTMDEFVASE LSAAPLAQPSIGTTIVQGGRKMKTDSQRKEEAERREYEEMNFTRLPKESKKERAQKAK QAGRSSRMQFGGEDWHNLGEGVDRIDRLTKAKKSGGNVRALLDKSRKRGIDTTDGPRG SGMAGGMGDRFNKKVKVMEGGRRDRGKNR FPSE_04267 MDVDQMDVDATVKPSTTNNTTTIDDDDDDPVTATYNVFINPSLP LGRRLLVLQHPNRTDEATRPPPTELRVKAQAGMVEVDVPLDNTVAYDREKGLKWGKTL NASMATKNGGSHGLAGGFGFGTVQARGGRKKAEEEDEVMDWTEAVRQDKVLRTQTLGG QYPEYKEVQHMVGVFQGKDLHLTPVSSLVHLRPQLHHIDATVQQERQAAATKDSAPTT AGGTARAIHMTVKATGDGETVTTETMADRLRSVQTEHWRTMHYTDENEEAAWEVYNES LFLRPTQEDEAEEKTDDADEKEQALEESVPKFARRWNDDDLLYAVSGIKRPKTPEPVV KEEPKPVPTKKPEQLAPESEEARKPKLRPRGGAAGGVARRGGKPRVATSKTVNIDE FPSE_04266 MSFFGFDNARHNTAAPGFSQAHDPFAGLSGKDGDDDALDFEETY DGLGDQLEETGDAFNDDTFGDSGPAVSRNAGNDFDFFGQTAKVADAIEEEHVRFHRQK PTAKPAQVPPPVDQYAPTDYQSYYSGQPYQQQPFQQQPVRSGYEKYREPEPLPDLHVD RSIWGIGPSKPAQQASPAPVPAAQPIQHGASRKVMSLEEVEAAMRSQPMQHTPQPHAA DLSYQQPPPGFAGHQHQPPQAHGHGHPVAILQRPQSTQSKSTPPAPGLQGTPVQHHQQ PHANPTQILQNPTRTGSDAHAPHQQGHGAKQSHGAVPSAAQLQAHPQMQQMSEEEKAA FLDQETKRAKRNHKIWLLSKDNGLMTPQDKNFITRIQLQQLVSATGNPVEGSDASIAE DFYYQVFSHIRAGQRQNPSQPLSNFAQTYLYQTGSRQGGMRRHGRPAENHFQRMEQQV QRAVEAAKNKPKNPQLVIAGSLGKISFSNAKTPKPLLSIKRTESEHQRPNNGKKGSSL DRKTILRNVEKVYQTLMQIEDHVRLIPPPMASPDEELENKHKEWAIILESYNAKLWEE LKVHEQIGVVVPHPFIAFLSCAKGEKAIPRLFPHLSFEQRTTILTMIIYHLDQLDVVQ GAAITPGEVTTINARMREKIELFISTVMPSLMQYFNDTGLDIVDGVLNLIATKLNVDL IARSRIGVSMLTLILSRAVLLKQTGAGTPEQWDNWDRTFEILFTRLEPSLPYIFPGSV NTGEDVYVWQLLAAMGVSATHDQQTRLVLAVKDRVLDTVTVSKTLPPVMGAERLNSVN LFMRSIGLDVELLQ FPSE_04265 MAAGHTRYVRYIALALFTLTMFYFFSNSKIDTIPVRGSVNSFPG GPGSSSNQQAPGTVPKTNDAGNNVNFPGQGAPAAEEGDTALTKDETPAQKPAGEAASG GDVPASEAPKVPAEAPVSSELAEAPLAMSPNDPGWSNLQGTAPGPRMNATFVTLARNQ DVWEIAESIRQVEDRFNRRYNYDWVFLNDKPFDEQFKKVTTSLCSGKTHYGLIPEEHW SFPEWIDQEKARKVREDMHERKIIYGDSISYRHMCRFESGFFFRQELMMNYEYYWRVE PSVKLFCDIHYDPFRVMHENNKKYSFVLSLYEYIDTIPTLWASTKKFIQNHPEHISEG NSMRFLSDDGGENYNKCHFWSNFEVGSLSWLRSKPYLDFFESLDKDGGFFYERWGDAP VHSIAAGLLLKKEQIHFFEDIAYYHVPFTHCPTDEQRRMDLRCHCNPDNNFDWKGYSC TSRFFDLNGMEKPKGFEKQQ FPSE_04264 MVGCILRQFFSPATRRPLATAISTPPSRLLQPSLRTFTTTTPRA FAPTTARPATLNQVLRGIRKGKRARHAVSPALSNTHCPALKGVCLRVGVVRPKKPNSG ERKTARVKLSTGAVVTAYIPGEGHNIQQHSVVLVRGGRAQDCPGVRYHLVRGALDLGG VASRTTSRSKYGTKKPKKATVG FPSE_05941 MSSFTRVVRPALRGGRSLTQRIAPPATAPRSMTRTNLNTARLLS TTPCRRNEHIDISEIPPTPITHLSELETAMADTVSKFATDVILPRARDMDEAEEMDPA VVEQLFEQGLMGIEIPEEYGGAGMNFTAAIIGIEELARADPSVSVLVDVHNTLCNTAI IKHGSTAIKKKWLPRLATNTVASFCLSEPVSGSDAFAMATRATETADGFKINGSKMWI TNSKEADLFIVFANLDPSKGYKGISAFLVEKGMKGFSIAKKEKKLGIRASSTCVINFD DVEIPKENLLGERGQGYKYAISILNEGRIGIAAQMTGLALGAFDNAARYVWNDRKQFG SLVGEFQGMQHQLAQAYTEITAARALVYTAARKKEAGEDFVKDAAMAKLYASQVAGRV SGSAIEWMGGMGFVREGLAEKYFRDSKIGAIYEGTSNIQLNTIAKLLQKEYTS FPSE_05940 MNDDDAIHNIHKKIEREKALINAANSMRAQTNNEAVRSRLDSQM RDGRRNLQFFEEKLRDIQLRRVNQGVGDMSLGGPADDAGGPPAPPPKDASSNPAGDRG SYGSGSGQYSQAGGGSDLMPPRHPYAPGPGGSGIPKARPNFTKLDLIKYDTPHLGPRI QLMLSQIQFKLNVEEQYLKGIEKMVQLYSMEGDRKSKADAAARKVESKQKILLLKQAL KRYEELHIDADVADAQDDDSINAPNLRKPLSGQLSIRMIAIKDVDHAATGRFTRGPET FIAVKVEDTVMARTKASRNDRWEAEFHTIEVDKANEIELTVYDKPGEHPMPIGMLWVR ISDIVEEIRRKRIEAEMNSSGWVSADRMGSTSAAPTQFPMSPSSGSFGPGAQSTGGDQ GDDTGPFGAAGPQPQVQTGPIEEWFNLEPTGQIQLQLTFNKSNNNSRPADLGLGRKGA VRQRKEEVHEMYGHKFVQHQFYNIMRCALCGDFLKYSAGMQCEDCKYTCHTKCYSSVV TKCISKSNAETDPDEEKINHRIPHRFNPYSNMTANWCCHCGYILPFGKKNCRKCSECG LTAHAQCVHLVPDFCGMSMAVANQILDGIRTQKQRQAKVSSLSEKTLRHGKTSPTSTS SPAPSYSSTTAPSYQSGPVSPEATEAAKMMYSNPSTPRPAGPDRTSSSSQAAAAASAA MSGGPGAAQRQNSDFGRYSGGYDQPQEDPYAQGGQYGAGQQRKYNPADYANVNPGYGG QQPAAQAQRPVQQQPAQQPPPQSPLPPVPQHQQQQPQAAYQQPAVPAHSPQQPEPMSP KQQSAAGGKSAPLATDPGTGQRIGLDHFNFLAVLGKGNFGKVMLAETKRSRKLYAIKV LKKEFIIENDEVESIRSEKRVFLVANRGRHPFLTNLHACFQTETRVYFVMEYVSGGDL MLHIQRGQFGTKRAQFYAAEVCLALKYFHENGVIYRDLKLDNILLSLDGHVKIGDYGL CKEDMWYGSTTGTFCGTPEFMAPEILLDKKYGRAVDWWAFGVLIYQMLLQQSPFRGED EDEIYDAILADEPLYPIHMPRDSVSILQKLLTREPDQRLGSGPTDAQEVMSQPFFRNI NWDEMYHKRVPPPFMPQIKSATDTSNFDSEFTSVTPVLTPVQSVLSQAMQEEFRGFSY TADFD FPSE_05939 MQPFARPAARLVWSHARIGLDRTTRPSKSCWEPSIFQNSTIRMR CNIRAIGPQSRPYSTEKPPKDSDSNSRTNKDVEAELESILKPRPNILKELQPPVERPK LEDVKSTPEDAIPKDTKPEMPKIEEAKPEPTKHDDAAQPQEPPSTTDSLQVLRDRAQH RVSELKERFSHAMDTLQYRAMNASQTLNDITGYTSIEVIKGQNAKLEAALAEAHELVR TARQEYKTSNASRAATQREVTTLLARKDSWSPIDLERFTELYRADHTLEGKVASSQET LTEAEIEEQRLSQQLNAGILKRYHEEQIWSDRIRRASTWGTWGLMGMNFLLFVVLQFV AEPWKRKRLVRGVVAEEKAVLEEVRGELEQVKLAIETRNQPAAATALASAVKATREAE VEPIAKIVESEDVPTESLFTAEETHTSEVPVSTPVEPATETPLPEILPMRTWPEVLND PSWWRAWKKLLLDPLWWRAKTEDLYSERRIDMRMRDASLLALEGALAGAVFTASIALL MVRR FPSE_05938 MASSNTPALKNNPKFIFFTDFDGTVTTADSNDYMTDNLGFGVER RRQLNKDVLFGKMHFRDSFVEMLDSIKTPFDECVDILLKNIKLDPGFKEFYDWAQQNN VPIVILSGGMEPVIRALLDTLLGPGWDIQIVSNYVTAREGKTLKDEGGWRIEFHDDSI HGHDKSIEIRKYSSLPDRPTMFYAGDGVSDLSAAKETDLLFAKADKDLVTWCENENVP FVTFRDWSSITQTVKDIAAGTVSVKDAARGRI FPSE_05937 MEASMLSGLVAVEPALAKSQDDDLYRPLTAPPKTVPRMPIGASQ RESFELHDIPIRDDRTRDASSANASNDLEMSRPNTPDSNTEVVEVLSTFWNPFMNRFR ALFGCLAQLGYGTVSLIFVGQAIGFIAAAVFLDVLRAKLGRAKLLGLGQALVTLAYIP IICGAPFGVVVVSFFFIGFSISVNVAIGNLFFGGLRNGTFMLGILHGTYGIGGTIGPL IATALVTAANAVWNRYYIITCALGAVTFVLGTWAFWTYEKEMSPATRQRETSQAGESM MNSMFLAMKLRIVFLGSLFIFAYQGAEVSISGWVISFLIEDRDGDPSSVGYVTAGFWA GITIGRFLLSAPAQRIGEKKLVYFLTIGALAFELLVWFVPNIVGNAIAVSIVGLFLGP IYPCAAAVFLRGMSRRESLSGIGTISACGSAGGAVAPFITGLLAQAVGTFVLHPIAIF LFIVMLVCWYFLPTEDKRTE FPSE_05936 MGRPKRNVLAAAEAALTPPDALEPNQSLVRVVKPEGNNLYTCEL PNTKTLMLELAQRFRNTIWIKRGGFVLAEIYQDSKEDTRADGEIVNVVRDEKAWRKQS YWPKEFVKKSTYDFSDSEEESNVGKMPPSDSEDE FPSE_05935 MADSAPGALARGTRFVRNVLTGQHALSKLIPVALWLADAVGTSL IIWKVPYTEIDWVAYMQQVSQFISGERDYTKIEGGTGPLVYPAAHVYTFTGLYHITNE GENIFLAQQIFGVLYMATLAVVMLCYWKAKVPPYMFVFLIASKRLHSLFVLRCFNDCF AVFFLWLSIYFFQRRNWTFGSLAYTWGLGIKMSLLLVLPAIGVILLLGRGFWPGLRLA WLMAQVQFAIGIPFIMKNSRGYAARAFELSREFKFEWTVNWRMLGEDVFLSKPFAIFL LACHVTALLVFISQRWLQPTGRPLSAMIPSFLQLKSPFTLQEQLRISHYVTPEYVMTT MLSANVIGLLFARSLHYQFYAYLAWASPYLIWRATEDPFIVLLIWAAQEWAWNVFPST DLSSRVTVGAMLATVVLAYRGTARLAVPPSQARKIEAKKK FPSE_05934 MANTIVVVGAGVSGLTSAYLLSKNKGNKITVVAKHMPGDYDIEY ASPFAGANVCPMATQENSRWERRTWVEFKRLCEQVPEAGIHFQKCHIARRKKDVEEAK SSTFPDALFQEEPWYKELFEDFREQNPNEVTRGYDSGCEFTSVCINTAIYLPWLAGQC LKNGVVLKRASLTDISQAKKLSHMGNVPNIIVNATGLGSLKLGGVKDETMAPARGQIV VVRNESTPMLITSGVEDGGSDVMYLMQRAAGGGTILGGTYDVGNWESQPDPNIAQRIM QRIVEARPEVADGKGVKGLSIIRHAVGLRPWRKGGLRLEEEKLDDETWIVHNYGHSGW GYQGSYGCAEGVVELVDKVGKGAKAKL FPSE_05933 MTSPFRILRPISQAARSGVASVATRPFHTTAARFAVQDIKTTKE FKDLVSATDKAVLVDCFATWCGPCKAISPILSKLSEQPALSDNIEFVKFDVDELPDLT AELGVRAMPTFFVFKGGKKVDELVGANPQALQSMLAKHAS FPSE_05932 MPGRFPDEESGSGESESLLGRGHKRVKRFWDGFVDFAFQDDILK IAVGLILAAAFTDLVKSFVSDVLMPPISIILPLNKNIEEKFAVLQRGPNYNTTTGYNT LHQAQDDGAVVLAYGSFVSQMISFLVLGIALYGLAHLWQLASSEPIIKHTKKCKYCRQ RINEKSIRCIQCTSWLDGREDRT FPSE_05931 MVRSLALFAGAIATVAAAQATTVNFFLPGFDKQALVGSVVAVKG EATTVAISCPDGTDASECGIQETRTVVGGASTMDISYSYSPPEEYGGGYMDNRTGCKL DPKKDVAICSAEATNVISGSTESMAATTALSGYKQLIMPITITAGAEKLNGDAATATA SATGSEATTADKPKDTAAQSTGSATTSGADADATPSPVESDNAAGPMVTQNAILAVAA IVGGAAMLL FPSE_05930 MLRLTSTLLALLAVNSVAYAEQEPPTITTAPIYLPYYNKESWSL VRGSIISSDEQAQETTYTIFCPDPDGSTPPECDLSLEFPFILVEGPDTVRFHGTHPSR LTANLECSLQGTTEATCSGYSSFDEGYNDGVHTGPTEVVWKSTFTGQEAEWGVLTMGP LPEDPDPVTAALTTPTEFVSLPLATDGSSSATGLDVKIMRVTLVAAFCMLVAGRL FPSE_05929 MKFSRLALASLASLATATKTAPKSLDTSNPDSIRDVAGTLAFDA MSYYRGNTSSVPKDLGDLQDPYYWWVAGALWGIMLDYYHLTGDYSYNDVIIEALLGPT NLGKGHNYMPVEHADEEGNDDLFFWGNAVLSAAERNFPQPNKDLPSWLDISINVFDQL VSRWDATKCNGGLLWQIYPNNPNGMTYKNSVSNGGFFQLAARLGRITGDAKYLDWAVK IWDWSWEVGFIDQRNYHVYDGTDTKDNCQKTVYHSFTYTQGIYLYGAAVMANYTEKPE WAERSRNLLKGTDWFFAPFGNVTNVMYEAACETVMSCTADMETFKGYLSRFMYLSVQM QPDLKAHVHDHLLPSAKAAVQTCTGGKTGRECGQRWYVEGYDGNPGLGQQMCALEIVQ GLLLDQAPAPLKGDDIKVIRSTDWAAMDVHESKIKSTPSSSNTAETASATSGSPPKPT KSEDAAGSYRADLVLASFSIVTVLTFLGLA FPSE_05928 MGGSDYKDDGTNILLDANENAYGPSLSSEVADKTANGVDVDLLG LHRYPDPHQEPLKQLLCDLRNTHAHTSKTLKPENLFVGVGSDEAIDALLRCFCIPGKD RILTCPPTYGMYSVSAQVNDVALVKIPLLEAPSFSIDVPAVLDALTNEPNIKLVYLCS PGNPTGSLLAKSDVQQILDHPTWNGVVVLDEAYIDFAPDNASLAEWVAEFPNLVVMQT LSKAFGMAGIRLGAAFTSPPIARLLNSLKAPYNISSPTSALASYAISEKGLAIMRDHR ARLLEQRDRLIQELPKIPGVGRLRGGTESNFMLYEMLNSAGEPDNSVALAVYEKLAEG KGVVVRFRGKEHGCLGCLRITVGTDAEVTRFLDSLKTTLAEVRGS FPSE_05927 MHSIALYSLLCLALTSCSNALPGPVARIQRKGPCSGNTPSTRNQ WCGYDIHSDYYSVIPDTGITREYWLEISEIVFTPDGIPRFAQAINGTVPGPTIFADWG DNVVVHLTSNLKTSTNGSSFHFHGTHQNYTNPQDGVVAITQCPTAPGSSTTYKWRATQ YGTSWYHSHFGLQTYDGVYGGLIIRGPASANYDEDVGTIMLSDWSHRTVNEILPEVQR KGPYPMDNVLINGTNTYNKEGEKNQTGERFRLDFEEGKTYRLRLVNTGIDTLYKFSID HHTLKVIAVDFVSIEPYETDHVNIAIGERMDILVTANQASKASSFWLRALPQLDCTKN ANPQNALGVVSYSSNSSTPTTKGRKYVDHCEDEPYESIVPVVPHTVGPPDMEVVENAN RSWNSDGIIKWSLNSTTMIAEWDNPSLLKLGHNVSFTESNAVIHVPKKDAWVYLAIET ELDISHPIHLHGFDYHILAQGVGPYGSNVTLKTDNPPRRDTALLPAKGHLVIAFLTDN PGVWLMHCHIGWHAAEGFSMQFVVREDEIPGLVSDQEHEDMEKGCKAWHDFSHKMEME QDDSGI FPSE_05926 MPPSLKDKGGRLLAFANAGIKNEPQSQVEIKPSSAEPPHNPHPP PAPVPRPASFPREIQGLGGRNTAPPRQNHPFSQPPPRSPQLSVVSSPNDRGKTNSNGR PDLFSGSQLDENFLESGLTTPYNEPVEPVRLGLELTQDLKKNIPPHRLPDRNRFQRTA PTSDLFTVGDDLRMNVISRPQRHNIDHMGDGFQDNVNVRHGKVNPQYDHGRARPESPA RLDSRLPMREVRIRKSHSGRSEAYDANRVMSPSPKRHQTQWQSNHRNETARQPAVQHV DDEDVETSSQEEEHATPRPRAVQPVIQRTMLESIPAVTNPVPRMNRQDNKRRRPDPEY NDSALRSMSFNTLQQQPFDFDPSKDEQKGTGVNSENIEAKLDQFRHVDEQEQHDLFSN MSIENWETSGDWFVSEFSGLMQRLMESRRHKRNIIQEFEQEAADREEAVRLRTEAVDR KLSRMKQDGQRVVEDKTS FPSE_05925 MAPHFVDDKTEICLPFILAQLKLHREESPDRPFVIGLNGIQGAG KSTLVKSLSKALESQNVPTLVCSIDEFYLTRQDQVALAESDPENALIQHRGEPGTHDL PLAKAFFEAVLQGEPTKLPKYDKAIKGGKGDRLPESEWTLVNQPGQPKIQAIILEGWC VGFRPLTREDVEARLNMPNRTLKQHKLEHLLFINEKLSEYNSLTDSFDSFIQIDAEDL GYVYGWRLEQEDHLREERGDPEAGMCSQEVVKFVDGYYPAYELYTDRLRKGVLANRPG RQLRMVVGRDRKVKHVRRI FPSE_05924 MAEQLRYDGQVVVVTGAGGGLGKAYATFFGSRGASVVVNDLGVT SKGEGNSSKAADVVVNEIKAAGGKAVANYDSVENGERIIETAISAFGRIDILINNAGI LRDISFKNMKDEDWDLIYKVHIKGSYKCARAAWPHFRKQKYGRVINTASAAGLFGNFG QANYSAAKLAMVGFTETLAKEGIKYGILANVIAPVAASRMTETIMPPDVLEQLKPEWV VPLVAVLVHKNNTQETGGIFEVGGGHVAKLRWERSSGLLLKADDSYTPGAIIKNWGKV VDYSNPQYPTGPNDFLTLLEDSMKMGPAEQGENPDFTGRVALVTGGGAGIGRIYALAF AKYGASVVVNDLADPEPVVAEIKKLGGKAVGVKASAEDGEKVVKAAIDAFGRIDIVVN NAGILRDKAFSNMNDDLWDPVLNVHLRGTYKVTKAAWPYFLKQKYGRVLNTTSTSGIY GNFGQANYAAAKCGILGFSRALALEGHKYGIYVNTIAPNAGTAMTATIMPDEMVQAFK PDYIAPLVLALCSDKCPNPTGGLYEVGSGWCGQTRWQRTGGHGFPVDVALVPEEVVKH WKDIVTFDGRADHPVKSQDSIEKIMANMQNTSKGKESSGETDYKKVIAETVTKEGKPT EFKYEERDVILYNLGVGAKRTDLKYVFEGSEDFQVLPTFGVIPPFNTEMPFDFDNIVP NFSPMMLLHGEQYLEIRKFPIPTNARLVSRGRLLEVVDKGNASIARSSTTTVDANTGE DVFYNEASVFLRGTGGWGGPKRGADRGSATAANKPPARAPDVVVESPTNDDQAAIYRL SGDYNPLHIDPEFAKVGGFKAPILHGLCSFGVAGKAVYERFGAFKNIKVRFAGVVIPG QTIVTEMWREGNKIIFQSKVKETGKPAIAGAAAELRTDGKSKL FPSE_05923 MVFTGLLTSSDRTPSVRSKSSESDLHLQHEYIYDEAQLPCLPPE RPDLRELNNSLEALAAVFPDVQIEVFRELLSNFDGESRLALVADALLKNRVTWVKGRW RVPDKETARPETVPKTEVFKSKEYKRAVQNLAWHEFKGLSRSTINAVLAESNYSYLEA RQTLVTLSSKSWRFTISSLFFRRKPIATGEAENHPLVSWRSTGQGSIIPTIRTTGSAE FDRELYDILVAPLKEKDRMDREGRDRGLAIILNNEEAEEAEATHECVCCFTSAAFEEF THCNREGHLVCYRCVQHSIKEAVFGQGWQSSINPETGTLKCLSSDGDGCPGHIPPDHL HRAMDEEKKGAEILHKLDRRLAEHSLLAANLPLVRCPFCDYAEIDDIYTPAHESALRI KSDSIYNLFVLVLCIGTLPFVFPFVLISSFICLLLSTQQSFGDYISVEWSKALNRHRR RRRGTKFTCQSPECGRSSCLSCHKSWKDIHVCNESSLVALRTQVEQAMSMAIKRVCPR CNTSFVKNAGCNKLTCPCGYKMCYVCRADLTDEGYRHFCDHFRPEGDARQCKECDRCN LWESEDTEKVLQAAREEAERKWKDMENRELSGVERAFLETGVAGNRMDVSVERVLFSG RIPALAEVFDLIVETVFV FPSE_05922 MGTKSLSVLGNDGAGKKALIGSLIYKCGLELPQLQQLESEGISQ YEKIVPFFEKNGLAQSFYAPSGAFTVQKSQTPDVAFWVVDASDLSSWGSSAEKLSAAL SSGVLNPREKLIIIVNKMDSVNWSEQTFKDVVGAFSSVNMNKYGNISSSK FPSE_05921 MKHVQRFKARVLGRTELPHAELTPENSSPRPDESSSMDSKPDEA GLMDFPVDIVAIHGLNGNAISTWRHQPDGTVWLRDLLPDFLPGCRVYTYGYPSKIWSQ SSERIQEYALNLLVALRDVREDLNASKRSIIFVCHSLGGIVFKQALVAAHENDDLYGE LLKSIRGVVFLATPHRGSGTANLASICGSVVNSFASAGLGPRAVRTDLLKTLIYDSDA LQDLTMSARNRLGNIHVVSFYETLPLSRGPLSSSLVVSPASAVLGIPYEEVIPMPEDH RTICRFPGETESYLKVARALRRIATKSSNSGPTLKRTSTHSSNIVLSTLERTCMSLLS DNDAAKGVEPPPKPVPGTCQWIRSHSLFVSWLEAGTDALLWLTGHPGCGKTMLSYSLA QYFDDARNKSRNVLIYLCQNKNKQTDARAVLIGLILQIADRHRSLVRYIRSAFEKEGS SIIQSFASLWRIFVRIAKDPKSGPLYVIVDALDECERTSCHQLLVSISDMLTDSSQSM KSDSSVKFLITSRPFLHQSYANSQKVLQSRISIDDDQTGYTEDLQTFIQERIHEISLN RQFSNDIRDFLYEAIMSKADRTFLWIHLVLASIEKSILTSRKEFQKIIASIPEGLAEI YHRYLSAIPLDHQEDASKLLKLLLACSRPLSLDELNIAFTMESSYTTTEEIMQNSHNS IAHTVQGILGSLVRVTGQQVSLVHQTVKDYLQDRDNASIDSPPAIRMVNPQGSALQLA TVCIQYLLLDDFTVDLFPTNDPSTIAEETDFFSELPLGGFEGDFWDQEDHDLDPDTLF HDPGILNSKMCDSMTLDYPFYTYASLHWAEHFAICEEDAPEELTDFARSLLDPDTANC RNWLSFYHTRASTPLDDGITDQNPITLASQFNSITALNSLLLGSQPSQVIKNQSLYWA SRLGHDRIVTALLQSGAEPDAQQLDGQTALTVASEHGNQSCITALLADSRTNVNAPGR NGRTALSFACRGGHDEIVKELLKQKACNPDEPDNTGATPFIWAVGGGHRSTISNLARL RNVNINHQDKTGRTAVSWASGDGMADTVIRLLKLPRIDINSKDNKGRSPLSWAAGNGC TDVVEILLANPRIDKMSMDNDKRNAISWASTQGHCQVLVKLLKAGCPGVDAEDIDGWT PLAWAIQTDSPETVHALVSNENVLIERRDRGGRTALSWAVEYGHIEVVKVLLRAGADT MTQTGSGRTPVMIAKDFGRDDMVKELEAYGP FPSE_05920 MNRDMKLGNEPVMAENEKNCRFEGYFTYRPLSNLPTPPPSSRNS SAAQSPRTTLDDGEPLMPRFRGPAIHLVNLIPSSASLAMASVPLVQAILSRASLPIET VALAVCILDSLDSRFARRWRLTCPLLSDYFSPTSKRHTLPAAPIMPQRQQLHIDSVNP EIIILAALVIAVKFTEDPQDASQYYCKVWGRGMWSHQQLNATERCIMENLNYRIMPLC EEDCLTDAMVDMQLAAQQQPDWELNEYMPMDSDEDSDDGANISHYMPSHSRSKTLGSG SAVLGLGLSLTPVDTPTAEVNHATTPQPSGLCNDYFNHYQAQDD FPSE_05919 MALHSADTSKLIFAPAGHQATGQAEQFTKGTLVRSQLNSESPIP QFHSWFSRAQENDSGVEHPETCTLSTASLPSGRISSRTVYLKELDNRGFVIYTNLGTS RKSADIASNPRAAMLFFWEALQRQVHVEGRVERISKEESQKYYDTRARGSRIGAWASK QSQVLEPQGEDDDGRKQLEGWVKDVEQRFEGQEKIPVPDFWGGLRVIPDRIEFWQGRE SRLHDRFVYEKEEGAESEEWKLKRLSP FPSE_05918 MALVPQPPASARQFGAEDDGLVCLELEDGSTFQGYSFGAQKSIA GELVFQTGMVGYPESVTDPSYRGQILVITFPLVGNYGVPSREAMDELLGDLPAHFESN QIHIAGLVTASYAGEDFSHFLATSSLGTWLKEQGVPAMYGVDTRALTKKIREKGSMLG KMRLEMRGVTNGAVTSHVDGALAALPLDHFEQVEWVNPNNKNLVQEVSIKEPKLYKPP PSVARKHPSGRTIRVLCLDVGMKFNQLRCFLKRGVEVLVCPWDYDIASAIDEFDGLFL SNGPGDPAVLENTVKNIASVLEKNEIPVFGICLGHQLLARAAGATTKKMKFGNRGHNI PCTSMVTGKCHITSQNHGFAVDTDSLTNGWKELFVNANDGSNEGIYHTEKPYFSVQFH PESTPGPRDTEFLFDVFINTMANCAEKPELLKTPVHFPGGTIEENEALHPRVSVRKVL VLGSGGLSIGQAGEFDYSGSQAIKALKEEGIYTVLINPNIATIQTSKGLADKVYFLPV NADFVRKVIQYERPDAIYVTFGGQTALQVGIQLKDEFESLGVKVLGTPIDTIITTEDR ELFARSMDSIGEKCAKSASANNIDEAMHAVKDIGFPVIVRAAYALGGLGSGFANNEDE LMELCNKAFAASPQVLIERSMKGWKEIEYEVVRDAQDNCITVCNMENFDPLGIHTGDS IVVAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPFSREYCIIEVNARLSR SSALASKATGYPLAFIAAKLGLNIPLKEIKNSVTKSTCACFEPSLDYCVVKMPRWDLK KFTRVSTQLGSSMKSVGEVMSIGRSFEEAIQKAIRAIDPHNLGFNDTEALMSIEDELQ TPSDQRLFAIANAMHEGYTVDKIWELTKIDKWFLTKLKGLSDFSKRMTGYSTTDITST PSILLQAKRLGFSDRQLAKFWSSNEIAVRRMRLEAGIQPFVKQIDTVAAEFPAFTNYL YMTYNASEHDVSFDDHGVMVLGSGVYRIGSSVEFDWCSVRAIRTLRASGFKTIMVNFN PETVSTDYDEADKLYFENILLETVLDIYQLENSSGILGAMGGQTPNNIALALHRAGVK VLGTSPEMIDNAENRYKFSRMLDRIEVDQPTWKELTSFSEAQDFCNAVSYPVLVRPSY VLSGAAMNTVYSEKDLKNYLDQAVEVSRDHPVVITKYIENAKEIEMDAVAKDGKVIGH FVSEHVENAGVHSGDATLILPPQDLEATTIQRIEEATRKIGAALNVTGPFNIQFIAKD NDIKVIECNVRASRSFPFVSKVMGVDLIEMATKAIMGQPFQAYPPTDLAPNCVGVKVP QFSFSRLSGADPVLGVEMASTGEVACFGVDKNEAYLKALMSTGFKIPKKNILLSIGSY KDKREMLPSVQKLEKLGYKLFATSGTADFLQEHGVQCQYLEVLGKEEDRSSEFSLTQH LAKNTIDLYINLPSNNKYRRPANYMSKGYQTRRMAVDYQIPLVTNVKNAKILVEAIAR HFELEVSKRDYQTSHRTIVLPGLINIAAFVPGIASANSDDIQTVTKASISAGFSMIRV MPLGVDGAITDAITLNTAQQNSRRGGYCDYNFSVAATSDNADQISHVTGEVGSLFIPF NHLSGNISKVAAVTAHFDSWPNHKPIVTDAKLTDLASILLLASLHNRRIHVTSVTNKD DIKLIALSKAKGLQVTCDVSIYSLYLSRDEYPECERLPTAVDQKALWAHLSTIDVFSI GSLPYRLATSLGHKGDPHMGIYDALPLLLTSVAEGRLTVDDIKDRLYTNPMEIFELHE QSGTTIEAEIDRPYNLAPGNFWSPFEGRVMRGSVQRVTFQNTTVCLDGEVLKVSPQGK DMSSHIAPPMSPPTKPTTSIAQATDSPRDRRLSMLANFQPLNRLRGVDSDAAPVGELA PGLQPQPMVSSSLQQLLARPSSFKNTHVLSVKAYSRADLHLLFTVAQEMRLGVQREGV LNILRGRLLTTLFYEPSTRTSASFDAAMQRLGGRTIAISTSHSSVKKGETLQDTLRTL ACYGDAVVLRHPEESSVHVAEKYSPVPVINGGNGSKEHPTQAFLDLFTIREELGTVQG LTITFLGDLLYGRPVHSLVYLLRHYQVQVQLVAPKSLALPPKVREQLVASGQLLCESE TLTPEILARSDVLYCTRVQRERFPTEEEYQQVKNSYRVDNASLKHAKSSCIVMHPLPR NEEVAEEVDFDQRAAYFRQMRYGLYCRMALLALVMADS FPSE_05917 MYSAGYNFGNNAAPSFNNPAPQQQSGAQPAAQQMMYNQQQQFAG MAPQGGFNPGANPQMMAGGPGGMMPNAGMQHMAANSQMAGFQQQFSGNPYGQVVPSSV APQSFTPNYMMGGGLQGFPMNQGGMPQQPHMMQRMQQQQQQQAQAQAQAQAQAQAQQQ QQQQQQQQHQAANAAGMGQISTPQRPPSAAQGTPNNALPSQQGQFPTPQQPPQSQTPT NHQQQQQQRQHSQPPQLQQQQPQQPQGQMQGQVQQQQPPSALTTPQTPTFSNQGQAVN GSSSAAVPLSPGTESRDKERFALLLDINHELLYESIQIQATQKELKEESAAAGIPGDK KPSEEEAQLQHDYLQCMRRLQANLSYMAALADRKPEVKVPPCPAYLNAPPLNLSAKLR APKGLEGKENNIDPVADREERNNSIKDLYSRLQAAFPGFDPKKEPVFRANAQGGQKPG NLMGSQASPTAQRTPKITNMGAPPMP FPSE_05916 MEDEELPSQYTLSYRASGLIRPDPTINAQLCSFSGIRVAGPPAN DENWFPGVGSGLVTGSGAAICQIVRLEWSPNGLGCNLRPILTALSTSGCIYAIGEHID RQSAMIAGMRTRSFKAWKTLWGLGAQLPLPDTNQEDGYRNMNERIQSFSWAKEIDIGR CLLAYCNDMEEVAIMTVQLFSRQKEIDPASEEAIWDIREVSRFDGKGRHTKEDAVDIT DPDYVPHGSAFSLKWSPWFWSDGKRTAILAYLAKNHVGFRRVTIIGNWERGQYPQIEV ESTDTTAICMFLSTDAYVEWQDQIVYNGDKPTARGVITTPFNVTPFQVSFVADSEVPP EPHYTWECSTTYPKESEVLSSNPITGLMIHDQAYAMEGSVPNYSIVRLSATMRNQDWF QTNLPNEEASVPKWAAKIRRQTTRLVPRAIALEGIDSDSDDSEDDMMEEDTSQLQVPE ARYRIWGLAHSPGGGTTAVLVSRYSTIHPERRALCKLMFSRRDEERDEAASAVAPTRQ LTTEGQVWEWMYGNGPDVFGATSTSKFSPELHDTPLREQFKDVAVRQYCVFCDAALRL EEDEAKCENGHVFARCASTGLAIMAPDISRICAVCELRCLKVTELTRVAIENFGPGTR IESSGEVCGGCGGKFVA FPSE_05915 MKLSDLRFYALVAAALFATIAFAEHTSNWAVLVCTSRFWFNYRH LANVLSMYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNSDRAVDLYGDNIEV DYRGYEVTVENFIRLLTDRVGAEMPRSKRLLTDDRSNILVYMTGHGGNEFLKFQDAEE IGAFDLANAFEEMWEKKRYHEILFMIDTCQANTMYSRLYSPNIIATGSSKLDQSSYSH HADNDVGVAVIDRYTYYNLEFLENNVKDLNSQKTVGELFDSYDYSKIHSHAGVRYDLF PGGEENARSRLITDFFGNIQNVEVDRSGNLTLEEDLLALSKKIAVLQQREAEASKKEA GEKVSAQAPASVPTGSARKIQKPKALTDDNWWTKKVVGATALAGCGLLWALGSFLEG FPSE_05914 MGRTPAYIFVVRHGNRLDAADKKWHLSSPTPYDPPLTYGGWLQA RAVGNQISSILEQSKAEYESNSKDTKRRRRFKVVIHSSPFLRCAQTSIGISSGLAQTP HESPHRPNDIFVSATGPPKHFRSTILRLDSFLGEWLSPEYFEHITPPPGAALMLGSAK AELLRREDYSALTSASAPTPMPHRGSTASLWNGSPAASPSLGPMRPGSSAEGSSGPAM AAALTGQLASQLTKKGYTVPKPNYAISSSGKIPDGFVAHARDECVVVDYQWDSMRAPL DFGDGGQLGEEWTDMHKRFRRGLKKMVDWYATTDSPDEMVTQAAGTSQAGNDDNEMAS EDEDVETVVILVSHGAGCNALIGAITHQPVLMDVGIASITMAERKQDADYHALLAASA YEKEPHVSPHQMYDIRMSANTEHLRSTTSTPVSARSNAADSFPTTIRGRKPSISDEEG PGPLIGSFVYSDPIVPGGSRSASASAAFGSSMRRTSGSQRPLNRVPRLSTAAAAATTE KNTAKGETTPRSNSPSTGTPSFGLWTPRSSSLRLMDDGSDDASDKEDFDTVLPNFGRF DNTTQSKKDGPLTSTTEVASSLPVQSSLPSRFELKSTNSLTMTLPPAAFSSLTSFSFP SSGETTPKATPRGPILSGPIRIMTDVLHDHDNPVEELPIGQLGDGLGGLWGLPRPPGE AERYRDVSLSKRRWTTTERA FPSE_05913 MSAPDAPDSAIEARVADKLKNIAPAAEPKDESKAGASSGLAQQA RAFAAGGFGGLCAVVVGHPFDLVKVRLQTAERGVYSSAVDVVRKSIARDGLRRGLYAG VSAPLVGVTPMFAVSFWGYDLGKQLVRGVSEVPAEGLTIGQISAAGFVSAIPMTAITA PFERIKVILQVQGQKQLAPGEKPKYNGGVDVVRQLYKEGGIRSVFRGSAATLARDGPG SAAYFAAYEYIKRKMTPIDPLTGKLSGQLSLSAITCAGAAAGVAMWIPVFPIDTVKSR LQTSEGNVTVGSIVRELYGRGGVKAFFPGFGPALARAVPANAATFLGVELAHQGMNKF FG FPSE_05912 MASSYALPTSSLPHAHQTHMHSHTRSTSQSSLNNLRRQSTFSNG NLPSVPDEDHGHEASHDHGDGNYIAHSHNPSVHKHGHRRSRMSNTSASIAREKLPPAP LNTLEGWTEEKTPGGRSILSPGPTSANMTYSPPDQSHDHPHDHHDHHHDNSHNHHHSH SHTHDHDHSHSHSHSHDHSHSHDHHGHSHSHGDDKGKRSLFTRMIIPYTAGFPILNAI LIEKDSRRIFYFMALNFSFMAVQAFYGYVTDSLGLLSDSIHMFFDCVALMVGLLAAVL SKWPRSQRFPYGFGKIETLSGFANGILLMLLSVEIAFEAFERLWEGTQTKRLGELFIV STMGLLVNLVGMMAFGHHHHGHGHGHDHGSDGGGCHGHDNENMHGIYLHILADTLGSV SVIVSTILTSFWGWAGWDPLASCFIAILIFLSSKPLVYSSAKRLLLSIPEDTEYNLRN SLGGILNQRGVVGYSSPKFWRDDHSASPTGGKLLGVVHVVAARGAPLEDVRDRVREYL LREGADVVVQVEREGDNSCWCSRRGVPAPPATPTLASMKAS FPSE_05911 MSAVFFVSVIAGGISCVVLVWLLSGVAGLIPTRYNPAEKPEDDH IQILVVGDVGRSPRMQYHAMSVAKHGRQVDIVGYKETSRHPDLIGNPRVTMYALPPQP EVLRWGTLPFFLNIPLKVLWQFWGLFRTLMYDAPAAKWIIIQNPPSIPTFHVALIVSL FRGSKLVVDWHNYGYTILAQNKWYYKPLVPIYRWYETGFGRYLGDVNLAVTDAMARQL KVKPFNVKRSVYTLHDRPAEVFQPILSAKERHAFLSRLAETKSVAKDIVEGAVRLIVS STSWTPDEDFGLLLDALVSYASTAEAAPILAIITGKGPQKEHYLERIQALQDDGKLPG IRILTAWLSTRDYASLLASADLGISLHKSSSGVDLPMKVVDMFGAGLPVAAYSAFESF SELVKEGQNGCGFETSSELTDILTRLLSFSGHEELSRLKKGAVSEGALRWDHEWDRVV GKVIGLVGEEST FPSE_05910 MAASSAPAASLLKRQLKEMQAGRDLPGISCGLVNDDNIFEWEVM LMISDDCKYYGGGNFRARLSFPPSYPHMPPSLTFQDPIPFHPNIYENGKLCISILHPP EDDEYGYEDASERWSPVQTPESILISTISLFHSPNDESPANVEAARMFREEREGKHKD FRRRCRKCVRESLGED FPSE_05909 MSDSKKEGAYGGAPSGDTDFRKTWDLEEYAAKGKEREAKEKEEG KARYEAKLAGKKYFKPLTGDETYTSARRHVIDFTQQIGKTQLVPGGAGVGKRGRGAGQ YCEACDLTFKDNRQYIEHITTPQHLMNTGQTMNVKRATAEEVHERIEAYIRRQDDLEK EKQTSLHERLQLREEEAEKEAEERRKKRRDEMERKRLKQEEATKVKTEYGEDVRIEGE HDEDDMMASMGFVGFGTSKK FPSE_05908 MLYLVGLGLSDETDITVKGLEVVKKASRVYLEAYTSILLVEQSV LESYYGRSITVADREMVESNSEEILRNAQNEDVAFLVVGDPFGATTHTDLVLRARELE IPVRTVPNASIMSGIGACGLQLYNFGQTVSMVFFTDTWKPASFYDRIKENRQIGLHTL VLVDIKVKEQSLENMARGRLVYEPPRYMTVGQCAQQMLEIEEERKEGVYTKDSLAIGA ARVGGKTEKFVAGTLEELCSTDEELGPPLHSLVLLGRRTHELELDYVRQFAVDKEKWD KIWNAEYGKQL FPSE_05907 MSFSNANTGDAPADPYKKANAEDVPLQTKIEDLVHFITKEKFGM MTTRGSESGNLVSRCMALAATETGGIDLLFHTNTESGKTDDLDADPHVNISFINASGE WASIAGNASISTDRSLVAKHYSPTLKAWLGDLGDGVHDGSEKDPRIGIIRVKAVSSTY SLVSKNLLSRVADIASSTVTGKPASPNTLREISEGDVRSWRASRE FPSE_05906 MSNDPPTAFPWECRDKEDQAKDDFTHPHRRVNQSLADENMRLKR ILRENGISWSSVSLNHMQQSNPLKRKTRSSMPNQAPYLPMEVILRILKFAMKSPTPIV DPLSPLTPENLSDKEKAQGNQIAIHFLATCKALHVEGTRFLWESNHFTFTTPRAVRIF ADLPAKFRQGITHVNFRIIARYYDDQPARRHKLDRCYHSDLKKDHHLKVQQRSTESPL VRGGFRCYTWNQVVDFLEGLRAPFDPSYRNRKLPRPKMLPSLVSLRLDLVNFSDTLLP FSGAELHDICSHEFGCTLNELQVTGMPFDDTGLKASVELSGMLKDEGLYLDGPASYMA RSKYLQRLRGKKWCARVIRAWKPDDDEDSEEDEDTFHSHSRATRLGVLPPAPSEEGHP SSDRDEETVIWKRVPISRDVEKRDWIQFSRSSGYEIGGVDWDDDEEGICPCCGDTHSG TSILEYLMDEE FPSE_05905 MHLQHLHVHRRADGLFGFGNIAHEIDVSADASKRDVEKREPQAV KTVFKTIEATFEGAIGGYKTVGSEPEPTGSSKDDHEDDEDDDDKKKEAEEKKKEEAAE KEKEAEEAREKAQEAKEKAQEEAKKKAAQEKAEEEEEEEEKEKEQEKKEQEEAAKKKA AEEAKSRSEAENDTTEKESSTIKPTRTRAQVTSSNEASSIPTVISHPTESQSIESILA KATGAASASTTIDEVADISGTSSLPSSTSVPDATGTSAGAKAGIAFGVLGGLLAMGLL IFFVFSKRRKQAEMERLENDDEKLHGPMVGRGGAAPPMAAAAAVRRSMSPEPFEPDTS SVRADAQAPRVSLRPVTQFLPNWNGIENQKRSSKGAALALAVPASTSPSANGPLSPRT PGGSAWERPSTAQSVDPANPFGSQAERVPSPVQEETASVHRASPSPLSENSAIGVSAS VSPQSPFENPVTATGAAVAAGVGAAAVARKTSIRKDGPPQLDLTIPSAPMAAIPGSPA GTDYSNTSATGGAPESPSGGAAAIAAAGGPATSAVHRVQLDFKPTMDDELELNAGDLV RLLHEYDDGWALCIRLDRSRQGVVPRTCLSTRPVKPRPAQNGPRPGPPVKGGPPRGPG PMGPNGGRPQSPMGPPGRPQMGPGPRVQSPGPFQGPPQGRMSPGPRSQSPGPRNGPPG GGPMSPGPRSQSPGPAGGRSQSPSGVNRRNNPPGPSPMNPSQGPTSSGSLNRKPVPGQ AY FPSE_05904 MRGALRCNTVIRHARVVGPLTDSGRYARTFRTTATSHALRTGSC CRKIRAELAIQGANISTSCPNRSRSMATVVDAEPIHGGGPIPEYDRRVAAGRLRNDEH QRGIIQNFQNLYHELEQYDAPPVEHPTIESLKPTKKSIFSSLFGSSGKKSAIGTISSN LPKGLYLHGDVGCGKTMLMDLLYDTLPPSVKSKSRIHFNNFMQDVHKRLHKFKMEHGN DIDGVPYVAADIAQQGNVLCFDEFQCTDVADAMILRRLLESLMANGVVLVTTSNRKPD ELYKNGVQRESFIPAIELLKNRLHVINLDSPTDYRKIPRPPSDVYYTSLDTHAQAHAE KWFRFLGDPEQPEPRPEIQKVWGREIYVPRVSGRAAWFTFDELIRQPKSAADFIELVR SYEAFIVTDIPGMTHQQRDLARRFITFIDVVYEGNAKLVLTTEKPLSELFVSRDEIAE SLMKQGVKGADAEKAADNHDLVHNVDKLKDSNLFAGTEEAFAFARALSRLRHMESKEW VERGMGLEAQGGQPDKDNWVKTRKAYGELDLQPRRVQWMGCLGRYVI FPSE_05903 MPSLKTLFASAAMAYLATAKTIKITATSDDKFDPEEVEAEKGDV LEFHFEPKNHSVVAGDYRYPCSPLDLGSGFFSGFLPTDSGSADKVFQVTINDTDPTPF YSSQGKECPSGMVGIINPDKNKTLSDYKKRASELSSGVNPGKKAFGGELVDSDDAKSD DSNDKGSGKSSGSKDDNNAAGIIGAPFAGVVAAIGLAYIMA FPSE_05902 MAHQSPPRRRYLQTRARAESITQSQQTSTKSTPGSWIKSKILPW IAPWFQPHRSYDPEESFIPFPKVTFLVDKPIKIQCQICHQANCQIKPDAEPPDESQFS LMPCGHAACSNCLEQWFKTQKTCPFCRANLVYGCGHAVPPRPLTEEGLHMIPKTLPDR GCIPNFCVHCRKAIQMEEAKDKFRQAVEEFEAARQRFSQTQAAADELIMLSKRDEFET VYQDVHLNLLRTWLTRW FPSE_05901 MSSFASNTPSESVQSLQQVIAPRRPATLETGVSYVIPPQDSREP SIASGSTSSSSLWEPGQSIQPGPAYAHTHNRPLPSNVSTPVPRHSITSSMHPQQYTSH MFSDPQVSRTEGYHSIAAPGPLVDDPRRHSYSVAPMQTDVSSSLGYTLPMNTFHNPVG QDGGPPGPC FPSE_05900 MGGKTSKPLYQGTPGGSQETNQKETKGDTGQELAKEFASKLSLG PSKKEGRRHAPAQQGHKCEARTGAKERAKAGPSEKSLPGSQEVNGEADPTSYGSSADT PFHTDEVNAAVAVLTLITDICKQAPNPSIEEQRQLLAIFEQMPYLLTLEARRRYGEEV VLAVQFLTTVYDHPESVNWGAIESFDDLQLTLESLKRFGSRTEARPREGPYGEFIV FPSE_05899 MSDLDKAIAQLRACRPIPEAQVRELCHKARELLIEEGNVVTVTA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECIRKYGSANVWRYCCDVFDYLALGAIVLGASNTM SPGVEPVDDETEIEVCDQNGSIMSRFPRRRPDGSSQDQIQSPGGTAMDKTGPPGSGAS GSSGGSVGNPAGAVLCVHGGLSPLVDTVDKIRLIDRKQEVPHEGAMCDLLWSDPDEID GWGLSPRGAGFLFGADIVKVFNHRNDLSLIARAHQLVMEGFKEMFDASIVTVWSAPNY CYRCGNVAAVLELSEDESGTGLFARSNGDVGRSDGGAGAMMDGSMPPRSGPARRYRVF QAAPQDSRGMPAKKPVADYFL FPSE_05898 MPPLLHPKSRMTSSLFATTVAACFIVVTIPHMLPCPVPRARFAD GDIMVDEHGRRLRWKRKDTNPKVEDGIVQFNDMPTEEAENAQDRAKRECPLPKPGGVL GEWLGFHKNDNEAGR FPSE_05897 MTSSPSPPRTGTGTNCDSSHTYDSGHTLSSSVASRSARLRPPRS LPPWINSYDGERGSPSDDQLRLLQPPTRAVPPQHNSLPSDPQRRISKDGFIDPQDPLL GQGQETRGKIPHFMRYGRASMRGRKWDHLRSSEPVIVPGHKPSVTSQPSVAWQDFVQS SSWGRMTNEDSKVMDIEALRNLQPNFDTPSRHPYNAEEARISRKRKTLAPYKRIWYMA IRHSLAPLVFRLSVMVTSIIALGVAARIHLLEDVDSSDSAEETQSIVAVAVDCVAIPY IGYMIWDEYTGKPLGLRSVVSKISLILLDLFFIIFKSASTALAFESLVYHNLSDPAVR NLSKALAAFMLLGLIAWSMNFAVNIFRTVERLGGGEDDGGHG FPSE_05896 MAAKMGAPSQMPPIPNREDIGATWTYLQAGIARVMNDLEQGIDM QMYMGVYTLRRPQLLHISEGSHLLGEELYNKLIDYLKHHLEDLVNQSKTHTDEALLAF YIKEWGRYTVAAKYIHHLFRYLNRHWVKREIDEGKKNIYDVYTLHLVQWRKELFEKVH EKVMDAVLKLVEKQRNGETIEHNQIKQVVASFVSLGLDEADPNKSTLDVYRYNFERPF LGATKEFYVAESKQFVAENSVVEYMKKAETRLAEEEERVRMYLHGDIALPLKKTCNQA LIADHSALLREEFQVLLDNDREDDMARMYNLLSRIPDGLDPLRARFETHVRKAGLAAV QKIQSAEGDKLEPKVYVDALLDIHTQYQGLVERAFKNEPEFTRSLDNACREFVNRNEV CKSGSSKSPELLAKYADVLLRKSSTSIEESELEHTLNQIMTVFKYIEDKDVFQKFYSR MLARRLVNSNSSSDDAETSMISKLKEACGFEYTNKLQRMFQDMQISGDLNKEFRVHLE GVESTKVVDSTFSILGTGFWPLTAPSTDFNPPPEIAAEIERFTRFYKHKHDGRKLTWM WNLCKGEIKASYCKASKTPYTFQVSIYQMAILLLFNEKDSYSYDDILSATQLSKEVSD QAIAVLLKAKVLIMSGAAGEKPGSGKTFKLNYDFKSKKIRINLNIGGVKEAKQEEVET NKTIEEDRKLVLQSAIVRIMKARKKMKHTQLVSETINQIRSRFVPKVGDIKKCIEILL DKEYLERLEDDELGYLA FPSE_05895 MSSDESPPVESPIGLGAPPPEPNDDLKSILSPILPSSPAPFNRA DFIPLVTVVGFHHARGPEVENWFGAQEGTDPAADYGWSLLPFMALSDGAHASEEDFSY FTLLRPETETKPATSLFGISCTRQLDSTQLINRPADVTRSTVQKAVVVIADSPQFFGM LRERLSIVTQAWFAQREFTDVEILRRFQESLADEKMKGVLNDQVDRDQYLGMSLRELI HEYKWQTLVLLKCCLLQPKMLFFGSRCDKLCMMQFSLLSLIPGLIRNLQDSADPELNS YETSLSKPTSLRTSDRNSLLCYMGLPLQIFGKGSLFGPYTPLQQLDILADFGTKSYIV GSTNSLLLQQKDRYSDILINLDEESVVINSQQLRTALTLTAADRRWIDYLTHEINETW DDANPNRPKTLKYVGSEEFIRLQFEEYILALISSVKYHNYLTSNPNNPKAILPEVEGD PVADFGYEWVEAWKRTENYRMWNSHTDSHLFDIVDPRHPCAGGLNIEDVQRRIAEQVK ELHLDERFAQGREVLGRNLAAGREKASFVLNKLYADMEALREAQRRRAEEARAASPPD ASGQSTGSDASRAGQTGQSAGARAGAYIGSWAAWAGEKRRTSGWGGGWGRKANTKPDK SADDSTSSSPIDRDYQMISAPMSRGGSSDEPGPRTQRGASFSESILSGVSEPNSRPTS GTNKPLPGPPVPKKEDDGFQTEGVVGSKDKLAGASTHPDGNGVAAKD FPSE_05894 MGACMSSSNEEVDQKKKSQAIDKILEEDSKRLRKECKILLLGSG ESGKSTIVKQMKIIHLKGYSEDELFNYRPTVFKNLVECAKAVIMAMQQFDIEPQNEEN KAHAEFLLEYQAESGPQAHIDAKVGAAVQALWNDPAKDLLMEHQTEFYLMDSAEYFFQ EAMRIVATDYLPNEMDVLRARTKTTGIYETRFQMGQLSIHMFDVGGQRSERKKWIHCF ENVTSIIFCVALSEYDQVLLEESSQNRMMESLLLFDSVVNSRWFMRTSIILFLNKVDI FKQKLSRSPLGNYFPDYSGGNDVNKAAKYLLWRFNQVNRAHLNLYPHLTQATDTSNIR LVFAAVKETILNNALKDSGIL FPSE_05893 MATEQQRLPTRERRPSTSAPIVDIQGAVGPTGISRPKHTRTATG FGPSEIKNFEASIPEPQREAWKRNQSRGFTGKDGFEQEVVRHVETTLARSVLNCDENA AYAATSLAFRDRLILDWNRTQQRQTYRDSKRVYYFSLEFLMGRALDNAMLNVGQKDIA KAGLSELGFRIEDIITQENDAALGNGGLGRLAACFLDSLASLNYPAWGYGLRYRYGIF KQEIVDGYQVEVPDYWLDFNPWEFPRHDVVVDIQFFGHVRKTTDSNGKSVAIWEGGEI VQAVAYDVPIPGYDTPTTNNLRLWSSKASGGEFDFQKFNNGDYESSVADQQRAETISA VLYPNDNLDRGKELRLKQQYFWVAASLYDIVRRFKKSSRPWREFPDQVAIQLNDTHPT LAIVELQRILIDIEHLEWDLAWDIVVKTFSYTNHTVLPEALEKWPVGLIQHLLPRHLQ IIYDINLFFLQKVEKAFPNDRDILGRVSIIEESQTKMVRMAFLAIVGSHKVNGVAELH SDLIKTTIFKDFVEIYGPDKFINVTNGITPRRWLHQANPRLSELIASKVGGNGFLKDL TNLNQLEKYAEDKEFRKEWSEIKYANKVRLAKLIKSLVGVTVNPSALFDVQVKRIHEY KRQQLNIFGVIHRYLYLKSLAPEERKKVVPRVSIFGGKAAPGYWMAKQIIHLVNAVGS VVNNDEDIGDLLKVIFLPDYNVSKAEIITPASDLSEHISTAGTEASGTSNMKFVLNGG LIIGTCDGANIEITREIGENNIFLFGNLAEDVEDLRHNHQYGSHEIDPDLQKVFAEIE KGTFGSVHDFSALVAAVRDHGDYYLVSDDFHSYNETHKLVDEAYQNQEEWIKKTITSV SRMGFFSSDRCIDEYAESIWNTEPLVIHD FPSE_05892 MAEFVRAQIFGTTFEITSRYSDLQPVGMGAFGLVCSARDQLTNQ NVAVKKIMKPFSTPVLAKRTYRELKLLKHLKHENVISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQIMRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVINTIASENTLRFVKSLPKRERQPLRNKFKNADDSAIDLL ERMLVFDPKKRITATEALAHDYLSPYHDPTDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNVEAGVTNMEEQFNGQ FPSE_05891 MSGFLMSVRPLLSAGGRETTLEPPRVGRRPGPLPTGNSGSEPTK HLTFSTSLGPPAAVGWQFRPDVRARLIHLPTRLQPFNDHDFKMIRLRFFHVYVAIMDL IIHRFGGHEFSPYDENQSLSLPQSSYFTGLDRIRRQASNPRCKAAKIRWPYEIISQVA RLTALVFVTLAYTKDNVHWINIFSVAYTFILGLLRLFNHLQWRHVALHQINFLLPTTM VILVSGHTLPCIQVGTVCLHNTSTLGGIFAIAAASAVAAITPREWTPFQIELNIPGYD QNEPAPEETCSWFTYYCTYDWLTPVIWKGAKRKLGMADLPRIAWYDEPMYLLRRVQEA RSISKKTLFTVFRFQRRELILMSFWVATSYTVENIAAYAMFKLLEYLANPDGAIYKPW LWLLLMFIGPLSRSIAFQQYIFTSTRLVVRIKSAFTQELYYTALASMEMEEDPFELQS TGTKPRDTNGQTSTKSTSAGRLANLMASDIDAIYKSRDIIQATVGTPVGTLISIVGMY RMMGWASLIGNAILFLATPLSIWLGRLMFYSQRRVRKAQDSRMSLVTEYLASLRAIKY FAWEDPITEKIIGARSAEQKELWRTSLIQASLNQVMQIVPYLSLLVMFGLHVGLSKRR LDAATAFTTIFLVKNVRRNIMQASAFGRNFASALVSVARLDRYFSSTVPIPQYPVGPL SVEGASFRKTKAATFILNDITLHFAQGGLNVIGGSSGSGKTTLLLSILGETYLESGSV TRPDDVAYASQSAWLQNDSIQANILFGSPMEKSRYDSVIEACCLWEDFKELPARDTTI VGENGTSLSGGQRARVALARALYSKSPLLLLDDIFAALDAKTAAGVWKHCFCSDLLKG RTTVLVTNLPWIAEQADLSVVLDKGQIESVLPQIGVVRKPIAIARVLGGDADEDEAHD DTVQEIQTYGDDLNDTNKILEEKYHRDIVSQEMKASGKVGRMTFLQYMNYFGHPVFVI ACLGLLLATNIFTFLSALWLSVWVEAYNHQAHVDVPYYMGIFAGLTLLEIGSYCLAII MFEWGAWNAARRLHNDFIRAIMRVSLSWFKHIPIGRITNRFSSDMASLDGTISTMLRI MLDTILLMLFRIGAVSSIMPVFMIPALFTCLFGVLIGEAYTRTAVVIKRLTSSAQSPV FSQFADTLAGLPVIRARNGMSSTFREELAAKLRTWSASSEASFNANRWVAVRVDFVTA LVSLSAGIIAVSQTGVVAAGLVGFSLTNANGLSQTILLLVRAMNDLEVEMQSFHRVKE YVKLEPEEKDDKTYPEEGEYTDDMSHVVPKSWPTTGEIEFRNVTIRYDPDGPDVLTDV NLKFKAGERVAVVGRTGSGKSTLVLSLLRFTHVVSGEILYDGVDITKVPRHRLRESLT IIPQEAVLFNGTVESNLDPAGRVPKEVLDRALENCKGIASFSPQASDDSDNDASSSDG EHNIVNLATSVDPRGENFSHGQRQVLSLCRALIRQNKLMLLDEATASMDYETDRGIQT VLRQELEAAGGDRTLVTIAHRLRTIIDYDTVVVMSAGRVLEYGSPRDLYNRRGQFYDM IYHSGEMDGLEALLQAESCT FPSE_05890 MANWQQYNPFGKRDSHSNNSILTYKILTLITWILSLVVTVYYTL HRPDDGHTRNRKIWEQNHMYRTAFTLNPIITSIYWIVLFILQAGYIGHLFSSNSDIVH AAASVGSHFIFNNLFHFAFVMLFVRSHFHWAEVILILNFINLSSLYFRHNTYPRFIHT PVVSGPLAWTFVAIYWNGALMIPHPHHLVPRIFGNIFIWSILAYGLFFIMVYKDYTMG FSLSVFAAAIGVSQFLHQVIAFQWIFAFIIMALLFIATVVVAVPAATGREVNWRSAPA DQERAPLLAE FPSE_05889 MQSQSALYHRTTMKMDDICNSWVEPSEYPRIPNVYEPVEDGQLS DFYENREKNEVVERDMSTQDSHKSSWAMHSIHASGEQAHKELADDPTNYSVVVQLGSS YRSDNLTPGDGLRGSTKDWRSSTHRPDSAEKRRARREASLEEWKDAGDRLFCQSGRYR P FPSE_05888 MEFRERSYSRGADDKLKPTTRINDETWEKFKPLLCGLYKKYTLN IVMDTMEKKYGIVQSKRQYGYRFEKWGVKKYNASDKKTTLISTGAFNESINFGDIDFD QDSHTFDQHTMLDLRDGDTLIATAGSDDMGFDKPPDCPEPLIKYPWSTGSAEEANKLA ADFCAAMLDDSNASNLYSYLHQSVANSDQSYPETREFLAVSCARVAGKPDNASNAKEL LAREWPHALTTGNSDSPFLLSMLKAYVGSHKEDTDRSNFVHQVTQNVEQLLTADRSLP DMTHKYSSIDLVTFFFLNYAFDIYDDCFDQANPPDFMTEHLLHEFIKKQPFIKTLRLN RPSPLRRCVEWCEEQLRLNHPVALQDSPVKPSANMSSWWHNIRVFCTLWGVMVQLVRA NCAPDWYIQCESAFGITPSELLVTLSWMICETTAADGVVKEGELLESVADGASKLLDF DEPKLWITFLYKFAWMNELVNPGEDEKSFETLVQNQLRQHVSEVLRIQLPHPAGNQLD SVAEMDFYSLPHQEFADFGGVDSRMNNFYN FPSE_05887 MEVTSPAVLSPGASANGVTSPPTFPTVEPERVVEHLASVCEVAL GATRDELEQVGSLLHKARYSETVSRCTRFANDTQNVLYIQKDFASSSAVEPGADPSAP AAYNYTLSTEISSSPTTVASLVLIKSPQPIDPIRPLTSQIFITNLPGPASLNAGVGEQ GSVLSPWEVLHSQVHHALVPYFDANTKSQQLANGSRGRADVDAKTGIPVTKKRLNDLE LSLLHLQQNVDIPEISLTFHSIVQTVLDDAEANNNRPNIDGIPQNLLQDSTFLNRLQA NVNTWIKSIQGITKLTKDPSSNANQEFSTASQEVNFWLSMESALEGIEGQLRSEGVLL TLEILKHAKRFQATVSFTADTGLKEAMDKVQKYNQLMRDFPLDELLSATSLSKVEEAI QQIFGHLNKKLRICPYPIRRALPLVEAISADLDDVLHRLLSGTELVNLDYQQFKNIMQ TCDHIFQTWEEHIKEFTNVAREVTRRRNEKFIPIKIVKKHSELEARIKYVSTFRDNHE QLQRTIVTVLGPQATIPGVAETTGSDGIIVEEMGDVDAVEEVKQAWEALQNVDMLDVT DQGKERWVRAENLYNERTTRVENSIISRLRDRLATAKTANEMFRVFSKFNALFVRPKI RGAIQEYQNQLMDHVKQAINGLHERFKQQYGHSETHAMAQLRDLPPVSGAIIWARQIE FQLDGYMRKVEAVLGPDWTLHAEGHKLQEESELFKQKLDTSRIYDAWLNDVGRRKISI SGQLFEIARVRSAGGILELNVNFDPQVITLFKETRNLTWQSYSVPHAVTTVSKDAKRV YPYAVSLMESVRTLSQTLRQIAVMGEESVLLNGYRNEVYRLVGEGVPLRWESFINSHE LFYSENRQTRPLLPTGTDFGLAKNTESKHGMFIRGFAAAVSVLQQKAVSLNFIHATVE QALKELSTCPYEEAAFHSRLDTIQSAVDQLNLEQYVNLDFWSAVHSWITAFEDETTEE ESRRRTNNDESKPESPTMKRLVLEVVMRNQVIYLDPPLEFARASWFLHLHEWLGIVCN LRKIKASRYQMTLTTIANDELRFTDLPTECAGLLQRVYVSMEKKLQEISAYVDKWLQF QSLWDLQSEQVYDALGEPLPRWLQLLQDLRKNRSTFDTQEVSREFGHLTIDYDQVQTK VNAKYDQWQHEILMKFASRLGNRMRDINAEIEKARKHLENQSSDASSTAQAVQFITVV QSCKRNVKTWAPEIDMFRQGQSMLVRQRYQFPNDWLHIEQIDSQWEALKEILDKKSRI VQEQSDALRAKITAEDKLVNDRIAEIAAQWNEEKPVSGTIQPDVASATLSSFEARISK LQDDAQMVVKAKEALDIPASLDTSLESTLEEVRDFQSVWSNLSTIWASLNETRDILWT AVQPRKIRSKVDDLIKSTKDMPSRMRQYAAFEHVQGILRGFLKVNSILSDLKSDAIRE RHWVKIYKQIKPQKRFSPSSMTLGDVWDLNLVATEIIVKDIIAQAQGEMALEEFLKQV RETWQNYALEMVGYQNKIRLIRGWDDLFAKCSENLNSLQAMKHSPYYKEFEEEAVSWE DKLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPIESGRFQNINSEFLAVMKKA NKTPYVLEVLNIPNVQKSLERLAEMLNKIQKALGEYLEKERVSFPRFYFVGDEDLLEM IGNSNDTLRIAKHFKKMFAGLSGLIMDDETVISGFTSKEGEAVRLKKEISLAKTPKIN DWLALLEGGMKSTLAELLAEAVDQYTPIFESETIDRDALNAFMDAYPSQIVVLATQAA WTTAVNRSLTDGGSTLKLLFEREVEVLRVLADTVLGELEVILRKKCEQLITECVHQRD TIEKLINANANSTGHYLWQLQMRYIYNPEGEFLDRLYIKMANAKLNYGFEYLGVPERL VRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGVQLGRFTLVFCCDDTFD FQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQLGLKQGAEDDQSQIEL VGRQLHVNENTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIAEVMLYSQGF NQAKQLSKHTVPFFDQCSGRLSKQAHYDFGLRALKSVLVSSGGLKRARLGDGTLGAEE VVEPEIIVQSIRETIAPKLIKSDVDIMMTIETDCFPGVQYVPANLVALEDAIRTLAAE RHLVVNELWMTKVLQLYQIQKIHHGVMMVGNSGSGKSAAWRLLLDALQKVEGVEGVSH VIDSKVMSKEALYGNLDSTTREWTDGLFTSILRKIVDNLRGEDSKRHWIVFDGDVDPE WVENLNSVLDDNKLLTLPNGERLNLPSNVRIMFEVETLKYATLATVSRCGMVWFSEDT VSPNMLVENYLSTLSSVPFEDLDEDNVTTAQNPAKTLAVQGEFAALLRIYLFEEDFIL RALKQAEAYNHIMEFTVARVLTTLFSLLNKAVRDAIDYNVQHSDFPLESEQIESFISK KLLLALVWALTGDCPLNDRKAFGDELCGLANFGSPPIDGTSSLIDFDVTLPKCEWAPW QNQVPAVEVNTHSVTQTDVVIPTLDTVRHEDVLYSWLAEHKPLLLCGPPGSGKTMTLF SALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVMLSPTQIGRWLVVFCD EINLPAPDKYGTQRAISFLRQMVEHNGFWRTSDKSWVTLDRIQFVGACNPPTDAGRTP MGARFLRHAPLIMVDYPGELSLNQIYGTFNSAVLKIIPSLRGYSEALTHAMVRFYLES QQRFTPKIQPHYVYSPRELTRWVRGVYEAIRPLESLSIEGLIRIWAHEALRLFQDRLV AEEERQWTDEAVHRIALEYFPNIDTEKALGGPILFSNWLSKNYVPVDREQLRDFVKAR LKTFCEEEVDVPLILFNDVLEHVLRIDRVFRQPQGHLILIGVSGSGKTTLSRFVAWMN GLKVFQIKVHGKYSAEDFDDDLRDVLRRCGCKGEKICFIMDESNVLDSGFLERMNTLL ANAEVPGLFEGDEYAALMTACKEGAQRQNLHLDSPEELYKWFTQQIVKNLHVVFTMNP PEDGLSSKAATSPALFNRCVLNWFGDWSDQALFQVGHELTHSIDLDRSNFECPDTVPV AYRGLQLPPSHRETVVNSMVYIHYSLQRYNEKLFKQQGKVTFLTPRHFLDFVAQYVKL YNEKREDLEEQQRHLNVGLEKLRDTVDKVRDLRVSLAEKKKQLEQKDAEANEKLQRMV ADQREAEQRKSTALEIQAALDKQEIEVASRKKVVLEDLAKAEPAVEEAKASVSNIKRQ HLTEVRSMGNPPQGVKLAMDAVCTLLGHKINDWKAVQGIVRKDDFIASILMFDNARQM NKALRNRMRSDFLSNPEFTFEKVNRASKACGPLVQWVTAQVNYFDILDRVGPLKHEVE QLEEQALQTKAEAQATQDEIVGLESSINQYKTEYAALISETQSIKAEMSKVQFKVDRS VRLLDSLSSERVRWEEGSKSFEIQISTLVGDVLVAAAFLAYSGLYDQTFRKSMMDDWF HQLHLSGIHYKSTNPVTEYLSTADERLSWQENTLPVDDLCTENAIILKRFNRYPLIID PSGRVTEFLQRECKDRRLTVTSFLDDTFTKQLESSLRFGNPILIQDAEHLDPILNHVL NKEYQKTGGRVLIQLGKQEIDFSPAFKIYLSTRDPSATFAPDICSRTTFVNFTVTQSS LQTQSLNDVLKSERPDVDERRSNLIKLQGEFKVHLRQLEKRLLQALNESRGNILDDDN VIETLETLKTEAAEISGKMSNTEGVMAEVEEITQQYSIIARSCSAVFAVLEQLHYLNH FYQFSLQYFLDIFHSVLHGNKNLAGQTDHNIRRDVIVKDLFVNTFKRTALGLLQRDRV TLGMLLAQASPYKMDKSLIDVVLDNRIEGKDLSSNPDERDDAFAQAKKIAVIKEKVDS ISTEDWDKFFAEELAENFVPLVWDDNTDANDQALLSLLLVKLFRMDRFVPVAERFVNQ VFGSGIFDIVEDLKETVTQVSATLPISLVSSPGFDASYKVDNLVERMRVKCTNIAMGS NEGLASADKAISHAAQTGSWVLVKNVHLAPTWLQSLEKRMESLNPHSDFRLFLSMESS LKIPVNLLRASRVLMYEQPAGVKANMKDSMSSLSTRATKSPVERARLYLLLSFLHAVV QERLRYAPNLGWKGFWEFNDSDYECSAFIVDTWVDSAAGNRTNIAPQNIPWEMLRYMV TETYGGKIDDEGDFKLLSQLVTSFLTPSAYEVDHKLVDGPDGGLSVPSGTSFQDFNAW IHRLPEREPPTYLGLPANAEKLLLSGLGRSLIGNLRKVTELLDEGEQLVTEV FPSE_05886 MSSLRPGSNSHTGLNFPQASIRRNPYPPPHPGQQNKQSPAPHSA SPYQTQAYQPQQPPTGYHQHLFSNPTHVNGSLSNGSSRSSTSHMSNAVPSPLDVSNPR GLPQSRSQMMFPTLGQVVPNQLHHPQQQQQMHPQHLSHASHPLNQPRQTLHQHHAQQS PQQRPQSQQAQPTPKLGQVQVKPQAPSPPLPQQSSQQQAQSHQQLQQQLQQQQQQHHL QQQQQLQQQQQQQQLQQQQQQQQLQQQQQQHHQQQVHPQQQQHQQQQAQHQQQQAQLH PQQQQQQQQQLRTPQQEQQQLQHTQQHEQPRAQPHQQPAPRQQKQQKQRDQQREQQRD QQAQQQQLQQQQQLQQQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQ QAQQQAQQQAQQQPKQQPAPAEPVQQQAQPQPQQQKPDAAGEESMDIDSHANGEETGG LDMKLLADPNYIPTQPLGEMMSPPPEGGSYPTLEAVQKAVLRYCTSVGYAIVIGRSKK TVPGLKKVLFVCDRAGKPPSRVSPECRKRKTSSRKCDCPFGFFAIEQRTQWTIRYRPD PSHLQHNHGPSESPSHHPAARKLDSKMVAAVKQLKENGAGVSETLQILQTENPDCHLL PRDIYNARAAINRNPQKVATGLAENRPAIYSKPHQSPEDRIRAELRREIAKAREDMQK MEEEKDKEISELKEKLVEKDGIIKKFEEFIDICNERVMFQRQRLAGSNSNGASQPQA FPSE_05885 MDSKTRLSVDSINPGSGSSALRRLARSPSHRISLRRFSIDSSSS SASSVVSYDSEASSSCVTRDTSSDDCALHRFIVWAAVKRNACDKLTPQEKLECPMLRC RKRFPNHELMLQHLYSCSWLSSSEYWCYDCGKSERFNDSKCKRCLGHPSRRRKILSMA KSFFNSLGHKSKHPTLSDFDLDLDLGDPEAPPSYDLAVAPNELELPSNEIHEIGSSGL ALHPIIEDEHEHEAEPDLAPMPTPFISSLPAPNRALPNHPAELESDAASGEPFDFIFP PGTVHPVSLTDTGCNTALARPTLQLHTSGLEDYRREQKRRSKGQVAPSTSVRSTASTN STSSTNTTDTTFSKESYNISPISAYSDQWASAPVFDSDFRSSENDFGNPGGLLRANSF AISRKAPAAKDWESLDKKANSPYDSHLRECPTDFPMLGALPSGNTFHDPLALVQPVFT LNDSSLPTDFDLESNLTLTNNHAVMSPSMASILPQPTASSYHNPRSLIDTIWRTLKLH VADSMSKLDHITKNPLVTQLKSLSTETIAANGLQILVDIIEGRQPTVPVDILCFVHLG YCFSLIAHEQDATNRSAELFGQAMSYSALFSREDIRLYIQVVKALWKPAAMTDADVVN IVRAKTSVSRSSSLKGKEHEYSNIHESDADSLAFAAKYFLDQLELATLNVVDDAVIHT SQLYMEHFTMGMHLDSHQVAAASLIVKENFQFYTHYGHFATALNNLIQRVNSDFISAR RLELHLIEIGKMYLPPHVYFDGYIRHVRKQMSTLYFKNATGRNLRSAYYCHGIELIKT IMISPLQLMNAQSIQDNGLPDSVMEYAFDDEDLEFFNNSTAPETFDFSKTLPFDARQP FDYIGLPSSTLNPQPLPTPADTSSVQATPTAANSPPTPATAAPSASTAKIKSDIGCTL CNYTPKGDPRWFSCSLSKHMRLQHSTKPPIIYRCQYPGCTSQYKNRPDNLRQHQIEKG HFTDGQDVGKRSNKRRKVE FPSE_05884 MTTTLDNSSNSGLNKLLPKSISSKRLRRKQDREASGLSQDDVSV FGHSQSSRETLESDGTRSGHIGGDEDEGTSQSHRSYESDGNDSSNSRHPPPFSTHPSQ IGHLTTNSAIIQTNYHAESQPSASDHDSNSSTSKSSTFPPTAFDSIDLKDPRVGRSKT GLLPPQSSTRRSPSPGGRLKDIFKPKKNSGNSSSPERRPVSEPQQLLETRDEQQPTLS VDPEFTQKFPVLEPPAVAKVASPERRARVQPKVDTNIPPRTPPSGDKPAPVIVNTPPT PTEFPNRSGQSSPERKSFWGSPTSSPGTNGRPSSQSMSANRRSRSNSQNIGPSKLSHI VSAPLTPTPESGEATPNANPTPSGGFFSSMISAAQNAANTLSNTSLNIGGNKGRSVSQ NIQEQLEPDNAKDDAQPTPNAAEMADKKENRKSAVSTIGAGELSLSQLGLAEAPSLVA SPVNARFPDASDTRARSESAPVDSPISPVDFAPDESLSRPRSLYEPVSRERTPPPPDS TGKENGVQRSSSIRSALRQNHRKRGSSVTTGTTIGAAIAAANNSLAHPNLSAPKLTGF AIASKKRNRDFHDLFKSVPDDDYLIEDYSCALQREILAHGRLYVSEGHLCFSSNIFGW TTTLVMSFDEIVSVEKRSTALLFKNGLMISTLHAKHIFASFTSRDATYDLIVNIWKLG HPTLKSTLNGVRLEGTGGDKTEKVDAEPAAEDEAQEGSETDDSDDEEEYYDEDVHEEM HNASVAAEANGADADVEKTASRKASGVALPNGPVSEEAPAGSGGAADFPGPTAHAPTE CGDSASHYDRIVGDDIIPAPLGKVYNLMFGPASVTFMSNWLSGEQKCLDLQMEDKKGL TLDNRTRTFSYIKPLYGSIGPKQTKTITSETIETLDLEKSVNLTCSTQTPDVPSGNVF AVKTRYCLSWAENNSTRVQMNCTIEWSGKSWLKGPIEKGATDGQTTYGKDLFAAIKAA VSSKPQGTTPQGPGSKGGKKKGKKAKQSQSNTGVVETNVAPKRPVQANWGVLEPVRGI LEPIGDIIQPLLTGNVMYGLLVGLLVATWFGFGFSPSRNASYGHELGGVYRPDRIAAY EEMWRREDSELWDWLEERVGMDRLHNDNAGVRKRAMEPKTVEENLRATRMGEREVKEA IRVTEEKLRVLKGVMEKKNKPNKSITDEAGSQEL FPSE_05883 MDSSPLVKAHDHVRAAGVAHQSSDSTVAITEHTQAAGEFANAAR STSSIEALRTLKLLEEHHRKIADILKRPTAPAPQVDDTDVSEKDSSDKTSIASQDAHD KKENKNDSPASKKIAPPTQRRYAHREMSSSIASNLANARGIPSKYRGQPLAPSVSNDQ APGNLDAASHSRGTKAKMQNIIDHRSGKPTWVPPAPVTTRSDSHGKGSSSPRSDAASS VLASDDGGYTRFYNAFGSIMNKISAPLAFAGLPLIQEESSISEPQDSPEMSPKRSHLK VSPSKVPEPDISKIYSQATLKTLAREGHGPTDSFYVVPSTGHTMSYANILSFAEKEKR RLGASSHSDLLDVPDEDDDDFVDAREAPSLSPGAKRRIGRASTDRELNNTIEELYTEN KSLKDMLDKLTKRLHAFEASAQTSAMALAESYRLMRPGSPSASPHPSKVADENLRRKN QEIEEQLAAAVKQIERLEKDNRKMQKVLDKYREKWETLKAGAKARREAQGVGESVDDA STTG FPSE_05882 MASTEYAPILTRYLQKAHELFEHSNREHEECFNNSGIHSEAQKQ MRELAPGDGETQKFDENDTSPTASAMMQLLARQAHAIVTLHENLSHNDNKCKVDEELL KKRISDLISISSSKFYAYRYDLLPLVWRQIYTDACVLESFQILLRSLYAGKNPSEQAL DATVEKLDGALITAGGGGQPEWLEKTLLLLEEAWIAGEQKERPSKRQRHDESSQREFS SREPYGRPMLSPKRECPRYSGWTMPQFEDYMNSNEGEPRPIVFTDLIPDWPALADRPW RSPEYLLSKTFGGRRLVPVELGRSYVDDGWGQDLIPFHEFLSRYVENESPIYDKTGYL AQHDLFQQIPTLRNDICIPDFCWVDVPPHPTTPSLNQPPVDVPQLNAWFGPARTITPL HTDGYHNLLCQVVGTKYIRLYPPRATPAMRPRAPEHGVDMSNTSELDVGVLEGWDDRP EDMDEEDLGSMRRELEGTEYWECILEPGDTLVIPIGWWHYVRSLSVSFSVSFWWNS FPSE_05881 MANQETHYGFDEGGDDDQSIVSTRGLEAFSRKVTTTATHLIGPN AEATAHHYQAAMAEVHKQMKRPTVQRSMFAMARTTPTDLMRSRLSTHEIQHRALTFLP DDLLANIPEHENPYSLFQGFQASFPELTDEGKKFQRRVSRGRKMLEDSEGTPGSPKKL TQLKKEKAAMMHEFGLLGTRKSMASYEIREIDNKIANLHGMRRIILDRLAGLEQDEAM LEHDISEMEIRVDEAQVLVDEAEELERNTRTQDEQDLVGDADDHDPEFMSQSVYEKIP ASEASSTPRKTKKVHRKKSMPILHEHFEPGTAIRELRAHKDTITAIDFDAPFGTMVTA ALDDTVRVWDLNAGRCMGYMEGHTASVRALQVEDNILATGSVDATIRLWDLSKAHYDP HGGLGKDDDEDAIAFGTDNHLEPPPGSMADCPLYTLESHVDEITALHFRGDVMVSGSA DKTIRHWDLEKGRCVQTLDVMWAAAASMTTTDSTWRPTGRSQSSSADFVGALQVFETA LACGTADGMVRLWDLRSGQVHRSLVGHTGAVTCLQFDDVHLVTGSVDRSIRIWDLRTG SIYDAYAYDNPVTDMMFDARRIVSAAGEDVVKVYDKVEGRQWECGAGITAAEEGKTPA IVERVRVRDGYLVEGRRDGIVGVWTS FPSE_05880 MADAAHALDLARIRFQLIRSRLEDTITFHLIERVQFALNSTIYA PGAVELPESNLSFLDWYFREQEKLQSLIRRFESPDEYPFFPDALQKPILKPLNYPRIL YENDVNVNDKIKAFYTEKFLPAVCPDFGREERGESQENYGSTATCDIACLQALSRRIH FGKFVAESKFRSEQEKYIRLIKAEDREGS FPSE_05879 MGKKRAREEVKDVPPADVNMMDEDGSDDEDFDMVNVDFEWFNFD PEVDFHGTKTLLRQLFDVDANLFNMSALADIVLSQPTIGSTIKVDGKANDAYALLTVL NTAVHQDKEPMKDIIKYLVEKAQTNSSLAPIADVLGSGKHVGLVFSERLINMPSELAP PLYSMLVDEVEAAVEDKEPYNFSHYLILSKTYQELESKLDVENQKRKKAKEEAGMYYF HMEDEVLHKHAVAHGNFNYTKEDELAADSKRAFQEMGVKAHGHMILIEASKFPGAVKS VNEYLSAAQ FPSE_05878 MDYSSTIHGVEDPAGDSPWGNSPVSSPSRNNVAAFNPVSTENPP PSPFRFNTQSSNGTSQEQSTAQSEEFPRPGTATTASGTEDDSEVSGTLNPSEAQSQST TAEIPTEDEGRAAAQGHQQSQAGQPASQHVQEQPPQKPAGPQFRLQAKITGLERTGKK DPILRFDVHTNISRFRTTQFRDVRRLHSEFVKLAEHLISANPEVFVPAVPPPLTSAGA GTDEDEIRVKALMQRWLNYVCGNEVLMRDDEMVLFVESDFGYSPMVKKKQPATGVRRK ILKQFAPPPDDTPELADARPTVKLFYLGSMDAGHKVDKLVKARRGLGLSEADYGSKLT GMHVQEPHPGLANAYRKLGKVVQTVGDYHAAQATAEATTIGDPFQYHSQDAFIVKESL TNRQILIREFLQAQEATRSKLNAADRLKASSSVRREKVDEAITALDDARQHETWLYNK TNRVTQNLVQERRKWFSRTSADLRLSIREYVLREIEAERRTLALLETVRPDIRSIDAS GGLSRLGREAHPTVRRSSLAASQGPKGDSWSGVPRRSDATGRSVSGSLISKVSEEAES EENAEAAQGLQAAGRTGLQGVNEEEDEDRVDARNAASRLAASTF FPSE_05877 MTPVATGEIAPVHLPVGSSKPLSISASVLHGPRDLRMETRIIEA PAAGELQIAVKATGICGSDVSYYKKFANGDLCACHPLSLGHESSGEVVAIGPQVNGFK LGDRVALEVGVACGNCGTCRKGRYNLCKKLRFRSSAKTYPHYQGTLQERINHPAVWCH KLPDNVSYEAAALLEPLSVAIHAVNRARPEPGSTAIVIGAGTVGLLTAAMARQSGCTS VTITDIDAGRVNYAVSQGFATHGFVTPISRLNSSNYSSGISTPATGALTPASTFSTAS RFDGAKSLAADILASSNSPGSFILEEDEDGVDITFECTGKEVCMHTSLYATKAGGKVI MVGMGTPIQTLPLSVAHLREIDILGVFRYSNTYPTGIRLLCSQAANPSGCGLPSLDGM VTHRFKGLDKAQAAFELATRTSDDEGNLVLKIVIEA FPSE_05876 MTTTTTPANPLLSNQKGSELQAVLHPLVLLTISDYITRHTLRQQ EGPIVGVLLGQQNGREITIEHAFEAHTRQEPSAQGGYFLDGDRFSTRLEQMINVHKDR QLDLVGWYTLLPSSGPTPTILPIHNQILQGWNESAVLLGFHPEQVLDHSVGGRLPLTI YESNYEVDDSKADNDGEDKKMDDGEPTLKLKFKELPYSVETDETEMISMNYVAASGGN AAVAAPKEDKPSLSIESNGKGKRRLVESHDGEYKDVVQEDEVALTPEEDEMIAALTAK ANAIKMLQSRIHLLTTYLERLPPSFVNDDAADPGSMDTDYTTPSSTVLRQIQALVSRL DLVIPSDKASFEREMLHETNDVNLVRLLNGIVQNLGQARDVGKKFHVVETAKAGHRRG AGPGPGPGPGQEFADPSYNIRGAGDILL FPSE_05875 MVRVSTSHNFTITPPTLYLPPQHTDTTRLSNTMTSRSGKRRHCD SIDRDSPPPTKKYKQATSIASDASTTSSTSATASIELDYPPLQTIKTPPFINTFLPAF RSVQYDRPAYRSISPTPPSPRSH FPSE_05874 MWLSAPLKITNIFTSIFTFTPTVMYAQRENSTSQRHDYESRNAE LVELYMAAKRTGDGDAMMQVERLVMENSMATMERNFEISVPYQEEDVMWWEMD FPSE_05873 MSSPETTDSGLPERKSINSLSSAEQQTLCIPAQDERLGSDKNAF QQQQQSSDTSKVEVATPSPAFDQEALENYKPKTLKFWLIIISVFAAMFLVALDRTIIA TAIPQITNDFQSLGDIGWYGSAYMLTTAAFQLIFGRIYRFYDLKKTFLACILTFEIGS AICGAAPNSVAFIVGRAIAGIGAAGIMTGSMMSIIPMVPLHKRPLFQSMFGMVFGVSS VVGPLIGGAFTQHATWRWCFYMNLPVGAVASIFLFFFHTLSEKKRESVPALEHITRLD PLGTFFFVPSMVCLILALQWGGSTYAWSSWRLILLFVVFGVTLIAFAVVQIKMPETAT IPVKVITQRTMLACAWVSIFAGGSMMVAVYYVPLWFQATKDVSPVDSGIYTIPLVLSL VVASIISAAFTQRIGYYVPSMIICPCIMSIGQGLLTTLKPDTGSSHWIAFQFLVGFGL GLGMQSAGLAIQATLPKEEVPTGLSITFFGQQLGGAIFVSVGQTILSGRLVERLSGIP GLDSKSLVQTGATELKKIVPSQYVSQVTEAYNYAITRIFFVGVALSLGQLVCALCVEW RSIKPKKAESSPETGNVSQEQKTEES FPSE_05872 MPYPNGIPGVPGPGPQHQQQLQDPSGLKLTRGTSCVLCQQRKVR CDKNKPCANCVKAKVECRVIPPQPPRRRKKRLQEKDLIERLKKYEDLLAEHGVKVDAI GHELRPDGPPGEDVDELENDFEGLKTTPEASSSPAPSNAEHKYAPCTSHLYTDFEPYV NIPVGPVEEPGFLITKRYLFRASEHMLQDSSDEEPEVGSTIHRAFDRMFATNGFPFII GSAPVPISNLHPSTIHIFQLWQIYIDNINPLLKITHVPTVQGQIIEASSDVENAPKNI EALMFAMYLMAITSLEEAEVYRRFNETKKELLARYHAGTQQALTEAGFMRVNDPILLQ AYILYLFAVRWFVDPRQVFCLIGLAVRIAQRMGLHRDPAQFGLPPFEVEQRRRLWWTL VGYDRRLGEMAGSTVTALSTGGDCKIPMNVNDSDLHVDGKEFPTPHTGPTEMLFSLTR VELAMAVSSDSNRDSFKMNNTDKSPAGATANKNQAIIRLAGQDGPEYTLDGYCAHIEG TYLSQCDPKIPLHFFTLTMTRQALCKMRIISFLVRMHSNSDNVPLKEIERDSLFLQAT QMIEYDNIVQSSESLRPFKWYSMHHFPFPAYMFLVQQLRHRVSGPMVERAWDAIEKNH DLRGLMNNYHNPMHMAFGGHFVKAWDAHEASLLAAGRQPRRPAFLAPLRERVAARRRA KQERRQAPNFSQPQIGIPQVATPSSSGFAPSVGQMTPGTTHNGQRANSGSVASSDEPG EMDWTFMMSGYNDTASFTPMGGFGSFSGGFGGGMPGMPPGMGGMGRGPPPPGGNTFGN FPSE_05871 MDHNDSEEDPTSDYGDSIATSEFTSVNTRELYSYEHGRRYQGFL RGRYGLPNDDAEQVREGLKHKLYLDYLMDGELFLAPIGDNPQKIVDLGTGVGMWAQDV AENFPSARVIGTDLSPIQPHWTPPNVEFRVEDLEDENRPWTSIYADADLIHVRALLQT LRKPRQLLERAFEKLKPGAWIEIHEIVPFVFSDDDTAGYDHPMNKFYRLVEGPFTELY GWNLRFPFQIVQTVADVGFINVNERHSFTPVGRWHQEAKMREMGIFTQNILEDWATAM LDRPSIMGLTEEEAHELWHSIFETFNNTRIHAQLDWIDCWAQKPL FPSE_05870 MYLPRSLISKLYIHLQQTRHPLSPPVLILVALEPDALCACRILT RLLKHDYIPHKIQPVSGYTDLEKAGRELVMPMMESQGGSGGIVVCLGVGGMVDLGPLL GLESEGDDSPYGGVEVWVMDAHRPWNLGNVFGGFPLDPATEISSSYQSRSPAGVDGGK ITRVFNPGKGGIIVFDDGDIEEDMEAERTAYLALIDMPEIDDDGDDLEDTDDEDETGN HAAEEPTHAGQKRKSWSDGEDDSDDDRPRQRRRSNSSSSIPESPRRPQQRGLLSLQQL DVGLSSDPVEPPSGAQPPAGPSARALRRRLLRVRERNEAILHQYYKVGSSFSEPLSSM MYSLASELGREDNDLLWLTIVGVTSMEIYGRSSAGVAAPVRQSDRSRPTGWLGIRGTR IRQLLRDEVRRLNPPEITNGRVAIENTGVIPTTARNPEDTGIRLSPEPRFLLIRHWSL YDSMLHSPYLFSRLKTWSETGIKRLHKLLAKMGVSLAQCKQSYTHMDMMLKRELRAKL LKYGSLYNLDEMVPSVDTDGKDRAGAKDGWGFVRSWGWRATLSAQDVGVVIGALLEVG KHAQHDDSAIAARQDGREIEEEVELAAQGQEWIDRFWEAYDALENIDALKAGLPTAQF LHRAIYRTGTSLINKKQIKHLRAFRMCVIKEGPDVSLFTHPAALTKLSLWVGEALAEQ ERETHGKLSHGGRGTPLVVASLNEKRGVYVVVGTGGGGGPNTTLLDREAAKKRKAERE AKLKKKEESRLAKQKVREDKRAARRDAGDDDDELETESEDSDSSDSDASDDEDAEHEK GFGLNKFGIAFQEVVSETNTRVRIDSFEHCVVEVKKEDLSGFLESLSMKAVEMQTELF LYWIGCSQGWQTDRKKRLGHLCEEI FPSE_05869 MGKSQSKLSQDQLVELQKSTHFDKKELQQWYKGFLKDCPSGTLT KEEFQKIYRQFFPFGDPSSFADYVFNVFDSDKSGTIDFKEFICALSVTSRGKMEDKLD WAFQLYDIDGDGKISYDEMLKIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKDE NGSLDMEEFKEGSKRDETIVSALSLYDGLV FPSE_05868 MEFINDLLPNLEPEVQDAEEETFYLYAQPIPTMNLGFIDARATS VDVSVGDRDFTIHQSPTVLSSTRSGGTTGAVIWKIAPTFATWLSSPSNPILTKINLTN ASILELGCGISPLSALALGPRVARYVLTDQSYVQRLLQRNIDENFSSAFSSGTSTPTG GRGRKKRNAHGPITPQSNIHFTTLDWETDEVTPSLVGFGDARSFDAVVACDCVYNYAL VDPFVQTCADACRLRLSDSALSDSDERRPCICVIGQQLRSDEVFESWLKAFSASFHVW RVSDNALPEELRSTAGFVVHVGILREELK FPSE_05867 MPAPVGTPQTLYDKVFSAHIVDEKLDGTILLYIDRHLVHEVTSP QAFEGLENAGRKVRRPDCTLATTDHNVPTSSRKALKDIASFIEEDDSRTQCVTLEENV KKFGVTYFGLGDKRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSE VEHVLATQCLITKRSKNMRIQVDGELAPGVSSKDVVLHAIGQIGTAGGTGAVIEFCGS VIRSLSMEARMSICNMSIEGGARAGMVAPDEITFEYLKGRPLAPKYGSETWNNAVAYW KSLQSDPGAKYDIDVFIDAKDIVPTLTWGTSPEDVVPITGCVPDPETFSSESKKAAGR RMLEYMGLTAGTPMEEIPVDKVFIGSCTNARIEDLRAAANVVKGRKVADNIKRAMVVP GSGLVKAQAEEEGLDQIFVDAGFEWREAGCSMCLGMNPDILAPKERCASTSNRNFEGR QGALSRTHLMSPVMAAAAALVGKLADVRKLSHYTHQSAFKARELPVEEPHVDERTKDD SEERELIGDQPQDSQPHTNTLVSTAGSASGLPKFTIWKGTAAALDRSNVDTDAIIPKQ FLKTIKRTGLGTALFYELRYKEDGSEDPDFVLNQEPFRNTKTLVVTGPNFGCGSSREH APWALLDFGIKCIIAPSFADIFFNNTFKNGMLPIRIDNKNDLDAIAAEARANRDIEVD LPNQLIKNAAGETICSFDVEEFRKHCLVNGLDDIGLTMQQEEKISEFERSMTQNTPWL DGSGYLKRPGQGGKLAAKAVPIPKTNRGEEKKEPLEW FPSE_05866 MLYQTILEIRDHDNHEICAQNTNQDTANMYEVKEACASINTIIT TGCVRTPSIFSQKRGRQIQESLHHKRRINRVVRNLQHFGTTPSSSDISQTNRGPSKLP KPVSLDEIPVANTVLENPQVPSRQELQAQMCNNTESQAGMSVTQHRCSSLSTSTYTED GKVVEMEETGQAILLEDSAQLGSGCLLSGMDRTGHSEQAMKLGAQISDSFQGHQDAED DTADLSSNKHCQGQEGGLWDAIKEFLCTIIEYSTWLLRLYFDTVRPVFDTRSSYWSCT NREDRGWMNLMSLCLALPLIFGLSMMLVWGMELTVITLKCMDEDQDCMADEALAMFRR SLTGVYPYE FPSE_05865 MSKEPHWSSLRRRRASIDRPSPSARPRNVSHIRHEFNRRLTLGD MGEWLRERQEPAKSFTLGDIGDWFKNKLPSHRPERGGTLRDTEDQDMRETSGIKSASP AHKDGSGPSADWPLSEQTPVTNRRTGQQSGTGGSDRLVDWNTPKNLSELLPERSLSSK GKATTGQRPSTHRRWNNFSSPRLRNKLNQKAASDGAVSMKDRRGQVQSDASGAQPFVP AMQMMPGILEVLEAKKKARKKARNDRESLIESGDYLGVQGINPQTGVLDLTSDSGDSA QSVRTEQRLSELETQAKNATSAVKRKEAEAQIVKIHFDHDIAKLRRREETEKHLAKWR RDTHKWSSVQEPDLSPIAQSNKSISALSRRKSRRDYSMPKHEGLIDPSLSEDQPSQDQ RPDTTLSSNLRSRRSTNSSDTVVKTPHRHSLAALSPVALDLFEDATSFHSADELGLDE DLFTEHDVMPATINAQAADLTSEMTDAREVKESHTGNKKATRESFLDKCPKKQTKPGR TKTLGTTACSQTLPDLSTINLSAVSRGTNLTLVKNAYETPSSRESNPRKSSMQDLTTN HKKFTIQPTAPKQIVPEFLENHCRQAADPTDLHKTPTGRA FPSE_05864 MAAINPMDFLLWLSEELETRDWDSATVGTQMGLTMNFLFLLARA NSGSTTKSDDIFSDDTSSGWLSFVVHPFVWILAAFSFTNAFYTITRTRKYRLFLAKVD KPMSTPSARRVKVNQFGASPSTPLSYLANLITPESAESRAHPDKASDVWELSIWDPLP VSLRLACLFGPGHVLVYMIFLPLAPLDPRPSVTVLNTLLLQILVSAQLLFFCSQFAQQ AKDKAVIQEQVMKEYDTKFVHPRLHPTVRDIGTQFSMDQPSDYKEFVQTGTPTVQIRH GFQTHFNPYTGATDTPDERSVTPSSNNVLKPHMFTPPTATRRSEAFRPATGQRSVVPR QSLPSGYTSTGTSSGVQGINFGGNMGIHTHNRSPLKKATSLKELNPEGAASPRNSREM AAYEQRNWGAGTPSRKAESRRLTSSKLSGGGNLFAGVNRQQAPPESRRPLW FPSE_05863 MASQADYKDRQFLAVIGDEDSVTGLLLAGIGHVTTGADAQKNFL VVDGKTDTSAIEAAFDRFTEDRKDIGIVLINQHIAERIRHRIDTYTAAFPAVLEIPSK DHPYDPEKDSVLRRVRRLFGE FPSE_05862 MRFSTVTACLTACLAPAAALSVLNGKAPELTISDDLKIPGDSPL EFCPGDHAADLIKIDRVDLAPNPPKAGQELLIKAKGSVKQKIEEGAYVLLTVKYGLIR LISTKADLCEQIGNVDLKCPVEKGEVEVVKTVDLPAEIPPGKYTVLADVFTADDVQIT CLTATVAFSRSSKGFFGSDL FPSE_05861 MTEKPTSLSTQFAAPSQIAPVLPGATAREEAARPADGAEEEPYT IKCICNFSDDDGNTIYCETCDTWQHIDCFYPDNREEAIREDFAHSCAECKPRPLDRQK AIERTLRLKNGAVGDERTDKRTKRPPSKNHKKRSKPADLPTNGHLSPQENGRHTHPGD QHPPPKKAKHRSSQSISSQAPKRSPSYGNGRSNTAHPPSPATTPPDLPEDFQIHQYSQ GFYNLYNARDVPDSHSNAFASLAIPTALSRWLRDPNTMRSEVGRRHSEVFQNAPINLE ANKPKLEVGDTTRTLDDGKALRWRFLKSSTPIEKDVPLVELNGAIGFQKDYCADPANL WADLSSPLPFVFFHPVLPLYIDTRQEGSLARYVRRSCKPNAQLDTYLSDESEYHFWIV SDRHISANEQITLPWDFRLEKSVDARWLHLLGLSDDDSSNSAEMPVDDTEYMAISNWM SRILSEYGGCACDLDNNCAFARFHRQYLYLKQQVRGPTKKKQRKPKTQAISPTSTGQT VTSRATSEGHVDEGGDHDNRSQSGSLRSKPPSRDLTPLRQGSFDQLGILTEPTDRDKR KVAMVEDTFRRMEQQQPPRKKKRVSDGTCSTSSKSKHRNGSVASHGNYVDAGTCRSHS GSPTSTSPHILQRPSIVTQALATPRSRESSVTPRGVYCDAAVQTDPVEGAWFSEPSRS PRPKKRIVPLSKRLLNSRHKVKTDEEDQRRRSSVSQASNGISMEVDLPMEHVPAIKRS ESELPPLFLTSGDVPMGDASSPATTPVLASATSDTFAKTKTPDLRVQLPPVPSFDSNG LGIHNSTAPQPGAVAHSPLSNPLPSPFAPPAVNSIAAHPSPVKKKLSLSDYTKSRMNK AAGKTAGGHAVLKPAMSSPEDTKVDIVIDSPSIEKPTESMIMAPVAAANGSL FPSE_05860 MTVTATTSLSRTEILTLSTISGASCAVLANTLHGDGEPLIASIA LSFLGFSLCFAMIRWLGPTFMRAGFRGRDMSKLQRTEIPECMGAVCAAVYLLSLIVFI PFPFYKDIVAATSGGGNRDVVIELEQVSQGRFLHKFPHNKLASFLSAIISLQTIAFLG IGDDLFDIRWRHKWWIPGIASIPLLVVYFVDFDVTSIVLPLQLQPYLGELFDLGALYY VYMACVAMFCPQSINMLAGINGIEVSQCVVVALLLAFNDCLYLFTPYPHPATDSHLFS LYFLLPWIGVSCALLCHNWYPAKVFVGDTYCYFSGMVFAVVGILGHFSKTLGLLLVPQ IFNFLYSCPQVFGLIPCPRHRLPHFNARSGLLEPSVTPWSAERQPHPLVARGLHILSQ LRLVKVTVDKNGQFLETSNLTILNLWLVWRGPLREDRLALEVTMLQLVVGLFGLFVRH RLALLVFKEDNWGTIAQ FPSE_05859 MISYNARNQRIEAWVNAATEESEDAAPVDPEARDLHDQEKAQRI EHEDLCRENKQTYRGVLLLWLAWQSAGVVYGDIGTSPLYVFSSTFTEQPSWHDLVGAL SIIIWSLTLIVTVKYCFIVLSADDDGQGGTFALYSLLSRYANIARTDPNGPERIVVRL DRETGADLAPAGRIARDFLERSRVAQSVLKIVGVLGVSMVVADSILTPAQSVLGAVQG IQVIRPDLGRPAIVGISCAILVALFGLQFFGTSKIGTSFAPVVVVWLLYNLSISIYNL VQYDHTVLKAFSPHYAFTYLIRNETHGWKSLGGLLLAFTGVESLYADLGAFGKRAIQL SWLFLAFPCLLMTYCGQAAFISQDKTGLAYTNPFFRTVPENTLYFSIIIAVLAAVVAS QALIVCSFQLISQLMRLSYFPHIKTVHTSRRFHDQIYMPLANWLLMIGTVIVTAVYNN TTSLGHAYGVCVIIVTFITTCMVSLVALIIWRVQSIVVLLGFLIFILLDGVYLSAALN KVPDGGWFALVLSFILSTFFMLWRWGKEQQWEAEQRDMVDPSEFVLSSRSTSRNNSIA RGMSGDGSMRSIRFRLSPEFGGGQVQTAPGLGIFFDKVGGTGDHIPKVFTQFIRKFQT RPQVIVFFHMRSLSQPTVPSDQRFVIARVTTKIPSCYRIVLRHGYMDDILTPNLAPII VNELMTFITRGPFPPDENDMPPEFRGELEALRAAEEAQTVYLMGKQTMRVQQAEKMGF TRFFRRIALEAFLWVRENSRTKLANLNINPDNLVEVGFVKEI FPSE_05858 MADTEEPQFNTLAERIAALNKQKSFANARSPSPGPGPVRKRPPP PPPPVRPAIEGRSQTVPVISTTPSPSQNNPALPPRPSRQASTPQLRAQNGQDGAQRAP PPLPSRTPTMPSAPTLPPRRTSTQSSLMVRRNSGSSERSQNSAISTLSTGRPPSSVTS VNSDGASYKVPPAYDPASLPKLPPSRREKEAAAKEAARPNRAPPSPQPPRAIEPAPPA RPSLPPRLPSRPVKPQADATPEPQAVAQTRKIPPRPSTNGKSKGPVILGFSNKEKPQD SQPALPARPPIPGRPQPENGPPPVPLASRPSMAQIEAFETKALTAAGPINGCLICRDW SAPDAIAAQYPRQSLPRNDPIGYLAQVLCSPLPSYTDKARAIFTWFHYNINYDTEAFF NKNIKHMTAEDTVIAGKAVCAGYAETYKAIANRAGLECVVVTGHGKGFGYTPLKRGER PPPAKPDGHAWNAVRIDGGDWKLLDACWGAGHVDGQNYVAKFTPAQFTYANEHFGLRH FPKDSRHQFRADGQPVTWESYYTGGIDGEPPTVCGTTSEEGISEPSIEPKRRHISIDS GEIVRFQYSKICEHWMEEKCGGKERLVLLSLPRGEGERDEMIPLETNGFWYWLDINAR DLGPRGKSVRLIVLTSFDGREDATGVTGKEFLAKVGKCAMGWSYLVEWVLV FPSE_05857 MAAEEVVGNGAVTPPMRSLTFHDLPAEIQRDILSHCSQSDLICC ALVSQQFRELASALLYRSFNILFPDDDDVRFESPIDGLAGGLDTFTTSDYNYAKHLRE LSMDTVSTGVKAEHAYKPYLYSTSCGKFLNTLLYLTLQKARSLESFRWNIRVELSRPV YRELHKIQTLTKFHIRFQAGETYYMTPPPLPLSIDDLPATSAHWSDIPPPPLGPPPAM FTATLAGPMTTLSVIPPPPPLLPTSKQFPKSKASKRDSKSQEPPTLSGFKKLKSLSVL DIDNLDLTTELKTCIRNSSATLTELHLSLSDSLALQARRPPPDSDPDDSDVDDEFQVV PVSQSTTFDASGPAKAFRSQEERKLQEGILGRIFDVEPFILKKPALNQSPREAATSQE EGLEADSNESSEDPREEFVSSLRSVSARLMAQDGTRDISTAQQDILDIIEKAARKYVD SGERPSQQPNDSPESPTVVTKDEEHPSDGNDQASSVPVDTPNLAMRPKSKASSGDLSP DDIDIEHLDTVDDLEVESDEQQGKEPEEKQIEHAESEKPDTACSSATNSEDQETNSKT TSAIGLDKVGTNLIAQQVNYESLLLELQQLQEQQDEFVQQVKAMSVQSTVMELDQIKD SETRLREMSQRTKRVQDAIETTHLEIKEAKNQVCGKSQVDGRDKSQRSIDEYLRDTRG IALETLGIHLIPVKASVLSRAIDLKCLKNLTLLNVGNQAPIWTLLSKENKTSPLALRS VFTDNVSTAFLNCMSQLEELHDLFLLERSAKQKPESFAPRTTVTIDQIRRLVLKKHMH TLKRLMIKDESNSSGWDANQKAMILICNRGVQLEELALSMNIHAVHAFMQYFSGLINL RAINILRFRNNDTCIWVMREILNFIVDNLSHHPKLKLEWIAMEDDRLDRVIRPTDVPD EGEKRRAKGKEKATAIPHHSSINLPVLPTWGSDSESEDEDDDASNCGKRLRLKTVGVL QFYEVWGVKIFDKEVRSGRL FPSE_05856 MLAIRALRTPASRQALRTAAPRAAIFYNRCYSTSGDRVAKYNGT KDAKGNFLVSLIEGDGIGPEIAQSVKEIFAAAKTPIAWEPVDVTPIIKDGKTAIPDAA IDNIKKNKIALKGPLATPIGKGHVSLNLTLRRTFNLFANLRPCRSVAGYETPYDNVNT VLIRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRFAFQHAESIGRKKVRVVHK ATIMKLSDGLFLKVAQEVAKDFPGIEFDAELLDNSCLKMVTDPTPYNDKVLVMPNLYG DILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKNLANPTALLLSSIMML RHMGLNEHATRIEKAIFDTLAEGKALTGDLGGKAKTNEYAAAIISRL FPSE_05855 MADMERTILDFYQIPTLYPTEWPAEKDLENLDEEGEDEKGATLK RRQSRYQALERAVSQRKSNIPRGDDQGGVGNIVQKDEPDPLGTTDSVVRTLKHLGLPL QDDHRLRNRFLLSSTTFSPALFLSQMHATADTRGLLTGLDVLSQSIDQKSASLKVLVE TNFERFVKAKATIDNVYKEMKYRGAEPPDPNNPGPSNAAQRRSYRNSMVGAGLGINNP LTSPGTDTRKKNALTKESEYGVLGIKGPLLEVSAKAEDVWGPALGGREKEENLKTVSN HLTRFKDYVELSTSIADSIKRKDYESLVDEFTRARRIADESRQLAEELGEETPTEPQL YQLLIAGRMWHDVDQQIRMFKRDVWKRLVTLYTVSRTDGLSGRIQDQHMELIGLLLEL GVDDNPIWVWLLSRYDHLKSKIQSTADHMKVEIEVLRRRLASNDKPNPSTIATHLRAL GRPVIEDKPMTFDSPEVVELWEKMLSFLTSLLSSEGILGEVVEFWQTVQGFINGSAQA ALPVGYRGESRHHHELSQQSINELRKGAVELVDLLREHTYSFFVDMPPEDVSLLFSPL PKTPVPGTPGSDSLASPRDPRFNFDPNNMPPPSPKRGEVWEKLAFWPPWSNSISGVHY LSKMLTLVGAGAGDLACIEPVESGEGQVLDRLRSLVGGSRDRCVTALCAAWNRDAENI KFVEDWQRSVEMGDVTRMPATFAAFEGSVLSGMQKILYIPDAMSRSGSGDIVLPPPTK LLQMVRSQYVTTLYKALSGMVENAERSLKKTEDDWSIDDESSLASAAAPSMAGKGALD SGDRNVRMLMTLSNLSSLQSQVVPSLNLQFENAFSVKLTDESKKIREVLGQIHDRLFQ SYTKQSIERLRDVIQSGISAPDWAPGQGQRTQVAKPYVYDALLTLVLVHSQVSTTAPA LTVEVLSFLLEQTSTQLLEAFRRRPRYTLEALMQATLDVEFVAQTLSHYTSERASELQ SQIYQELDARTDNDARARLQGELTEMRTVLKRLRDASKNEFACFKKPKRPGHGPSRNN SGISGISA FPSE_05854 MGRKPNPLILEYFVRGPKLNDNSNRYPHTCKQCGENFPKGRIDS LTTHITKKCPAISESDRMRACLELHGITNARAPAERPPPETQPNGQPVDVPNLPQGWS ALETLAEASRQVDLNENNRAQSVQASVDPSDPANPQHVSDRFELHEQFTLDNPPVSCE TRSQQGSRGVIQQPLPGTELSPEERLQALLPNSDASPDVSNISVAVAATARLNPSLLD PQLVDENGSATPPPTMEIPATIETSPSAVTAPDSGISQPWGEMTYLTTASPVSLIHEH PPPPIQMTRGGIRMDTSDGQLNGRPRHARSRFTAARRKEVQEVRKIGACIRCRILRKN CGKGTPCDTCRKVLAPRVWRTGCVRTRLQEQLDLYSAGVQVVLSQNRINLLKNQLNMA HDGTVIEVSHFPETGKVIVLEAMVALLEPSDTLAEIRGSKESFIQVIMIDQDKEDVPG KVEAYMRDVFQLFIDREPSKFMRVTLDLALQQLQESEDDLLRKSLELWGLVESIDRER QWNVVERPANENEEPRRIQEAKSENDADIYTTLCMQLNAAAERKANNTSKALLSGMHR VLQDSKVKVNFKMYLTAVIFLNCLEKSTWAFKAWEQDHLRPGWPLERDPSVFTQQGDN LAGLLKMLLAIRKALPQTLRGETGKLITSEQDPVIGAYFQSIDLDYDTILSRQEGSPF SPADSRSLEMMFCSHLLITNSP FPSE_05853 MKNIQRLELPAAADMHVHLRQGELMDLVVPTIRQGGVDTVFVMP NLLPPLTSVEQVLDYKSKLTAITQDVNFLMSLYLHPSVTPEVIAQAAEAGVTGVKLYP QGVTTNSENGVSDITAFYDTFAAMEKHGIVLNIHGEALESLAPADTTLEEAFLPTLKQ LHDQFPKLRIVLEHCTTAAAVEAVKACGPTVGATITAHHLYLTSHEACCDPFAFCKPI PKKPTDRDALVKAVVSGNNKFFFGSDSAPHSLQSKTSAEQGKAPAGVFTQPYVVQLVL LGLEEAIERGAISEEEVTEEKLENFFSRNGRRFYKLPDTSGNKIVLERKGEKIPTSVK SADGKSEVGISRHGTEVFSLTWA FPSE_05852 MEGYVEVMADGGTGQKLTYATTVVAGVASVIATILSVISIMLQA KNYRKPLLQRYVVRILLMVPIYSIASWTSMVSLKAAAFLDPIRDIYEAFTIYTFFQLL INYLSGERSLIIMTHGRAPVHHLWPLNHVLPKVDISDPHTFLSIKRGILQYAWLKPIL ATSAIVMKATGTYQEGYIGLTSGYLWSGIVYNISVTVSLYALGLFWVCMNKDLKPFRP VPKFLCIKLIIFASYWQGFFLSILVFLGAIPDDVEGYTSDNLAAAIQDALICVEMPIF AVAHWYAFSWHDFADNSILSARMPLRHAFKDAFGIRDLIEDSKLTFRGDTYGYRIFDS GDKVMAHEDSKSRLARLKEGMRYERGGKGKYWLPKPGEINSTTPLLRGNGESSDNRPD QINENTHGTFEEPEVDPDEDCLYEQARQLEYGDWNYPVITASEPLRERYRSPGSFGGR SAYNSPSVRSPATEYPPNNKGKQRSSAHTPARTPRVEPQAESVVPIKKKKKPKKVVKH DEPDPNKLGLDKGPPAPVETVQVGGHDGQASPGNSGSRTVNDGGDQSEHQTTSNYESH HGIDEEEFRNVWGRDEESR FPSE_05851 MNITVPNLDTAIVVARAVEDNPQFNYKFLIFVALCCFLAIFFVL YLNRVFGSIVSYALRAYTWHHYRIYIDFKAIQISLLGGRVFFTGLRYHGENETFMVQH GYITWRYWLRRVRDADIIDARRSQSPITDATPTNHIKNAELPCRIQVDLIGLEWFVYN RSPAYDGILAGLTETHDSEPHSSGISEKDEANVRLRGPKKDTGFSASDEKEAEVKKQL GERAKNIFRRTTTGFGSVEDDENTKDEKPELPFMLRLFPVHIRCQKAAAVIGNENTKA ILVAKTDSLDVEVDASETTTPDPYRQTFKVQFQHPVIEIKENEDFKEDQVARATRDRD NTHSQEAISKRSLFRHHRRKTVNSLRNLVPYWRRSVESFSTDSQTAMNTATSQVPGVN QWQGLTRYLDDRDRDDRARWASVEYAAVTTILDSPEAVLTIFWDAVGKVPEHSHHGGA KPFPININGDEPPAWGITFSIKGGTMNYGPWSDRQRADLQRFFFPSLCKDATPAKPQK PGDWRVATTFQLSIEMDDAVTLRVPIREESKNWRWRGKEPPLRHTKPPTKRKQRNRAK KNSKGDATQIRPAGWLEVKLPANSSINFVMDMMASSTGYTTKLDVDLPGSELWSSVNH DLLWRSGPQKISCDLSNPLSWNSLRNWHFNITSSKMELFMLRDHIFLITDLVDDWTTG PPSDYLVYVPFKYHLNLSLKSLKVYLNVNEANIIDKATVIEDNTYLVLSSPCLTAKSC IPADSFRPNKNAIPFDVRADQLDMALQVPQWNTQAAFLSSKQLGHIEGLVIDGSYNYN ATTSTANTDTLILNVRGQSPYIYLYGFLVRYVILLKDNYFGEFVHFRTLDEYQNQLQL KKKNPDAEVVTQPPPKKSNDMDVVLGLRIEDLKIMLPTNLYSADRYVEGELAGVSVDL RFTNYFMEVEVEVSPVSLSLGCVEGTLDSPSMSSSNTQLFIDGLRIFGHKLFGLPPSE PAYVCNWDIDVGGIAGECTSEFLVAIAKGGKSLAFCFDDVENALVPYSSLIFYDITFA RVAVQSVQVWLHVDEAAFLMSTDTIDISFNDWARSHYSKRADIAIPNIQVSCVNAESA GRQKARHPHPIETDAHFRTTVRLAVIGRKFKFSEERRIQQELVQREDQRTHRVEFLLL PEYLDDLVPEAVEPPAQCAPPPPHPAVTLEAEMDDISRRTVTSRQSRGLNHKSSFLSL AESSNGSIVLAQSQHRTRSRAKQEGHLGAKPSSADNRRSLQSRASFGSLSSANDAVRA DPAHSSVAFSSQYVSPQFPLNGLRPSTLEVPVQSMEDGEQDDGFDTRSIGLDDIDPNG LGEDHPYLSAIVEFPSGMSAFVTPSALRHAASLLDALQPNEPEDVLDTFQIDAMTKIF DLQKEQGTKGQIKDVMVRIPSVSFRLLNSISEDSSGYTQEDQDQYDLTLSKVALMART STSWDDPFRDETRHSRTSLQLRLGAAEISASERKPSYQDPQPAVMAQIEDVTVSIGEK EVRFIDAEIGSVVGSTASGKIEYLASLIHRTGNIASELGHLFSQTMEKHENRYQYFTY LLLEQGESINDPSFLVRPSAVLRSADDHLRTNDSWKLAMRLRQIWTAMDSQPKNRIMS QCFDTIPDLPVDATRYVVDALEKWRGWDMEDLTGAFVLTNIFGRLKETDMTTGDQLPL LGACRLAELQLVLDPGPKQNKIGFIDLAARIDQQMQEAEVAHSVRSTPFTTLNISCTE AGINLNWELCELAEEVLQLYGKSQSQVQPMQVIPKPKRPAKPNPTTWPPVHVVVEVAK GAIEFETINLKAKTFSHGLKMSLLHQSGQDASSAMSLMLNCNAITSVLHSNSHALGMT QLKHASIFISHELQNTDDKSNHTIKASAGSRSLTFAIKEDPIGLMEVLDRLLGDEIQT VYSLKNQLASMSKSKPPDEQKKISDRLSSVRFNLAMFLDEYSITVPFLQSLTYKITGT VARAAVAANFGKEIIFDFDIKENYHEMQINVRNKPRSISLLQIPPTNGRITSQMGQTE HQINVLSSLEIVQLDASAVYSLLTALNRPQISSAVQELQQQSKMIQDRVTEIFGADGD GPPKIATKPIKAETPSLRIVYNVHLTLAGLQVFAKTSLKSQAEPMAQVLFSLDRSHLH ASNRHEAHGPILKYPEIHLNLSHIGLDIRRGQEDSMRSCGNLGVGVEVSASSTLGDDG KEDWAFNFRSDDFECNLAPETISTVVDVLGYMGEKIKDLDTSRELDYLRKLRQSKPKI TINGDDDEREEVDLIDSVLASVQYQFELRNIRVRWSVADNVADKSSTKEDLVLSVKLF EFGTRTKRSARLSIENFQIQMVPLGQDMNVRSLHSALLPVITFNIAYMSTASARRMAF QAVGESLDLRLTSAFIVPAAHLAESITLSLNNIAQASTQWNTAVATEKKADELPKSQP QRSVFGNKRLESLLIDADFAGAVVYIASKRSLNNSTKALGRPSLAGKYGQFNSEDSES GTVLRSPGLAWKVEYRDDAQDDPSLYGEIKVDASSNILYPSVVPLVMDMLSSVKQVVK DDEEPEQSNKPEEAKPTANKSGEGDNIITADPSAVLGRLKLNLGLRICRQEFSLSCQP IARVAATACFDNIYFTVNTVRSLEQGNFFAISGDFTKLHATVQHVYSRESTASFELDS ITLSFMNSKHVSGTSGVSAILNVSPMAVSINAKQAQDFLLFREIWYPSELRSASAAPV AKMSPETSQSGHLVQRYQQVAATAAFPWTATISIAALDVTIDLGQAIGKSAFAIKKFW VSSKKTSDWEQNLCLGFDKIGVDCTGRLSGFVALQDFRLRTSIQWPKREEALNETPMI QASISFNAFRVKAAFDYQAFLVADITSLEFLMYNVRESRDGSGDRLVAMFDGDSVQVF GTTTTAAQGIALWQAIQKLVQERRESFQSSLKEIEKFMKRRSLSTSRSTVVQMEQTPK LKEEDTLSKSPISLDTDVVVTLKALNLGVFPSTFSDHQVFKVEALNAYARFAASMEQR RIHSILRMTLGQLRIGLAGVRNVEAPKTLSEISVDDVVQRATGSRGGTILNVPQVSAV METWQKPRSNKISYIFKSAFEGKVEVGWNFSRVSYIRGMFANHAKALEQVWGKELPLT AVKITGVPGAEQTEGEQQKITAEIDVPMSKYDYVALEPPIIETPQLRDMGEATPPLEW IGLHRDRLPNLTHQIVIVSLLELAGEVEDAYARILGSS FPSE_05850 MVNFTIDEIRQLMDKPTNVRNMSVIAHVDHGKSTLTDSLLAKAG IISTAKAGDARATDTRADEQERGITIKSTAISLYGQLGEDDDVADIVGQKTDGKDFLI NLIDSPGHVDFSSEVTAALRVTDGALVVVDTVEGVCVQTETVLRQALGERIKPVIIIN KVDRALLELQVSKEDLYQSFSRTIESVNVIISTYLDKSIGDIQVYPDKGTVAFGSGLH GWAFTVRQFAVRYAKKFGVDKNKMMERLWGDNYFNPKTKKWTKNGTYEGKQLERAFNQ FILDPIFKIFSAVMNFKKEETTTLLEKLNLKLNAEDREKEGKQLLKAVMRTFLPAADS LLEMMILHLPSPATAQKYRAETLYEGPMDDEAAIGIRDCDPKGPLMLYVSKMVPTSDK GRFYAFGRVFSGTVRSGLKVRIQGPNYVPGKKEDLFIKAIQRTVLMMGGKVEPIDDMP AGNIVGLVGIDQFLLKSGTLTTSETAHNLKVMKFSVSPVVQRSVQVKNAQDLPKLVEG LKRLSKSDPCVLTMTSESGEHVVAGAGELHLEICLKDLEEDHAGVPLIISDPVVQYRE TVTGKSSITALSKSPNKHNRLYMVAEPIEEELSLAIEGGKVSARDDFKARARVLADDF GWDVTDARKIWTFGPDGTGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPVAEEPM RSCRFNILDVTLHADAIHRGGGQIIPTARRVLYAASLLAEPALLEPVYLVEIQVPEQA MGGVYGVLTRRRGHVFSEEQRPGTPLFNIKAYLPILESFGFNGDLRQATSGQAFPQSV FDHWQILPGGSPLDPTSKVGAVVTTMRKRKGVKENVPGVENYYDKL FPSE_05849 MLFPEEDAPLLKAWIVQRIEDTSDADADVLAEYVIALLKHDGDA DAVRKLCEQEIPDFLSEDPKAFLDDVFQAIAYKSYLPGAPPAPKIGVKQETIQQPFAE TTPPNGSKKRGYHDREEYDPQYGYEAFNQDGRIQKQARRGGRGGRGDDMRGGHAGGFM NNLPPRPDMPFDPKVMEAFLQMSSMGAPYPGMPNFPQQGFGGKNQSRKRGRCRDFDTK GFCSRGSTCPYDHGNESIFMPSGNDEYDPNDPFAMLANASNPMNPWSFPPDAGRGGRG GRRGRGSKKGGARAPFSADGPNHDRSKSTIVIENIPEESFSEDQVREFFSQFGNIQEI SMQPYKHLAIVKYDKWGSANAAYQSPKVIFDNRFVKVFWYKDKIDALPPSAPMQGGNW SGDPMVTEEDEQEPEIDMEEFQQRQEEEQRKHHEREAKRAEIEQKRAEIEKQHHELLA RHREENEKLQARLAGKKGQEEGSSSGTSMLRAKLAALQREATLLGLDPDAEEEPSSSW PPRGGYRGRGTYRGRGFAPRGRGGYRGRGGGSGGNMHAAYAQYSIDNRPKKLAIKGVD FTAPDKDEMLRHFLLNLGEFESVDTGPEITHVSFQDRKTAEKFYYSIHGKGLPGVEGR LDLAWVNTPLPPVKTRSNDYVPGDGGDDKEDDAMAGFDDQPKRERSAEPQREDRAVNM DYELADEDVW FPSE_05848 MHIKDMLNDAERSGQPSFSFEYFPPKTAQGVQNLYDRMDRMYNL GPKFIDITWGAGGRIAELTCEMVLQAQAVYGLETCMHLTCTDMGVEKVNDALLKAYKA GCTNILALRGDPPRAQDKWEAADGGFQYARDLVKHIRNTYGNHFDIGVAGYPEGSDDN KNEDELLDHLKEKVDMGATFIVTQMFYDADNFIRWVKRVRERGITIPILPGIMPIATY ASFLRRANHMQAKIPQEWLDALEPVKNDDVAVRNIGKTLVANMCRKLLANGIHHLHFY TMNLAQATRMLLEELQWAPSADRPLQHALPWKQSKGLGRREEDVRPIFWRNRNKSYVI RTQDWDEFPNGRWGDSRSPAFGELDAYGIGLTGSNEANRKKWGEPKAIQDIAQLFVSY LNNKIESLPWSESPLTTEADEIRDDLIELNKRGLLTINSQPAVNGVKSSHPIHGWGPD NGYVYQKSYLELLVHPGVFDKMISRIENHPDLTYYAVTKDGELRSNVTSDGPNAVTWG VFPGKEIVQPTIVESISFLAWKDEAFRLGVDWAHCYDISSPSRALLEGVMNDWYLVNI VNNDFHDNKTIFELLKGLEVNDLTTPATPLSEPVANGAVDTEPIANGTAATTVAN FPSE_05847 MDLTNRPRTRQRAYKKPPTLDVPDIDQDAAERKRVLNVLAQRRY REKKRLSRLKAKSTGNDVTQNPDPEPEPSSSIDEESFGDDIIEIPRTSQNNAQFSSQA ANMAMPITTGDAADMLAGLDFSLTSWSPLPEMALPSVLPNVSTLPEFLCEQVTSDEAS TGTSTGVVAGFDGPDLATFLDTPSFSTSPTASTESFPDSYNLPVLQLALLKGVIRIAD RLNCKQGLWDLDGNSAFVTGVATPAALLPANWQPTPLQTSLPHHPVFDLLPWPGVRNR AISILSLPDELRPPRARGELACVNFAYDLEDTAEGVRIHGEDPYDPRSWELGQIAFER WWFLFDNTIINTSNRWRRARGAPPLLRSSGESSSKNSSSTTSPAMSV FPSE_05846 MKSSLAFAVLSFFASQGLSVSVDMWSSPLSERGAPNYKPIEPKL IKSRLGTTPQENSDGDRKPGSVYFCHGENWGPPCFEYQPELEYTCTELNGKLQNHVGS VFSEPEIICRLATFENRCVPLNIFGWPEIEKGSAHLLHQKSPTGSDSLGHSVTHFTCA KCTNCVRDPK FPSE_05845 MAPKKKIAVMTSGGDSPGMNAAVRAVVRMSLHMGCDAFCVYEGY EGLVQGGDFIRQMQWDDVRGYLGEGGTLIGTARCMAFYERPGRLVAAKNMVLSGIDAL IICGGDGSLTGADRFRAEWPSLLEELVSTGHLKEEQTTPYKHLNIVGLVGSIDNDLTG TDATIGCYSALARICEMVDYVEATASSHSRAFVVEVMGRHCGWLALMAGVATGADFVF IPERPRENDWQEEMKVVVRRHRALGKRKTIVIVAEGARDNNGTKIGAEEIKDILADKS KGGLALDTRITTLGHVQRGGTAVAYDRMLATLQGVEAVKAVLEATPETETPFIAINEN KIVRKPLMKAVAETQELAKAVDAKDFDKAMSLRDAEFADQWNSYMLTTNVMVDDEKLP ERERMRIGFINVGAPAGGMNAAVRAAVAYCLSKGHEPLAIHNGFAGFARHHDDKPLGA VRPFDWLEVDGWASKGGSEIGTNRELPGESGMELIANLIEEHNFDALFIVGGFEAFHS VTQMRKARTQFPSLCIPMCLLPATISNNVPGTEYSLGSDTCLNELVNYCDKIKQSASA TRRRVFVIETQGGRSGYIATLAGLDVGASAVYIPEEGISLEMLNSDVNHLKEVFRKDK GQTRAGRLILVNEKASKVYSAKLIADIIREEAHDRFESRESIPGHVQQGGVPSPMDRC RAVRLAIKCIQHLEGYGRNAHNHVKKDPKSASVIGIQGSEVVFSGVEDLEENGTDWPN RRPKTAHWMGLSETVDMLAGRPNYPVPEKSLTGLIAKDTKRGL FPSE_05844 MPYRLDTHVLTVDANVIHKVDTGNPANLYSMWTVFSRCADSVEQ GRRLENLSWRLWQRETFVVDNEEKISPATETLPQNIPSESRISDLPQLSGSVDSLVDE EAVDFAPVSAPLEIARPRVRRQDSCASTRSKRERHISSDDFEKMIVSIVKDKGPLSAP PHVAPVAKQSLPVPSAFERSGSTTTESQSPAKSTASEGSPQPSPQSLSRTTVVRGFSP SQIPTPRTISGAQLSHAIPEPKSSPAAKVVQSKKPARFALGGSCSSSEQDQSLSTSKP IIPIIKKPVFQIGGSSEEDGSLRSAMASSRPSSLLSARKKQASFSNNVMTRTIDDDDE AAVDSDSDDYIDESAIDDDDDSSDWEDSMEESGKSSMDDRFFQRVDSKPNLTSRRSLI TLMLAQNDRSRNLGGHASQSTSAIPRSRLVNGPSLAASPNDSDEAPLMMKGMRGPGLK PIHEVPRSTAQPIMTGPNHLQPQAALSPRTTRRNMLATELTESLRRHLLWERQQKSST ANAVLKRRHTSHDVANLKQFPEKPCMKQSEDVNASSWNQYFSKEASDGYHSKGW FPSE_05843 MSTSKLASEVAQKNKSHLSQVHISARADGGVLERAIICRRPIRC GSKIERGLFSRVLGNLQVSVPLLNCYQ FPSE_05842 MSSQQPSEMIPSQRERDLSVQLQEATSEKYLLLKQLLDAKSELF ETSRQRERDLSDQLKAKVSKLQAAYTRKESLEAQLEKHVADLALLKKNIDNPHAELLE KENALQASRHQERELAFELQTVKADFESYKYAEKKRVDRLTQAERDLKCSRDYANVLA SEAQQAKKAAEDAKKSAETLGEQLQQAEETMKWSKNKIADTEKELHKAKADFQVASAR ERGLKRKLAKNEKLLKSNRFTLGRQAPPVVFGAPSPIPRPRPTADFSHPTPKSELTLQ ADFSLIKPNINGPPKENPSPFSSFGTNAQPRCDLNFFGSSNTNGQPQEKLTLFGSSTK PSTPAQCPWIPLPPVGNTTAQQGQTSNNFGGS FPSE_05841 MVDTKFRLTESPGQVQNSREFNNALMTEKVRAHFLKEALGKASG EIQDLVNKNRKLSTKLEAKENENKKLSAELKALKKERKHDFGATSDLDLRMMMRLDEL RYEEEALMNAFVASNEKFEDNIANHKRKISAQEKNIDINKEITVSLTDDLYEKSADVA AAVKKLEEDVAVLNEWMKGVKLAENNPQPVWMKRDGPAEDNHQAMWMNGLGLADGNHQ AKSAPDISAARLKQLKQLVRSVRSM FPSE_00940 MPGLRHDQFHEKAQLDELSMEQFTKRALSPVVADGEVSLLQMVK QNIRHLRSQTPSPEPMCIPEPMHKSGQQGARSTSSSYPEDIVRSPCVPSPPDSHMALS NLGDLKRYSLTNDRLSGLRSSSSSSGYSRAVSQGSAYKTLTDAATAIGKLQRLPTPSY HRFEVSSSSEDLALKTLAPHNSEVSNESERGSIKSLETQPLKRVTAHTKIDYLADRFH SESPSPSVRLPQIIKSKKRKASVISLRSISAGVKRSRVEVKKLAHNICRNSCDKLRQA RESIKRQQKEQKKQYSIWKELRRKLKPGDAIKGKHEKGFATFSMEKSIRGAKSWWKAG VDKYQAPEWMHFGK FPSE_00939 MVYPRPEPGSSAPAETFAQTSIELTLDDSDEEDLRSIVGSGSDV YELRERDTSRDRNGSAIWDVEAGDDEDDADRPLVAGRSRSPGSVASFQLYTPDEEQAV RRKFDRKLVLFVALLYMLSFIDRSNIGNARIAGMDEDLQSNPPRDEWYEWALTSFYIT YIAFEWMSLLWKIIPAHIFVSMVVLTWGLMASLQAVATSYPMLIALRAVLGIGEAGFT GIPFYLSFFFKREELAFRTAIFISAAPLATTFASTLAWLIVKFASYGPIAPWRLLFII EGFPSVIASVIAWNVIPDSPQTASYLTKREKKVARLRLRSEQATSTSSGSKPTSGLKG RDVLAIFRDPVAWITATMFFLTNMAYSSLPVFLPKILTEMGHDTHTSQALSAPPYLAA FVIVLFTAHMSDRLRTRTIPIIFHALASSSGYAILALAKPLNLPNFIRYMAVYPAAIG FFNVVTLIVTWSINNQSSQSRQGGGFVLLQLIGQCGPLVGTRLYPDRDAPYYATGMST CAAAMLGVSILAYALRSYLKYQNRKFDQAENEHLEEGDEVEEEGLVGSGRRKPIGASF RYML FPSE_00938 MPTYLCHGFRWHRRDIRIFVILNDLEDAAPNWILAPASSYCILD QLHAQFDFLPELTPPTTPTQASFSNAKNIKPKPKPKPDHVDDDHNLPKSRVPDAEDAV LMHSWSPVRLLEEFDVDEMTMACRPYAYVADHVVRIDLSVDVAGEMARYYERMAGEDG WIVKLRDQLQKGEPVKWYVIVCGDEVREVPGKSDEEEQEVGYEQLRERAQHMARARRT REIIDGSSNSPSEYEDDGDQDEDDALTVLEDILDHSEGASTPRPLSLELPDVHIPLQS RLDPTRASVLTTSTVWSQDQSPDETANMDAPDIDKPILPSELDPNRTSMSTAYSIWSR KETPDADMRLRQSEITQYSASTTSGLHSENGRTRLEPEEFAKAQPPTQKFVREETPDV VRPLEPAELVSSRTSTPPTPKNSQRKLPAPSLYRPESFIPPFSPPLPSSEIFPDEAPK STVPNETEATKPTEPPWPLSSDSPLGSSGSSTYHNEENSFPLVSSPAHSKNPPATTFS LPKEITPPRIPSPASKYPLQPQFPGPTMSRFEESVLQASPLLVTPNPKRLEKPSSGVV YQAGEPMPKKQPPSSLPRSSVVRAPPPLNINMANSIKRSTSNPAKNITPPTSAQRETS DLNMKTPPITPRTPKTPTGQPLTKASPKSRIPRSGTKNIRLSTLPPPPEPAVKSPVRP PTPPKPKPRLGQKEEPQRRPQIAPEEGMPLRPNGGGFSRPLQYDVPRSMQKPGGERVM LGPKLAPTSIPTVATSYSLSATTQANAPLNSVMPARARAQPPSNLAQIRQPIVSNQNN DGHTLEGGKHQKHHVARSHSVADGFKRLFRRPSLAKGSHH FPSE_00937 MAGIDEMEQFVNWDKAIDAFQQPQDGNALTGLTGDDNENIDLVL ANVSEDDFSFCALQHFSDNNFPLPDMPTQGMDFMATADDISNQFQWDTPPSPCINCSM AGFSCKKIREGMYKDYCTTCVALKVDCSFAVAPKSINPSAASFNINPFLSSGPEIPNT LQEDTQDDSCQSSRNASRNASVSDLANMGETFNNKAAAPPKIGARFSRESVRILKNWL STHNRHPYPSDEEKEMLQRQTGLNKVQITNWLANARRRGKVQPPRSTSPHYTSSWSGP MDIPQRRGTPALEAMNPLQRWEHSPPENEPASVSAIARAVTASSSGLSSGIDSPFSLS YADDGSGKSICNQSSISSLGTSHSSNGSHGSAYSHGSRNSWGSFNSAPFNSHGRRRRR RRTSVKTGKEKTSLTVPLKTFQCTFCTETFRTKHDWQRHEKSLHLSLERWVCTPHGSK AVNPDTGILSCVFCGDANPDDAHIESHNHSACQERTPTERTFYRKDHLNQHLRLVHNI KFQDWSMKSWKVATPEIRSRCGFCGIVMDTWTIRVDHLAEHFKTGYSMADWKGDWGFD NPVLEMVENSMPPYLIHYERTSPLPYVANHSPPESPRNAYELIKLEMAFFTRNYKDQH GRLPNDEEMMVEGCRIIYASELLSLQGIATRPSWLRDIIMSSETLQQKARFGPLRGAA ENRLASLKINGKDNLFEECPMEVQLHEFVKAKRLLGLTAMDDELQDEACRIVGRVEEV STHPSEAIANWLIRLATSSTNWLAPFRRRAHLPRSEDVVDHICRSKDPSSIDSTIHSY SRLERELKDYLILQRSMGIEPTDDDLQRQARIIIYEFDDGWNQTAADNASWLEGFKNR HPVTSDSSPAFSLQDSTKSTVSGTAVTDATTLFSSECPVLMGCDGMYDIGSGNSRPGP YFLNDANCYRRLAKELKRWVAGTMSVNNPNRHVPSDEEIQHQARWILYDDDDPWNQTA ADNAEWLQRLKRDAGILKTDGPGLPMSDGWALESGGSGFAPPYACPKASLDPFPADAQ VSMGQGAKPLPAAIANSYIEKLTSQAARPAEVFCSRELERGLISYVEDHVACKGSMPS DAMLQTRARRILDSSTTPADDIDLLNKFKDMVAKKASQVAAVPVMPSNVDINLSDEDV NNILQDMDFEFDAQDFGGATMEGLQDTGGVSLDLMGFKN FPSE_00936 MSQETQTAALYSQQREAGSVRTALPTRPMSSKPDDCPAPTSQER TRLPTGTENHDHRQRLSGTPPGSKTSPTPGIMSKQQSPVADLGPKASPPPANGGSQGQ VCSNCGTTRTPLWRRSPQGATICNACGLYLKARNASRPTSLKRPPNVVPADPPQAAAP KPSTSAASKTASNATYVSADQTPGGTCPGGGRCNGTGGAEGCNGCPAYNNRVSKTANL GGTQKRQSCQSRSESTKPEPVPLDVNALQNQQNSNATVVIACQNCGTTITPLWRRDES GHTICNACGLYYKLHGVHRPMTMKKSTIKRRKRIIPASQDEEMEDTMDSPEPHNQYIA NAERGSMNEDGSVNLGLRRRPDHPLTIEPLPSIRPNRTISPLPSTSDLAAYHQSNNPR HYTGSTNDDNRLAPMASMAANDDRKTSLSPASFLSPTRKRSFSTTEGEASTAQEASQE SSKRISSINLKSILNPSMSATTPPKLGTGSDDGDYSLPPIRSPGSTIASAPSPSAFSH RDNTPGAGPRDFEPDRIKAERRAALQREADKMREMLAAKEQELRELGHD FPSE_00935 MVSEFGSSPKREPTPTLPLSSIPQKRSLEEGRHSPIVPSPLNPE VKPSDSLAPEDASQAARSKSVRTKKETLKKRESKGADSARATPDPKPKTTKQQKKQSE SSPFRYKLAPPKLSDFDPPRGPVMTTHHEITTHDGQTVEFFETSDHVSNKKSFRYTHC IADPLFPSTIYYRGTEPEPYGPRMSFEDSAGHVYFDKTARQVTTDKGFRMARANVAVR EGRWYWECKITKGVRPPKNGESKPEGGQHVRMGWARREASLDAPVGFDAYSYGLRDVA GEKVHMSRPKEFFPAGEGIREGDVIGLEIQLPSENLHRKIMTGHYNPVVDQTDDEPLP TAEGRNIVRDRVPIRFKAHTYFERVEYHPVKELEDLMNPTGLGSGVSEDPGPNHPSPS LRTLPNSCIRVYKNGVLVGTPFEDLLGFLPPASRPQAQVGGRDGLDDGMLGYYPAVSV FRGGAVEVNFGPDFWYPPPTDSATDGGPNFVRSGTRRLNELHPVSERYHDQIAEDIVY DIIDEVDFWSQDGGGATDRQGVNDKSEAVAMAPGREEIKELVQDD FPSE_00934 MLEYLTYKKIKKNKAQKAAAAEEAAKKTEAGSSVDPSHGRVPDF SNSANQRPGQHPRRRSTHHSQSSNTPPLSPVLDRDDEAWLRSILEDDGPAPPLPPRVN TPQIDLSSASESELEAIKPLQEAHGKKDKKKDKKVEKQPNRLTALFTRHKKPQDGLKP EDNVAKPEAEREEQDLGNVLDRLNLQAKNNKIVALSNESSELLTRFTQVFKDLANGVP TAYGDLASLVEDRDGAINRGFEKLPSSLKKLVTQLPDKLTSSLAPELLAAAAESQGLK ANTEKGIKDAAIDLLKPSNLTDLVTKPGAVVGMLKAIVNALKVRWPAFIGTNVIWSVA LFLLMFVLWYCHKRGREVRLEREKSASETPIDGSSRIEELPDDPLLPGPEEAAARRDA DRAVLGDPVSPLSMRSPAPYISEPVSPEEPVRR FPSE_00933 MSVNNFSHGDRAFLQAILARGSITFEEARPILAAIFNAGRQTED EDEIRRDQITEEHFHEAINKVSEVASMFDYEIRTTVHQVTKRRIWALVNTTSDPQTQL ATTYSPEELAFIKRVLDAMFEKFNTPRMEVMAITEMQAIKLARPNRRESHIDLETQTQ TVADKGLKHSEVETALASLVEGGWFEKSQEGFYTLSPRALLELRPWLVETYNDPDLEP QDWQRIRFCEACKDIATMGLRCSEVDCNFRLHEICEEAFWRTRRDRKCPRCTTEWTGN KYTGERAVTSTDAFQRGRRKDGGGWRRSTLADEVIRDEGGADEAEDDGGDSDDAD FPSE_00932 MAMNVTELKEFDVIRVARSDGSDTGPGYWPVTTLTATTTTKKTK DAAAADKAPRTKPQMVRLAEDDPRFTEWKVKLGILLKQELCPNPDEGNPWLVNFPRGY WLYEKSKHLWVSGYPIKVKLFKSPQEFAVHLIWLLSTSMDYRDCCCAHCNAANIIKGG SASDEGLIITHEPSKNDKMPPRVTPVPLPPMPGQTSQKPGTVPDTIVPRLASTQSTPA ATAQNSPAPTPVSAAATNVQRVRQPPQTRPQEQVIQPPPQLQQQLQPAPQPQQQQQQQ PLQIQHQPQIQQQQQIQQQSPNLAQAPPQPQPIQWALKSAILFRSGELVWYQNGNTWR LGVIASSSNGQHEVMPIGHGIVQQNNVTKTDGDMRPFYAFTVPPVTLSELKDKNYDGV PWESLFQSSVDGNRREVLALDASKMAAVKIDYSYSLWSKLTEDTKVKTVTYYGCFFGA ERVEIGDAMRLKSLPAEFNVPAETGVLGLRIIFTTKEAPDAVFFRGHIYQLVSEDHPN IVRDEQLPLALRSESQWRRSVGAHRWCYAMVRENITFREQSIRGRFYPTHRLMPILNP AEFQSSVAQRRVDDQYAHLNNRMDGVGRYLGRKISRIDTLGASVIHTARISLEPFIKE GDQPVE FPSE_00931 MSSPSQAGPSSPREEKKEKGFSKLLNRTKTFLKRESSSMSSKRQ STLGTSQPAAPAKVGETPKTSQAPAAEVKKIDAKAKYEGLEGVSKLTRSQLIEERAKK LSERYGLDINVSELQTGSPDDTVLRIDKPIRMRVRRTCHKCDTTFSSAKECPSCQHAR CTKCTRYPPKRSEAEIIASRERRAAIIKANKENAPIIPDYSYAFDEKKIVLTRPSKTG GQDLVHKKPRQRVRRTCHECSTLFISGNKTCAKCGHVRCTDCPRDPPKKDKYPYGYPG DEFGPNSIPHYQCKECKTIFPTGAENGIPCTKCGSEKTDESPRVKPRKVEPEPDPEVL KSLQARLESLKVT FPSE_00930 MRVVQVTALLSVATLVPSTAGIALPRFDSGQQSPRRFPRNDTAI ATASTTRLSPLIQAPQITTSSQEDDFVSLSSQTSPVESLEVVTLETTSNSGSEAVGLP LTTTELGNDSTTLSLAQYPSARTVLSLTTEQLDHDLANSSTSAGVTLSTQRPLESLSF SQEVSGFTTPTNGIALASSSDWNRDKDVISDETQDTIHATIQRRPHEGFARPLITTAP NNTNPKSQTCGPGSKIPCAWIPSPSASSRGWNGTHTPGPWTSGTLTVITPTSAPCTTL QSDEPVTEYSIVYTSTVTFFGNISEYTAPYPTITTPNFCQTEPVGATLSSNVGGPLPS STTLSPKEPPKKCMSGEFCSTDKIPEFSNPTIFPAPDVPGQTFTSMRPTVTFITTDKN PAVVFTTKSPPRFDMPTKGGGLPMSNKKEPAPTKELRPNRVQSSKDHGKAASQPQPTS GDQSHGQPQRNPQPGFEPGSGSSPDAGSESDPKPGSGSSSGSNSGPNNNSDPEYSSRS DSDSNSDLGPNSEPKLIPIAVQTKTFVVTARDQEVIVNDKTFSGLKMDQTTTVTVDHG TFTIRPTEVAGEGATIKKPQPAGTVVSVVSPTSAILGQVPVAVSGTEASVGGTTVRIP LMGTTMRLGIPTEGSNTLVDERDVFIAPDRIVVDGETLKYHGAGAPQTDVIIEGGEMV TAVGQSVFVFRSTTLTYGPSIPGITETIDDDIVTIGPAGVVVDGTTLGGPEAEAGDTK RQIVGGATITKVNPSYIIVDETTFSAGPGAKSTTKEAGGETITIGPQGIVIGTLTIRY PFGVSTVTTIEAKATGSAMLPAATGTNNNNNAKVDSKDDDDSGAAFLRSGLTMEMTGL CIANKREYSKLRVEHRKLSGLSNPLTRCPIVIIYWSVIIINTLTISRAGSLSAKWNFG NSTRRAAKTTNSILESSPPRLRLPPHLRSDTAQQRITDPPNLPNLFAPAPQTVQTPCA RSITFFPEFQPFSYMQQESLEHTKPLIQRDNPFETPSSQHGPLHHVPSGLRRVYSGDS SGADTGSAPALHQDSSHDVDADLLDEELRRLSPRHHSSAQPSAPGQRISEYENAMTPP TPKKALGFKVIKRFDTPSGGVLLEDFPNEILTHIFSHLHSDSHATIALVSKRFYALIT SSHAWRMAFLRFFPGHEALDAKNHVDIWSDSSPDLIQSESRYFTRLTPLASWRKEYLL RTRLVRCLARGKPGASVASGGIGASVRSGKKTSAVLTYNSKLPWAVTNVHAVFTNGKK PPKAIHGSADLGVASVSDPTNGRVEKWGFVDPFNAMQLDEVFPNLVPFGLGDGPAATP NVLDVSQPYGALAGEGFPGGRPYYRPVGEIRGRYLGGVSGVVDTYPDIPKIPEMSEAI CSVWLAKSANVPTSTQSMVGMLTGSTLGVVTSYAIGGDNGPQRYESGDVTARWVLSPG VPIIAFKVDDNYSQKRKSTGRIWAVTLNALGEVYYLTEIPTTQNQDKSEDATKNAWFA GRSVCWHLLDSTRRVARPDELDKNAIKGAYSPRSPSNSMNLSKSQMAAEAREIEKFLR HKPAHFRRACEGWDMQRKLEVDFANDDSHGAGENIFVLDCGLAEDRSAHIERYTRSLV PQDAQSSSVILAPTPPAPSMAKQASLFGATHTEALEVLEETASGSASPSSLSSSDFVS HFHEWKSCSMSLKGQSHAVITSVSIDCSNTAVLTLGEDPLHETEDEIPGRRSRLFAVG TDDGAVLVWNAREDSKAAEINPVRQIQTESPEVSCVALSAMYLVHGGNDGLVQAWDPL ASTLDPVRTLNARSNGRVPRHMMTMNPTLDESTYSAVGAIYLDPDPTVLRGVVSFGAF LRYWAYSSANHASGRKRRLRHTDVHGRLASRRQDGKVTGFIAAEEAEIKRDNEIKARE QNRLRNRFGVGTLGDLTEEEALRYVQMVSEEAFLFEELRRTSDSAADASLDTASTFSE TTVDTVTPEPSVTDMITPPSASTDNIGRAPVEDDYEQQIQQAIRLSLMEGVNENGSSP QAVDSGDYEFSLTYKPKSNKKAKASRSVSPGASKADSSGHAEPMDYDLELALKLSMED QGLYPTEADLGFQYEEFPTLETEGIGKGKDSVLGLRSDAPSTIGTCKGVTKVPSTDSC SLVNYGSLLIRSPRQGYKLFAQSNFMCLEIGS FPSE_00929 MWSTILGSSLLFAHLIRARVGEPEPVLLPTGDWTGTDGNWSTIS FYLGSNSQHVDVLVSTALSEFWAVGPGGCLPKEPHCSAARGGIYDSKDSSDWTSLGTW QLGLSYLGYGGNGDYGRDLINAQSLLGSEPFTMNDVLIASINTTDYLHGLFGLGITQG NFNGTVADSPLTQAVSQYGLIPSYSFGYTAGAHYRNSPASLTLGGVVNARFEPHDNVF TLPQDDNMERPLVRGIEIAPVGDQDAPDSWDSEQLLLSQWNSSFYAIIDSTTSYLWLP DEVCDQFAQALNLTYNSTFNLYTISNDQYREYSKDESFDLTFVLSSFDDNDNFGDPYD VSGIVNITLPLRAFVGLLQYPFMPDEIQYGDPAIPYFMLRKAQNSTTYILGRSFLQES YLITKYDEGTFSIHQALFPNGPMTDYKPSAIEQSDNSPYPPPKAQDSGKGLSGAQTVG IGVGVGLGTFVICAVALITWLYCRRRRKGTAVSNNESTEDQRQSLEDAARSPKSPLLS LFSKIFGRRQSTQQSQGANDDKEKAVEAPDTQIHEMSAPLPPAELEGEDCMSWNDDTE LGTDNSHNLSAYEIARQKLDRQLQGPVPSYSPPANEAEIPPEKAIYQPNPANGPPASL NLSPSASLKVSPEGGSNGNSMVLPSPMTPMFDPNGRPTNAVSPSVATMPVSPTTDIVS LSNSPHSPHSDHHTIDSMTMGSDREGQLSSNPSMNLPQQRLVQRTPIDPSRVVFLGAL PSDARFSRNAVPQSTSDEDRQAASGLSHSNHSSDSLGSNFTVDEEGRGEEDPTRRLGL GTNGSSIGAAIVNPQQGHSADQPDTLRGSGRINPGEDLVHVPQLAERRYSWE FPSE_00928 MAVQDRSVLVLYGSETGNAQDMAEELGRICQRLHFKSRVEELDA VDLNALLQPKFVIFVISTTGQGDMPHNSLLFWKRLLRKKLPLGCLASVNYTTFGLGDS TYLKFNWAARKLNRRLDQLGATTFIDPYEADEQFPDGLDGSFVRWTGRLYNHFLEHHP PPSGLEPIPDDVILPPKWSLETPLQNSTETNGHIPPSLGPVSSSSLLPIPDGWTATLV GNDRLTPEKHWQDVRLISFDIPRREGAKLACVPGDCLTIYPKNFPQDVQRLITLMEWE DVADKSLDLSQCESLPTNLFTDSKSTLRELLLNNIDLTAIPRRSFLKNMSYFSTNPDH KERLLEFTMAEYLDEYFDYATRSRRSILEVLEEFSSVKLPAERLFDIFPLIRGRDFSI ANGGAHQNHPTDENKTRIELLVALVKYRTVLRKPREGLCSRYLDNIPMDSTLTVTRKP VLSPIHGAQNAQRPLVAIATGTGLAPIRALLHERLTQPSPGPMYLFFGNRNREADYFF QQELDALVAEGQLNVFLAFSRDQRNKIYVQDRLLEEAKRIEEVIFDNGIFCVCGGSTK MADAAKKAVFEPFSDDVKDVEERKKMLASLTWWQEIW FPSE_00927 MSTANTNNANVDAAVNDVANALSNTSISNKPADDKTAANEAASA SAAEGRRLYIGNLAYATTEGELKDFFKSYLVESVSIPKNPRTDRPVGYAFVDLSTPTE AERAIEELSGKEILERKVSVQLARKPEPAGEKSEGANGDGSGAEGSRRRASGRGRGRG RGRGGRTARAGREGAEKKEGETTEAVAATDDAATAAAAAPATTEVQPLSDITNKINTD ANAKTSKTQARPQRERRERGPPADGIPSKTKVMVANLPYDLTEDKLIELFKAYEPSSA KIALRPIPRFMIKKLQARGEARKGRGFGFVTLASEELQQKAVTEMNGKEIEGREIAVK VAIDSPDKTDEEQHEGDATNGQKENIPATEAAPAAAPATGAAAAAPAATAAALAAAPA ATKAAEATPASTTPATTA FPSE_00926 MASKGMWEVDPETRSKLATLQKESKNNICCDCNAPSPQWASPKF GIFICLSCAGVHRGLGVHISFVRSISMDAFKSSEIERMRLGGNEGWRNFFDEHEQNKM MGITWEDSTIAERYSGEVGEEWKERLSCKVEGREYVPGAKKPAAAPQKPASRTGTPMS GSTNRNESPAASRGKVKVDDQYFSRLGADNASRPDHLPPSQGGKYAGFGSTPGPSQSD NDLGFGDFQKDAVATLTKGFGWFTSTVSKTAKTVNDGYIQPTAKQIAEGDFAKQAQLT ASAFAKQAQAAGKNAQDGFSRFVEGPDQQKSRDAPLDESKKSFWDEFSNLADQRQPAN NSIGTSAMGMGKKNATAPPPKKQDDAWDDWKYRNPDIAGSAGDFVTWAVGRGWTETGP SRYRAELCHDDLAGFCECLVARQLRPRIEQQQHDMSALRILVPVKRVIDYAVKPRVNK SQTGVEKAGVKHSMNPFDELSVEESVRIREKKRAPGGVEDICVISAGPPKAQDVLRTA MAMGADRAIHVELKEGEEIEPLTVAKLLQKAVEQQKSNLVVLGKQSIDDDANQTGQML AGLLGWPQATQASKVEFGANDEVTVTKEVDGGVETVRAKLPMVITTDLRLNEPRYASL PNIMKAKKKNLQKTKLEDFGLDGVNRLKTLKVVEPPARQGGGKVEDVGGLVSKLKELG AL FPSE_00925 MFSRLASFANPAAKSASASGRTMLQVRYLSEKAVAGSKGRAMPF SAVRATAPAASLPATLTIRDGPVFQGKAFGANANISGEAVFTTSLVGYPESMTDPSYR GQILVFTQPLIGNYGVPSAARDEFNLLKYFESPHVQCAGIVVSDVAVNYSHWTAVESL GEWCAREGVPAISGVDTRAIVTHLREQGSSLARISIGEEYDADEDEGFVDPGQINLVK RVTTKAPFVVESPGASLHVALIDCGVKENILRSLVSRGASVTVFPYDYPIHKVSQHFD GVFISNGPGDPTHCQATVHNLARLMETSNIPIMGICLGHQLLALAVGARTIKLKYGNR AHNIPALDLTTGLCHITSQNHGYAIDASTLPSDFKEYFVNLNDGSNEGMLHKTRPIFS TQFHPEAKGGPMDSSYLFDKYLQNVQLAKSNQVVFKDNRPTPLMLDIMSRERVGVEPT PLAASA FPSE_00924 MTDWAKLKVVDLKAELKNRDLPQHGLKADLVARLEEADNEKVEI EAQEELEDAPATNESGGDEPTVEESDVAKPEPQDAIDEPKPVDEKPDSEMVEVEEKET DETTAPVADAEPSKGVDSGDKEQEIVKNGTSDEISQDADMVVNVPTAGDEVDPQSCEP TVEDKTRAGTVASTVDSTPSHSLEPTPAAEQQKRKRRSLSPPPTEESIARKRARTEEI ADDGANPDSRQEDPLPDTANEPAPMNVDSEPQTKEIQTESLQDPAPESKTLEGENQQD MDYERNVAPALHPATSALYIKNFMRPLRPQDVKSYLVELATHPRDPLDNDIVVEFFLD QIRTHGFAIFKTTAAASRVRTALHDSVWPNESNRKPLWVDFVPPEKVRDWIDTEQASG GPRSGVRWEVIYDDGPDGVIEVHLEEATSGAGPQPGARPQAGAAMGMDSIPVGPRALR DPAIPTGPRPVRPGTGPGPRPPPINPGGASKRTNAHPVIYYQPVSEDLARRRIDNMRS YYTSRRDGGDFGREINRYSFENGTSFVDRGKEVFEGIRPPHRERGGAGRDRRERRGGR RRRGGGGGGRPRSDRYMPPPRDDRRPRRGSVSDDGDTRMRD FPSE_00923 MSAQKTSAIADQEPVDVLFAIHEKFDLLDFAGALEVFTSACHNF QDPENSKAFEVTIVGPEAKVISDQGVVVGAQITYKEAHDRLEDFDILVVVGGNSKEVV AKELQPLSLITDFSELQKRDPARERTILSICTGALFLGKQGILSGLSATTHPDYLTSF ENICSDAATVNLQERTDVVEDARYVVNNLRFDLGEDESPYIRRKSDAGQGRRPSAARK GSMSFKDSNTRRESIVRRAAMRLGGLRVVTSGGVSSGIDAALYLVSALIDDATSLEVA RRLQWTWNKGVVVDGLDV FPSE_00922 MASSASLKDLSKAIVAYLSQPTPSLPDDLVQTIDAYLRRHQKQD DAAADRLQEELFSIFDKYVKDRPATCAPFLTILRQLLSTLRTPERIFAWWDSCSALLS KSSPEKGVVEESLANIMEIIKIAEEDHDGSEADLASNPLIDRLFTGWMEKLYPSVSEA LSNLEPNERITREALVQFGKKHPKELFTALDRYFLKKQYRKAVLRFLGDYLQSQPPHL HLILQTPLFTDIMRCLQQDSSTTIVSAALTVLTMLLPQMPSSLVPHLPTLFNIYSRLL FWSRERAGIIEPQAEDADSTSWEECGYEAEVDDHPIYHLLSYYTILYGLYPINFMDYI RKPQRYLRHANVANANDMEVQPTEIRDQSERFRRCHLLHPNFFTLTIDSEKTDFGRWI KSEASEVVAECMALCTLSESDQSVVQTLSNSETFTLNEVHAKEGQDPSLHRTAIEATG GYHLPMSASMDSIASSRRPSALIRRGSQSSIPSTRNSIDARIREPSIDSPTLGPHLIQ SASHTQLQDMIQSNKAIKSGLHQSLANDSVPSLSLSNQDSVADRPGPSALGARPAVST PLSLTETNSQVSHLQRQILLLQNDLNFERYLKQQHMAHIGDLRRRHMDEAVTEAETQN LLITNRNLKHRFEEAKKAEMQVRRESEKSRALAKKWEADLSTKLKKLRDESKKLKSDY ETLQKELDNSTAERDKLRVLLCDAEVKEVNWKQNMQSIELHSAEIDRLKSEVARLTRV ERDYQAKELEQQRAVAAATGAESKMEALSLQLASREGEIDRTRRLFQSQIAALQTKLS EALEERTRPSRGGKEAIESMLAASREKQAELQKQCDLLMRKYTALQSSLLDMKTETKP SQLRIETSNSPEAEQGSFSVSTSPVMMKTRPPRMLSSPDVAEGTAYNVTPPLDQRLEG AMSPKSAGWAHPPGSPDTSSLSMSPDHLRFGRGEAGRLLARSHSDLFATATQNRLRKD SKDKGKDEASAKKDKKPSALRGIRGFMYE FPSE_03316 MSSLEAKIVVLGAQGVGKTSLVMRYCKGAFNPAQITSTVGASFL TKRVVDSDSDTVVRLQIWDTAGQERFRSISRLYYRGANACILCYSITDAQSFADMGVW LMELRRNLPHDVVLHVVGTKADIVAREPSARQVPFERCIAYVAENLAPGVGSTPPPTA TPYHIPTPMSGGQAMSPPSMELHSPSSKRSSGFWAQEVGWDACHEISAETGEGVEEVF RVVTRKLVEQNRKIQQALLMATAIPGTPGYEAGMDGGYFAGADPRGSFRVGRDRRSWL FSPAFSPAITVEQAGTQEQTNPEARKETKRCC FPSE_03317 MAQPKGNLANLLPPSWKTSVTAWLAEDTPSFDYAGFVVGDGPRV ATLWGKSSGVIAGRPFFDEVFAQCGCTVEWHAEEGNDVDLSSGKHRVATVKGPVRGIL LGERVALNTLARCSGVATRSSRLVSIARKAGYTGVIAGTRKTTPGFRLVEKYGMLVGG ADAHRMDLSAMIMLKDNHVWSRGSITDAVKAAKSVGGFSMKVEVEVQSEAEADEAIEA GADVVMLDNFTGDGVKVASRSLKERWQGKRHFLLEVSGGLQEDNFEAYLCNDVDILST SSIHQGVPHIDFSLKIEH FPSE_03318 MPQSKLHPLAQLSRDEFVLARNCVLKHHGPETSLFFRSIQLQEP KKDDLVPFLIAEHDGSLDETTPRPARCAEVEYDMITDTREYTRTIVNVDKAEVVSKAI LEQHAHPNIAMVEVETFQDACVESQLFKDAMSEFTLPEGFAVCIDPWPYGGTFEDGYP SRYMQGLVFGKNVSHNNPDSNHYAYPIPIIPVMDLETKNIIRVDRLATGSSDDDFEAK ERDASPKKLFQNSHAAEYVPELLDRPVREGLKPLNVTQPEGASFTISSDGLVEWQKWR FRLGFTPREGAVLHDICYENRSILYRLSYSELTVPYGDPRPPFHRKHAFDLGDGGVGR AANNLQLGCDCLGAIHYVDSYLAGPDGLPTPAKSVICLHEQDNGILWKHTNFRTNRAV VTRMRELVVQFIVTLANYEYIFAYKLDLSGNITIETRATGVVSVVGIDEGKTSQYGNV VAPGVLAQNHQHIFSVRIDPAVDSYDAQDAQVVVEESVGRRIDPKTNPRGNLYEIERK KVSKASWVDAEPRLNRLIKLEHATKRNVMSGRQVGYRLIPPATQMMLADVASVAAARA PFAQHNVWFTGYRDGELWAAGEFTNQSNTEVGGVSDMVKRGDWFTDEGNGETTNGNHG DNEKGRLSSPVVWVSFGLTHNPRVEDWPVMPVEIQQIHLRPADFFTANPALDVPSTRN ESSVLVSCCDKTKVSGQDKEPLVQDSPISHLQGSGNGIEARTAGAHVDNGTEA FPSE_03319 MKASLFMVGASAILASASPIGNVLNERAMKTEWVYEVVTVVVTE GAEPKKAAHTATVYEAPQAPKVTQDAVKVVKEKPAPAPVVKEEPPKPKVVTVWVDPTP TPKVVKEYTEKVVEKPAEKPAAPAPVYEAPQPVVEKAKTTIQKVVKPTPTRAEPKVTV EASIDTSNLSLDGAYDTVMLAYHNIHRSNHSAPALEWDDELAGYAENTANGCVFEHDM DQGNGGYGQNLASWGATSDIDGLKNKAAAGGITNQWYDSEMSNWAFYGQENPPSDMNI DLYGHFTQVVWKDSTKVGCATVKCPAGTVLSFPSWYTVCNYNPQGNFGGRYGDNVLKP EGAKRVTV FPSE_03320 MSTRRPQFSQQVLIDTTPLPSDIPAVKEVGASSAPLLSASFFIG ARCRDYNDDYMQCKNENPGRGEFECLKEGRRVTRCASSVIKDINTHCLAEFRKHWECL DDRNHQLWQCRPAEWKLNKCVFDNLKLEKKIPDQPTNSTPVHLRPVQTFADVRIGPGD GKPFVPAQEDAQQ FPSE_03321 MSLFGQAKPSLFGQSQATQASAPAQNTGFSFGQSQQQQQGGAFG ASIQQSQPQQMPALSQSQAQLSNSLWQPGKDTPHQKPILEQMKLVTEKWDPANPNCVF KYYFYNKVDESHVPYYKPQPHEDPREWEEALQNKPAPGFMPVLCSGYAGVADRLKTQK RAVSDFNTRLHQINGSLDAVLQRHELETEVRAVAARRRQTTISERCLALAARVQVLRN RGYALSGDEDDLSSRLQNLEREVQDPAVGAREEELWSRLIVLRGYADQLSKEMDKPSG IEEETIDPEREAKAKRVLEDYEKQIQHIKKELEALAVDYSDWDKTRNPHSK FPSE_03322 MFGGFAPPQQSQEEIRALEADAAFTVQGAITTAVLLYLSPFALD LVGKIF FPSE_03323 MSSSNPRDQKEPVDEDLEEDMLAADDAAEEIAADEDIAMDSDNE EILLQNDSIGYFDEPKDSLFTIAQHPQHPSIIAVGGSAGQEDDAPGAGWVFNTASVQS RPPLPASYSSDPTADALKSTQVDSLFSLDGHTDSVNTLTWTLPRGDVLVSGGLDGRLR AWKATFSTDALKMDFLGEAQEVPEVNWLVPCPSATNPNTVALGASDGSVWVYTVDPTD QANPLQIVQSYFLHTGSCTAGAWTPDGLLLATISEDGSLYVWDVWGEATAKNLVGDNG MTAVALTAEDQRFEVDGGLYSLAIDPKGAFIAVGGATGAIKIVSLPRLAPSGPQPQAR ARAGGKAASQPAATAGGQILAALHTQSESIESLALVTTPNSPPTTLLAAGSVDGSIVV YDATRRFAVRRHISGAHEDHAIVKLEFIPNSWQLTSCGMDGAVRRWDLRGAGATNPNA TATAAEAGLQKEWKGHRGDGEGGGVLGFVQGQTGERIVTAGDDGVALIFES FPSE_03324 MEQSSNLGQSENSRSETFGNDAKSDAIAQALLAAQNLSSKSPLH PSTSTEQPTSMGAQTAAKKKGTASAIKKPGKRSNNTGGPRAAKKAKSVQSGSTSGEAP ESAGSELEESDHGPYCICRGADDHRWMIFCENCEDWYHGECIDLNKEIGESLIERFIC PLCTTNDLKTIYKKTCSLGGCRKAARLTDEEPSAFCTSEHAQTWWEREVAKLPKGKGK GGSNDRLTQEEFMALLGSGLSGFDESGLWRLVKQPFSGETKAANGSGKEGENDDELHL SDEEKEFLKKAAAARFQLAEETVLCHKMLTLLEFAHERRQAAINKGRFKEDICGYDQR LDTVSARDPFDAFAKSPEGEAIFKAARLDDPLGEGDEVRGMCERKRCKIHGGWYKMFS SGLKHHIREMATQADEVGEEERVMRQAAKERWNRKQSENNWVEVLEV FPSE_03325 MSNSFATSNAFRGVFSSLSTRQCQRCFSSSALQPKQLRPTLPRA PIQSRQPITQRRTKYKTIEQAKSRYSNGPFSWKAGFLFVGTCGLLVWYFEFEKERMQR KRIAEAAKGVGRPKVGGTFELVDQDGKPFTSEMMKGKHSLVYFGFTRCPDICPEELDK MATMLDIVEQKAPDALLPIFITCDPARDTPKALKEYLSEFHDKFVGLTGTYDQIKDLC KKYRVYFSTPQNVKPGQDYLVDHSIYFYLMDPDGDFVEALGRQHSPQQAAALILDHVK DWNDKK FPSE_03326 MQRFGVVGQCRFAARRLTQPRSVAPVAATALRASCLSQRTFHTS HTALSKPKGSLGVNPNNFEEPTDLARKIFSEFAFAFDIDGVLYQGRNRVDGADKVIKM LRSNGIRYVFLTNGGCVPESKKEESLQERLQIPKHEDAIKGRMILSHTPMSGWSEDIK NDGTILITGSHPEKARQIALDYGFKRVVTPADILAECGDVFPFEHIEGEINGKPVPLP DGKRIPLLKDPYSTNIPANAVKIDHIFIWNDPRDWSVDIQLIHDLLISHQGYLGTVSN LNGNEKLPNNGWQQDGQPGLWISNLDLLWKTNYPVNRFGTGAFMEALKGVWSTTTNGK ELEYSALGKPSNHTYRYAHERLLQYYHDMACNRGQSPGHDKSKCHPLRRVYMIGDNPE SDIRGASEFEAEDGTEWVPILVRTGVWRQTATEKEPRYKPAVIVDDVVDALVWALNNE GIKADREWVLSALSHTKGYVKLPPLEGGVHNGDLEVGAKYPSELEATEAKL FPSE_03327 MKPNDSSSNALSSLYEAIGLSEGDTTMRCFGQAQGDIYCVTPLP NTRKPKIKRLLQNLARHELGSECPDAARTVEMTLAELTKNLICLRPIKPHAVKFEIHR KSYKNNMEYVYFLLTSKFESWQLERKAEEQRNNRQHTPTRTLQKSLAKLNGHESNSKN VGYSGEDAYDSSYGDGDERDDEISEEDDGCSEETKDEDVFDTRIREKLQRPKLVRESP QGERDILVTPVRCRRERNQRMVHSGTKAAKDSYDSPSSLSPSDDEFIVSPESVTSPDP SELFTPFSTVSTPGSLASTVEFESRRSSSRISHRKRQDASPTRGAESTDLDILSKDMR RKLNLSGSLSGTEEDFGDEGSSDTQSQNCESDKEAATRPKFRQPIIFFPKNQPVHEIL KYMRKSPGKKGFGPGCIYGFTDPTIRGRHIKIGYTDGSVEKRMKEWETCGYKPILKFE VPMPCAVKKMEGLIHSTLHIEAEYASCPAESCKKKHREWFNISKREAKGVVEMWKRFS ELMPYTETRQLNDIWQGIATAQLAKPWSPDAKVWLKEELLAIVSKEEKLAKLQDSLDK KKQEREGIKQQLLQAEEDEERLRKQLEGLAIGNR FPSE_03328 MSAEPSPATNGSPAQQQQQPQPPPLRSALKSEEDIERPLPPSGS LKAVQIAEPESEIQTLEDDSQPTKQYHTNLRRRLSGKLPPSQLPNSSSRSSLSDSPST SRAPEEPAPTSNPSSHHHHSHRRQYYSEKLLAQVGDWLEHERAKAHARKSKKTSRRRK SKSPNKENQSKSTGESEPATASTSAQGSEQSSNGRPRSNSVDSDSSDTSFDRLQRILE ESLAHMGLNGLPHMAPKMTRPKQRKVPSRSSLLGPSSDTEYADGDAIVPSCDVWLDNT KTMSYAGGNADAEDQDINDTDIKADKGKECWLSFKNEIIRIAHTLRLKGWRRIPLGGG DIISVVRLSGALTNAVYVVTPPKEIDETDGKRKPTKVLLRIYGPQVEHLIDRDNELSV LQRLARKKIGPRLLGTFQNGRFEQYFESITLTPMDLRDPDTSRSIAKRMRELHEGIDL LPHEREGGPATWKSWDQWLDNVERIATYLDNEYEKHVEGQHGQQGSVAHAWKSRGYVC GTTWPEFRNMMTKYRVHLNSFYKGGQREVKDSLVFAHSDTQYGNILRIRPDDEKSPLL QAANKHKQLIVIDFEYAGPNTRGLEFANHFNEWTYNYHDAAAPWACDVRRYPTPDEQR RFIKAYVDHRPRFQGSNSTPRLAPVDSNASSGMSTPLATPGNPATNSSSSIVEFMLDA RVPPGGWSAAERANDEQRDQRVRELLEETRQWRPANHAHWIAWGLVQAKIPGLDESIK EEDLLSPDEFDYLSYAQDRTMFFWGDCVRMGLVKLEQLPPKLQQQVKTAEY FPSE_03329 MVLRKFELEGKLGEEAQLIESGVLLDENPLDETPEFHEFLMACR RGDLRKCQELISQGVNINGKDRFDYTPLIIASLCGHYELVQLLLESGALAERNTFQGE RCIYNALNDRIRNLLLQYDFSKSSDPYVYWSTHISTLLGRTSPKTTDITLVSGSRSFD LHKFILVARSPYFRSKLAAVPDTTTWKLAHTVPVQSFLIVIRYLYLGEVPRDIAPVGG ADSEEDVLKGLDKVSKQLEVESLWEAILAGGDRRLARQRFQAEVERARGQFEDFFKHN VLGHKMVVDTDQVKNVKWKYDNSMFADVILRADEPIDIEDEKSGQATPTEDAPTIPIG PAGDNESVIKRKSILYPAHKAMLIRSEYFEKMFSGDFVESKRDDHLRIITVDCTPAVL EIILTFLYTETAVCPLEHALDLLYAADMLFLDGLKSKAAQAISTLGSGNANALVDRTH GEAQRNGEDGPVVEEAEMEPINIYDVIHAAWDLRVQRLEEFTARYLAYRLEDYIDEEE FQTLIAESAQRITMREETDTIELLDDIRYYLGDRFRLRFEDVGFEDMMDESGDIIDAD LAAAMAQQADISANATNGISNQNQNGVQENGDGEAKDGEAVRTLDGAEAEDEFASDAI NYQILQRKIDAMLDRLKLDA FPSE_03330 MIVGEYMFIPQAWPKIGSLSKCIVVTAVFLPFLFLYLACSADPG YITPENHAYYMSLYPFDHTLFHPGHVCRTCKFLKPPRSKHCSLCKRCIAKADHHCVFI NSCVGYGNQHWFLLLLASTAFLCTYGGFLGMSIITARVQRYSPGWSIWKPSHMTFNQY LAGLGWGIQDNVNMGASSLLAALTSPLVWGLLLYSLYLVYSGTTTNETLKWSEWKEDM ADGFAFRRSMPADREKNERVEPRCGRWPVDVQQIIVTTQDGQPPAENLRLPGQGIWER VWNLSNVENLYDMGLWDNLVDIFVSDYGFGQRADEPNAERGRRR FPSE_03331 MTPVKSSWSRRLQMLGTASLPALLVGGLILILVGANALSNPTST RNPTASGEFGKSRVSGQLAFKIGGEWSVQSWIAVTGVAFGLLSFGFAETYMHVFDAWC SRQAHCVNGLDYARYLNSQARAPVRYGLRGFPVFITLRYIITAMCIAASIGYKFAIVT PEVRVSENLGADVVNYLPHRMSMITNDSSFANPEPWISDIPLHNDSRAFSHAYPSIPS YNNDALEHANTTVPPVSIIMVGHASCMHNETLNPYFSQTDIGIIFTRELALIAKHSEV KGTFTMTEDKGDWQRIEASNSGIFSPPQNAIIEYRVPKFAELEVQWAKAPNDSSNMWE VPVVQRSRYTINAGMAQVRRRLETQDCKGLIGFGGSGVTLRAVNNDTLGYFLQRRGIV MSKDEIGQDRFNITKKIPEYSVWLEPLVKTQDTTLLASVSAIVRAVMTAYRMDMWGTP IIEEDDMPFGEETDHVSETYPGLKSPFYVGTRVDRYSGCYSSAAVVFIVFGCFAILVG VFRVWLGPPVLTSWMGQHVYLARTEGISLSEKVSSLASGYQVAKPDLGRLRLSTQKGE EAGAMLGHDNGTDSEGQSTKGRGDTGEVHGIVTHVDGVGLMRR FPSE_03332 MVLHNPNNWHWVNKDVSGWARSYLDEAVAKVQVEDGEVKAKIDK IQSMDGDVDVSQRKGKVITIFDVKLVLQYSGSAPGEDDVSGTITVPELAHDTEEDEYV FDVDIFAESKEKQPVKDLVRSKIVPQLRQEFQKLAGALIAEHGKDIQHAPGSNPSSGF STPKVHPQSSTPKPAATTSTQSKTGSVVNTTTVTDNEEFRTTAEELYQTFVDPQRIAA FTRSPPKVFDGAKVGGKFELFGGNVSGEYLELEQPKKITQSWRLNQWPAGHFSKLHME FDQNDVDHVTVMRVKWEGVPIGQEDVTKRNWLEYYVKSIKQTFGFGTIL FPSE_03333 MTSRDPARLRQGLLPLTTTPGIYNNHLQTPISAVSMTSSHYHSA AQTPGSSIQPYNPQEWAPTQAAVAERAVQYAGEVQVSPLPPPPYSPPRGQQQRPISTV FDSTPNGTPTPPPRLSATMHRPSPEPANASFPPPPGGRNGSRDRRFLPSLGRRRDTDS SSTPPPQPPPQSHRQSMIVQTSFHQPTPEHGIGGAMHHGPPAARRAVSAGAVETPTSA RSRSTSQSRWEPGMPLPPPPPGPPPPSSSRSQSVQSMDRNSVPIISPPINRRRPPAGV TNLGPVPPTPADWNENESQAGPSDRRRSPGLTIDTSIPSSPPIEPGPGSSSSINGLNR QKAVRHDKTILQRRTESRTRHSIRSSIDERTRPDSMADIMVPNSTDLSRASVGRSTPL SGTLASQDSRNSTPRAPASGKLPQEAETPPFSPNAHKHVQYGSSSKAVAPKALPTPPP RSGSIASVSRVRESSRPPSAGLPVSKHLVTTQTADQFAEGTVDRFREFAMKESRASTD ADRVRLFADFVVNESRIRRERYSVAIGAMGSEIFDLTRDLFRPMVTQTRRDSASSQDQ YTPGLSEPGQSRRGSVGSNVGESPQSASKAANVPVSPSGNNPPNMNWSGNYMPSLSPI LSMSVSENYENGSSRGRPPSRWWESDSQGEASHLGRSKRESKYMGVTKTQWVEEDRPP VVYEEQGSVSEYPPEKTGWHDQSEPSLTPQQFGFAIASNVGSSPSSTTNRDHLDVSRL VTMPPPYPRHHPAVNNKHPELTSIRTAVRALSQLDEVTKTRERFTVESTKRREQFSKA ATERRQALRTHLQNEINTGSLSYADAGTIDADEKVNEIAKKKELEKSEYELFQNQVVL PLNELLTGRISRATELLDDLSLHLFDNGHIDADMPQEEGDDRPELLEKLTLLKWVFEA REGLHRAIYDLLSDRNGRYCEVVLTPYRLSGNTEKLQSAEAFFAEDSAKREYAFAHEV LERTRDFRGVVEKAVDHGVALQLSAFWDIAPPLCELLDSIPLDLEGFNIRIPASEIEE NPSYLEHPMQYLFSLMLHAEKSTYQFIESHTNLLCLLHEVKEAVVHAKGKVLETQTQD ENGNPIPKSDSKARAQQMRHAEEHRLTEDLKEKVRLVQDQWNSSLGENMTLVKERLGE WLLQMGGWDESLEDGGVGGA FPSE_03334 MASSPASLRSLYRSLLRELPPRPVLASPRSALHSRLRNSFTSGS KDATSPDARAHAAAQAIAYLRSQRMYATLLERYNPGMGMDEEERVRLTARRVGMDLPV EYK FPSE_03335 MAAPSYASELKIAELAVQRATILTKRVFHEKAKGTVDKNDKSPV TIGDFGAQALIIAALRHNFPDDAIVAEEEAAQLQEDANLKQTIWELVSSTKLDDEDAE KQLGGPIKDVEDMLELIDRGGSQGGSSGRIWAIDPIDGTKGFLRGGQYAVCLGLMVDG DVKVGVLGCPNLPVDDSARLTSDIGANATDEGRGVIFSAVQSHGANSRPLTTSALSAQ KAISMRSLDDLSKATFCESVEAGHSAHDDQALISKKLCITQDSVRMDSQAKYGSIARG AGDIYLRLPVKATYQEKIWDHAAGDLIVREAGGEVTDIHGKRLDFSVGRTLANNKGVI AAPAAVHGKVLEAVQEVLSAKQS FPSE_03336 MTKHEKLSFNGLNIVRGASQHCSTPTTAISTPMAAMFAPSRGKA LGRAITASSSGPVTLPGFLVPAWQATAAPRATTAPFSTTSKRPSKLGRTPLSIPPDVE LSVGEPVAKRDLTSYKKVYKKTINITGPLGSLGLEVPEYVQLTQDAEAKSVLLSVRDN EAKDQKAMWGTTWSYLRNYIMGVSEGHTAILRLVGVGYRASVEERGGKEQFPGQRFLC LKLGFTHPVEEGIPRGVTVTTPAPTRILIEGIDREVIMSFAGRVRMWRPPEPYKGKGV FINDQTIKLKQKKIK FPSE_03337 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDSNGVYNGTSELQL ERMSVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRTLKLS NPSYGDLNYLVSAVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVSVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGRVAMKEVEDQMRNVQ SKNSSYFVEWIPNNIQTALCAIPPRGLTMSSTFIGNSTSIQELFKRVGEQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAGIDEEEEEYEEELPEGEE FPSE_03338 MEGIEATQAVVAMDLSDPDIELCTESIEHDSPTKSTKASEPFAS PAPEPSASSPTIEADDEQDTISKIIEVKKTKPTLHVRENLSYWKCNQTLQDGSTCSSY NVMEFKQCKDCKSRRGVGDDGTDAYGTKVAKIFFVDNKNGDEYWQYLMEE FPSE_03339 MEADIRAVLPNIDPVVSEYSVGYLTHASTTYSDEDDTSGESPLN EAASAITELLLSASGQFNPETEEKIKQLVEKWVDKYTESNGGQRRGPSIVRRLDQTIQ VSQQRNMSSTLAVATGGVDLESANARKVESKVDRKKLEKAERKIAAKQQKKTFKTVEY EASKLLDQPESTQSYEEFYMAVNPLQLGAGSANKSKDIKLDNIDVSISGQRILTDTTL TLAYGHRYGLVGNNGVGKSTLLRALSRREVAIPLHISILHVEQEITGDDTPAIQAVLD ADVWRKVLLREQEQITARLADLEQQRSSLADTSADAARIDRDREAQDTKLGDVQSKLA EMESDKAESRAASILAGLGFSPERQQHATKTFSGGWRMRLALARALFCEPDLLLLDEP SNMLDVPSITFLSDYLQTYPSTVLVVSHDRAFLNEVATDIIHQHSQRLDYYRGANFDT FYATKEERKKVAKREYENQMVQRAHLQAFIDKFRYNAAKSSEAQSRIKKLEKMPILEA PEAEYSVKFNFPEVEKLSPPIVQMSEVTFGYNKDNVLLRNVDLDVQLDSRIGIVGPNG AGKTTILKLLIGKLDPSSGLISQHPRLRIGFFAQHHVDALDLDDSAVGFMSKNYPGRT DEEYRRRLGAFGITGTTGLQKMGLLSGGQKSRVAFACLALTNPHILVLDEPSNHLDIE AMDALAAALNEFQGGVLMVSHDVTMLQTVCTSLWVCDGGTVEKFPGDVQAYKKRITAQ ANAAGVVKQH FPSE_03340 MEATMQTVPSLIGTGTAIAAAASGLVFGQPTTEPNTFSPSTRRP SHSRRVSIDREPGAQSPSLSNFASSRPATSNAAAVFGASHHYTLPRPVTASRPPLSRY QRPPMPQTQSTPPQLNSRQSSLVRHSESPAPIPESRDSISSNGSWIRRFSVRPLSRHE STRSSVGPDAPSIFSHGSAAPILRGSPAATLPPNKLVKRSTNQIDPDPLPRRRRAKSH LQILPTLRRPATSHQRSATLQQFRPDSPAVFTPTDSNNVSFDDQARPHEFLDPTSTRP PTRSTSLRSGWKSYFHSKRFSISGRTGLSRLGESSPHARGVSLKRICTDINERGRVYL VKPRMVSAVPAPQGLLPAAPIQSETHPPQTAEDKPTQSPETSPFSTPRNSLSMPFASG ETWAVRGTGSTQRSKRSAEPRSGNKRRVSEPLTGAQSGAVPRTNPDLELTLPATSTIS DRQGLSLGSAANMQLRSRKRNSSSPVPPPPRLANFHMDTSRLGYSVGVSTHQYRPNQP SGSSTSSTAMSQLRGPHHDRASTMESSEGDTRDCTSVDDDDTEYKSDCVFDSLRTIGS GRARAVETPLESVYDESPPSTAGNGTKTKRLSIHEMLGRTWDEDDKIMEEDENASTPV RTLNRSDISPHFRLESRFNSSPYDIPTSATKEYSRLSFDDEFDDDWARDDEFQCNPLS PPSKGSSLNSRGINPNVRLALANISGNGIADTNGHDAHNDRPLSSLFDWSEPPTHEKS DTGRLPRPMTSYAKQLDPRGGRSANRRGPAPAHVRSQSVPVVHDAPEASKPTGAKYGT WGMATKPVSEDWDDDFEFGSSGVDNNDKDNKVFAVPESIRATQPSVKAHSGHIREFSL LVNDLKRLCRHARDMDMLEGSQKHLWKEADGIIALASPDEESFDDDDDQKSTSSINFD AFDIDERFGDDGFDDHSMNRLDAAFDGREPPMSKTTVVRERHSPRRRSVFSPEDDIFG NWPITETPPSNRPSRPRTPENKYNKANDVSGVVRSVIGAMQHRVPEQVPDKGKVHFDT NSLKSLVKRAGDLRDTLSDIIRQADQITDSPMRTPRNIRQQESSPAFTRVFDDPGSSP PRRVARSRGSNSLREAASSDNSPPSGLPQRMQMMTVN FPSE_03341 MTDSTRQALIAAFTFGLVAYAGTASIFFLNKRTSKITRDAPRLA LIAFLISSALWAQLQFGAFLLNTDSTSSCQVMIVFASTFDQFARVCMQQSLLWTFSAF SLVSLTEVGVMQGLVVLRLILGGIFVGFQRPQLETVCVTMTAVLPVGITVSVTDTAFM AFLLIRVISRGAYSDNQKGAITSNQSRAVAVIVLGFIIWTGTSAPLMLSIGPMPIVLR TTVPAVGLLILIGLLTFFHHRLFRDISKPVQTLDVPDFDASRGFDTRQMYTTEIQGGA DYRDDFAATTRGDFTGMSKPLPVATESERLPNISMPAAGQANVGMGGVPVLGQLFPLP KTQAPPFSTEPIEVHRAIPEQTMVNRWSSGSSLDERAMWENGDNQVAPRLENFSETTK PSAEPEVAVTTSAFLSPGMEGVRRRSPRQSPQQSPQQSPRQSPRRLPLPSPALSLFPR SPRSVSTPMKAQVQTPVKTTEDGIVNFSLPVKAQSLHPQSAKLQPPKSAPLAAIPRSN AKEILSKREDSARTLSVVHRPRPIPRKSNIDRALFPTGGSPSLQNHKRSQSLGADRSK QPLDTPADIDYDLSRLNEFANVLAANVPTQSETTNQRNTRRRSRSMGSLPIQAADLVP MPPMPELSEAKPKMDHGDASVTFLRTPESLNLAKTSTQSPESVQTTVIKMPAASRSPT SPSWKQELASRYGRRSSPILPIEDLSALTPSTVRDDDMTMDMADVRSPAMQVHLQRAL TVTVTPKSQQRSRLGVADTTLPFREESHQSPLPSADANVGIGSELRRAEELEAKVGDV RPTFSARGYSTRPRRSVPPAPLVLRRPDNMYVEPSPVETDDSVSVEGVLQNDSVSPHA SYQITENDRLALLTNLELELNQQEHQWQTIRHTMLARDSLSTVGSPPSRDSRYGSARQ SQSIARGSRLVQRGELDADYDLLALFPQTDMKANAKRMPSSTYPRFTAPLGTPTPPDT DEESEYDEDELEALIGQTESTPAKEAALLWRPTMPAEGLAANITTLSLWTPASRPVEG FVPKEIPGESKRGPARKDLRPLVIESSRLWEKSESKPTSSDNGLWQPTSQAKTQVLDV ETQQQQAKPTRNPPRRIRRVTLLPDILESPKPLPNKRGTLGIFQFPWGDRSDSATVNP WPLSTMSVSEMIYQAGALDAGAGAGASGMGMQSNVSQFDMDDYEQDDGDYYDDSSETY DSDDEDMFERVEPASVAQSTDKWLQEAPQGKMHASHEDEMEARSESEYDDEIDSDSGS GSDSEAEPMFLKHFKSLEHEPLADMHSSGNVSDDKANQRTSLRTQDEHDIEQTGDWSV KADTSAATPTTTPTMTPTDFDLREQEEEKTRIRVPDRRQPQQIASISMPVPQTAHCLL MDVDQASPGAPIRATDSPHADEETCTDRESKSRGAPSAGYPSAPVLLSRFLERSIPIS KPDAGADADTVSPSPLKPNPLVICKSETPTARERTWVNTLGYPELSSSSLLPSQFSSL QQATTSTLEYSMALWTPSTPVARPNYGLSQPDTATWNSYLPTGEAARLVPAKADMTSI KSNSLWTAPAKKAEYNNFGLWGTKQPLPGMWTHSPVNTKISYGLPQPDAETWATYLIV QDDASRVKPREAEPASVYSTSLWAPTKAAIPETPSEDGLWSGSSSAASVSGSESSTLS DKAMEVVNFGLWEPLPAVVEDEEPVGLFSLSHRRTNYRTTKMAPAAQGMERAPRRALE AFPDFGFTHLWNMAPLWDSKANAAAIKLQQEIDLLVLEGLFSLNHRRNTFRTTSASPA ALDTRPKQRISQQSLPQLTSDSLWSVHTARKDASQVNWLSLSTIRPRATSVASSFSDN DSIMSVPALTRTSSIKSERSRPAATPTEWLAALDEAIKLGSGKTLEIDTGDSYQLWSK PDADVDEPVIASDELWRPMNNRFLELTPTKSEFDQMHSESGTSQRGRTQKARPPMPMY PGSSSSAFLPGASETPRDFSAQALWAQSQSDAKEDGSWMNRSMRKGLSFVQLW FPSE_03342 MASKKEGQLAKATGAPEDFTPERFEKELKDLAAKAKENTFANRA TKQALVYFKTLMLLGLLGVASSASQLNLSPIYGSIPAAVTHSTALKVACFIGWAGNLI LNMYLPLSTMQLLPLIALNIPAIQFLTGCFTDRLGNWWGPLLIESLTIYPLAISSAAA VADILEDADLSVLPKFFADAAPGIVSWSLYRLAENTSMDKLQGVIGSTFVLTRVGLEL FVGAIYALMAPSKYLVLAIPALLHTAVLNTHVMTPMATESLNNTLLAQNWTLLDRRES LTGYVSVIESLEMGYRLMRCDHSLLGGQWVHVGGRKVSEPIYGVFVMLEAVRLVERET PLADNEASALNIGLGIGTTPSAFVRHGIDTTIVEIDPAVHEFAQKYFDLRENNPAAIH DAVSYTADLVNQSKTFDYIVHDVFTGGAEPVDLFTLEFLQGLGDLLKPDGVIAINYAG DFGLPTPALVYRTIKQVFPSCRTFREHPRDEKNVEKWGSDFTNMVIFCRKTPGDIKFR RPRTGDFLDSQVRRNLLLPKHEIKEQVFLDDEGTDILAKNDTSKVTKWHQSSAAGHWN IMREVLPGKVWEQW FPSE_03343 MSPQSSAPVQRTAPIAIAPKPPRPEPSPYRHDSFHRLEMGHGVA NSAPIEGSSFNASSIPPCLSCRYSRVNCIPNDDDDGCIQCQAAGSECSLSSSPQSRKR KLNGDASEDIIGKRSLSSTAASSSFLEEMANVGGPTMLKRTLGLQDDRYSQYIGPTTD FEPSLINLSSFDPHDESLLSRGTLRKVSEHDTFLMLPDSSTPGHEHVTQDADHIESIV APHGRHLIDLYFSVVHPGFPIIQKHVFMEKYERSYREFSPPLLAAVYIIAINWWDQSE ELAGKPRPNVKELESLIRATLDEATFRPKLSTIQAGLLLSQRPEGDQWAPTAQLVALG HELGLHLDCTHWKIPPWEKGLRKRLAWALYMQDKWGALVHGRPSHIFATNWGVQPLTA NDFPDVEWDENDPDEKLDIEKGRVLFTRAVQLSEILSEILDTFYTLQAMNTVANAGKQ GTQLVLSLAKPIQLKLKEWYGGLPQLIRLDSSYSSLNPSSSRLSSIGYLHLAYFAAEI TLHRRIIRSLASPSSSVDSYVQHICRSAAKARLISAMDFVNRLGPNHLRSFWYFASKT NFALIGTFGSLLWATSPGREEADWYRRRLGEYRWTLSVSSKPGEGTGLTEFAMTMLDI STGLLKQLPEKPSMSRNGSHADLSVSAPPIFSGGILDSLGSRPTAAEISAMRSPRTDD EEEMEEMDSSDDDMEDYPRM FPSE_03344 MAFKIVVPSEKEVPGVADTFFAAMDINLLMHSQFPTPKSKEFMY GWLLKDTMDHIQSADKGVLVARDPETGKIASFAKWNIQRQPRLGEEEDHDDEEFPDYC RRQYLGPYAALTKSKRDKLLGEKPYFHVTYLCTDPEFGGRGAGSTLLRRVQAEAAAEN MPVILEATMNAVSFYQKLGYQVQDKLEMMLPARGSDEPTEHYEERIMIWQQQARD FPSE_03345 MLGKFLVAALAVVAGVNPAEAQQQNIFVTGVPVTGGSAVPARRN INDLVNSGGPTLDLFMRAVRSMYDAKETDWKSYFQVAGIHGKPFIQWNGGGGRNGNGW PGYCPHGESIFLTWHRPYTLLYEQILVEHAKRLAALYPAKYRAQYVDAANKLRAPYWD WATDGIPTIITKTNVNVKVPNGSGLKTINMKNPLYTYDFPKNALSGKYGAWDDEDRNR IYHCPAPDSFPSSANGLLRKRPYKQWVYDVMTRPTTFNQFASTGANGASLEQIHNAIH WDASCAGQFLATEFSGFDALFWLHHVQVDRLWAYWQAMHPTQSSFTGSYSGGSRYSTP QGTRITQNSPLQPFYRSKGNFHTSETVKSIQSFGYTYAGLEYWHMSQSQLSSSARSTI NRLYGSSSKKRGLEERADGTTTRYFVNVQLDVTEVERPAQVNVYISGKLVGGLVVMRQ PAEGIIHGSFSADQAADTPQLLASCSPDKVADAVNKGIQVEIVKLNGNKIDLKGVPSL KVSLDDVAYTPPAAEDDLPVYGKSITKPAQAAALTNARAGQALNVCNRELPSFKPPV FPSE_03346 MTDADLSNGPFPFILLQLHHDEMWKYWICQSWFRYNKIDIPITK FPSE_03347 LVTATTRAALNDGGFSHVPIIVGCGSQSTRETIDYCREAWEAGG DYALVLPPSYYATLFGPASETILEYFTRVADKSPIPIIIYNFPGAVNGMDMSSDTIVT LAQHPNIVGVKLTCGNTGKLNRVAAATRKISKQNHKQPEFLVLAGSADFLIPSLSGGG HGILAGLANIAPRTCIKTMELYMEGRVSEAQKMQEVVSQGDWTAIQGGVVGVKAGLQA WMGYGGFARSPLPRPDIEQTRRWKEGFRDLVMLEQSHSL FPSE_01066 MAPASPAKQGNDSQWPPRSPHEALLSTPRGRERYRQMMTSPTSS PSKRGRNLPSMNLMAEIENDEDDEDEETLQLKLQEIQARLKLKKLQSAKSKKTDDNVE NLHATTSELAISSQPPSRSRRATTPTRAELPTQENNIQVPASPVRRIQEPQVPISPSR VLLGIDKGLKARDISLKRAPSYRDSQTTADIGHSGYLRRSRTTGSANSSFESRPLSFN ERLASARKDDEARAQRQKEIQKLRSNAFGISQDEMEQYKKKAIEIPDEPIKTPSFSRD EIMGNAKPAERQRSYTVPNIQSPSINSPATASMALTRRNKTPPGEVSDEQAAGIEPYS TFHLSKRILPHSVLARHVSGKKVHMIKDLLRVVKAPDFALPDIEQDIVVFGILAKKSE PRAHKPTQKNGKTEDRGKYMVMTLVDLEWELDLFLFNSGFTRYWKLTEGTVIGILNPT IMPPPPGRHDTGKFSLVINSDDDSIVEIGTARDLGGCQSVKKDGDRCGTWINKKRTHY CEFHSNEAIRKQRSTRLEVNGSSFGARKNSSREVYHFGAQKKEEPKKYDWETKTHWFA SRTMSAGDLIDGKDRTPHDRKEKAEYLKRNMEAKEREREMMKTLGQVGNAAGREYMRH GGTRTSNMPVSGSSIQSSSSSANIADEVFRPDARSLGLLGKSAIHLSPVKRKRPDSSS AGSQAGSATTNGPSAFGWGSSLKDKLSKMKDGEKLRKDGQPPVRKKTRFVTDKGIREA GRESLGSELLNRQVMLDDDDDLVIV FPSE_01065 MARTRSTKPAPSESKAAEPKSTGSKFSLPPRTENASKVFVLPKK ASSEARIVTLPHPKHGRPARYLVCPETGIYEFTKVATPKTTPKSWLIENTPNSAPADS TSKVGVSMGQDLYLATLIDPLFLVLPALTETQSKSSEGKRLFLSSDDHFDKLPQDCSH LSEILRCDKTRKLIESRMAVVCDTVDAGDESMFRLNESKLAKAVLEKAQRMQDGGLAP TMEEKFVKKALEAPILVQKRETTETTTVAKTESPATVSDSTTDTEVSQPSTTVTSLTE ETTTENIVSAIEASSEIVSLQRLRVAFSFICSGYVAQALATQLEELLKKEALVDFSPL DEYLAKLAKLRAEAMAAHSIDYSRKRGLDEDEDDALVKKRKMEEEKKKKTLESRGVRD LKKVNTKGMKKMSDFFKKK FPSE_01064 MADDTTEYTESNIWQSPSQEDGPPTSRPRTPRTPKTPKTPTQER PEPLDREAALRKELEGVRNINESLEGVISTLERAGGNMNTVLSTVNNASVLLNTWTRI LSQTEHNQRLLLDPGWKGATEDMAEQEAEAIQKQQAAERKAAEEEERREQLRQRREEE EERRRLAASAPARGTRGTRGVRGTTRARGTARAGSSTASSTSRTSSIPSRGTFNIGRG FGARRARGTS FPSE_01063 MADAHGSTTPPPPSRIRTPPTPRLGYQDHWEPFSPRKSARISSK RTRTPSPGASDRQPRSPQTAKKSTKHLSAGIASPMTQKKRVPANDFVRRATENMHAET SRDGASRRTHERSSSSVIAAGGMLPTPSKTPQKPPTEKKAAHIKAVARNLFSSDADEV TLTPKKRSAKKYSGFSLESFAVEEIEEPIEIFTDTRDRVPVRDEREDNPFLSSENPFF SEAPRAGPSKRHDKRKVKVPGEGVKTVDELADRKDGMVYTFRGKRFFRKFTEHEVEDL DEIQAAEEDPEAHVRRPLTRASIKPRLLFQDVKTEEQIEEEEAVTDVEENVEELACPA TPSVSKKERESTPEAPKFAPASPPSTRRVTRSANKLTDESAPVIKRPSKRSPFDSWRR TKESAPKSPSRKRSGESIDTRETKRTRV FPSE_01062 MHILVTNDDGPPSPHSSPYVHCLIQQLQQAGHTVSVCLPHTQRS WIGKAHMIGQTLKPLYYRPSSVVHGDESPGTTHHRPSPSGDVEEWVLVDGTPASCVQI GLHHFFQDKGPIDLVVSGPNYGRNTTAVFALSSGTLGAALEAAVCQKKSIALSFAFFT RNHDPVIIEAACRRSVKVIENLYKQWPTDGSADLYSVNVPLIEGLENNKAIWTNVLQN YWREGGCFQEIEGEAGDENEEEERIREGVGGEVDDAARPSSRKGHTHKHFKWAPKFTD VYKSVEESEPGNDGWAVKEGLTSITPLKANFMLGAGELFNQKEFELDSGSVANHSTQE MALRPKDPSIQAVISYEDAYVQPLILSALNSLFPEGVFNVITEVPESDEPALAKIVPS EDNILQITAYESIDFEYAGSHEHTTLINSYMIRKALIRKHFLSTTVDHWVAKHPESVL KTHIKRSEAFEVDFAEFLDDALVEAFDLRESMDRNEEQSDPSSKEWWILKPGMSDRGQ GIKLFSSMDELQNIFDIWEEDQPDTDDEDEIADNDNDGDGITTSHLRHFVAQPYIHPP LLVDGEKRKFHIRTYVMCTGSLDVWVYKHMLALFAGKPYTAPADAPEDIESFLTNTCL QDSPNENTVRRFWDLPLSNGMRDDIFRQICDVTGEIFEAAAKAMPIHFQTMPNAFEVY GLDFMVDAQGTAWLLEVNAFPDFKQTGGDLKDIVSGFWKGVMRHGVAPFFGIESSIKD QEGAEDMVLVRKVDLGRR FPSE_01061 MADHDDNPPMRRRAPTITIDTTAVNPNTSADPPQESHPSHLQDD AVSPTSTADPAATAGMLQRPSRPEVNTSLSFENRDSRPTSPHNVSSPVLSRSGDKGAG FLSVPINHRSRQNSVDSDDMSRSVSSQGDTTVVASNSTQHETLKGSEYDHNKIINDET ALKPDTGTEQDFEVENNPFAFAPGQLNKMFNPKSLSAFYKLGGIDGIEKGLRSDRKAG LSIEEKSLGGQVSFEDATSKKQTPHNDVSNTQSGDSFADRLRIYKDNRLPEKKGKSLL QLMWITYNDKVLILLSIAAVVSLAVGLYQTFGGEHKDGEPKVEWVEGVAIIVAIAIVV IVGSLNDYQKERQFTKLNKKKQDRLVKVIRSGKTIELSVFDILAGDVVHLEPGDLVPV DGILIEGFNVKCDESQATGESDIIRKQAAEVVYNAIENRDNLKKMDPFIQSGARIMEG VGTYMATSVGIYSSYGKTLMSLNEDPEMTPLQAKLNVIATYIAKLGSAAGLLLFIVLF IKFLVGLPKMGPGVSPAQKGQQFLNIFIVVVTIIVVAVPEGLPLAVTLALAFATTRML RDANLVRHLKACEVMGNASTICSDKTGTLTQNKMQVVSGTIGTSLRFGGSQRGDDSSA STPVDTSGDISIGEFAKMLSKPVKDILLKSIALNSTAFEGEVDGEKTFIGSKTETALL ILAKSHLGMGPVSEERENAKVLQLIPFDSGRKCMGIICQGPNGSARLYIKGASEIILS KCTQVFRDPANDDSLAPMSDDNTETVKQLIESYARRSLRTIGICYKDFPSWPPKNVSH IDGGKEVVFEDIFMDMAFIGVVGIQDPLREGVPEAVKLCQKAGVTVRMVTGDNKITAE AIAKECGIIQPNSIVMEGPEFRNLSKLQQEEIIPRLHVLARSSPEDKRILVKRLKDKN ETVAVTGDGTNDAPALKMADVGFSMGIAGTEVAKEASAIILMDDNFNSIVKALKWGRA VNDAVKRFLQFQLTVNVTAVILTFVTAVSNDEESSVLTAVQLLWVNLIMDTLAALALA TDPPHDSVLDRKPEPKGSSIISVTMWKMIFGQSVYQLAITFLLYYGGKDILPTKNTPS DDEIKTLVFNTFVWMQIFNQWNNRRLDNKFNIFEGLTKNWFFISISAIMCGGQVLIIF VGGAAFQIAKEKQSGTLWAIALVLGFISIPVGIVIRMIPDHFLLVLVPEFLKQRAKNV PGLTVSDEEMSMYPEPLADVRDELNFMRRMKGGRLNNLKFAIQHPKEAVEMVRSRSPS HSRSGSVNAPQTPTQENPFEGSPVGTPNSVRTRSTRSRSNSALGAPTVMAGIVAAGVA AGWQPKMRPDDDGDNTNAQK FPSE_01060 MNPKKNSGRSVAISKRKAQPNALDTLGDNPTEDEIKTAVAHVAL ADLEERVADVRESWETDSLFEDAFEELSSENTVALDDPKVCTPEEASRLRRELREYGP AVFCQRTVDAGHYTARKLLSAFGIRPPAFLEGENDDAYFHLLSLAITRELGKRAKILH YNTVDDAVDLIAKCNNIVLITGAGISTSLGIPDFRSKGTGLYSKLEHLGLSDPQEVFD IGVFKQDPTIFYSVAKDILPSTDKYTPTHKFIAMLHEKGKLLTNYSQNIDNLEVKAGV PKDKLIQCHGSFGTATCVQCGYKCDGEKIFPEIKADKIPRCPRCIQTLRPAAAPPKRK RSAGAEKKRRRWDADSSDESEYDIPEAGVMKPDITFFGEALPDEFSRRLTEHDRDKVD LVIVIGTSLKVTPVSEIVSWLDADIPQIYVSRQAVNHINFDIDLLGDCDVVVAELCRR LGWSMVHEMVPKDQKVEVRNEPGYKSRHLFEEKSKKKAKK FPSE_01059 MFSSAFKSISATNITGNYSISSAPTSTAGPWKIFDAKKKSTGKP YSVFVFDRKSLDSHGNSLGRSGAASFKKTVEEVVERLKKEASSLAKLRHPSILELVEP VEETRGGGLQFVTESVTASLSSLLQDKDEQERAGGPGGRSSRYVTEDADGTKRRRELE IDELEIQKGLLQVSKALEFLHENAGIVHGNLTPDSSDWKISGLAFASPPEGSDKPTSI QGINLYEVLNMDPRLPKAVQLNLDYTSPDFVVDNNLNSSADMFSLGLMAVALYNSPHK SPLESHGSLSTYKRLFSNSSSVPSATNNYLSSRPLPRELSHDVLPRLITRRPAQRMTA REFQQSEYFDNILVSTIRFLDSFPAKTANEKASFMRGLNKVLPSFPKSVMEKKILPAL IEELKDRDLLSLILQNVFKILDLLPSAKRAFSEKVRPSLREIFVVNAKQTQEKDPSRD AGLMVVLEHISSVSNNCSGKEFKDDMLPVIIAAIECPTHSIVDAALRSLPVVLPVLDF STIKNELFPVIATVFSKTNSLAIKVRGLRAFVILCGGRNDNGEDDGLNGLENKKTSSS SALDKYTMQEKIVPLIRVIKTKEPAVMMAALNVMKVVGSVADADFVAMEILPILWSMS LGPLLDLKQFQSFMELIKNLSRRVEDEQTRKLQELGGNSNGNAAPVEDFMAFGGVTGT TFDQSNGATEDDFENLVKGRMASPRSSTATPSWDDPAKSKSSTPAPTFSWSTPPPPAS NTIPKLVPQKAPSYRTVTPDLGRFEALTPSSTQFSQPMQPTPSQAFQPPAQSQPMQSM QSMQPMAPQKPLSTSTSGTSINWSAATQATSSPWGGSSGFGASASTGNMGASMASMSL NSNSRQPSFTLPPPPGNTSTPPASSFTMPPPPATNWGGMSSTSNPTPNTSQNNTGQKS GLDKYESLI FPSE_10736 MMRPMLARSALRSARLSSFRPLCQAQRFSIKAEAASSAQHMGLD ASKVTVQKTGEPKGLSKPEALVFGKEFTDHMLAIEWNQDEGWLEPKITPYQNLSLDPA TCVFHYAFECFEGMKAYKDKNGKVRLFRPDMNMARLNKSAARIALPTFEPAEFAQLIS KLVNLDSRFIPDKRGYSLYLRPTMIGTQKTLGVGPPGSALLYCIASPVGPYYPTGFKA ISLEATDYAVRAWPGGVGDKKLGANYAPCILPQLQAASRGFQQNLWLFGEEEFVTEVG TMNMFVALKNKETGQKELITAPLDGTILEGVTRDSVLALARERLIPQGWKITERKYTM KELAEAADEGRLLEAFGTGTAAIVSPVRSISWKGRLVDCGLSEVEESGEIALQMKDWI EAIQYGDEEHEWSYTI FPSE_10737 MALIRAAVAPLRAARCLRQPLSSRGFSVSARRQGGGGSHQFDPP TGWLWGVKPGEKPEPEGWEWPTYILLGSLAATAVALAFKPDTTVSTWALEEARRRLEE EGVLPDPSKQGKKN FPSE_10738 MQIDEIISDDRINMETYGVQELRDGFFDALFLTPKPLDPDLVAE IAKATLPKAFDKSHPLSAKHFLPRQLHELISVAHRVATTRAGIELIKSFLAFFIVYIL CLAQPVHNWLGRYDYIMAVSVIINHPGRSFGSQLDGAVLTIIGTAVGLGWGAVGLLLS VSTSDAREGYGWILAAFSIVLFASIAFLRAFLIRLYQPTLCAGIALTFTTLAETQSGE IKWQKFWNYAIPWALGQAVALAVNCLVFPDAGNHSLAIALDKSFKTMQESLVIPRPRD IRAKRRLAKAFMEVSLAYRDMRIDLTITRFRPMDVRELRNAVQGVVRALLSMKTDTDL FEELDSPVGITVADGLGGEIPYEDSGRKIAQALSSPTKEVMACMTEAVSRCHAALMDL TGWRKGIGPSADISSDIVPIQLRMKEALEAFDDAEARLLASNDRPDTYEEHGQAVELF VFARHARETAATVVHLMTHVHDMQFHSNHTRINLPTYPPAKALYRTNAQVRHDRGGVT AGMYKATFKEIRNLISLTNANEGRPHNLNFRSLTEGKNDDITFDVPGKKKLRYRVWLV LRRLQDIESKYALKVALLILVLALPGWLGTETGWWNAHEAWWAACLGWITMHPRVGGN VQDFFTRASIAILGAVWSGAAHAASRGNPYVLAVFAAIYMIPMMYRFTQSKHPRSGLI GCLSFTVISLSLRNHAADASVTLLGVHKGVAFLVGTIAPIIVNWMLWPFVARHELRGA LSSMIYYMNVVANYVYFDEGKDPTPEDIRRSEMLESRMREGFVRIRQLLVMTRHEIRL RGPFDPVPYSCLAASCERFFEYLIAVRQSALFYNPNYIRDNPVAAEMLFTYRRDAVAA ILSNLYTLSGALKHQKKVPHYLPSAAAARKKLLHRTVEVAKEMEEKAEYRELERQKTW SDIYSYSYNESLTGCVAQLEELEQFTKLIMGEKRFEGKKHLDYYDEDADVLPERLN FPSE_10739 MTTTPATRLTWRDLMEKGEGADDETASPNERLLWDNRQDNLYLN ALSPMLTRKGRKRARSSSPVSSPIAEKPNTPSVNVKKLAQALKSPHADPTLELWDRYS LNGTDTADTLGLANPTLAQLIVSSSPRPNKPAAGEASLRRAISCGLNWPKRRRIERST SGSGSRLSSEKEELEASKSSMVTALLDTVTSSINGQTEETQDTPESPSPKRRVCATSS GSPVQLKPQPRPQPQIPPPPMQARAQRQARSPTPIKVTGPAVSSDYGGDDFDDEVDDD TMLQLEASITATQSEMEPRPKTPKNTLPDEFDDKMDEFEDFDDDIFNEAEDLITTDSQ QVPQAPTTTKTVDLDEDFGDAFEEDFDFEAVELAATQAAQNCSGDSRKPKTIQRYLVT NVLEDEHTDQYGNARPEKILLIQADNSKHIKTVHLRGSWFDTPAHADAYVHVIGDFTA RGQCVVDDAQNLLILHPDQLISATVVADSFGCMRRAVLQDRVKATSPPTPPLIYGTML HEIFQEALLANQWDLPFLCSVIERITEKHVEDLYTIKVGIPSAREHLQSKMTELSYWA GSFVSSQAKHDAVVEDRNGKKANMAVTKLLDVEEHVWSPMYGLKGNIDATVEVAMQDG KNVRTLTVPFEVKTGKHANSNHMAQTALYTLLLSDRYDIEIAYGILYYMETSKTMRIP AIRHELRHMIMQRNQLACYVRERSVQLPPMLKSKHMCGKCYAKTSCFIYHRLADDGDG ESSGMNEKFDELVKHLTPHHQQFFIKWENLLTKEEKESQKTKRELWTMTSVEREKKSR CFADVIIEEGSASVDTDNPKINRYHYTFIKRNPIAGFSFLESELTVGEPIVVSDEDGH FALAIGYVTAVKRQRISVAVDRRLHNARIRQPGFDEVDNQVFASIMDVAHEGATQDES TGKIKEAPIRYRLDQDEFSNGMATVRNNLVQMMADDVFGARQIRRLIVDLEGPRFKAA PTQYTVSDRDNLNVDQRRAIEKVMSAQDYALVLGMPGTGKTTTIAHIIQALTSQGKSV LLTSHTHTAVDNILLKLKSDKIPILRLGAPAKVHPQVQEFATLAGHPMKSFEEIKEAW HGTPIVATTCLGINHPVFHERTFDYCIVDEASQITLPICAGPIRMARTFVLVGDHNQL PPVVRNEEAREGGLDVSLFKLLSDTHPESVVNLEHQYRMCEDIMALSNTLIYEGKLRC GTEQLKKKKLHIPRMDALMQRHYDASTIHAATPRSFCPAPGPNRCWLYDLLDSEARVR FINTDTIQPPVREEAQGKRIVNSAEVRIVSQLVESLLTIGIPDTEIGVMTHYRAQLFM LKDKLKAFSGVEMHTTDRFQGRDKEVIVLSLVRSNEACNIGDLLKDWRRINVAFTRAK TKLLVVGSKSTLKGSGSDSMLSQFISLMEDRNWIYEMPANALENHYFEDFGTQITTAG ATQKTKSPKRKAVGKENRRHSPKRARISDKALLKGKLITRDILNDMTDGAYV FPSE_10740 MAMNPQPNGPGPAQSKEQYKNSMDEWRQAVISQRNQYVPRVKEQ VTRSFHDYSPPLYASIIGYRRDWNLLVATSRVSGFAATLGRDLEDEEVKTIAEYTLDN IHTNASLKWFSIALAGYMTYRGRRTWQFPFYKPKLGGRFNPNEATSIFTNKKIKGPYP RFTWHTLRFTAYVGVTMLMVEPAFRAVNFIRSETAMSHDPRLKQFTKDANQRIEQVMS NPSISKTAFTGKDPHRGGEEDPWASDDSNNASSESKYQSYQSYQSAPMQSWDKAHASS TTQQNQQPHNDDWSVIDDDDDASPVAATSRRQPVSAPSGSAWDRVRQQSSQGGPRQQQ QSDHQSRSWAARSQTESQPQSQSGWGSQDAGSSADSFSFSKSDEERAVAKEQAQNEFD RLLERERGGVDQKNPWGRQSYIV FPSE_10741 MTAAAMQQPPVIPPRPAKGQDNNESAADVPKIPPRPSKRSDRSI SPNPARFAPSPFNEGIPKKSPISARFNPGDAQQEPIKRPTSVSMPSIGQEGAEYSAVT HEIKPEEVQAPAQEEAASPEQTRTIAEDLKLHAPKPSLPAQSAKQRVQAVTRTDSDKA AQFGIGRPGSTQATKQSSTNVSVSDGRQEVDDDHGIPEIGQRVPMNPHLGDVQAPSPG PGSEEYKKHHHRKHSSRGGPPGSYGLHGHGVTPQDKLDKEYYEKHPEVLKREHQTPLH DRQNDFAMSKDDLNKLVRDTRSRGAGLSASGYQSTPTDEVGFQATEEYTSRLSPRPAS TSEGKVQPISFKSEIISPEGEHTVHVDDPKHPEYRSYGDGDADVDEEHKYTAPILAED EVKNDDHSYSQRPAVNPVHDRRDSGDLDEPLSRPLSRTNRPKSRPTSIYKNNDSQEFA PTSLDDVEEYEPLFPEDGNKDAQKPAPGNKARHHFPSKDIWEDAPESVHYTVEVSTPD PTGQAEPAEEEGESRRRPSAMDRPKTPAHLFAQRQEELAEQEANGPVDVQPPKSHDKS IWYESKESDSFLNDNKRPSIGQRFPSRDIWEDAPESQLYETTVDSPQPQVETKDEPKE EPKEQSKPAIPERPVRKASPDRPSIPERPKSRVANDDAKSKPPVSEKPKPSIPPRPTK SSSGDSVETKQKPPVPSRPAGSKIAALQAGFMSDLNKRLKLGPHVPPKKEEPKAEEDL TQEKEKVPLSDARKGRARGPQRRAPARSPAAVATTAPAQPTAPSLTFSIPQTFWSISP EGSLSVSGEQTPISEPVAEPTTEPVSEPVIESVPESVSAPEPVSVPEPEPASKEEPVE KKKEETVDAPAAPQEALPKSEPNLEPKPEIRGEPESESVPVHRDPEPVAGKLPQEAEP TLPAQQPAVEPSPEPAQEAPAQEVPDQEPQHEQEPVQVEKSLVANTAGETILETTVDK KEGGDVVEPVGVSDEVKQ FPSE_10742 MNRDLSDTRNIQTKLLFEHHMHDNKAAVALIMLDERDHLSYLVR ELHHNWERQDMDFSPTGISNRGISVEVFLLGPSWFWDHRKFQRREFVGELGIVWHQDT LQLVRYILRAAHENRTARVVHGQSGKHVIPFVRHRSNEDYQISTWNRKLYSSCQVPLD YVAHNFEIQKRLHHRSLNRSITKHTQAVRERLQTPGRAAAAKGTARHSYLGLSVKPES LVDLPFFQDDKPLRKQHTGALRQPEVKESLDAARARMQSQLTQGSSMQHHSLLSFFFF FGDKPSLSVILLLFFGDQPSKSFIFNSFFFFPLAISHRTTAALRPLLLFLAISHHTFV FFIFFFIGDKPSSSSSSSSSSLAISHHILLLVVVSIGWRCLRSCGH FPSE_10743 MTLKEEFQTRNFSIYGQWLGIISMIICLAVGIANIFSFHVVRII FCAFAIASSFVILFIEVPLLLRICPTSSKFDEIIRKVSTNYMRAGAYGVMSALQFISI VAGSSSLIAAAVFLLLTALCYLLAGVKGQAFVGSKTLGGQGVAQMIV FPSE_10744 MTFTILSNDQVNSILEGLNVDELEEFRHVLASSLHEFSTGIPAL EEAFQEPERTSTLHPDTMAETLYMPSCAPCGMGCKVVSSTSPEARQYSDDTEQRSPVG VVNLFTPDGTPLGIINATTLTAFRTALASTCLLARRNHVKTLTVFGSGLQAYWHIRLA LMMRGKTIKRVHVINHRWSDNATGLLKRFANIDPDIKQREGWSDTKFGLLIPAFHEYK RLVKEQIREADVIYCCTTSQKDLFDGSILTSHEGRRKGRLIIAVGSLTPDHRELPEDL IHLAAKRDDKPHRHFHKHAIEGGVIVVDNIRGVLKDAGEIIAANVGPHQMVELGELVM LHRLAIEESDGFASSQTSLASDMDKMDVNGRSSMSTVYGSGSNTSETLASPTDSVDSE GRRSGSFFHSRKSSSSSLDKEKRKSEDSLCQWLRDGTVVYKSVGLGLMDLVVGTHLIG VANEKNVGTRIDGF FPSE_10745 MGVTDRIIQIGGQISGNPTAGGREKILQKNPDDIVVTAACRSAF TKGGRGGFKDTHAADLMAGVLKAILDRSKINPALVEDLCVGTVLAPGGGATEMRAASL VAGFPESIAVRTLNRQCSSGLQATVDVANQIKTGMIDIGIGAGVESMSINYGPGAVGE FSEEFEKVPEAANCKVPMGVLSEQMAKDLGITRQAQDTFAASSYQKALKAQKEGLFDE EIAPLKVKFEDKEGNTKEIIVSKDDGVREGITAESLGKIRPAFAKDGSIHAGNASQIS DGAAAVLLMKRSTAEKLGQKIIGKYVCASIVGVKPLLMGQGPWKAIPKALDLAGISKD DVDIWEINEAFASQCLWCANELGIPQEKINPKGGAIAFGHPLGCTGARQVSTLLYELK RTGQKVGATSMCVGTGMGMAAIWVAE FPSE_10746 MTPPNRQRNPAVTSRPGRLKLSVLEDTIRQASGRSQSPASLSPI SKDRIRRRSSSSVASHAVESVRRRSKSGGQVNNLGFPDQSILPQRQTSQSFSPLVSPQ ESSPFPAFSFDESAIFTDDEPPSQGQSPVAPVAQPSGHNSNWQLTAQPLQTLPRAHSA KVKDGQMIRINLKTQTTEVPCIAKCYLNRSSSVVDNDFARNWGVKIHPVPKTRWKDAR HWCILDVVDVETVGSMQQQLRIRLGKLSDQRFSVELGIDALKSLRLADEVVRKMANPN AEAVSPLSRSAPPSQYPSWDDISPSSYMQPQQQQQQLQDTLGQFVFTSPTPQQFNTGR NRALTVPSGIPSIRVDTSFVNPLPASMPICDYGSGEDSSSPWDALSLASQSFQDDDRS VWSPAISECGGHSSSYDTCMK FPSE_10747 MYIELETGTYKPRRTVLVPFLRGSNTFTKTATKLRSLPGVEDAY MRGEPLTPPEIEFSEYSDMEDDYWAWHQEDQVFRHWDEDLGDWSL FPSE_10748 MSYPDQRSNDEWRAVLNKEQFRILREKGTEAPGSGTFDKHYPDE GVYTCAGCEAPLYKATHKFKSGCGWPAYFDSIPGAVVRHEDRAFGMARTEIVCSNCGG HLGHVFKGEGYDTPTDERHCVNSVSLSFSPNDKVVKDKPESKA FPSE_10749 MSRRAPNPAAERAAQNQATIKSLLKLEANKVCSDCKRNKHPRWA SWNLGVFICIRCSGIHRGMGTHISRVKSVDLDSWTDEQLQSVLKWGNARANKYWEAKL AAGHAPSEAKIENFIRTKYELKRWVMDGPMPDPATLDVEGDDDVPLSIVKEKQVIERK ESIRKASLTNSSAPRTVPPPQGDLIGGDPVPVRSSSAAPQSSKVPPKGEPAPPRTTST KDSLLGLDFFGEPQAPPRPASTTGTAPSGQSRPDLKQSILSLYASAPRVQPQAQPQQP SSAGGFGGFASPTFSHQSQGSVGGFNDAFSSLSVSNAPKPAAPDPFASLGSAGRTTST NNNAFGGLGGGSFFDSKPSQPSAPTHQHQSSNFSNFGGFSSPAPVAAAAPAAPKPAQS SAMGDLFDLSAPVATSPPPPPPAAAPTSSVFNLSSPQSLPAAAPASTTTSSMGGFSGA DVWGGGEWGAPAVAPVPVQKAPEPAKPATTGFEWGNSGGAFANTPIVPGASGGLTSGG FNPIASPKVSADEEFGGWTSSAPPAGGSTSQTKSSGFGGDDDLFSNVWQ FPSE_10750 MSEAYERERQNNARLDELSAKVSALRGVTVDIYDNARAQDVIDN TSDTFSSMTTQMKGSAGRLTRMAASGNRVAILKLSGIVIGVFLVLFYGAKLIF FPSE_10751 MGINNPLPSSMASECKKCGKILESFINPRQSFGPDKVIPPSILA NAKGLAVITVLKAGFLGSGRFGSGLVVARLPDGGWSAPSAIATAGAGFGGQIGFELTD FVFILNDSSAVRTFAQAGSLTLGGNVSLAAGPVGRNAEAAGAASLKGVAGIFSYSKTK GLFAGVSLEGSAIIERRDANEKMYGQRFTAQQLLTGSVRPPPQAAPLMNILNSRAFGG MRGGNDDAMYNDAPVYDESHDDVVWNGRRGSAMGEGVQRNNTGLQHDSFGRPSRSNTW QDDVYDRQGSYGGPTRSNTVASSGGAANDYVYRDSPAGGFGAEKKAGPGRPAAPKPNF GAKQAMLKKNEAVAVYNFDADQPGDLGFKKGDVITVLKRTESENDWWTGQIGTRTGIF PSNYVKMKE FPSE_10752 MGALIVLIRKEAQQVVSLRLPETREGLQSSSDMVSISKQVNQLC ICVPGKPQAILVQFENTRDFSVAVCLLQKAGFHINDTLPASLLTNPPAHNYGPLLSSI TPLSLLPLNTTRGPETQHDLSFAAMLAHPSQHSQPSAISNPWVSHTQGYASFPVQPNP VANQVVNLPPAAAQLNPYNMFLGGGNAYSHVSHVSSPLGHSFVPNSQSTHWPGNDTGP FSSPNAPTPDLGLHDPVVHGNFGLISPENSQNNNVSSYMANGQVQKTTSDEPVHYQSP QNKPEEYRDLMPQPRTLPFESSKKGYKAGGRSKPQGTKQAEAVKPRKPRKTGTATQSI TRVTANGPKKAQPISITRKEVNVEQRQSSVGDIASEKIVVQSDSNHKQVEGASTPPAN PSLPPRKSKQDIYEDAQCQTDMQPEPNGVGGSSKTTAFVEQSSDHDVSPLVVVTDPDT LRDLHEATAKLFEEYERGVEDGGDQVRHAKLYLRQIWEKRRDFWLRQFQDNMSVQLYS QTGESLQPPDSAA FPSE_10753 MAPVRNEVPPHTIDRTPEYEEFMTRLRDYHSKRGTILDPEPKVG ATHLDLMKVFNHIVESGGYDKISEEKLAWRRMASELGIYSNNEASTAFSLKEKFYKNL AAYEISTVHGKEPPPKDILEDVTAKGASLLTRTRENYRGAKRESNIGATDSAASGDDG TPVRERPVPDAAASARASRGLREAPPQRVIFQPDTGPSRTTRHSSAHHATTTNSPAAN PPQTPSHHPNMHVPQQHPQQQQQQNYQGNRGPSIHHHPTNTENTSTLVTAYQPRFSKP LTLRAVPTPSNAPAEFQKLRQLPRLDSRQPMQPGTGFDGPNIYMRCLNALRSNIPAEQ AFALNHLVKISFERGDKYKFDSFPGLAEGLVDKALEVGQLFYHVNWTVSWNIPHDSSD PSVLDGNYGTPDILERIDNLIEKDVPDVLQTEAYADNLVLITEAVLTLRNMVTLPENA HNMSDFPPIKDLICIVLNLPQRDSLVELKHLTLDIAEQLTPFMTLDSDDPLYRVLLAQ MASEDRGTILTALRALGRISMNLEATNKLGNVPGPVLQRLASWLLLNDDELIDACLDF LYQYTAVVPNVDTLIRSLTPENLVDHLARLLAHGARKTQRELVLVSEQRIPARDQIAP MPEDLLQEMLKLEEPDRVHRWVRCFFEEDNSSYVTQLAAWQAYQTAFVGPLKNIGQPL ITPADFIRNSTSVYKDSNAQVLRDPGDPQQKFIIHGIRARPKPLGIDGKEYGRCLWAS NPDNMLEKCGHFYIQAEKMWEHILTDHLHEKRNEEGQFDNVEKEFTCTWDQCSRFQKP TKLRLQEFSRHINTHVSLALPSEAHSRKSERSWIIPAKTMTVAFEETMTARDERNPNL PPQAAGIPLSAALVLRNIARNVVKTEAEEEMLKGQAETGESGGWNEILFRPLMPRLFE ILTENRAMSPYISSLLDLIHVHNENRGQ FPSE_10754 MKSSPHRLTPKSVKNSTPLLTCLLACSRPHRKRAGHCSTYVCTS PLALSTPPTYLPRRDIFVAFATKLAIPGSEASRPRLRRPTQTETTQASNCRSGVAIEE P FPSE_10755 MSFAARNALRLTRAAAPVLPRNAARCFSATRIQRVNDTNMKKNV VREKEIPVTVYAAGQGTGDKHTVNVSEAAARIPSETPVPTPDSDVVQPLTRKTFEQLP QTMRNMSVYGKTILLTGAARGLGNYMARACAEAGAKNIVLFDANQELGDQAAAELHDK TGLPVSFFKVDVRDGAAINAAVDEVVEHYGAPDVLVNSAGIADSNIKAETYDPAMFRR LIDINLTGSFLMSQAVGRAMMAAGKPGSIILVASMSGSVVNFPQEQSCYNASKAGVIQ LGKSLAAEWAKFDIRVNCISPGYMDTALNRVPALDAQKKIWKSLTPQNRLGNVDELNG LCIFLASDSSKFMTGSNCIIDGGYTCY FPSE_10475 LNLNLNLNLNLNLNLNLNLNLNLNFNQPTNQPTCN FPSE_10476 MSFKRATRSLRKRLLGSKAFLPAHTAAASFRYSIPRSTAFHSHS HGKLKHQAQPAALGTVAVRGNGLTPGIESYAAMMEGDGCSRAGKHIGSFYQQWERGSF MIRKSKMM FPSE_10477 MDGPGYTSASSASAHTATSHRRKLIKKPPSYFGRSSSGFDGGAF DAQSLESKRSSQSLKRAPSAPPARSNPATVSDWQDSDRSHPQLSANNTLRPVPSPISH QGDFIPANHWAPIPRHSDRLSDSHLRPLDSPAIHDDLIGAPFDGAGLLSSIESIKIPS PKAPTRHRSPPQIVKAPLNISVANPALRTANSFSAMDSTMTEKGLGPRAPTDGPSVNP KRYSDDGRDSKPAVLRKKSGFSGFMNSLVGSPKKPVISAPENPVHVTHVGYDSSTGQF TGLPKEWQRLINESGIPEKERRENPQTMVDILQFYKETTERPPEDQVLEKFHHAGQYA TSPAGAASPGMYPSNYMGMSPTTISPTNARFPTVNNEGSFENPRAPPPVPRGQVGKDL MPSRPAPKPPVSMGNRHMPHGAYATKDSGIGMSQSGDESYGVSKDAGPMLPEEHRSRS NSRVAVPTYAPTAPQPNPQLAQAQAAAYQQQLLQQQQEQAMAQAQAAMSGGLGRAPSK RTPNHHQSPNVQAAPGYGQQNGTYTASRQQAPGAAVPGARPRHRARQSAAIDIVASLK RICSEGDPRDIYRGFNKIGQGASGGVFTGHERGTNRLVAIKQMNLEQQPKKDLIINEI LVMKDSSHPNIVNFIDSYLCGGELWVVMEFMEGGSLTDVVTFNIMSEGQIASVCRETL LGLQHLHSKGVIHRDIKSDNILLSMEGKIKLTDFGFCATINEAQNKRTTMVGTPYWMA PEVVTRKEYGRKVDIWSLGIMAIEMIEGEPPYLTESPLRALWLIATNGTPHIKNEQDL SPVFKDFLYFALKVDPEKRASAHDLLRHEFMKGCVDLIQLSPLVRAAREQRAQEKARK GQ FPSE_10478 MASEAPTGELSAAQKLMQKHAEAPHHVIVEDVPDEDLPIRSPAE ASSSAEAPAPAPKAAPKPASKTLDTQSHELFPELGAPKGKSANVAPIWGAKTANGATP NGGSRSSTPASGTVTPNKPMVIPGRNVETVTLDPQYIMARGQLKRPIPDIIKDINRRS RANVTMATSTNGRYKFDATGPQDVAQQALKDLVAQIGTRTAIKVPIPHSTRAHIIGKG GSMIKALQEKTGAKIQLPKVDENNPIDEEDDDATIDVTIEGNALSAASARDEILKIAG ERSANVQTKVRGIPTAFYPFIAGRENALAQALEDDNGVQIRVPPIQAYFSGQNPVTAV PDQSPVFAPAGNDESHVQLAGDRAAVQKVRAEIERQVAELHKQLAAEQLAIQRGRHQF IIGDRGVPVDQFFADTGCAILLPSDEDDDTVTVIGPADQVNAGLERAMDLAMGMQMSN LDIARFHRSAPGGAAIHAGNVTRYLRQRKEIERLEKIYNTHINTPFSEGGALPWELYS REGKNAIRAQSEITGIINAQPPSRMASVPIDPFFHQHLRNNITRQVKSDYGVQVVVPE ASEGNVPVLLVFEGPDPADGPYQLPRTKPSDADIRSFKKGLEEAQKHILDLINKQEAI TTATLDVPAKFHERLRRFIKKEQESRKADQIPVRVTKVGTTITLRGPQSAVESLAAKA NAFVEQEKEDEKERGFTLSFDFPQKFANHLIGKGGSNIRELRDRFDVEIQVQDGKVEL KGPKAKAETARSHIQSLARTLADETTHTLKIDPKYHRELIGAQGSQINRLQTRYKVHI FFPRSAKPADEEQSNADAEEGAKPRRQQPADEVMIRGPKKGADEARDEIYSLHKYLEE HSATATVSVKQKQVGSLIGQGGAALDELRQATGARIDVPQDRDTEIVEIQIKGTASQV AKAKKVLEEKRAVFDDTVVRTLDVDKKYHKSLIGAGGSNLRDIVVKAGGSDDRRELAR TIQFPKQEADGNTIKIEGRTDVVDKIVQRIQEIVGERENQVTEVVDVPIENHRSLIGR GGDTKRQLESKFTVSIDVPRQGDGKTGVKLTGRPEHVAKAKEHIQGLVQQQQGETIQV PRNLHHSISNGGQFFRQLRNNFSVTVDHAGQPLPAKPDSSTRSNVGALPLITDDDDAS SEAHSWKVVQIDAGDDGDFPWVLRGSSENVEKAKDAIAKALEQAKKSDATGYLVLPDP RTYRHVIGPNGSKVNAIRKESNCKIQVPRDQAKDEAIEIVGTKEGVELAKDLILEAVR EGSNKARE FPSE_10479 MGSQLIPATAPWDAVSAQEQLFVLITGANSGIGLSIGERLIDEF LATRSLRSHLILIPTTRSKSKSLQTIKALRDYARKAAQTSQALQSRVGSSYRWQDTVA RVHVLSLQVDLCDLRGVYAFADALVHGPVSNPEGLEGEYLKNVRIPRLDTVVFNAAYG GWSGVNYPKAIWVILTEGLVQSVTWPSYKMALPTARLNDKANYDYPKEPPLGEVFTAC VFGHYVLAHELLPLLCRQSEAETPGRLIWSSSLEAIERVLDMSDFQGFKCEGPYESAK RVTDILSLTATLPASLPSSNRFFTPDDPAEARAKPIRPRMYLTHPGIVASTLFPVPWF FMWAYELALLISRWIGSPWHNTDSYTGAKSPVWIALQEQSALDELDAERIKWGSSSNR HMQVEVKKTEVEGWGWEGKVEDAVALNADTAVGVFKKTAGRKRGAVDVTKEDIVKFEE LGAESWKNMEEMRHEWENILGVKKA FPSE_10480 MSTDNAGVRFWRKQKLGSRDFTLREARETGDGDGEGDGSIRQGT STEYRTYKRRWFGLAQLTLMNIIVSWDWMTFAPVASHAAEYYNVRESTINWISTAFFL AFVAVFPISIAILHRGPKLAFMTSAVLILIGNWIRYAGSTKASGGNIACAMVGEIVIG FAQPFILAAPTRYSDMWFTNRGRVAATALTSLANPFGAAIGQLITPFMVKQSSDVSSM VLYISIISTVFALPAFLVPASPPTPVGPASETPKLSLRESISVLGRSLEIWLILIPFG VYVGFFNSISSLLNQMLTPYGISDDEAGIGGAVLIVVGLVASAISSPIIDRTKSFLLT LKILVPLVGISYLMFVWMPETRDVAGPYVVLAILGASSFSLVPVALEFLIELSHPLSP EVTSTVAWAMGQLFGAIFIIISDALAAGKDASPPKHMKNALVFQAVLALAVVPLPLCL GLFGREHKTALRRIRSDEENRSNTTVATNP FPSE_10481 MGIWDTFTDIVEAVTPWSVVEAEAPAEEPQEENESKTESKDEPE EEEAAEEEEEEEEDEDDEEELVDQKETLEEECKNAPQCAPAKHHFDECVERVQQQESE GGAKEDCVEEFFHLAHCATACAAPKLWSQLK FPSE_10482 MDNPHDYLITSRPPSRPVDPDNADSWVMLNQGGFVKLGNERILM KLESRISCDLSVPQELRARCASFQRKSDRGTLFLTNKRIVYLPLKPTQEPKFESFSAP ILKFQDSTTSSSMWWGWVWKSDCIPVSGGGIPPDIPRLEVKFTFSDGGMMDFNEAYIR LRERLYQYQEMRREMGPGADVPDEPLPAYEAPSAQQASASTTADVRPNRSESAASARA PDEPPPNYDEAQAQQLNIRLEDHVRGEIDRGAYENDD FPSE_10483 MSYQAQDQDLTKLQQYTACDISDALLKLKVPGAGFVADLNLYSP PEGNGASVTVAPVSTVLFAPKGRDLAEPQRNIPEGTHWVDMTEPGTIVVLKQPDGQKN AVCGGIMAIRMKVCQAKGVVVAGRVRDIQELKSTSLPIWARGLSTIGVGGGSVPWAIQ VPLDIDGTLVCPGDLAFSDPINGVVVIPKDKVASVIELLPKLTAADDKVKEDVLGGST VYESFKIHRSNI FPSE_10484 MNPNDMNSFDQVRRPRPKSTIFEGFRHRRQASTDTHSFQSPFDA PVSPIHPKIMAFENYSNTGALAELQHNQQGSAPRLPPQPQDRGRSQSPTKSSFGNFTI ITASGKEAKGSKSREPSPTKPKRPKSASNLAGLLKPKTLRNLGRFNSEDDVSSSKDKE NRTPEEPAAVPAQTPIYSQFASRPLIEQTQSSRRSMDGPRPPTAYEHYTGSRAAVKER PKSYHPMLGKMEPPPSPTKPRTSNDSRKGSKDSTEAQSRSGRGKVLSVFTTFSHKRSK STSTVPEPTTPALDPKDIDKHLEAMLDRRNIPENQRYKMRNLSDTIKMEFIRQDWAES HGSRTDRPCSTDSANSGLILETGSQNDDKQKRSRGKSFNLSRGRKEAKEPGSPIKKSK GEGTLGRHFRSKSTDSVVSEAPSTGSISNSGILSKIKLSQGPSDYVAYLRKVQKPQLV EVGKVHKLRLLLRNETVAWIEDFIQQGGMKEIVDLLNRIMEVEWREEHEDALLHEVLL CLKALSTTARAMQYLDSIQADLFPKLLHMLFDPEKKGPSEFTTRNIVTSVLFTYIESA SPVDRVARAQSILAHLRDPEPKEDQRPLPFVLEMRQERPYRVWNKEVVSVTKEVFWIF LHNVNVVSLPSDRPPSADLAIGPYSYMLRHFPQERPPVPAAPYVGGVEWDATNYLASH LDLMNAILACTPTIEERNNLRAQFRISGWERCMGGSMRLCKEKFYGSVHDGLRTWVGA AVEDGWGVKDVRYGPPPDARTSPKKAGGGQKKPVEVAPKIEMPRLDFRLDKASTPSKD KDMWLS FPSE_10485 MPVHHLMVGTWTPPGAIFTFAFDDEALTLKLVKRTEIPQDEPIS WMTFSHDKKAIYGAAMKKWSSFAVESPTSITHQVSHPMEHDPNASLATTNTRAIFLLA ANKPPYAVYCNPFYDHAGHGAVFTTDNTTKALKENVQNYPYQPNTGIHGMVFDPEEEY LYSADLRANKIWTHRRLSKDDPSLELVGSVDCADEKDHPRWVAMHPTGNYLYALMEKG NRICEYVIDPDTHLPVYTHKHYPLIPPGIPDRWTQYRADVCVLSSSGKYLFASSRANS FDLTGYVAAFKLSDTGAIERQICLNPTPTSGGHSNAVAPCPWTDEWVAITDDQEGWLE IYRWQGEFLARVARVRTPEPGFGMNAIWYD FPSE_10486 MAHATRYNMGSIRPAVIGMGRVFGASLTAVSAFPIDTEGHHDEE EGEGTSLWVLAVASMVLVLLGGAFAGLTIAQSKNAKRVLKLLNRGKHWVLVTLLLANV IVNESLPVVLDRTLGGGVAAVVGSTVLIVIFGEIVPQSICVRFGLPIGGYMSTPVLLL MYITAPISWPIAKLLDWILGEDHGTLYKKSGLKTLVTLHKSLGEISERLNQDEVTIIT AVLDLKDKPVAEVMTPISDVYTLAEDHILDEETMDDILSSGYSRIPIYRSGNHLDFVG MLLVKTLITYDPEDRIPVREVPLGAIVETRPETSCLDIINFFQEGKSHMVLVSEFPGS DHGALGVVTLEDVIEELIGEEIVDESDVYVDVHKAIRRLTPAPRARRIHAAATAASVI ASKKAPGDSILVDIEDHDAPSHHEPETASFHSNYEGGFHNAARTAIHMKRRTSDGGIE GTPVVVKASLDEMRSKLRLGPANRAANPRSNTRSLFKIKQGLSANGTPPSDNSRPLPP RAQSHMGFVNPQGSPARSHGHERTPLLGVGEDNEHAVEDDETHDNAGHKTNGH FPSE_10487 MSPSATFDAPSGGQSNRFQILVPEKVSPDGLALLTPHFDVDVRK GLSPAELVNLIPNYHGLIVRSETQVTAEVVQAGRKLRVVARAGVGVDNIDVPAASTQG VIVVNSPSGNILAAAEHTIALLLSAARNVGQADGSVKEGRWERSKLVGVEVGRKTLGI IGLGRVGLNVARMAKGLGMIVKAVDPYASADMARQTGVELVSGLEDLLPVVDFLTIHT PLLATTLDLVGEAEFKKMKKTARVLNVARGGVYNEEALIKALDEGWIAGAGIDVWSSE PLVADSAAARLSKHPKVVATPHLGASTVEAQENVSMDVCKQVLEILQGGLPTSAVNAP IIMPEEYRKLQPSVQLVEKMGRLYTEHFVRSRGGMLGGRRFELIYHGDLASMPNTKPL FAALVKGLVSTFSDSHINMVNAALIAKEKGIVISETRAGDSPSTYSNLVTLRSYKAGG NGSEQVIEGYASDERVFISKLDRFNGVFTPEGTLIILHNYDEPGKIGGVGMVLGKHGI NIKFMQVASLDPEATKGADTPPDPNGNEALMILGVLGPVSDEVLEGLNNSEGVLDGVD CLVSQDPDKPISCDDSLMIYM FPSE_10488 MASTNTAVYKFNHSMIRVKDPKASTKFYEFLGMSLVKKLEFPDN KFDLYFFGYDSPNALSHNKSAFDRQGLIELTHNYGTENDPEYKVNNGNQDPHRGFGHT CIAVDNIQAACQRIEDAGYKFQKKLTDGRMRNIAFVLDPDGYWVEVVARNDYKETENV KETDVSTYTMNHTMLRVKDAEKSLKYYQEVLGMSRLRTLENPEAGFNLYFLGYPGDQP FPEGQDDKAITHREGLLELTWNYGTEKEENFKYHDGNSEPQGFGHICVSVDNLEAACK RFEDMNVSWKKRLTDGRMKNVAFLLDPDGYWIEIVQNEKFSGKENF FPSE_10489 MDRFRSMGEYDDTAAVMDDDHTAALGSHPRSPLTKTRSMSDGGG TPSRLSPSPRIVDESRRTSKDDTSIPTPQPVTPRRPNFSSRGFTIQMPPREFTPPPPN SYVKPAPLSPKLDHSQIYASPTNILPRRSRGLDFSRAATSLHHSTLAESSPDSSPTAG GRAMNIPSRRSHYAGPEQMSTSLWSVMGNQEKMHISSSLGSQAVGSDSSSSSDDDELM DEDMDESYVTTPQVNKIGPRLGPPGSGGTFGSPAMSSLMSFQQRQRPRKQSKKKARGP LGLGFSGSSLSKSPPGNSSRARRESISWQANQLHISGADSDEARAMGDVDGIGDGQRN VTRRVVTRRGNLLPKTKTFARIRAALMEESTPAESEFRREAEVVKQVRDCDMELEPRI SQQTAESTQPTTAPSSPTLIQQEGLNDVPEDDIMGDIAMGLSSSFKQHAIKNSKGKPF WDAFPEPSGAGGARTTPPPAAFLPRGSSSGISEDVAMDSPSVGGATNAVNQPPSAAEI TRKINSKRRRGDDDFDPVSFKRRAVSPGMSVHNSPVTQSPLQRDGMSWGSRPGSNGGD KAGSSAPSEAGSTPGNLSGSSSAAGRLNNNKGRVGFQGMVDTHDGLMRMSIE FPSE_10490 MSISHPTPPLLLTKRLTAFLNANRTPQLPTLLLTSPHGKLLAHA SPHPVASLRTHATVAASLLAIHSTSAPALAASLPGSRTPDPIGSSPPEEEDLEEDTDR LSQSQQLDSHKSGKGRGIKPATVTVQLTSGTVVIRRLKCGLLFVCVGPANPDALEHGM PNLHDLHVSAGDASHVGSPDGADSVLSTGAQTTASLESTAGSAAAVAALRRHAAELAR WLDDKLGTLTVPEEGVGVE FPSE_10491 MKAKEPTSTGVQSVPYHDYSDEEEEEEEEPVFEILRKAENNVWI VTRQGDPRKEQYLASPSPFGVRPRGNTAQNRQEAGALKSLLSNHNQAHTIRQVLNHEN LISIAGVMQYQVFTRQQIPDTERNDVQLLVWDFADAGNLSALFRHYPVDHSSFYLPES LCWHVLRSMIRAVTWLHDGKRLVYRHQSSDPESPLLIDWLNVNTDWFSILHRAIEPKN IWFQHPRGTETYGQCKLGDFSTAAVTGHAVDGRNDNKKNDAPDGSCGIALATRQGLKP LETAREALEGDLNLAAMGEGDRPYTLRDEIWSIGATVLTMMTGQAPSHYCEHCGCCHV RFCESGGCLEKKAAAKGCDCLLGGCEHIPEGEECEDSISHWPTCPPRHHCSEVPINID SLIARARYSKMLRTLVKELLNFDPNGPQRKTVRFFRMWHYASAIEEVYKEWKTETQEG QDYVDIDDDMERRIMLADEEEEE FPSE_10492 MVCCWGNRKKEVVGIADQKWEYINLCDFKSRGCGTVFAYVYLWL MLFVSVAVYVVDSFTAVNLLIFDRWSSKIDPAISFDVSKWIFSICIILSFVNLAYEGI RAYRVIRRGNVAESYLDSLAVRWESIRLGSQGWKRFLVFAELTKSKEGAQYIALFTYF NFQAWIRVIICSGPRQVLNAFTLKSVYEAQLTPTADNVGDSITGFFEKIKILAEEDYQ QAVILSGMCFTVVIWVFSALFLLAAVLFWVFFLYHWIPAADGGLSGYCERKVTKTLMK IVTKTVNKALAREETNRMKAEIKASKKAGEKFNLDRAATLPTLPNVGPVDKIGQHPML NRNDTMTTLPPYTSRPGTPGDTEVPPVPQVAMPTRQGTTASIASFASRATSRSGAGYG RLASPVPEVPPVNYGEQPLSRTTTMNSQGNHGQYPANQPMSDPMQFMPGRYTESPGPM HPNNAPFYPPPARAPSARPMDTFTQPMGSFAPTQAPPPGPRGYQAYNPGGRTSTATSV TSHQNGPAMQRQFNPPVRSATGPMPLREPPRRNLTNPMPPRSASARPERAPPYTYDVE SQRGGYGW FPSE_10493 MPSATTTWHEGEIAMHEKLRVPKMENPTFPGLADRYGVRVMHSP LVALGTLDDKGRPWTTIWGGERGFARPVAEDVLALNSSVDTRYDPVFRALWDGIDEEG VKQGAINRPNDGDGKEMSGLAIDLETRDRVKLAGKMVAGATVDGGKTVQLAMAVTESL GNCPKYLNKKDVVPHAMEPELVSEKLPLPQEALDIVAAADMLFLSSTNGHAMDTNHRG GSPGFIRVIRNEEDGVELIYPEFSGNRLYQTLGNFKVNPRVGIAIPDYNTANVLYLTG TASVLVGEEASSLLARTKLAVKISVTSAKFVKSGLPFRGSLGEYSPYNPPVRHLISEH DAHLGAENPNAITATLKDREIITPSIDRFTFELSSQQPITNWHAGQYITLDFEPELSN GYSHMADHDPQSINDDFVRTFTVSSPPENSKELQITTRRHGPVTNFLRKHNSRVLLEV PVIGFGGEEGFRIPLEPEGPKSIFIAAGVGITPVLAQAPAVLKHNVPFELLWTLRYED LPLASDTFSRIPGLAKSTTLFVTGQSDKDKESLLDEAEKAGTRIVKRRVSASDVESFK GQSARFFLCTAPALLAALEEWLQGEKVVWEDFGY FPSE_10494 MACDYQYVKEHTREDYVFPSHRFQRSCSPDKTPLCLVACGSFSP ITFLHLRMFPMARDHARNEDFEVVAGVLSPVSDAYKKKGLAPAHHRIEMCRLATENTS KWLMVDPWEAESPTYIPTAKVLDHFDYEINEVMGGVECTDGTRKRCRIVLLAGLDLIQ TMSTPGVWDERDLDHILGNYGVFALERTGTEIDSTLANLKQWEKNIHIIRQVVTNDIS STKIRLLLKRNMSIDFLIPDDVISYIYEHNLYRDLDMPDSKGKEKALTNGPDAGTSTG FPSE_10495 MKLQFLVSSLISPLVTALTISEINGDRYLSPYQGKNVTGVEGIV TAVSSNGFYLRSTKPDRSTATSEGLYIFGKSAVGNVSVGDVVTLDGFIEEYRSNKDYI YLTELSSPKNIVVKSSDNKFKPKVIGKDTSNPPGKEFSKLDDGNVFAVPNNKSLVSVA NPKLQPNSYGLDFWESLSGELVTVSKGYALSRPNSFGDFWVRGNWKVSGLNKQGGLTM VGSDANPEAIVIGSPLDGTKNPDDTKLGDFVGDITGVVTYAFGFYRILPLTAIKVSKR SNSEHPAVSFTSKGSCKGITVADYNTENLNPESAHLPLVVGQIVEKLRTPDLIFLQEV QDNSGATNDGVVSANKTLAALADGIEAASGVVYEWAEVVPDNNVDGGQPGGNIRQAYL YRPDVVQLVKPNEGSASDVNAVLDGPTLKFNPGRIDPANSVWDNSRKPLAAEWKPVKG TKKSFFTVNVHFGSKGGSSSMHGDARTPVNKGVEKRTKQSEVTADFVAQILKKDKKAH VIVAGDFNEFADVEPIDTFVKTSGLVDIDDAAKIPMTERYTYLFDSNCQALDHLFISK ELRKGIKYEHMHINTWQDTAGEVSDHDPSVALLDLC FPSE_10496 MPPRKRAAEAISTTRRTSRRTSTTKSQYFEGTDDTEESDAPPAK KRGRPPKKQLKKEDSEESFGDELAQEEPEDDDEEEEEDEDAPMKVTFIPLVKMRDTEG VEYEDFKLHKNTLLFLRDLKANNQRPWLKSHDEEYRRALKDWNSFVERTSESVIDADE TIPELPIKDVSFRIHRDIRFSKDPTPYKPHFSAAWSRTGRKGPYACYYIHCEPKKSFI GGGLWCPSGDQMHKLRASIDERPNRWRRALNDEAFKRTFLPKAAKKSDPEAALLAFAE KNQSNALKTKPKGFTTEHRDIALLKLRNFTVGTQIDDDLFYRDDAQEKISEIIRPMVG YITFLNSIVMPDPGQDDNSDEDDEVEQDDSEGEPVDDDNSGEE FPSE_10497 MTKPGTEFIESLHALFREAGLKGRDPEIEFIHLRSGLIRFISKR ASPTRASCRPLRFTDGNKPNTHLVSPSPDFNVDEKRAQLDAANTASDFIDVLKGRNEH RSSRKESRKKTSGKKRSISPPESPKSKVQKRIEAVATSKAAISTKDSPKKRIKFTKGV AKDANSSPVPKAAQQTNNHTVPTTTANVESSNQADQEILSPKETNPSNGKLAEKNGTA STQQESDERGGDSSAMLTKPPHCEEDTPMEDASVASTDNHLPPFCRSDILDVVTAAKD VNADQWDEFKPTLLGMLDKLQGEPTPEKSDAAVRRLQSAIASIEQERSLIPSESWKKY EDKLFKKAKDGVFDADWGTRLAKISRIIWIEEEEAAMNSKDWDRLRAAARTWAILAEV AGPMMEEGDQQRSNEWLLEKLGDIDFMERLFACKERLAAIEKAE FPSE_10498 MAGKRPAFTDEYLIRHFKTNPRGQYTIAFLGTTLFGRLKWYAAH EPRIFKENPCILNLGGHLGADRIQNVLALIDQGFLRSLQNHQPNLQSFYLQMGTDHLT ENGLSNSAVQDYVRVVKSIQEEFPNA FPSE_10499 MARSRSSMALGLGLLCWIALLFSPLAFVQTVQADDVDSYGTVIG IDLGTTYSCVGVMQKGKVEILVNDQGNRITPSYVAFTEDERLVGDAAKNQAAANPTNT IYDIKRLIGRKYSEKTLQGDIKHFPFKVVNRDDRPVVQVEVDGAKKQFTPEEISAMVL GKMKEVAEGYLGKKVTHAVVTVPAYFNDNQRQATKDAGIIAGLNVLRIVNEPTAAAIA YGLDKNDGERQIIVYDLGGGTFDVSLLSIDDGIFEVLATAGDTHLGGEDFDQRVINYF AKQYNQKNNVDITKDLKAMGKLKREAEKAKRTLSSQKSTRIEIEAFHAGKDFSETLTQ AKFEELNIDLFKKTMKPVEQVLKDAKLKKSEIDDIVLVGGSTRIPKVQQLIEDFFNKK ASKGINPDEAVAFGAAVQAGVLSGEEGTSGVVLMDVNPLTLGIETTGGVMTKLIPRNT AIPTRKSQIFSTAADNQPVVLIQVFEGERSLTKDNNILGKFELTGIPPAPRGVPQIEV SFELDANGILKVSAHDKGTGKQESITITNDKGRLTPEEIERMVAEAEKYAEEDKATRE RIEARNGLENYAFSLKNQVNDEEGLGGKIDEEDKETLLDAVKETNEWLEEHGADATAE DFEEQKEKLSNVAYPITSKMYQGAGGAGGEQDDNIHDEL FPSE_10500 MAGRLKDAIKKRASRLLHRNKDEAKDTPEGADSHSSNNRSSLNS SSRKSHPGHRKSLSLLSRSSKTEPKNCHVLHQTQAPESTNGYQAHPAVQPLDADLEPK TPRLERQRPGIKSDDSDDQSTDGNAQGNDYFEGSSSQAAHHYQPKQEKANRTTDQSTR PANSPDAAELERRLSRLAVSQQDTELPEIDIAPLTPFEYVEEAGPVQAKPSRLAIGQD GPEKASTHIKPLSQESDVNLHSNTSQEASIKHNLEAIYPRDAEFDRINKEVQAGTDGE ANFHLQDSLDFDRTIHNQEPVVQEVVKPHIHTIYEPKRTLSIHHHEHRTMIQPIKDIT ILPDQHWLQDDTTGDLYRIPAELGKQLM FPSE_10501 MEKAKSAVSSFLSNDGKHKTTVSESLNPHVTQEAVKPHQHEQVT TALDKEVHQDHHHTTVQPLKHREVLPEQHHHNLAPVQHKEFHHGNEQDTRATLDREAA KYRDTTETHATTHSTETAPTVTGERIHHHVHEHVQPVIQKETVQGHVVHTTIPIHERH EAAPIHHGTSTLPTKTLDEFTSERGGLGERAAQKLTEFEGCPKPYRQELQNEQLQGDK NMHLHGHGDKYGENQAHSGREGHGIGHTAEGLAAGGMAGAGATAASRKQRHGLGHKDR RGSGSSSSSMSSSDEESRGLGKSSKHKNRGLGSSAGTGVGAGAAAAAAGAAHNQHQSR EGGIPDTTGVGSGMGSTGMMGNTSTMGAKGGNIGNPNTAGLNKPHGGEYDSVKTGGLH NDPLKSNSPHARGDSGVGMEQKKPSLLDRLNPMKDADGDGKKGLMD FPSE_10502 MEGVQRSAYFVKKPTTFKQSIRYYVVVSMGKGFFDHFEKAWARL SKGFSFDLVLHGAKGDETWAARILEYPEGSQRLDELKSRSHAIWPKSVNVVAVFLIGK SPLFVDCRNSFCQVDPVTHSKRSWDQIKAAFDFLADFIEI FPSE_10503 MAADRDIIIIDDDEEELNPQQPRPRNESAHLTMDGIQGTFDSVR GRSSGAIINNENDLTLRELQALSTFLQSLSETMNAPATIADFRRFLLKIFDGWNSVSQ VPPHPLVPKRKFMDTHIKVGFLREDHPLSRNRLSGGGQQLRQRRAGQPVYFGLSLNYE TNVVDWAWRDSKNAGISPDYVILDPEYNSVSIRTQAMLRYDSAERIRIRTYNCSVLTI CAQKTIIKWARAGTAQDPVISDVDRPLGLLDLRLAGPIAKAEARCMLLATEQYRLQT FPSE_10504 MAQPTSSNPKPRGPATVLKPCAVKIGEEFFGGQKFGVSDIETKF TTQRTATLDQYLGLFIDFPLATSNEMRELPR FPSE_10505 MSRHRIVHTFDTSDIVSEFDGDDYEEEGEDELSPEDRQSMDQGT AEVRAALGTEANKVTTTQIEEALWHYYYDVDKSVTYLIKTFIAPAPKPVKKTPEGMSV SFSASRRLLGTGADHGRLSSGYNFNMKLPPVDKVPACFFNDMPWLNVPRERQTHFIEP ERPRGGLLGGGEGAPKMSKLQALAAARKKKTEEKKEQEKLEKGVSKLSVAGSEKENQS PFNQRHQTPTPASQAQPTQYDMDIDHEGNEYKKSTPAHKDELTLDSFPGVEIVTGPNP SALSRTLFGSAPANTQKPDVFAMPYTSSPSFLAQAFAEPSPDDIVFAAQAKAGKQPAP KAAKKPQKEKVKDVSEAEKDVAGLKIVDAPPPKSKGLDVLKEYENSSNKRSISFVVVG HVDAGKSTLMGRLLLELKFVEKHTIDRYRKQAEKSGKQSFALAWVMDQRSEERERGVT IDIATNHFETEKTSFTILDAPGHRDFVPNMIAGASQADFAILVIDANTGAYEKGLKGQ TREHVLLLRSLGVQRLVIAVNKLDMVGWSQGRYDEIAQQVSGFLAGLGFVSKNIDFVP ISGLNGDNLARRTEDPAASWYTGPTLIEALENSEPTTARALKSPFRMAISEVFRSQLG TTTIAGRVDAGSFQIGDALLVQPSGEEAYVKSIMVDSDMQDWAVAGQNVSVALTNIDP IHIRVGDMLCPTKNPINCSDSFVMKAMAFEHLMPMPVDLHRGRLHSAGQIVSIAATLD KVTGAVVKKKARVVQPGGVARVSVKLAAKVPLEAGQRVVIRSGGETVAAGLLE FPSE_10506 MTAAVMKDEQGRPFIVVRDQGRKKRQFGNEAVKSHILAARTVAN IVKSSLGPRGLDKILISPDGDITVTNDGATILQQMEITNHVAKLLVELSKSQDDEIGD GTTGVVVLAGALLEQAADLIDKGIHPIRIADGYDQACDIAVAELDKISDTIEFSREET SNLVKVARTSLGSKIVSKSHDQFANIAVDAVLSVADLERKDVDFELIKVDGKVGGALE DTLLVKGVIVDKDFSHPQMPSEVRDAKIAILTCAFEPPKPKTKHHLDITSVEEFKKLQ NYEKEKFIEMIQQIKDTGANLAICQWGFDDEANHLLLQNELPAVRWVGGPEIELIAIA TNGRIVPRFEDLSAAKLGTAGIVREMSFGTTREKMLVIEECANTRAVTVFVRGSNKMI IDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSLAVEDEAVKTPGLEQYAMRAFS EALDTVPMTLAENSGLNPIATLAEVKSQQVKAGPEGRGKLGVDCMGRGDNNMKEAFVI DPLIGKKQQLQLATQLCRMVLKVNNVIVSGADDNDF FPSE_10507 MFDVNDLNFFRHFLFEAYPSLPIDGFTVWQQASQLSHQYDFLLH SMLGLGASHLGLLTPSGYEKAALKHRVIAIGALNKHLTKAIFTQQDAEAAFGAMLNLT FQSAYMSDGLVGFLTMVRGCWLVGTQPSVNLDYTIFKTFGRVSYLDKIKAIVHEDDQS NHYLDKLIAEGFCQSVQKLGPLCHSVPELQYLAHMQKIATLASTNPAESYRELAFLYD GLGHLSSSDFASFIDTENYASQLVIMHMLVLEFVMSRKAVEGDQRSSMGQKGYYFRKA TSKGWVQQILNRLPSEYYEYGEWPLRFINSLNYSFDGEDQVWKPFLLSSGMAILQGGD ALSLVMP FPSE_10508 MDDQQSIWMYNPSLPLAIIATIIYGIIFLVLAYQTLIKYRAWFF IVVVVGSAMEVAAYNLRIYSIQNQSEITPFVMTLTYTVLAPVLIAAGNYLLISRLILA VLPPSHHRILKIPGRHLTPIFVVCDIIAFLIQGSGSGVASSNNWQGEMERIGVKIIIA GLAFQLIAFSFFICVFRHFHVLANRMAVNSAPKGWKKVVLSVYISSILIMIRCVFRVV EFAGGRDSYAFNHEWLFWVFESLPMVGAIGIFCIYHPCLYLGKNGARCKDVQSEDRVE SAESQN FPSE_10509 MISTNSNPDSPQTRKQVVAEASSSRVLPKPVPESQAQDPRTYQI DQLRRRYSPKETTAPDGATSLAFRMKPSDPDFPFELSTLECDIRVPQAYPDETPVLHV KNKDIPRGFSINVERGWDSLIEEKPGATLLAYTRELDKNLEKFLSEQKAETVKLVTFR DTRHVEAQKAQSQSQSQTQESAQPKQPSEPAPPKPAPPPVRYVPEPSYTKEETIAAKE RRASEIRQLEARMKRTSEYRRSPDGVVYTLPLEPQKRDQLPPGLQSVRSLHLIIPFLY PLQNLRIQLNNVEPQDAEPVEELFCEKAAEQQHMTLMSHLNYLAQNIHKLAKQAQAQA PKIETPAPAAEDQSQDTKEPEPKSEKSHIQVIPRPPEWGYVDADDEESSSSDEADEGG AAVEDEEKEPQVYMPGETPERGTMVSFPSIELHGIELLQLAILSISIKCERCKTLNEV TGLKPDVEKTTSCKKCATAFAVKFRQTLIHMNSTRAGFLDLAGCKVADMLPSTFVPTC ERCSTPAPGLVSVRGSSVTNVCRDCHGHFTFKIPEVKFLFITPGSRPPPTSGPRRKQE KLGLHAGDQLPDKGTCSHYRKSYRWFRFSCCSRVHPCDKCHDKQEDHINEWANRIICG WCSREQNYSSAVEACRFCGRFLIGKKGRGFWEGGKGTRDRTMMSRKDPRKYKRIGTSA AREK FPSE_10510 MADEIIRNERAEPESEAEKGTMPMVTQDADSDPAIIEKAETESL QNMGRWRRMGIIFVLNTVTMISAFDATSICVILPAMARQLNASFSISLSMGSAVLLTS AISQPIFAELAHVIGRRPAYLAALVFFMTGSIVSGFSNSSVMLLVGRAIQGVGSGGPQ ALSGLVMADLYSARKRSGSMAYQQMSWALGTIAGPLVGGAIVQSKDSAWRWIFRASLP FLVLSFIGAWALMGYDKHQRNCRDIKQIDWIGVLLYMISSVSLILPLTWGGSRFPWKS PAIIVPFVVSFFAFIALGVYETKVERPMFRRGLFRKRSTICHLTMSALHGLLMWMVLY YLAVYFMGVKSQTPLMTGVWALPATISVAPMAALVGLVTQKTGKYQGFLIGGWSLLVT IFGVLTILDKDSSTATILVLAMFMGIAMGLIFPVMTIGVQATCNEEDAGHGISLILVL RTMGQCLGIAIGMSIFSTQLAKELEKVGLGNVQVSNAMQLMRASMEGGGINQTLMNMA VAAALKKLWMAGSIMAGVGLVLCLFARCPKLPRDSEPHEKGISGPVSDPRDAVAGRIW GWLKSRPEPEC FPSE_10511 MEFPSCPMLLSSFLMSSVVYWNAYFVVDYLIWKYAQDVYNRLEE DELRKLCPLILMILRAAFGLFFTLPSCVLAVATTPWGVNQPLNSFGQLCVVSQAAGWA NELSLIRFYSFELFVHHIICLLVTSNIILSPAVHQIKPLYIYFASLVGDVGPVTVSIL RLLGYRLKSSKNMWWISFVSTMILIFCRIGCAFYTLAQVLTDPYNLTDWVWVLSVLLF GTYSIFNAIRNLQRLELIKVDPNRYRVKYFNKLDVPIANMFLALACSASLLSTLFLYG IYLDRPLRIGETHLISLHGLIAVAIGLTGALLLIMTRTGNCHLQDPWGTLYVPFGVLI TGHWAKFITRYTTFVDRDTLLGSMGISVPLFFAFSQVAQYYTVKDAAVASNEKRPVDG GYSRLHLESVIEHIAIFSMSLGFSAFNGMYPSETARLAICASLIVQLRNPREDPLAFV SPPETIPSLASLAKVPGPRLVIVLITAWYIGNRSASMDAMQARVALGVVVLMAICISK PLMASKPCEGMSAPRKSRRYHPITLLYVFFGTLQAILVWKYATFEGGTTETSLGFKNF RSVLSDPFTWVGLLHMASLPIVVLRGAE FPSE_01384 MSRVRATDFEERDYYPAPRRSAPEGLDEVDYRRRTVTISPPPRE EARTPAFLRDDGRRSEAGPMVLRQRQVETIDRHRPRSPSPIARVREERIIRRPRSISP SHHSSHHSSHDLDHDHEHERSRTRVYERERVREPSQPPPRRAPSPARVVRYVERPKSP SPPPPPPVEERERIRTRIVERERAPSPPPAPKPSPPPAPPQTIRGPTIEREVITHYRD IDHGMIKARPPTPPPQPKPQPRAPSRVRERETDIDISLSRNKTEVDISRTTRTRSQSQ ERRSDFRDDELVVRRESDSRRRAHSAAPLPTPSAVDEEGDYLTGKIDSRGRMGEAWGG ATKDWTLVDVPPGTERIRMDGIGGGSTETQWARYSGARKSKFIPERDGQPSPAPVPSP KPAIREPSPPPTRERDTRVNVSIYDREREIDIERTRSVSRPAPPPPKDMWTEITKDLV IREAIESSGYEYEETKEFYYIMDYLKYDDVLRLVDISDEIRRSRKQRARELEYEREYQ FDYERDRTRHSHPRWDEVTERETFYDSRPPRGYLR FPSE_01385 MSSSEINQVLANSLSPDANLRNAAEQQLTQAAESNFPLYLATLV QELANDSADGSIRAAAGIALKNAFTTRDFARHQELQAKWLQQTDDETKNRVKELTLQT LSSSNTQAGTAAAQVISSIAAIELPRDQWNDLLPFLVKNVSEGADHQKQSSLTTIGYI CESQDSELRMALVTHSNAILTAVVQGARKEETNIEVRLAAITALGDSLEFVGNNFKHE GERNYIMQVVCEATQADDSRIQQGAFGCLNRIMALYYENMRFYMEKALFGLTILGMKS DDEDVAKLAVEFWSTVCEEEISIEDDNAQVESSDQMRPFYNFARVAANEVVPVLLLLL TKQDEDATDDEYNLSRAAYQCLQLYAQAVGATIISPVLSFVESNLRHEDWHNRDAAVS AFGAIMEGPDEKVLDPIVKQALPILITMMEDQSLHVKDSTAYALGRVTEACSEAIDPQ AQLPTLIESLFKGLLSSAKMAPSCCWALMNLAERFAGDFGAASNAITPHFNNAVSSLL DVTARQDAETSVRTASYEVLNVFVQNAASESLQPIASLSDVIIKRLEETVPLQSQVVS VEDKMTLEEMQNSLCSVLQAIISRLDKEIIPQGDRIMQILLSILNSVGGKSSVPDAVF ATISALSTAMEEDFVKYMDAFAPFLYNALGNQEEPSLCSMAIGLVSDITRSLGERSQP YCDNFMNYLLNNLRSTALANQFKPAILQCFGDIAGAIGGHFETYLSVVAQVLEQASTV TASPEGPYEMFDYVVSLREGIMDAWGGIIGAMKVSQKTQALQQYVPAIFNVLNLIAND MNRSESLMRASMGVIGDLADAYPNGELVDAFRQDWVTAMIKETKTNREFQPRTIETAR WAREQVKRQLGGSQAVMAN FPSE_07543 MELIQRASLTEHQIPNKAHEHQSYFQYQQCSTAAGHMADAESSA PAVKRRAPIACRRTFLERGQPDHDRDFRHPRVRADKSGRRDPAKARRDILDAPVKPLN KSNSEWELLPPLPEIIDGINRFIRYYFQLGFIPREQFPRRLLQDHQSVSVFLVVSILS ISARFSPPLATRYGSGMKAAEFFMERAAAIALGEIFSPRYTLESCQAFYLLSIAQQGS GLRNESHVCTRYEVSNVFIADRQMNMGIALRMASALRLHLEETYDISDPTDDTRIRGE SARRTLYCQWMLHSQDQLHSGPHSPISLSASDITALLPCHEDEFDLGREPSSRAALEG SPPAISNPALLCDPNRSLFASLIQAHSLWGVITRRAVSFTRSSYPWEPESKFATVSRK LDMWENSLPQNHRWNEFTYSEHKAKGQELAYLGVTMVPRLCNIVLRRPYLVDILTFSA EYKQRPEAFTKITYELFSNVRSLYVQIDAQFTGRSSEESVGAQMAAFCVYTCGLLSTY LCCYPNICPDPSISRDGHMMYQRTLAILLECKEVWPLASRWADALERFAQDPTSSLTS ETGMADGRDPVPHPVIDLPGATPASSGTSPASSIYSRQASSIEPGPSTLQSPSPHGIP ATHILPSHFPPPQIQQQPQMHQIPVHQHQHQTPAPIMSQHQAFVPHQLQPQLYMGSND MTNLGMVMGTFDQSTLQGYQMPQQCTAPLASTIPTVAAIPPVPLGAPADGYENELNFY SYGSYDWVPSSNVFDGYD FPSE_07544 MSALTEISLPSFQKIASGKVRDLFELPDKSTLLFVASDRVSAYD AVLKNPITDKGKILTLLSAHWFHVLTDRIPDLRTHFISLDVPEGVSAEEAQTIKNRSM VVKKLSVIKIESIVRGYLTGSAYKEYKKNGTVHGITVEPGMEEAQKFKQPLWTPSTKA DAGEHDENIHPDDAWKEVGDRETADRVKELSLKIYDEASKYAEEHGILLADTKFEFAK DQEGNIYLVDEVLTPDSSRFWPAAGYMPGRDQDSFDKQFIRNWLTKEGLKGKEGVELP QDIVQATSDRYREAFLMLTGKKFEDAASQ FPSE_07545 MFFRKQRRAKPDLHPGNSSSSRDSTKGLRNLFPLSKPQSNTSTN LDDQAKGDRGPLGLSLLYSPSAPEIDLIFVHGLGGNSRKTWSKSSAERSQFWPEEWLS KDPAFRNVRLHSYGYDSYYLKGKEDCLNVHHIGKSFLGAISTSPCIVNSRTYIVVVGH SMGGLVMKKAYILAKQDAGHEALAGRFAAFYFLATPHRGANSAKMLKNLLRVAYDRAY VGDLEPNSEAVQVINDEFRHFSADLELWSFYETQNMKLFSSLIVDPESAVLGYRGEKQ IPMTADHRSICKFDTPQDPNYILLRDALASTVSRIVTAIPEKKRERTKNLKKYLQVSD LLDDDLANVCESRMRGSCEWISYKASYARWKDGEYGNDRTLWIKGKPATGKSVLAGYV IDQLKGSGQACSYFFFKHGDKSKANLGRCLRCLAFQMAISNAEASDAILKMQADGICF NGVDERTLWRILFLSGIFQATMTRHYWVIDALDECSNPPVLLNAILSNMDESIPLRIL VTSRDTVELDQGFSVIPTNLVQILPISVTDTKSDLRLLIERRTQALGVVKPNDRGELA EKILDKSKGSFLWTILVLEELLRCHSRKEIHQILEDVPRGMGSLYKRTLDYMSQVTRG KELAKTILMWAACAVRPMSISELDGALTLDIHDSFPNLEESIAALCGQLVVVDKYGRV NMVHETAREFLVAGGLESEFSIEKTKAHTRMAEVCLGYLVGEEMKPPRTRRRRSSANL PTRLDFAIYAYTAYSYHLSKADPLATEAFQLVTRFLKSNVLTWIETIADSQNLTHLIR ASKHLKTYVDRCAVERSPLDPQMRALRQWSMDLARIPAMFANALTVSPSAIYSLIPPF CPTESMVYKTGCSNQRLAVLGTYNKQWDDRMLCMDFRQGQPRALRYGDEFLAVALSSG AVVLYYATSYQEYKVLKHGEAVNFIAFKTKTDLVATCGIKTTKIWDIRKGQVVVSLTS PPRPLGMEFDGDMLLIASRNNYIETWNLGHDARAESVRRPWSGANTPDTNQMPLRGTP CALTLSTSHGMLAVAYSGQPITLWDMEEDTYAGKCGKKLSSGETCTHVVVALAFNPNP DINLLAVAYLDGDLALLDPYADRQLECFRANCQTLSPSPNGRFLAAGGANGIVHVYEF DTFKLLYRVKSSNSYIKQLAFARDSMLLADIRGTQCTVWKPEALLRESLSDDSSGLTS TTVVETVSMEAKAKVTAMVVHHTFAVVFCGKDDGSVVLYERKTAASLGILYRHKSPVR LLAWIEPRDSLLSVDASNKIFLHRIQKSADKGWLSDPTVLFKSHLDSEKAITDVLVGE IAAKFLVSTRESDYLFNLDNGKYEIERTYPQVPGTRKWLPHPQSSLHLICVDNVKACA YCWSDWSEVSSIAFSLDNHTVELKSAILYSLDQKQRVMLHLIHPSSSVNINRIAIIDA DCLAVEGNDGLLRKQIDAAATLDQLATDEDREEASNLAAPLRSRVTTFELSVTHVLGV DESKKLIFLNRSFWVCSVDLSESRLEIAQRKDSSAIEVFEHFFVPYDWFAGKRNIVCA LAKRDIMLTRGGDLAVIRGGIDYAERMSALSQRATEAALVTDQLKEFADKSVQRQTFT LKLKNYFLTSLAAHLNEYLTPSSPITPDMIRCVGAATAMHDILAWGVADPGDGVLTSR PVYGRFELDFGNKSQVKVVYSDNKTEEAFQDGIIDHFEEALVRSSEAGVHVKMVLIVN PHNPLGRCYPTSTLVKIMQFCQKHRLHLLSDEIYACSVFNSDEPATPFTSILSIGSTN LINPGLLHVTYGLSKDFGAAGLRLGAIITRSQPVLRAIEAAMRFHNPSGASLAIGNAM LEDRVWCRSFVDSSRSKLSQAHRHVTSQLKAMNIKYLPGSNAGFFVWIDLSPYLPSEL DGELNQEFALAKRLREVGVFLHPREEHSLEPGWFRLVYTQDPRTVTEGLQSCQDQNGN IVGWTVGKRSTILAASKVKAWEGFYG FPSE_07546 MRSQTSPTFEIGDHEAFMEFALTQAKKSPPAANKFCVGAILVDA AKGKILSTGYSLEYPRDYKGDPGTTHAEQCCFIKIADEHNLPEERIHEVLPADTVLYT TMEPCNERLSGNMTCTTRILRLKSAIKTVYVGIKEPGTFIAHNEGQERLEANGVKVVF PVEHWRDRITKVSMAGH FPSE_07547 MNYSGANGFEFGAGNTNIDPAVLRELPEGCKVASIENHGVSFWA KTGRIDVFLNDGTPKSFFIKAISKELGMNMTRGEFHSMTAIHNVLPEIVPRPIACGTY QTTTDTHFFLCEFREMTEDMPDPHKFAALLSTLHQKSVSPTGKFGFHITTYAGNLPQF VTWEDRWETFFAKSMRQALDLEIERKGPSEELETLSRALFEKVIPRLLRPLESDGRTV KPSLVHGDLWYANAGIDVDNDQPLVFDACCFFAHNEYEFGQWRPACNRFGDEYVAAYN TFAQISPPEEDFEGRLDLYRL FPSE_07548 MSYPPVVIEISDDDDEDIERDGGPGSAAILATRDKQALLKALNS IESTGDIAASSHYNIFVNPGLTIDGGNLIRLPLEEDDARTIKGACRQAPFGHGDNSVR NTWELDASKFDLGNPEWPKFFDKVLRDTATGLCSDELLAKPYKLLLCEPGSSFKPHKD SDRERGMVGTLTLCLPSQHEGGDVHLSLGSQIKRLSTAPTSKFDITSISWFSDVTHEV TKVTSGYRLVLTYKLFIRGDDSISASAALEKIERLKHLLIKWKSRPCQPDRIIYPLDH LYTEASLCLENMKGRDRAVAHSLNKICSEAGFYFMLAHAIHVRMGDDGHGGYDEGSEE YGNLRSIHTPSGVQVASDLAIDHDEILGYDINKEDPSSEDEGEFTGFENTTPTFRYHK TVAVVVLKDRLRKYLIKDVGLPSTVQRASTDRLTEMVLRDLASNRDDAYTKQAAAGFI DNVLLRSREPQGPIVRLISKWALELNNIAMFRTCVQATYAPLTPTAQKSHRPVYAKAI SDELINHLRTRYAGQENTIDWDYWFKDFNQAIDIATEFDTFCYIFVSSITCEPLRNSF KAWAGPIYDKKLASQTLWTLSDEEYILRLIEKRNKWALQSFLPKFVPRFHRSLLWALL HSITSGRFKRFKNSRELCKCIMKHGKGQLSLCGNDIMEVVNTPPGFCRNNLTPGHAVD THHSEADDPDHRTSFKKFIRMVQESYINGAEQEALGFLQQSCQRLVDERQVWSSVSAS YLVNNFLEPLVAIFEAWKVPPTPAIHQFFEIALRDVIHKRITTRPVQLTGWAHEKVVC PVLHDCNPCRSLNTFLENPQQQIWCIQAAQIIRRHVEDQLTDPHYSLKTTREGVPYTL VVTKLGTNYDKTSRIWQGQAQQVAQSVYALRRDYVKALLGEDKYKALVIIEPSQENAG TTSQENGNYAQSNTKRRRIWE FPSE_07549 MGSTIPTSLLTALNCRGNIHLVIGTNPLAATRCGQSLGSGAQPI LIAPEGAELHYALQKRIDDGSVQWHKKEFQDTDLLRLGRQEVDGVVDAVFVTSAPRDA QTIRISALCKRNRIPVNVVDAPQLCTFSLLSTHSDGPLQIGVTTNGRGCKLASRIRRD IAASLPTNLGAACTRLGDVRCRIHTEDALVAEDDPASPDDSFDQDAHFNRLITEEDAK NRRVRWLSQVCEYWPLKRLAAITDDDVETVLKSYPGNGPDIKRDPGTPEKRVGTIILA GSGPGHPDLLTQATHKAIKSADLILADKLVPSGVLDLIPRRTPVQIARKFPGNADRAQ EELLEMALAGVQEGKTVLRLKQGDPYVYGRGGEEVAYFRQHGYGDRVSVLPGVTSALS APLFAAIPPTQRDIADQILICTGTGKKGKAPSPPEFVPSRTVVFLMALHRINGLIREL TTHVELEPLDPVNEDTATAQPPPPPEPTQVSPTTGESKRVLWPRNTPCAVIERASCPD QRVIRTTLEHVAEAIETEGSRPPGLLVVGRSCETLFTPEKGRAWVTEEGFRGMEIEDF TVGLGALQV FPSE_07988 MLSARGIRNACPRCNVSSLAVSSRFYSSSTPLLRTRRISPFLKT QSNRSAAITQAFSTSRCLRQDSQPEPPASPEEIEQIVRDAKQRFRDTLPKGYLNEEEY AVYERLYGAPLRETRPEDVGIPEHADMEQTLRPDNEGTLLRELEDGEFEEITYEIPPS ENVEETGETEPEADLEDPGMDQLAHQAPGYVDLVARSQREYDALKKLSDDFKISQKEQ QEAENKAAAEEELAMEQLEEEQPQWPEEYDQRERPTGEAGRFHPLSIEGRFYDSPVEI SLPMDELIMPIRDLLQRTHIDHVKSAAETAFGGPGLPLSPATPAAKRNGNMHGVGLPA DQRHMTEIEGDAFLAGFLPPAYASVMATLREVRKRVGSDWLQSKLKSGSGISVLDAGS GGAGLIAWDEIVKAEWDLLKEKGEVKGNKIPGKRSVIIGSDRLRHRTKTFLENTTFLP RLPDYEHSGEMKGERLDAGDKPQARKSYDVIVASHLFLKEEQDHYRQAVLNNLWNLLN KDGGVLIVLEKAHPRGFEAVAHVRDTVLKQFLLPQSGEPELLPEDFNPAYDREKETGY VVAPCTNQGLCPMYQTPGKSAGRKDYCHFSQRFVRPMFYTKMLGNSSNNQGEVEFSYV AIRRGVSKEKPVTGKEAANQAFEGYENSDAKPDMQSLPRMIMPPLKRKGHVTLDLCTP EGRLERWTVPKSFSKLAYHDARKSKWGDLWALGAKTRVQRTVRAGKGPDDGGKRAGQG KKPRKVEIVMGPGGISASEKNAPRERRGRNKLDKKGRLLQEIMEAEEEEEKMISKQMD EEVEAELEDESEVERRSRR FPSE_07987 MSGPISIGSESEWSSLLAGTNVVIADFYADWCGPCKMIAPTFEA LAKEHSSPKKVAFAKINVDSQSGIARAQGVSAMPTFKIFQNGACIETIKGANPPALTA AIKNAVKLGGPVTGDVFKTPGRTLGGDARSAPLSKRWNLKGIFDALIAFFGLYFVSLL SFDPYKSAEKSPFNIHRKPTSGSSGGSGQGAGGARAPPRSSFKTLADLGGD FPSE_07986 MSYSERNSKKRKTDHNGGGQDQRSFKPSATFNPTEGGRPWTLSV AIPSSILTNLATADQRMTQPARIARALAVFSVDEVVVFDDSHVSTRPRQTDPNSYTGD TDPCHFLAHILSFLEAPPFMRKTLFPLHPNLRLTAMLPSLDMPHHPNPRDYLSYAEGV TVTGATSTGSGTLVECGLENPVEIEADIPPKTRITLKFPEDENQYPEPVHPAAPRTEG GYYWGYTVRKANSLSKVFTESPYENGYDVSIGTSERGVPASKAFPPTKKVNFSHLLIV FGGPRGIEFAAMNDEELSSMDIQGSKTKELFDHWINVLPNQGSRTIRTDEAVFIALTS LRGIWDSN FPSE_07985 MGLKVLLLLAFASIVRGKYWLEEIEHRGTAPYYPDSQYRVFRNV KDFGAVGDGLTDDTNAINAAISAGTRCIPGVCRGSTISPATIYIPSGTYLISDSIIDL YYTQIIGDPTNRPVIKASGSFSTKSFGLIDSNPYLSGGSLSYNSTNVFFRQIRNLVLD TTALPPEFPAIGIHWPSSQATSITNCEFRLSTVPGNRHTGLFIEEGSGGLLNDLYFHG GGNATVLGNQQFTARNLWFVGADVAIWMPWDWGWTFKSAVFKNCRVGIMMDPASYSVG SITMLDSWFENIDIAISTTRNSSQATSGSASLAFENVKFQNVKSAVLDSEGVDHARSA LEPGKDSIFVMGHYANSKGNFNAGAFYPVSLPRSGSLLDRGIYYERSKPQYENVPSKF FMSAKANGAYGDASHDDTQALNNLFKYTAENNLIAYLDAGYYMVSDTVYIPRDAKIVG EALASIIMGTGQKFEDINKPRPIVQVGRPGEVGQIEWSDTIISTRGAAAGAVLIEYNL YSPETPSGMWDVHTRIGGFAGTYLQVPECPAIKGANVINPRCLAAYMSFHVTKSAGGL FTENCWFWVADHDLENQQYARVALFAGRGVLVEAQRGRIWLSATGSEHHVLYQYQFAN TKDVYIGHAQTEQAYFQPIPLAQYPFPPVPSLYDPDFKQDCRGNADARCNMGWAMRII DSNNIATYGAGLYSFFINYNDTCASNKSPLFCQEKILSIRGRSTGLKFLGLSTVGAQT MVERDGADLVQAADNNSTFADTLALYVS FPSE_07984 MASLASLKRAHAPLRKALSATPTLRTFATVPPSGSEPAKPQRKS YFKDTTVSDFNDFLGTSSPAQPLSAAEAYSLKTAEVGPEGKKRTITRLPEWLKTPIPA GNDNFKSIKKDLRGLGLHTVCEEARCPNISECWGGSDKNAATATIMLMGDTCTRGCRF CSVKTNRNPAALDPHEPENTAEALARWGLGYVVLTSVDRDDLADGGAHHFAETIRRIK QKKPSLLVEALTGDFRGDLDMVKVVAESGLDVYAHNVETVEDLTPYVRDRRATFRQSL AVLKHVKDVMGKDGPITKTSLMLGLGEQERELMSALEELRKADVDVVTFGQYMRPTKR HLKVEKYVTPDEFEMWRKRALDMGFLYCASGPLVRSSYKAGEAFIENVLRKRSGEKAM ASGNLGQTVALDSTQSSI FPSE_07983 MHFSKFILAVLPTLALAQETTTQTSTAVVTKTYYLSTVRTVTAT GESTTAIETSAEIISTAVVEEKTTFLPVAHNTTIPASTPKATASAGTTGSNGGSSEPS QVPENAGAAVTVGKFAMVGVAGAIAAALL FPSE_07982 MATSTLFSTHQFYKYEVKVVDGIVLSWIKSALAGLSSRTRIKAR LISGTLYRVGDGKLPLRGLDPVCVGVVQRGHVNLPDERIGHGQPNRRQKQ FPSE_07981 MTDSPPPTEPRARSKSPAPRDRDDRKGRDDSFRENSDRRQRRDR SRSRDRNQNRDRRDSPPRRPRDRSRSPARRPRGSGGFKWKGERRDNDRNRDFRRRSPQ RRGDRGGGRDRNYRRDDRDGDRAGRDRPRDGDRDQRRERKEKKEDKPKPAVAQGGGEE MIIVNVNDRLGTKAAVPCLESDTVGQLKLMVAARIGRDPGQIILKRQSERPFKDHITL GDYGISNGVQLDLEIDTRD FPSE_07980 MDAMPDQRSSGGNLCQNIDGPDDIILGGHEQWKQVDSSPWELEE DERNLLRENDVSVQQWLQRQDNSQPAQNIYINSQSLPQRKRKNQKILVHVDDVFKPSP IQPAKSPNNTALGLRKPTASNVSTTSINEQLLNKSATSVQSLQQFSAAPNQNTRYYDG NGYSRERSSSTLASHSRSPFPATSHKHQASGHHAQPIGLSFQQSARSVTGTDTSAGSK KPPKRQNSHSTDDDASSKRTFPRRNRSGINSQPHRGPPSLSSGPRRTTQPGESSFLQT PIEGLRVPQHQARIKTSPLVSGGSSLPGTKQNKLPPHRDSKVLSHELFKDLGEICPFW LFDPAQFSNEDRQACWGRKLEMSHVIAHLIDHHGFVRGIDPKNESRKYLASCQTQDPF AKAKGDCSKCISLHNWKDSDFTDPEHKGVVLCTRCYFQFDKREMQSHLDGPMCPYNTE QPKPKKMCILYTTFCSQDKPPSSPPGNMAPRKTKPRSTSKRRNRRSATPPAENNPTYR PAPEQASQLLRPANPKIPYKQVEPITTNGPSSSASSQTRSFINGNVPTSYESRELQNQ EPGSRDSFTHQQSNVYQPNNQPIAFIYPDTPVKYISDLLKLQRDRQQAVAQSTYSMAD PSSSGNSRLNGSMVANGFQQHSHNGLRLPNQNPGGQQPFLQIPQTSSQFFSQTLGQTS QRGLRDKSFDQFSQQSLTQPQYSQQLYSQHPLHDYLSVQSRQQPSTNANFSTNNGGNP QPIRSPNAPQLQLQVPSMDDLDATISLSGGLSHVQSSSSRVPSTAGESMCLLPSPNME GSMWLPDEYDYEDTPDWLKFAVGSHLELDESIEISNPPPLQPQQRVEDLKPGSRLLDV HQTAVEKDSGYHSIFDDMDWDANKMFSS FPSE_07979 MHHVLVGESCYTASEVQKLVQRINDQSPVKVANLTGSWQYYLDL ETEDAAVLSSIKKILEAIEEPTSASSAGNNDKAIDIYVSPRNVSPWSSKATSIALVCD LKTVNRIERGRVIHIEFEDSFKGEEDLVFRDVLHDRMTEFFSLSPPVLDTMFAHGTRN PLVVVDIFSDERGPLAALQDHNKQAGLGLDQPNMEYLVKQYTALGRSPNDVELFMFAQ VNSEHCRHHVFNASWTIDGVTQDNSLFGMIKNTHKSNPEYVISAYSDNAAVLSGDEGN YWAPDYSTGSWKLTREVVQPLIKVETHNHPTAISPFPGAATGSGGEIRDEGAVGRGSS PKAGLAGFWVSDLLIPGHKRPWELDIGRPSHYASSLDIMLEAPIGSARFNNEFGRPAL TGTFRTLLTNEPGSEATDFRGYHKPIMIAGGIGSVRPQHALKEESHVEEGAHVIVLGG PAMLIGLGGGAASSNAGSEATADLDFDSVQRGNPEMERRAQMVINTCVALGPESPIAF IHDVGAGGLSNALPELVKDAGFGGKFELRQVESADNSMSPLQIWCNESQERYVLLVNR DGLNRFTSICRRERCGFSVVGTAVTKDESGVSKLVLTDREPTVQPPIDPINLPMDVLF PPGRRISKDVQRVQKNLRPFDAVKSLTEQCGSSDIGEMVTKATELVFNLPSVGSKNFL ITIGDRTVGGLSVRDQLVGPWQTPVADVAVTLTSFSLDDKKRRGEAMAMGEKPNLALI SAAASARMAVVESLMNLGAADIKPGPVNGDLKRVKLSANWMAAVGHPGEGAALYDAVE AIGMDLCPQLGVSIPVGKDSLSMKASWKDKKTSDSHTVTAPVSLVISAFSLVEDVRST WTPQLRRVEEVGESVLIFVDLAQGFHAMGGSALAQTLGQIGDESPDVRDVQIIRDYFD ALWQLHQENIVLAYHDRSDGGLLTTVAEMMFAGRVGADISLDTLVESEGNVLDALFNE ELGAVFQICRGDETKFKRCFATCGPPHGMLKTIGYVRPTSKQSLLVKYKSKTIVDLER AKIQQWWTSTSYEMQKLRDNPDCANSEFDTIQDNKDPGLHYRLRFDPADVSVPAMISL KSLVKKPRVAILREQGVNGYAEMAFAFRAAGFDAVDVHMSDILGGLSLEGFRGLAACG GFSYGDVLGAGNGWAQSILMHDGARKIFEAFFNRPDTFSFGVCNGCQMLTRLKELIPG ADHWPTFVENTSTQFEGRYSMVTIEDKFENSVFFNGLNGSSLPIVVSHGEGRAEFSGS DLSSINDSGLIPLRYIDNYGSVTEKYPFNPNGSPQGIAGVKSMDGRVVAMMPHPERTI MADVGSWKPERQLAEWGQYGPWFQLFLNARKWVG FPSE_07978 MWLSRGLLASTLILAVKAQDGIEDAAMRIATGFAETLIQHAVKT LSGDAGGAGDTGDAVNAGDQGNQGNQGQAFDATMIDAATVTHTVGGEAIIETYTRPGG QLFPVTLLPHPRITIAPTTDDPYERIIQAYTGGEDATTNQEITIAEPTGTKPGTVIVD VPGSAYSSFSSGQAGALTILPSNDHPTATIISALPSGVGITGEATRTIPAAGGASATV VIQTPWEDVPPSTPGAGAGIGGGAGGAGGNVGGSTSNVLVIQTYTGTEYITQARVTTI VGSGGDQGTVVVQVPPGNQNPRGAVTIPPSGDSHFTTIVRQYTGDTPISTPVTRLVPT SGTQDGTIIIETPGPSSPTGSSGNQGGDLPENQPQVIPPSGDSPYSTILRPHAGSGEI TEPVTYTIPPRGTSHGTVIIETPAVRAGQSVITLPSDPGSGYITIVRQPTGTGVITAA TTITVPPSNGQPGTIIIETPAPQPGGGEITIPAGADSSFITIIRGPTGTNAVNTPTTI TVSGAPGQPGTVIIETPVGSGGQTTRAPDDPFTIPPGPGGQYITIFRPHSGDPITVPI TLTQPGQNGQPGTVIIETPVPTTPAPGSGPITIPAGDGGSYVTIYRPHSGSPISQPVT ITIQPSGGQPGTIIVETPFNTPKGQNAVTSNEPGGQQITIPPSKNNPYVTIYRPHTGD PITVPITITQSPSNGQPGTIIIETPAPEPQTVTDVETQPGATVTVQPNTPGGYTTVYR PHTGDPISEPITVTTISGSNGQPGTVIIETQGPFTVTATESGGTATIYTPGTGVSTTV TKTVVLTASGGQPTTAVIIETPPVKVQPTQSQVTGSDGFVTVTEQYSGTRVITAIFTT TVVASGTGPGTVFIETPPPIKFNPTTSVPESTVTGNDNYVTVYKPYPGPGIITAPITV TEATPSGGQPGTVVIQTPVSENPTTSLPEPSTTQGPDGYVTVYLPFPGPGVITQPYTR TQAPSGGNPGTVFITTPAPQPATTTTSLPEPSTTTGPDGYVTVFLPFPGPGVITQPYT RTQAPSGGNPGTVFITTPAPLPQTTAQENLPSTTSGVDGYVTVFIPYPGPGQITAPIT STQPPANGQPGTVFITTPVPVFSTPKQANGLTSTSTGSDGYVTVFVPYPGPGTITAPI TSTQPPANGQPGTVFITTPDPVLSTPKQANGLSSTSTGTDGYVTVYVPYPGPGSITAD ITSTQPPANGQPGTVYITTPAPPFSTPKQANGLTSTTTGTDSYVTVFVPYPGPGSITA DITSTQPPANGQPGTVYITTPAPISTADQNTLSTSTVDDGYVTVFLPFPGPGTITAPI TSTQPPSGGNPGTVFITTPAPVTSQQGVPSTAQGDGEYVTVFLPYTGTGVITAPITST QPPSGGNPGTVFITTPASGSETQSQTPALTTDNYITIHTPFPGPGVITSPITITKPPA DGQPGTVIIQTPAPISSNADSYVTVYRPYPGPGTITAPITLTQAPANGQPGTVFIETQ PTNEPTSSPTSGDSASPITIPPAPTGSYVTIYRPYPGPGQISSAVTYTQAPISGQPGT VIIETPNSDAPTATQTGPVTVPTGSNNPYVTVYRPYPGPGNIDQPITITSVTASGDQP GTIVIETPTIDVNTKFAPSPTTSPPVTIPADDNNYVTVYRPHTGSLITASITVTTIAP AGGQPGTVIIETPGPESQPSSTDSPPVTIPQGQDGYVTVYQPYPGPGTITEPVTVSTI APTNGQPGTVIIQTPAPVASTPSTIEETTSASAVTIPADNGGYVTVYRPFPGPGTITA PRTVGIVAPTLGRPGIVIIETPAPQATNDESSSATAVSSTQGNNGYVTVFQPYTGTSV IGGPITVSTIPPSNGQPGTYIVETPVAQTAGSTTETGDNAPVTIPPSPENTYLTVYRP HTGANLITAPVTVTTIQGENGQPGTIIIETPASQAPTSTDDSRGAPVTIAPGPENSYV TVYRPHTGTDSITAPVTVMTIEGVNGQPGTIIIETPEAASQTPVLTGDSTGATSTVPP GPGSSYVTVYRPYTGTETITAPITSTIEGTNGQPGTVIIETPTSSTPDNNAASTAPPG PDSSYVTVYRPYTGTETITAPITSTIQGTNGQPGTVIIETLMTAGSDANAPSTAPPGP DSSYVTVYRPYTGIESITAPVTSTIQGTNGQPGTVIIETLTTAGSDANAPSTAPAGPG STYITMYGPYPGPGKIDQPITVTTVEPSNGNPGTVVIQTPGIETGGKVVVPPVTVGPS PGEQYTTIYRPHTGTDIITAPVTITTIAPAGGQPGTVIIETPEPETAGPNPTVTLTPG PNESYVTVFQPHTGTGVITGPVVITTIAPANGQPGTVIIETPVAPESTSAPVPADSAT NAPQATPTYVTVYRPHTGPERITAPVTITTINPSGDQPGTVIIETPDQDLPPVTTSAG PAASYVTVYQPHTGTDRITAPVTITTIEPANGQPGTVIIETPGQQAPPVTSSPAPASY VTISRLYTGTDQITEPITTTVPPQGDQPGTIIVETLGQKALEPSTSSPPSYVTITRPY TGTDQITALIVTTIPPEGGQPGTVIYETQAPFVTVFRPYSGTDQITGPVTVSTIAPNG GQPGTIIVETPGAGPAITTTPPAPSYVTVTNLYTGTEMLTGPTTVTTVPPQGDQPGTV IVETQGSYVTISTLYTGASTITGPVPLTTVPPQGNQPGTVVIGTLGSYVTITTQYTGT DSISGPRTVTTIPPQGDQPGTVVVETPQSYITTTVPYTGVDQITAPITATTIPPSGGQ PGTVVIQTQAPFATVYRPHTGPDRITAPVTVLTIAPNGDQPGTIIVETPGSEPPVTTI PPAPSYNTVYEEYTGTDDMTEASARTTIQPQGDQPGTIIFDTPGVRVISTSAAQPSYV TVYRPHTGPDRITQPITVTTIAPQGDQPGTVVIETPGSEPAVTPTPAPAPQPSYITVY QPHTGPDRITEPVIVTTVAPQGDQPGTVIIETPGSEPAITSAPAPQPTYITVYRPHTG PDRITEPVTVTTIAPQGDQPGTVVIETPGSEPAITSTPAPQPTYVTVYQPHTGPDRIT EPITRTTIPPQGDQPGTVIIETPGSEPAITTGPAAQPSYVTVYQPHTGPNRITEPITV TTVAPQGDQPGTVIIETPGSEPPITSGPTAEPSYITIYQPHTGPDQITALITVTTIEP QGTQPGTVIIETPGSAPAVTTGPPSPAPEPSYITVYRPHTGADQITAPVTITTIEPQG GQPGTVIIETPGSQPPVTSSPATEPSYVTVFRPHTGTDQITAPVTITTIEPQGGQPGT VIIETPSSEPAVASSPAAEPSYVTVFRPRTGVDTITAPVTITTIEPQGGQPGTVIIET PGSQPPVTSSPAAEPSYVTAFRPHTGVDTITAPVTITTIEPQGDQPGTVIVETPGSGE PVTSALGRYTTIYELHTGTGEFTGEVTRTIASPEGDRPGTVVIETSSGPGSASGRYTT VYEPHTGTGEFTGEVTRTIASPQGDQPGTVVIETPRSGASVPETYTTVYEPHTGPGPF TGEVTRTVATPHDGQPGTVVIETSAGPGSDIDRYTTIYEPHTGTGEFTGEVTRTIASP HDGQPGTVVVETPGPQTANKGANPPMTIPAEDDSYVTVFRPYTGTPQITAPTTVTTIA PTNGQPGTVIIETPVPETSTSSDMGNPPVTLSAGDDKYVTVFRPHTGTDVITAPVTVT TISPSGGQPGTVIIETPEPVTKAATSTPGPEDVFVTIYRPYTGTEQITAPVTVTTIAP VSGTGTVIIETLAPQTASTVYVTIYRPYTGTARITEPTTVTTIAPVSGTGTVIVETPT PESTVNSEPATSAAITIPSNDESRNVTIIRPYPGPGSVTAPVTRYEAPTASGEPGTVI IETPVEQSTTEAQPQSQPTSAPAVTIPPSDGSSNITVVREYPGPGVITAPVTSYEPPK TPGQPGTVIIETPAPAPNTVSGPVETMSGPADTASSGPAGTNVTFYTLAPPGVKIPIT SYAPPQTPGQVGTVFIQTVASEPGMSADNERNVTIMTDGPASLTEPYTRYQPPGSAGD PGTVIIETPPVRSPETTSDSAVTIPAHSGSPHVTVIRGGPGKEATTIYVPPTGTEPGT IIIETPTDAATTQEDKTSADQMTSDTPAVTLPPSSNSPNVTVIRPYPGPGSITEPVTR YVPPTASGEPGTVIIETQSSDSSAPTSANPEITLPPSSQSPNVTVIRPYPGPGSITEP VTRYVPPTASGEPGTVIIETQTTETSTEISETSSETQATSSPSGITIPAHSGSPNVTV FRPYTGPGTIETPITSYIEPANSGEPGTVVIETPVSRSESSAQSEAVSTSGPVSNPAV LTTDDNTTPFSSASRNPDALTITPSDTREDVTVIEPNTKFAGATENVTQIIPPSGTAP GTKIIYTPVNTPGQSSMGATDTDSYVTISATVTIPGDPSTRTGVDSNAGSDAGTTGAE TNVIIIPPSGTEPGTIVSQTSVYLDQALAQHGNVTITRAAPAGVTTPFTTYSPPAQSS DMGTIIVEVPDYNVTTTRAGPPGVTTTLTTYSPPASPGGPGMVIIETPDYNVTVTRQA PASVTSVRTTYAPPGTLGDPGTVIVETPNNDYNVTITRGASITAPFTTYSPPGSSGDP GTVIVETPDYNVTVTTQAPSSITSVRTKYVPPGSAGDPGTVVVETPNNDYNVTVTRAA PASVTKMYTTYAPPGAPGDPGTVFVETPDYNVTVTRGASITAPYTTYSPPATPGDPGT VIVETPDYNVTVTTEAPRTVTTLRSTYVPPGKYGDPGTVVIETPIGPYNVTTTRGASI TAPFTTYSPPGSPGDPGTIIVKTPDYNVTITRQGPKTATAVFSTYSPPGTPGDPGTVI VETPAAAYNVTTTRGASTITTPFTTYLSPSEAGDPGTVLVETPDYNVTITRQAPKSVT FLVSIYSPPGSPGDPGTVIIETPMGPYNITTTRGASSVTAPITTYMPAGQPGDPGTVL VETPEYNVTITSEATDSASDTVTRYNPPASPGDPGTVAIIMPNQKAASTSPNPSLTDA PGQNVTVYRPGPRTLTGPVTSYIPPSGRGENGTVIIETPGPATPFNSTTTQTVDTITA IYTTYMPAGAQDDPGTILVEVPPSRNVTITKDDATITAPYTTYSPPGTAGDPGTVIIG MPADRNVSITSIVNSRTAPYTTYMPPGNRGDPGTVLVELPPDSNVTTTKTVSDRTAVY TTYSGPANRGDPGTVIIEVPPGRNVTVTEVASTITSPYTSYSSPANQGDPGTVFIGMP PDSNVTITTEVATRITPYTTYSSPARQGDPGTVIVELPPDSNTTITKEMASRTKPFTT YMSPASKGDPGTVIVEMPPDRNVTITTEVTSLTAPYTSYASPGSEGDPGTVIIGMPPD RNVTITTEVPTRTAPYTTYSAPGARGDPGTVIIELPPDRNVTITSEVTGRTAPYTTFA PPATKGYPGTVIIELPPDRNITTTEQVPTLTQAYTTYSPPGSRGDPGTVIVRLPPDRN VTRTTVVDIITAASTVYSAPANRGDPGTIIIEMPPARNVTTTEVVNSITRATTTYAPP AQQGDPGTVIVQEPPETNTTVIEVVSTITRPATRYITPGTKGDPGTVYIDVPPNHNVT ITTTVSTITRLTTTFAPSATEGDAGTVFIEMPPEYNVTSTQTVQTISRPVTRYSSPAE VGDPGTVYLDMPPEYNVTVTTTIHSITRQSTAFAAGATQGDPGTVIVEMPPEYNVTTT QTVQTISRPVTRYSKPGEVGDPGTIYVDLPPEYNVTVTTTVPTITRQSTTFAPAAQRG DPGTIIIEMPPDTNTTTTQTIQTITRPVTRYSKPGEVGDPGTVYVDLPPEYNVTRTTT VPTIMRPSTTFVPAGTQGDAGTILVQMPPETNVTTTQTVSTISRPITRYSTPGTAGDP GTIYVDLPPEYNVTRTTTVATITRASTTFAPADMQGDPGTVIVQLPPAYNVTVSSVDP TITRPATRFATPATAGDPGTVYIDHPPEYNVTTTETVSTISRVTTTFNPASEEGDPGT ILVQVPPEYSTTATQTVSTITAIYTTYNPADTQGDPGTILVQASPDYNVTTTETVSTI SRVRTSYNPAGTQGDPNTVLIQVPVDYNVTTTETVSTITRVRTTYYPAATEGDPGTVL VQVPPDDSAAPPSTTAVQAPKSTVTTTQTVSTITRPTTFLKTATASGAPDTVYVQYPP KSTVTTTQTVNTITRATTLSSTAKDPSSPDTVYVQYPPKYTVTTTQTVSTITRATTFS STATDPSSPDTVYIQYPPRSTVTTTQTVSTITRATTVSMAATDPNSPDTVLIQYPPKS TVTTTQTVSTITRATTVSMAATDPNSPNTVLVQYPPRSTVTTTQTVSTITRATTTSMA AADPNSPDTVLIQYPPRSTVTTTQTVSTITRATTVSMAATDPNSPDTVLIQYPPKSTV TTTQTGVSSITRATTVSMTATDPNSPNTVLIQYPPKSTVTTTQTISTITRATTVSMTA TDPGSPDTVVVQYPPPYNVTITTAGPTTLTATSTAYSPPAKSGDPGTVIVQRPPVTVT TTAASAYTTYNPPGGSGDAGTVVIATTTRPVAPAYTSAGPQFSCDVYGYLIQKTALYR VEIVSGKTTLIKSVIGDGGWINGIGYNRFDDYIYGMHQDDSGSQLIRIGGDGTSTMLS ARTNDRLVNMGDIDNQGRFWISNNGGPWWVIDLMPGSSTYGKVIMSGTASTGGLKSAD WAFVPGGGDYMWSVMYNSDGSTSTLCRFSRTSYTWQTMKTFGDVAGNNVWGALYASGD GMLYGSENTSGQIFQFPIAPSIGNPKFIATGPVSSWNDGASQTLPV FPSE_07977 MTIKTLGAKAAAALDQELMSTGAFSLDQLMELAGLAVSQAVYRL QPLESGRRVLVACGPGNNGGDGLVAARHLRQYGYSPTVFYPKRSKNDLYQRLAKQLED LEVPFVDDFPSALKSTDHIVDAIFGFSFSGEVREPFPAVIQALQETKLPVTSVDAPSS WDIENGPPKSGLGSSFMPTALISLTAPKPLVKHFKGRHFIGGRFVTPSIAKKFDFEVP EYKGIDQVVEVEVSEQKL FPSE_07976 MVVKIRLARFGRRNQPFYNIVVAHARTARNSRPLEVIGTYDPIP KTDPYDNSGKLHKDIQLDRARARYWIGVGAQPTDTAWRLLSMLQILPKKEFGPKKDEI KGVVQKDQVQIR FPSE_07975 MSRPRRRGHKDGGHGGQATTLSSVVNLLNTSFQVVGAGTLAMPS VMSHMGIMLGVILIIWSGLTAAFGLYLQSRCARYLERGTASFFALSQITYPNAAVIFD AAIAIKCFGVGVSYMIIIGDLMPGVVLGFLSNANSAPYLVDRNFWITAFMLLIIPLSF LRRLDSLKYTSIVALVSIGYLIVLVIYHFASDKHADPGSIRVIQWGGAIETLSALPVV VFAYTCHQNMFSILNELKDNSPRSVVGVIGTSIGSASSIYIVVAITGYLTFGNAVVGN IVSMYPTGAASTIGKAAIVVLVTFSVPLQVHPCRASLDAVLKWRPNRNSSNNGRTATP LLPASPPGDHGSTAPMSDLRFAVITTFILTFAYMTALSVTSLDRVLAFVGSTGSTSIS FILPGLFYYKISNPDSTYHQRLVKEDDDIDEDSSTSDVEESAALAQSTTSVRSGASVV SNASTRSNRNSWRWRRKWRWDLEHIEHHHLRRMALALAIYGAVVMSTWYWVALQYK FPSE_07974 MATTMDETTLSTLDLLQSRLLRVEHLLYGQSQSPALAQDRSATD QIGQLERRFSKLLYDFRDYHELMKIYRANPDFFHAPEPSEPPSQLDIDALQSIVLSSA SAFPATLSSLTAIKDSPIPDPADSAALVALQDRMKAIEATQTAQAAEIADLRQRSESA VRAWYETGLLTNSKAMADIESRVEFVERQVRRAEREQEAEDEL FPSE_07973 MDNSRMYGQRKGIQMSNILGDPFALATLSISTLAWFITFVSCII GRIQQNGDDDLAKNDPFPTFVWWSCIYCLCLITGVFVVIGSDAVHTYHVAVTGYLAAG IVLVSSSINQLLYSNSGAREAASAGFILLAMVIVIWTFYFGSNPSSTPRAFLDSFALA KESTTIHRSTMNAYGGRPETSNSVQPPQMYTSAQLNGFENPSPVGGIAGPRGSAIPQS YTNSGMQQQPPQSKPGHSPGNDTEVVPPTEYPYRAKAIYSYEANPDDANEISFSKHEI LEVSDVSGRWWQARKESGETGIAPSNYLILL FPSE_07972 MAANLSTYSDCVSSLRTSLKFLESSVETLDNGVSDFPRLVNVLK TVRHYELIPQPTLTAAESSLRDEIGPYIALLLARADSQIERQERRIETLKARAELQQG RLSRPDDNYDEFGGKSKKQKTGSRKLTTEEKLRARAVRQRKEALRYGVERLELEVLQK ERELRKRLEGR FPSE_07971 MTVASSLSYKLPDEPVFHRLIENSKSITRVVVNDPTCNVQADCS KLLRDVVAFRKQLYEALPSDLFDKDGIIGASSPYIVIVSPANYEFIVASFAILSVGGA IVPISPGVLPEEAMHFLGNSQSSVLVTTSQYRDQGTAIHEYAKSQGYNLNLLLASLET WDAPQETNVEIDPTLIIDSSRPSLVVYSSGTTGRPKGVVQSRPYFAFGYRPTSQDLVL TLGPAHWIGVLRSTMYSALSGTPQEMIEPNEVVIWERLRKGGVTILWVSIPLWSRLQQ HYYDVLSRLPQADLDLYLEGAKSVQLASVGGAAPMPSLLRFWRHTIGIPLEVAYGCTE LGGPTTRTDSSCDRELKFSIGKPSPGVELKLSEGSEGEILMKSSLLFSGYLGDEAKTK ESMAADGFFKTGDYARRVGDEYVMEGRVSTDFIRSGPFKIPIVEVETRLSEVSFVTEA CIVSIPDRDVGNRVAALVRFRPGFDGNLAIIRDALSEDLALYNLPTALRVLAPGEVIP ATDAGKVVRQNVVQQYFTPTGDYELALSVEVWDLSQKQQKAKAWDWNGVQLSPQGLQM FPSE_07970 MHLSQDGQPVYGLVKTVLQSSAGTALKWLLGLLVVIRLATRALR SWRRRRLARANFCEPITRVPQRDPILGIDILQAELEKVERQTYLESFRERYDRYGRTW AYKTLNKLTINTIEPENIEYVLKTGYHCFDLGQNRMDVIEPSIEAGLLTLNGATWHNA RTMTQPGFNRKIMHRVPFETYFQNFIRHIPEDVTTPVDLAPLFGRFTLDSSWDLIFGK AIDALGFPTGSQQAVEVEDALSCILARTYDCMTRGHMAVWWPRKGHRDGLKKMHDMID REISDALNRNASSSTEDPQCMIDLFTSAQAQEKFNSEHSGGTETLKRMIRVQLSHIVL AGRDTTAILLSNLFLELSKNPRVWQKLVDEVAPLEGQIPIVSKLGSFQYLRHCINEAL RLYPPAPLNNRRATKDVVLPRGGGPQGDSPILVREGDEVTFHLHTLNRREEYFGPDVD EFVPERWEHINPDWSFVPFSAGRRTCIGRQFALDEASYVTVRLLQTFEEVNQHGNKPW VEELRILASNSNGTVVTMKRKAII FPSE_07969 MTDTCKLISLGSIRCWDVSVPSYLRFHTVAISPTKPSTSTNLHP QLIRPLYEKINKQLTKMSNMYCRTIRPDDLSPLRRQYEQVFQYRHDDFIDAIIY FPSE_07968 MSDGVLKPEKDFSKEVDQQLPEAEKLAASNNLQGAIEKLAALEK QTRQASDLASTSRVLIAIVTLCKNAGDWSLLNDQTLVMSKKHSQLKQAITKMVQTVMG FLDDTPDLQTKLSVIETLRTVTEGKIFVEVERARVTKILSDIKKKQGDLKSATEILCE LQVETFGSMDRREKTEFILAQVELCIESEDWTQAAILGRKISTRYLSRKPKKTAEQIE KEQKEREKKIARGEEVPEEKEDDTTDLKLRYYEQQIILAKHEEKYLDVCKHYRQVLDT EAVEEDPAKLRPVLQRIIYFVILAPYDNEQHDLLHRIHKDTRNSEVPAEAELLRLFTV HELMRWPEISKRFGPHLCSTDVFDVQPGQSSDDKAHKRWQDFRKRVIEHNVRVVAKYY TRIQMSRLTQLLDLTEDETEKYISELVTSKTVYAKIDRPARIVNFAKPRDADDVLNEW SHNMKSLLGLLERIDHLITKEEMMARIQPAK FPSE_07967 MTATPTTKANGNGGKKEVKILMLHGFTQSGELFRAKTRALEKTI VKLLNPISLLPVFLYATGPSRLSPEDIPGYQPPEEPQAEDYQPDTWAWWRKDETSGNY RLLDEGMATVAQAIRDSEGIDAVCGFSQGAAMAALVAAAMEPERAVPEGKEGNWARGL REANSGNPLKFAVCYSGFWATPDSLQFCFEPKIKTPTLHFVGSLDTVVDENRSRALSD RCENPLVLIHPGGHHVPVNKQWAAPLAGFIKEHGQDKEPKAEL FPSE_07966 MSWLGVQPLKKFNAPFLKPYWPFFAAGVVIAYGVNSAQNAMMNS AEFKNDPRNPNAKTGGH FPSE_07965 MFSRQFARAATVTPRTGVRALSSTAVLGGRTPSIGDVNPTHAEV DKFSKKQKEFREHLVEAQKKREASSLPSHPQPANVPFAKSDESSQNDHAGQTEEAKEP EPSRKAGPLTNLIYGTKEGRELDAQLEASFSQVLARGKYVHSIVQHEVKHDKVDEYVA LVGKWYPKMANAPENKVNLVGSWRTEVGDCDTFVHIWEYQKYEGYHQSRYNMTHHPEF SEFDSKLKGLITSKKISLMQEFSFWPTTPPRSLGGIFELRSYTLHPGNLLEWETHWRR GLKARREVMEGVGAWFVQIGDLNTVHHLWQFANLEERRNRREQSWQVEGWSDTVHKTV PLIQSMKSKILVPMPWSPVR FPSE_07964 MLIYFDKARELDWIVKETLELCRDLKHGLEDCYALLAPIDPGST LVMSTPRNEKVKGTLTRVGTRIVKGAIHLQLRTIPPQTLSLSQNEVIHIQGLDALHNH LNQSIDLLAITLSRPQDARSLASTLSILADSINDSSILLKGPPLTDSDPAWQMCSCPS HQFNPSIGPNLSFYLGLQESSIILWLRALEPVHAPVHFGTKLGLAFGTVRRLEHDEMD TIFRYNTQGSGVGDSKRGSARHTPEPGSSAAGVDSNDVQEVYVREKVRVESADPSLIS IQSKLNYLSHMLGQARRNIAEVLSVDS FPSE_07963 MASNEVNNAPKQVHGTHTKLPAISKGARVEKRPLTRRQAPASSK SRIIYVSSKTPFMAAVKRVRKQLDASLKKTDAAPKHTSLHARVEGLKRTAGGASDGGV VTLLGTGKAIEKTLSLAGWFEQEGDCEVEIKTGTVGTIDDVVAEGDEEDESRLRKLNC LEVLIKLK FPSE_07962 MATETETLSFSLPTSTAPTDVSESTCMPHSGPFLQQQSAFPPQH IPAEWDTRIPPMQTPATHAQQFCNESSSSGSGSGCFNDGGLLYRVQAERECREGPGKT VEEACQRSLNHFPCPGLAFPMPALDFDFRIAVRLNQDAVHVDSGNTKEITTVAAGVWS GSFGHGRVIAGGYDLGQARGFRPMRLVEGAFVIQTSDEQPALLEMRTRGSLSGPADVL DTLLSPKAPKDIDPRRYGFRMFATFKTSDKRYAEIVNCGLWVASGAWRGEHLVIDAYR VT FPSE_07961 MIYRKPEVTVVWLMGSRLTRRVKMAVFENEWIPADSYF FPSE_07960 MLNSRRAIVAAVFVLTFFFLLTRSHSSTSVVPAAQNAAAAADTS KNDAPASNEAVPPPPEKKEPEMEVKERRTRPRIDMSGMTTYEKLEYAYPYDVTSKFPA YIWQTWKQSPDQGDFQFKDHHGSWREEHPGFVHEVVTDDVAVNLIRLLYATVPEVVEA YRSLPMPVLKADFFRYLILFARGGIYSDIDTYAIQSSVKWLPEQISRDTIGLVIGIEA DPDRPDWAQWYSRRIQFCQWTIQAKPGHPALRDIINRITKKTLDEKRKGTLETFVDRN VVEFTGPAVWTDAIIDYFNDGRFFDMSQSKGTIDYKNFTGMETSKRVGDVVVLPITSF SPGVGQMGSKEPDDPMAYVKHDFEGTWKPESERHMGEQKQEEGQQAPLQQEGQPQQGQ PQQGQPQQGQPQQEQPQQQQQQPPAAQQ FPSE_07959 MQTMIRQSLRKTCLSTRTAVRLCQLRRLSSSNLSIRWGPQDPPL YNGTIPEHFASVVSAHGDREAVIARTPTPHSHESTLTYYALDSLSNSLAHSLSSLGVR KGDRVAVSLGNGPEFAALTYACFKLGAILVPLNPGFNEHQVIAALKHLAIKTLIIGAV TDLAYKPGRGRSNEHLLRSVVGDVSASKVQSEAVSSLCNVVVFDNLACHPDVKFDLKG CRAFTPYYSLVDGSVNSVKPDSPLSPSDVINIQFTSGTTSMPKAAMLSHRAILNNGAL IAHRMGLHADDRIVVPPPLFHCFGCVLGYMATATTGAGILFPSPAFDPEATLRMVVDH EATGLYGVNTMLVAVLEALNRGDVVPHDPKHLTKGIVAGSSVPPSLMSTLYKRLGLDD LVICYGMTETAPVSCMTSPSDPIDKRTSSIGKVMPHTGLKIVDPLDHSKILPVGKRGE LATAGYLVMEGYYGDKARTSEVRVPDPEDGTVWMYSGDEAEMDEQGYVQITGRIKDLI IRGGENIHPLEVEDCLLTHKGVREASVIGVPDERYGEAVAAFIIPASGWTPLDHMKDV ALDEAKVDEDGVLTRDGLRQWVATKLSKHLAPKYVFWIDEYPKTPSGKVQKFKLKEYA KEILGDDGGMA FPSE_07958 MSIDPVMQVEDKIAPGPSANSDKPAVEETIIRQTIITTTEDEAH EHIAASNGSASAATSPTTGAASRPRLKKDNSTPNMNGPLYMQTAGNKTVLVRRLKRKE ESTWKHLSRWFVENQIGLSFNLLALIFLAQTFIPKARDYTQKFFHLSYHNSQSGQYRI GYDDAYFITFCIILFTGLRAATMEYVLAPIGRWQGVDNRKNLTRFSEQAWLMVYYTVF WPWGVYIYCTSPHYMSLENLWTDWPNRELDGIMKAYLLGQWAFWLQQMIVINIEERRK DYWQMFTHHIVTTALIFACYAYHHTRVGNFILVIMDVVDLFLPLAKCLKYCGYKKICD VMFGLFVVSWFLARHVLYIAVCLSIYSDTPRILPTGCFKGNNENMIGPLNPPAGWGYL VEPFINPQGLVCYNETVKWGFLGPLLFLQAITIGWFTMIVRVIIKVLKGGDAEDVRSD DEGGEEEEEEEFVYEEAQPLEEEVGVEALDLRNWERRSGVKKQASSSGVSLPGHSDRK ELLGRIGCEKQVD FPSE_07957 MEALGAAASITQFVLLSLKCVKEAHDALSQYKDGPDILNRLRND LLCAQNILETLRHSANVPANPVLDAHIQQSIEGIGSIAEHLVKLQATPGDNGGKRLWK HLKIVLSENDMNRIRSELAQIVNNLNVRLSVLSLNTVSGIMDETQQVRQQMTAMDALM QSHNRLQTAGFQAVENNLLTTHNEHHIDYQNRLSSIQQALDSTSSISVSRFTDMRSLL NEIKDLVVSEAKATRDASASNNVGSDSARNEPQNSAILESIERLGALINAKRESCNVY AEEDDLADSAIEDLQDLLTAIRREKHCKIEKEMLDGLRRFSRSFGQYEVSINSRNNGS RQVTGRILDQERTHKQADIGLGQMSLMIHKRKRTVSTEDERGTTGLAKGHLTDYNMSL TFLPNGNRNHHMLMATITQREILAGSIASISQLQVNRVLPSNSPVFKLVKQGKIEELC QLFQSGKASLRDHDETGSSLLFYSLEQPEMCKFLLEEGLDVDHVAYEKVVIFTPEVYN CLQLHSGWEDTVIPDQHLKQINACRKLLLNAGADPTYKDPNKESSKSFLDTVRALPDR VDTIDFAWNSGLVTPFASFREWTNYEGMSTFLNACTHFKISVDILKHLVAMGANIHDR SSKGETCLHLLVDHTPPSTEIVVRLECLAYLLQQGADPYARDNEGNTPSSIAYSMLGH RDTIDGTLGDVWDAALHGSGFDISHFRKTFRRRPRYDCSFYTRQMFEELWRGREDQCP YWDDEPWPPVGPGERNSDPDSDINSYGCVSDFDVWDDDDQSEEDDEEDEDGGALL FPSE_07956 MTMDECTLDLGNAVNVASLYDDDSSRWDAIASRNTNADGLFVYA VRTTKIFCRPICKARLPRRANVSFFTHGHEAQQAGFRACKRCKPEVAGFMPQETAVRK VRAFVQQKTEKQSDAQVRLSLSQMARQTGLSKWHFHRVFKKCVGVTPTEYLRIQRQSQ CVQNSTETSWVDDGFDIETLGQDFDTGTWDDFVPDSGYPTIETPSTTISDCSWSIDEF LIWPNENEMPIAVRD FPSE_07955 MAISKSFILAALLAYAEARFGQEQEPVAAVQALDGTFGDPGAAA TIAGSIPSSLLAAASPCDKLTIADQMITELGDDQAVIDAAIGLVAAETNFNPSAVDKP FVCADPALPATAALRGIIPLVDPAVDGAATENANSATSVQTPFDAEGLSQAEVMIAQG FTTFQAVDASGANVQLAGQDAAAGGGAAGGAAGGAAGGDAAAGGDNAADNGADAGAGN GNGNGNGNQNDNQDEDCEEDDAANNNNNQNGNQNNGNGNQNGGNNNNNQNGNDNADDA ADDAADDNAGGNAGNNNGNDNADDAADDNAGGNAGGNAGNAGGNAGALDFGICQPTMA LIGGLNGRPADELTFIPQDATIAEGQQEALNPNIITNRICDQLTNVCDASAEAVAACE DAQAEIEALGTRDQSTADTWNALLGFAGVDSSQQQV FPSE_07954 MWLPTPSVESITRPRLAVAVVSAVAALSAGYYAYQVRSQSFEPL PGGGLHRSNAVRRPRRNRRNEDGSSSSSEAAGDENINNDTTQPLADGETIVDGGDEWW NDPSSFPSSQRTGHNIVTLLFRVSEDNARRNGCVHRGCQCNSCGMVPIRGVRYRCANC ADFDLCETCEAQGVHIKTHIFYKIRIPAPPFGPRQMQPVWYTGDPDTCRRTLPRSLIP KLSQDTGFERPELEAFWEQWTFMANSEWRDDPDELCVAMDRKTFERCLVPTGGSRHAA PNLIHDRMFSFYDSNNDDLIGFTEFLHGLSYRKRKDKLRKIFTGYDLDSDGYVDRKDF LRMFRAYYVLYKQMHKDILDGLQDQLLASTEAQQLVTSRQPLSSLFGREGRVPAGDGQ FRHEGKTYHRDGNVDIAEGFENVVAPDRGDTAARGDILTNLFAYDTDRRFGRHLLARN DTDSNWRTMRRLSGSEIDRTYWVALLEPPSTLEELPGLIMETLHPEIEEPSDDEDEDG EVAAEGVNGIRNEENGVGGSSRTESEIRAETVAKDRSRAPKLDKRKRDMARKQLHERW RRRQFYLDEEEGGIEPDDWQGSEDILRDLRQMDEEPGKDEQHGSTTVLNGSKARFTNG DVREDGDYDTTTSPSSASGHTSGGRIPHAERDAGKEILYQVTQQAFNELLDTIFKQAE DLAVEAAETKDQRERYQDVLDTIELGDDESSKSAGEGNAKVRSATDRSLEELLSETGY TIALPEGRNAVEINTTVEIIHEDGTAEPAPKPETEEVMPIAQDQDQDPTLPQFRPDTS DDTAASPATSLYYPITRPSSESSDTDSVDEEQETDSAPTSDMLRHWKHLSIAEAQAIK RGGWGKLSFDEFEAIYKSQEHQGNRLDYLGSWIDFCIP FPSE_07953 MADAPPSLPGPMPSSFDGEGEFHNERPMQKVVRKIKEEPLIPLG IGLTTAAFINAYLALRRGDSKQANRMFRARVAAQGFTVFAMLAGSMYYQKDREKTKEL RQLQEQKDAEEKRQKWIRELEARDDEEKAMRAKLEKRRQQVQAQRAEEAQATAASTEA SSSGGILSRVGLWPKGSSDEKKDVEKVVEEVVSEENTKQKKKNPKSSLGDLGEIISKK KD FPSE_07952 MGQSLSDEHPQRRSHEELTNQLAEKFKKKCFTSLELYSLRDVFK SLADQQDSIRYLKEDTIARYLEIPDILGTSPVIFQMLSYIGAFPFLQEAPVVLELQRM IMVVVIMTERYRTVLARGSADRTKLLFKSLAVFDRKISEAGFRPDVKKLETPKPKDDQ EEPKSHAAGFAVDAAGEDEEDDEDDDLILTAFELLNVKDAVDQGHAPIFHGATIPTDN FRKLIMLLLLAAPLDPQESLSMYSSRIVGEELEGLRGAAECILASFVNAETSTGIKYQ HFRTIIPVICPNLFRGFNGLFERFLFSQNLDFSKHQNDTPAATSPPKTAQPLLTDTGD ILNQNTLSQISLFLPGTDLFRQVRLLYSGNDAGFSMGSFQTKVFNWQAPTLLLVSGTR LGDVPEGGQETNFAASLPPKRFPHGSKSDRLTFGVYVREPWKHTHRECFGDSETTLFQ LEPIHDVFPASTINKDYVTYTKAPAHHPMLSFGCPHPHPSQAHRKPDMLRLGPVSLLL DDSFEFGVFNHDHTSRGGAFHSSVVRKHDFQDRFQIESLEVWGCGGDKEAQAQAEKWA WEEREAEARRKINLGSGDIEADRALLEMAGLIGGNRSGGSMT FPSE_07951 MRLSITVLVAIQASMGLAHAIPHSDEPHTIPEKKRESFRDLYKK YMLGLETKKDATLKLADPKTDKYETKGPHGDFKYRIKHYYPYDHESDHEYEIKEKYKP NERHTITKVNIKKYYPHNHHEHEDDYDYKDKYNDVDYDHGYNDYRSENHHYEHEKDYE TKKFDAFIDRYGLNKHDFEKQFTEPLKEYEPKDSHDKGNHHKRWPPHGNHGDRSFGFW HPDPFTNFNAPLQKFDPNEEHTHSYKTEEKPKYPERPESQGEKYHPKDVHDSKTHQQN DLDIFNPGYHTPEKYDAEVKDEKLSHFHKYEQENDNLPYFDKFPKTFPPEQPFDEDKK GVPKAEYEGEDDHDHYHSMEPEDWHHNREIHKKPDYDKEDREEYHDDDDKDEQYPKHD FSPKDEEYGMKGVHGFKYEYHPDEKSFLSEEAQEKLKHGHSDFSETYSFKEYFPKEEV KEKKYTNEAEKLGYRKYDPTEDDDKHNHSDEKSHHPEEEKDNKSDSKEVKKHASTQLY YPSHHEPEESAKYYRKQEVDHDSHKSNEQPYARKEDQHHGYYKEPGYENVVPGFMWAG PGTVTKTPCAKKEGCPHGHWHMLEPKNGEHRRIGITGTNIVVEPDFTKPDYKEDTVNH VLPGYENVIPGFEWAGVGVVTEVPCRSAADCPEGGWFMLAPKFGEHRRIAMNFPKATA KPHHHHHASGPTVQVHKSDYKARSPVGHEGVLYQKDHEEEDRNEREYKLIHRHSSGLS KRSIEARAPCKKQSEEEKEAEKEAERQKNFKKIDPNKPVPRLDASELLNIEALSDEHR QAVVAAFPIMGEDFNKEFLEFKELTPEFITKLNELYAILLESEDGKVIVNIIGRTPKY VRKTKRSVPELSEKQIERIEDLAPAIRQVLAKKLDFDPKLTLEFVNADELSDDLIARL NKEYTRVVRDPKYAKIIKKFMSMSNSKRAEAELTKEMLNKIEELSPIMREIVAKKLDF SPELSDELANADKLSDDLVAKLNKEYARVVSDPKYAKIIEKFKSNSNSKRAEPELSQE MISKVESMSPSMRMMAAQKLDMDPELTSEMANAEKFTPELIEKLNKEYARITRDPKYK SLLDKIGFKQKRAEKTLELSKTELKKIEGMSPSLRLMIAKRLGIDAKLSIELANAVTF TPEMIKKLNKEFARIYNDPKYEEVLAQLISKSKRTFTRELTDQQLDKISNMSESERRM IAEKLNFKKELADEFIKSEQFTPHLVKKLNEVYNQIFGGHKYNELVDHFKNNFKRHVV PEPKGEEEKQEKEDTKGLKNVTKQIREQITKDLDFKVNLDGEFLYAQRITESLIEKLG DLVRDTILELEWEEIVEDLLDEDEAPEEKETSDTKKTTKTPETESLSIETTPEAQGNQ TVSAQNSTTISGRQATTDLDEKLEQSKKNGWCKEFLGTLKDTWDDSVDEALSKTKEKL NRDE FPSE_07950 MERKRKLPARAAARGETAAKKRNVTPRERSATPAAPPPAAVPVP DPEPEEPPPLPLPKSVQAGKPLPTVEVAQPDDLSSKEYQSFGESGVLAESLSRSRHKW ISEGLFAKYWTKPHKRKGVLIEDPKNPPKETMSKVGTVTIAVEPHMIEATMYVVKDLK PKVQPLPQQQPQQRPIIQYGPPNGSMPPPLAPQASTAATPSQNKAAMPKSIPPSPSQG MARSPAQPQPLPRAPQDKTAAPTPAQKPGNAPLPNMAPSPNPTFQSRPQAVGGPVAPS PTPPPVGHAPTNVAGSAGPVVAPAISTAPKPPIAQAPASGLAKPALAPGSAAPGPAPA VKPSANDPVITLLAQKASGDEALRDLMKRVAVGQAKDGELAHFQKIIDQLSAQYRSTG GQQGPSADRLLVDGRTVLYFAVEVRAILDIVLASNPNQKSADLRPPQGSDPLVVQLVK TALEDQKTRDMIRRIAENRPGFTDATDLKQILDRLHRDMKSAPQASPAPVQIRQQAPN GISNGHTKAHPTAPSPNPQALRSKGPPPALKQDVTAVVFDFGSGDRYLFPKFSILEYL PTASGQHVIASFLIVRKGSTSEYGGDPKLDYYQPITIRLLTQTGRQLENLARVVAPQD EVRRYMDDVMDNMTRAEYVLLAMRLPKTSPDGEKDGTASEEPRTNGSTPKPDTAIESK AAPKPGVLWTSKGSSETPPVEGRFSKPARDAEQEAEAKYQRLINQVSAKDTGA FPSE_07949 MTSLHPALRAVIAVVAAPLSLYCVFIGLGMTPFFQRHFLYAHKI NTLLWNNVNQPERWGFARNQVTPFSLKTPDGETIYAWHILPLPLYLKNEAIIESQEPG FSADFTKTESFRLLKEDPESRLVLYFHGNAGHVAQAIRPLSYHSLTDTSSYHVVAIDY RGFGHSTGSPTETGVIQDAATLVEWAIKVAGIPASRIVLLGQSLGTAVVSAVAEKYAL QGVEFAGITIVAGFSDLANLLLGYRIGGVVPVLAPFRMWPTLVRFIHRFVVDKWHSDH RLANVVRHTKTRLRLNLVHAKNDKDIPWTEDNKLFRAAAQEMIGIMDDEEFAAWKEER TVHKGKDAFVATWTAEPNMIIRQELFPYGGHNDIMGHSPVALAIMRAFDLHGTTYNND DNTDSK FPSE_07948 MSEESKPVEPVVDETNAELKGKAIAQADPEADEHAESASEEEHE EEHAAEGSSDKKKKKKKKSKRSKVKEALTGSKSAPTDADFHKALDGLTPQQVKEFLAL NPALAQEVSKASNSDNPSADQAADMLKKMSLQDIMTGLAAGGKNAKDMGSYKFWQTQP VPKFGEDNNLQEGPLRIQKVEEVDKEPSALIPGFEWVTMDLTKEEEIKEVYELLNKHY VEDDEAMFRFNYSPTILRWAMMPPGWKKEYHVGVRASQSGLLCAFISAIPVHLRVRDN VVTCSEVNFLAIHKKLRGKRLTPVLIKEITRRSNLDGIWQGLYTAGVVLPKPVSTCRY FHRAINWQKLYECGFSPLPANSKPQYQNRKYALPDNTAIKGLRPLQEKDIEAVMDLYH RYNKRFDMTPQMSREEALHWFLPKTGPGEQQAVWTYVVEDDNNKITDFFSFFCIESTA IGNSKHNVIKVAYMFYYGTEVGLQDKFDKAALKKRLNDLVHDALIISKCYKFDVFNAL TLMDNALFLEQQKFGAGDGQLHYYLFNYRVNPIAGGVDRKNQLDEENLSGIGLVMP FPSE_07947 MRRPRISSLPSTEETLKHPAYLTTLWALEPTSQGKLSVAEGRGG PVGIAWEIHGEGPVKLVLVMGLASVKTSWQRQTLHFGHEHGDKYSVLIIDNRGMGASD KPLGIYSTSGMALDIIEVIDHVGWTGEREINLVGISMGGMITQEIAIRIPERLQTLTL ICTSARVQNTTGFMETLTDRMSWLIPKSMERAIVDTALKLFTPEWLVAPDDEILPEPG VTPKCGPPPPEAGPTYRLFDSNFQRFQAQELTKKRNPEVFSSTMLMCQLAAAAMHNKS DEQLRQIAEAVGSERITVMHGKRDNMITFPNGERLINVLKPGTVHIVDDMGHAPILER AEWFNSVLEEELNMWTKPKEE FPSE_07946 MSAIYSEAQVAKFLKHIQVPQEFYVGNEPILDHAFLTVLHQHMI ATVPYENMTLHYSSHRNVVLEPQALYQKIVVDGRGRGGYCMESNLFFCYMLRALGFQV YPVGVRVRLRKDGIPHGGYPGWVHIVNIVTLPDNSRWVIDASFGGDGPTRPMPLIEGA EWRNMGTQDARLIKDFIPGQTELTSGRRLWIYQCRNSPDQSWNSFYSFSHSVEWLPAD FEIANCYTGTSPRSFQTHTVLVVKFMLRESKTSTTGEEIYGKRMLINDVVKENPGGKT IVLKELKTEDERVEALKEYFGIGLTAEEREAIKGFQTEIKSE FPSE_07945 MAASSTMPRMQSSLSFFAKLLLFACLLASTVAESMTLSDDVLRN IPSPGDDFDIKNGKLLAPILIPRVPGTPGQVRTQKHFVDFFKENLPEWTLEWQNSTSK TPVHGNKQVPFSNLVFRRDPPWAQNGDVSRLTLVAHYDSKYEPEGFIGAIDSAAPCAM LMHVARSVEDALKAKWNKMQKDGTMDDGLEETQGLQILFLDGEEAFKHWTEEDSLYGA RSLAADWESQFHGSLATYRNPLDSISLFVLLDLLGSPNPHIPSYFLTTHWAYRAMASL EERMRKLGVLETKPKVPFLPEGDKSANRFTRSFVDDDHRPFMERGVDILHIIPTPFPD VWHEMTDDGEHLDLPTVRDWARITTAFVVDWMGIQDFMPKLEGTKAKRDGAAVTTTSS KRTEL FPSE_07944 MSTSETLPLYNPSATDPDGTNMAPNTKKNVAECISDDALVHFKS YKYSSVDMSPVSKYVLGPFWNASVNLLPLWIAPNMVTLLGFCFILANVAFCAIWMPDL VGPAPSWLYFSFAFGLFMYQTMDNLDGKQARRTGTSSGLGELFDHGIDSLNCTLGSLL GTASMGLGTSTAGIVTALCPCLPMFFSTWETYHTHTLFLGYINGPTEGILIACGIMII SGIWGPGVWAIPLANGIKDRLPGLAEMLGETSFKDVWVGLILGSLIFTQIPFCVLNVA KARRSRGEPILPVFIEWIPMGVYTISIAAWVFSPYSTIRSENHLMLFCFIMSFVFGRL TTKIILAHLTHQPFPWWTVMLYPLVGGAILANLPRVGLPQLSAQFEHFYLWAYLLFSM VVYFRWAWLVVTSICNYLGINALTIPKEKQIANKAAQAANKLH FPSE_07943 MPSLRAIILATAVSAATLVNADYVIDPESVPLSLRKVWCQNEVE TCPMICGQTSKGDTKVNECNPKSLTYGCICGDGKQPNMSEYSLTLPFYVCQEWGNQCV GDCAGSASCASDCRQNNPCGAQDPKKYNTTSTATGTGTVKATASATDDANTVYTDTPG SDNDDNDSDSPASTKSNGASVIEVGRTWGLTIVLGTMFAGFAML FPSE_07942 MTSVWARLNKCVYSRRLALFFGRVSNIEQHNKDTLNTNDWRDIQ HHVLVGVHYAGLRQRVQCHAPLTCKKYERYRLVQMPSRRQSYPLREELATILASEWSP SPQGILQRDHGGLVLVVDSLNHQLERVVGVIQDALVDAQ FPSE_07941 MSTESFLPAGAITLRDNEASDLDDRNSACWAKSVEIVNHTVVNG SATNIGAFVVWNIRVETLNGSFMNIRKRYSEFDEFRYRLVQTFPGFEAAVPALPPKIG LLCWYQVGVVTTRLLLIGRPRQYQDLASMGKRVKTLSTPYQLSAKSVAAALSGMSLLG V FPSE_07940 MEGTPRQSPLLTIPTRVDSKFSLVSTASSPTTSASISGSSFATK AKTKEYMESLRPPSPKQFERIALIQHQREQETKRRNRERRRWSREAQRQRDRATSMST NNSQDDRAQAARTIQKTFRGYRARRELEGFGLDASTRWVTAIREAQFRNATMPRPRSG SEDNDTVDKPEEDHVARQKSTNAREKWKKASAIARRAGHDDLLSDASDSESSSDEDAS PEERAAARARREKATAARRHEARMMGIRYFLELVDQKHRYGSNLCRYHEVWKRTDTHE NYFYWLDYGEGRNVEVDGCSRDRLEREQVRYLSREERQYYLVEVDNEGRLCWAKNGQR IDTTEQFKDSIKGVVPLDDPTPAFRAAARAADSQSQDYDNSLSYESSLESEREADRAA KYATPGYDNSQGVRKVSQISASTIFNKMLRKSVRKNTWIFVADTNFRLYVGIKDSGAF QHSSFLQGSRISSAGLIKIKNGRLSSLSPLSGHYRPPASNFRAFVHSLRQSEVDMSHV SISKSYVVLIGLESYIKTKLKGKDLAQRVSHRKEKILAPEEAARREEDARDKSESAAK EREVLRREEEEKQEGRPVKKVLKKLGIKPTKEEKQAAKRENKENVEDMRA FPSE_07939 MASVTRSSRRAEVPHQHHHHSHHHLPSPASVFAHTQGGGAPGAG RNKRALDAHDRDFDAIKPKKSRITVEVPAKGSHRLRVANIDTKEASSPARQPTATPTV ATATTPNPPSPPTDNATSKPKQEQTLTQHQSKVINGLKHELDRLQPQPSDTNTKEQGR KLRSQEATRFKSELSAYFSDYDEVIGNEPKEQQLFAVDTPIVIIDSDARRAVSDNQRA IPQHPNPATEYSVRGYGDALFFNVFDCQQIDLGFLESKNKTVEDPLPDKLFQPIHRRA ERLERSVRNAEKGRAQHEKDHIIRLLEGLQGHDWLRVMGVSGVTETKKKTFEPARNHF IKGCQAVLDKYRNYVLEEKKRKLEKDRARAEKEHEDSSIDEDETGDEVADDNVSDSAS PAKQLQEEAMARSRPSKGSKNRKATPQPAVAKALRPAKAPPAPKPPEPPKEFKSFFSK RYERESALNRNRRTGRKVMAWGLPIPEIPEVDFDLPEEYRDEDTLKVRARKKRRDRRR SKK FPSE_07938 MAALAMPQAPEPLNHSLLDADDGTASVDNAPILEYFVLKQEVNL EVNFRDKRIDGTTDIFLVTFNDKVDDIVLDAADCEIDTENVYVAELREINGDIVEGQK RKTAATYNDPYAKLSHPASWSLRADHHDIRRKRAQSLFSSRKKDVPAEHREFAGCTPV YRSLKVNLRGKAEPDRPRLIIRKSMLSADSTEKTNKQYKITIPFTNVNPRDGIQFVGV DPLDNRFTHMYTRSSIHPGTSSCIFPCVDDHGSRCDWRISIKYPRTLGDALQQALATQ QNGNNPDKMQIDGQERASYLAEEDKLREMSVVCSGFLMEEIVDPEDDHKKIMTFEPEK KVSVQKLGFAVGPFEHIDLSSEFRTEEDEMKLGMNALKVHAYCLPHRADWVRNTAAAT TMAADFFTFTFARYPFGNFKLCFLDDMVQDTVSFYSMAFISNRLLFPDDIIDTEIDVT RKIVHTLAYQWIGINMIPNTRNDMWLIIGIAHFMTDLFMKKLCGNNEYRFRMKTLSDK LVQVDVDRPSLYDLGAYLHLGEFEMDFMALKAPVIFFILDKRLIKASGGHGLTRILSK MLTKVQIEGSDKATILETEKFRATCEKGAKYRLESFWNQWVYGSGCPRFDVKAKFNKK RLCVELTLNQIQSMMVKKAPLEKEDFLRVVKERRSGVKASEVQPLFTGPMTVRIHEAD GTPYEHILEIREDATRSTKFEIPYNTKYKRLKRTRRMKEKQNVGASMDAENLDDTLLY CLGDVLQTPEEQAQWELIDWDPETERKMDQESYEWIRVDADFEWSCDMKRTLEPYMYV SQLQQDRDVVAQQDAMLYLTQGPLHPIASGFLTRTLVDRRYFHGIRTMAAEALPRQAN IKDLSMLGLRQLMKAFSEMFCHKGTNQPKPNDFSDKRQYNVQCAIIKAIAQVRDANTY KCPLEARQFILDQLLFNNNEDNPFSDHFYIATLVEALATSLIPSKQDDWFAMQNKIPN EEEKQFLEKAIEQIERVLRRDEWTHSYQNVWTIAGLSAKQRLMKADVIPKSYGDFGQY LLDGTRDLIRIKAFEGLIDLGAMLDPTVFSFLTYALITDRSPYVRNKLIEAAASGLAA IAFGEHTKVTKNESPPEDEEGDLLLVQDSAQEIEARKEMFARKENLDAALKALRREME QTYGGDERHYSTAMRKALDHPDLGRGEMESMLDLAAMMFEEAGDWVVTVPLPKAWSVE RERPVQQLSNSLMMKFKAHYKTKPKDPVVQPLPLPAPAPVAPIAAPAEPKRPVPLQKT SSIKINTHKTAPPQRPSVPPQRPSIAAPVQSPKPSQTKGERDTIVASPVLSRQPSASS SSGSKPSWPPEPPSSTNAKRPRPDKDEHHTPAPKRPKVEKPFGADSGVAAKKKKRRMV RLKVTPHRLASVMKDQKKPIISGRTSLPSGGSRDGPLLLSNSRSDSITAKPARKPLPT GDAARRPLPGGPASSPPERKISLSTHSNSTPKPKSASPATSTPPPGAPPAPRTKIKII RKSNPQPPPAS FPSE_07937 MAPMVTYDGVKGAEGGTIFKDVKFWVAQRVPIRSSLLEQIKQNG GSVVLLEKQADMLIADHARPKDAPQGSYSWKFIDDSIKNGIAQLKDRYLIGRHPDEPR RVGSGQPSKSTRTPFTKEDDARIARWVLDHPTEQKGNRIWQEYEQINGRHTAQSWRDR YVKKLAILDRAALERMAASAPAETSPEIRNTAQAEQAPSVAERSLQRPAAQQPPKQKQ RNGSSEITRPMDTAPEPAEEILLPPTPEEAEPPIQHPPVVDELDDMGKDDFYRDLDVW LRLEEKDIKRRWNINGTPVELYDLAQAIQTAPVLPETGTVDWAKVAENLEFADPDIHL LNELQLFYDESLQEFLNSVSAFDTDEEDDDDVDEEPQGEEEHARDEEPVSDSEAIVFE AALSPGINWEDGEDEDEDPPQRYERSSPPVAVSGLKRSADQRELTSPSNAKKRRRYDP DMEIPTTPETDVTPEAVPARDPSPSALASSQWRDYVGESEASQHLPPLPPLVEESQDL GMSVIPQREPLHQSVESPPLENETMDFEPIPLHLNTRQKERPSASKRREPQPKPSRHR DNSSAHETTPRRNIPSVKTASKPVIRSAVRRSLPASFNAPQNPTPQNPQRRDNPPRDS DKSNSQAIEEQISQYISNGYSRRVVIEALKRTSLRPGKMAVLVMQHLSEGRKVPSKYE GIWTDRDDADMELSLSVDFNRSPANDKEEQNQELAQKAHNRLIHKHGKKGVKLRKDFL EAEKELGRQGPDR FPSE_07936 MSSEDKYETLEKIGHGSFGIIRKVRRRTDGFIMCRKEISYLRMS QKEREQLHAEFQILSHLRHPNIVAYYHREHLKVSQDLHLYMEYCGNGDLGRVIKDLAL KGQRAQESFVWSIFSQLVMALYRCHYGVDPPEVGSNILGLTQGNAAAGPKVPAGTMTI LHRDLKPENVFLGEDNSVKLGDFGLSKMIKSHDFASTYVGTPFYMSPEICAAEKYTLK SDIWSLGCIIYELCAREPPFNAKTHFQLVQKIKDGKFPALPDVYSPELYQVIKDCLRV NPDRRPDTSELLNLPVVKLMRKEKEVVDLNKSIRLREDSLRKKERDLNERLVNMEREK ELIRDELDSSLRREWEVKARLEIDRLANAEIEQLQNRFEEEVQARVEAELQKKAAAYA NSRPNSREDEYTTSTGKTDYPHSSVGGSEVEFPSTTDLTEYSIESPEAPRETKKTART PFGRAQTMFVGNPAGTPMDIEMNSPSPIAIASLSLSPRRNGNTKAPTAASGNIFAVNA NRSADNSRWDLRDTLSDSEDEDVMPSPTRNIKSSKNPFTSKTRPVLTSQKSAPINRLK SQPSTSGFVSKQVSQPEAPRSPRRLSKIPSAANLQAESNNSSGGGLTRQSSLNRKNNH SDDGLGKVAAKNNIRGRTLVELQQARAGGRPMSAIVMPSTGENVSPKRAFRDRIGAER KSSGDEPVAVWDPERDEMPSPFLVRQRRIARV FPSE_07935 MSSQAVPDTQLGLTSEEIQLLRQGQAALGGGSSSSRAASRASSQ GLLLLDSSSLSALGRYFDHLMASIEQRINYLSHEAQRFTQLQYDEADGIIGGADAEIA RYTEILQQLDELEVDFDRIAHIKEIVKGYRSRVESLERDLESSGSSSRHHRHSSSHHS SSHRSHGHRHSSSHRSRH FPSE_07934 MVYAVPETGIGFDSLLQLVNLREAVTQQHSMADLRMIAKRGEDE VDGSTVQNTAEQGWHYLQRQAALDMADPLAVLVPLNAAQMGNRQNLKGKRMLRVSVNL RYGNLLVLSAAYEGGGGADRETAVSRG FPSE_05112 MHTTTASYMPSHYTQQEPHRNLYSPPSPPMEEQKCSLPSISNLL GLADAGSPTSESSPTSRQHSPRFEVAPSHSRGGSEWARSSHRGLPPTPPMSTDTSFES YSSPTRKHSSQPYPGAVPRAYYYETTPPLEADAQRQAPAPAITRATPPAPASYTQQPH PSTYANPPSVGAYYTQARPPPPPSAAQVPELNPYYQRPLPQAYPPPVTMPAPAPSGSN PWQHHHYLNPTGAAAFPQSQDRYICPTCNKAFSRPSSLRIHSHSHTGEKPFKCPHAGC GKAFSVRSNMKRHERGCHSFEFNGSVIRG FPSE_05111 MELVKWMKPGNNGAEAEDADKRRSSSKRRMSATMGWSGVVGPLA RYLSTSAVVLAQPFHKPEAQPEAFEAKCQSWWQLAL FPSE_05110 MAPGCLLLDSAFAVTLKNVLQDAIERLMSPRASPFAALDRLLIS NNLIEVSVRESDVLLHCHLLEHFVAIRSKIDAWADKHAIDEGAAWTCYINAGIRRLTQ WFEAALSGLVDLNTHIPPLDVLLVWHAFLQDPVQWKRFTDKTEVKFSGWNSDALSRAL QNDESGEFRPSWDCMNKINRVYSHEDVRTFMDSSIDYVFNREDGNDTTTQAVTRLFDS KRLSHKITTLNGEWNFAYNFHSAAQRQLDLAEQVLKFSWHRMYTSPLDNEWGLRAAVK RYRRLMTLAQFRGPIEQLELGMLGCSGVTYLDIDLVWRTHILAPREYRWFCNETFGGL VLNIPSPPGIHGPETVFLDDTSQIYEHVFGEEYAVCLCWPCVDGRRADNPGPWNLKWF TGPIPTTPISEEIDRRRNAAVDIPLAFGSKQCRKCGSHPRRQCLKKDVEENLEQEPLL LGRSSTDCVLTPTVPVAAHGRIERLTVPFRDLEVSPLRSETLGETPSRSSVPSANFET SEPTLWDTDRNTIVESLDNDGRRPLTPGLSDGSTCSDDSIDDSTADSADDSTDEAAYS NYILERCANVHRIPPLERAFALPTNNRNADATRDGPRGRSRISRAG FPSE_05109 MYRSLVSVRYLPRNNIFAIFVSPRRHKLQRSRMADFSSKWVRHL RIRDRQGRDKGGESQSYICDICGNESHTIEAWREHAQSDNEHRKDQVPSGAAVDEDRD ADGHRDKRCSPPPARPTPSSPQASRSRARIETRIDFDRGPHKNTTGRQLWTEDNPQPK SHSQGRSVPLPSPRQGPPPKANRHTQPTAQQPPPPSFIDDDSSRMMRQPETRPISQDQ LVAEVKGIYAGLVMVETKCIEVDNAQSSNTDANSKLNNEQWQALIALHRTLLHEHHDF FLASQHPSASPALRRLASKYAMPARMWRHGIHSFLELLRHRLPASLEHMLTFLYLAYS MMALLYETVPAFEDTWIECLGDLGRYRMAIEDDDIRDREVWTGLYFYTKSLCVPIPFS SARESIMTLFDPVLSNSPSRLAPVDAAFVRVHGILFSKKSWDQLDDSMASFDELLDDH IARTAKRWLESGYYIGISMACSLLGYGAEFNVLMRAMSKKPEETDVPMDGSTISEATP DETFSLALKFATNTISIVLSRWGDTNTLPFVHTMMVFMNYMTRYPAAISHLEEKYPWK LTSFMLNSLLASCEPGYEVKDEFQLWEKDQHPRPLPEDFAMRGLLYSEDYFPNGWFQS DKVDEDEKYFELPSMSEQRKDRIISLGHKLATSGSWLIWNEDTSKFSVPAKYDIHIPG FPM FPSE_05108 MSSFLSSETLSNPRFQLFAAAAFSGATVASLILGYQALEREERV YELKNSIPADDPNLHPLNNFGGSSAPPVDKEDARNQAMARRAQAGDFDEELILEQLAR NRVFLTDEGLDKLRNSFVIVVGCGGVGSHCTAALARSGVSKIRLIDFDQVTLSSLNRH AVATLADVGIPKVQCLERRMMAIAPWVKFDLRQEQFNENVAERLLRPWGDDGRAPDFV IDAIDNIETKVSLLEYCHKNNLPVISAMGAGCKSDPTRIIVGDIGSSKDDGLSRATRR KLKLKGITSGIPVVYSTETAGAGKAELLPLPEEEFQKGSVGDLAAMPNFRVRILPVLG TMPAIFGLTVANHVILSITGYPLDYVPAKGREKMYEGMLATIQSSEEKLARMTYEGDT VGLKVPITTGDVAFLSEELYRGRSAISGIPTKLVLIRWEKPEGPSMTTLGEGKDTQKC STVKLRDLVLMTKEEATRHEKGIFKEGKTLDEVYDAETLARVEEKRATAEKYEAFRS FPSE_05107 MRPRLVGRRVRVLTPRVPSLRTRSPCLLRTFTHHTQLTTKSRPN LPFLSIPVTSNSVRYFTTERRKWLKHEAKLAVRYTASFWGLLACVGVILFFVNEEKLE QEYPTPHEWGWMTRKFLRDSNNSKAPNNGEINWPWSFELARGLVLRLEDAKVDGQGVT KLTDRVDPAAEIPGEFNPCDISGKSEEWRRGYFEAIMLAAKGAEHVDNWVRDLARNII SPPEFVIGPSNPRPAPIPPGQPHAPREEDCEVAYPSADNFYMKILATKGLTSRQKLEA TLEYASYMEFKNQPEGAEALYNLALAEATQGVDMAHPPYNPKTFILNDKAGPPSQNVL DAVTAMATFKARSGQVDSALPIYLSLLKARRSLSNDPPAAAHVRPKAPSSLDNLIRIF LQADYPPPPPDGTQSPWRSPHERCQEASLSLWIGEILFASSSKDDGLSWTRDSVDVAE EQLRNMDLATADKAAKKTCRECLGEGLKNWSRMVAKLAREEQQRQQNASTKSGVFSFW SGTPPNAEDRWTAEDAVVKERVRRTRELIEDLTPAGPNLASLFKA FPSE_05106 MAEPTYTVFVRVPIPRGDFVDPPPVNWDLAKDEALWKILSGAAK KQIDWDEIADRFEVSVDFLLQQVAWLTERHASQVRAQVRKATAAVRGSGPSPVPSGEP AGSGHQRAHSALSFRRDSPRNEAGSGTGTPLHSSMRPLVARNTSTNTTVLRDMTGAPA SPRPGVGLASRAGDRRRLSSLPITSVPDKSLEQTAQPELSPEERSPSPGPAEDSSPTS SDDESIPAQSRIIRRPPRYQPPDGGQYEDDDDDESEPAFQPYTSPSSKTSAQDLGSTL RGDKPVSGKRPHKSHGKPAIHKSNTSDSSASSAAMIQKPDKTDKSTEQRTPGPLSPHR PNETTRRSPGGKDKGYSREGSEGTPSMGSSYSDLDDASVTQSALEEALASHMNNSIPS IFSHHPLSNIWSLYNLGLPILQKLAPFFLVSMFRRHWSGLPKDVSFPKDLAGLGYFVS DQDEVRSLKDSDCYFKFHVNKNTRVNDRQRFQLQRAMEDIIHERLQKEGLRKLQLLPG NKKQCLIFVGTSIAKTERIVVIFGEPHQDLGFIAGRIINGPGGMAKGSMISVVQALAK QSASPDDPEPPCVLLANMGQRFWWPEEERALTIEDAADIPLPSLVHSGRQYSKELNEI PGSESTIAHMTTIFNKVIDVNKNAKIDIIAIGQSCEVALEFFENNENWARWGHRLGGM LLMGTVFRADLLANGEFKDFLAKRACGYLISDDPLDTPLAMPSGNPSLMIDPLGCPCF SSGEHQYTEMILIKALEPALAYLQAIALTPGFANPDVAVAERLPTDITDQQWSEIPEE IKPEIHTIDSEWMERQVKDLRRWNYFEKHGVAPPEEEDDDDDEI FPSE_05105 MSNFDPSVVKAGENHTTVEETENQVSLAYGMAIHELDIILELVN FIQEPPGDDHEALKAYRDQTAKDVRRLLARVNISSGILKARDAQFHYHAKRLRTTDRI DRLRLWNREKKQELEAARLRDGERGFEAASKRLEEQKRVVIKCYKLESLWDKAMQREK SADTQVDDLSSDCETSMEE FPSE_05104 MVASELTASAIAMRSTPVSSDESASSLPRPLLPLQNRAASSRSP YIRDHTKDLVAWQLLDDEAVERSRKENKPIFLNIGYKASHSCRLMSIETFSNPESAAV LNESFVPVIVDREERPDIEAVYMNYAQAVHKVGGWPLNVFLTPNLEPVFGGTYWVGPA GRRRHNGDSTDEVLDSLTILNKMRDTWNDQEARCRKEATEIVAQLKEFAAEGTLGTRS ITAPSALGPLAGWGAPAPSNPSTTENRTMIVSQELDLDQLEVAYRNIAGTFDPVHGGF GLAPKYMIPPKLTFLLGLLTAPGPVQDVVGYDECRHATKIALYTLRQIRDGALHDHIG ATGFSHCSVTADWSIPNFEKLVIDNAQLLSLYIDAWKASGGGEQGEFLDVVLELIEYL TTSPVTLPEGGFASSEAADSYYRQGDNEKREGAYYVWTWREFKSVLDDIDHHMSPILA AYWNVNKDGNVKETNDPNDDFMNQNILCVKTTVEQLSSHFSTPVEKIREYIEKGKAAL RKKREQERVRPELDDKIVAGWNGLVISALSKAASALRTLKPEQSSRCKSAAERAAACI KERLWDADEKVLYRTWCGERGHTAFADDYAYLIQGLLDLFGLTENHQYLEFAETLQQT QISLFFDDDGAFFTTKAHSPHVILRLKEGMDTSLPSTNAVSVANLFRLASLLLDEELT TKARQTINAFEIEVAQYPWLFPGLLGSVVTERLGN FPSE_05103 MANRVSVYTTGSGDSEGRDGEQKKDLWTSMLESVASGKRLPEKN LIVLGGSPEHQRDFLESLSSSEPRRNADRLKIPPIANNFALGYTYYDVLDADQDDTLA RVSLYFLSQASTEFASLVAPLLTPETIPNTSLIILLDWSQPHLWLRQIWTWVQVIQEV LKKVDTDQHALMEEVMSAWKERGRGGAATNLDGTPSATATGSDGDSSLPLGPGEWEEP LGLPLCVVCQNAQKMEFLEKNQAWKEPDFDTVLQYLRTVLLRHGASLIYTSQNAPSQL PSLIHSTLGITSLLKRHPLKHNVIDRDKIVVPTNWDSWGKIRVLGGTFDAEQVSNSWS EDISTPRESMRFNDDDQGEVDEAELEEREQKSAIARYEGWCRDPNSGGLAVVENAMHG EKWISVESDDTQDFLEKQLKILEAFKAKQPEKGSDNAIARSTRHIDYSADEKSISEHI GPVQFNMGGIQVDADDMLQRLKDRNAFSSSPSNEEEEAESPAANMAKDFDNEQLQSFF TGLMNRKGTADASRS FPSE_05102 MNKIRAIQALNKKEIENGITPEGSWHTDYRDTAYVYFGGLPYEL SEGDVITIFSQFGEPVWLKLARDKETGKSKGFGWLKYEDQRSTDLAVDNLGGADMGGR LVSVDHARYKMRDDEDPDEFKVGWEDMLKREGQAVSEDESSEEEVVRPMLPEERELAL LMQGHDDDDPMKGFLIEEKKKEVDEARRKADKKDRKDKHRHHRSHRSRKDGDEEDKHH RSRRHKSPADREERHRDREPRRHRDDDHDRERRRDRDRRKERNEDDEDRGHDRDRRKD GDREHRRRREDHDESRHKRRRDEDPDRRPRRRSRSQSPRRRED FPSE_05101 MNVRPPIEASSSEAVLSVSFNNDASCFSVGLDSGICVFHTKSCL LKASRDFNAGIGLVQMMGTTNYLALVGGGRSPKFAMNKAIIWDDMKGKVALELTTLTA VRGVQLGRERIAVVLQNSVRVYSFTKHPDLLHIYETADNLAGLCCLSDKKLAFPGRTA GQIQLVELATGNVSIIPAHSSALKAIALSPDGELLASASEKGTLIRVYSTSNCAKLAE LRRGIDPATIFSLAFSHCGTMLACTSDKSTLHVFDVPHPRKPGMNRSQQIGTPGADAG DGTGKWGILSKIPLMPRLFSDAYSFSSTHFEAGDEAAIGGIPFSESTVLGTSRPPKGV IGWIGDDSLVVIGAGHDARWEKFVIVDGEDGKRHCVREGWKRYLGNT FPSE_05100 MSSMGKMIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGKSV LEHKESDDASGAHVEDGVKIKPITVELELDEEGTRISLTIVDTPGFGDQIDNEASFSE IVGYLERQYDDILAEESRIKRNPRFRDNRVHAMLYFITPTGHGLRELDIELMKRLAPR VNVIPVIGRADSLTPAELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELR GLMPFAIVGSEDVVEIGGRKVRARHYPWGVVEVDNPRHSDFLAIRSALLHSHLADLKE ITHDFLYENYRTEKLSKTVDGASGNADSSMNPEDLASQSVRLKEEQLRREEEKLREIE LKVQREINEKRQELLARESQLREIEARMQREAAAQNAGTPEPNGGEEQLN FPSE_05099 MASKTKYQAAPQSDPDDDYTHAPPAYAEGSAARDETQGLFGTPR DSEDNLPDDFKFGGSVAEATVDIRNQFVRKVYTILTVQLLATAGVSSLTFFSTGYKDW IQSHPGVVWASLFGSMIFMGLTYWKRKSYPTNLLFLSLFTLAEAYTISVIVSFYKTSI VLNAVVLTAGIFVFLTLFACQTKYDFTSWMPYLFGALWGLVIFGFMAMFFPYSSTGEL IYGGLAALIFSGYILVDTQLVLRHHHVEEEIAAAISLYLDIINLFLAILRILNSQSNN FPSE_05098 MQTWNSSMGEMPAGGCQNHMQPPGHGQIIPQNIPSMHQFKPPAA VRTEIAEPLCRRTTFFVNVDWRMGATLATATNIVGQMYVECMEPIERLHPYPVILIHG DFHTGQTTKPDGQSGWASFFLKKGFQVMIVDVPPSGRSNFLTTSHYLHREVGLNSSSL KASAVEAALTAPSKPRAPGVPLQYERAAFHNKWPGTGQRGDPIFARYCASLSTLHLSK VERQSLAQNALQALLRQVGKSILVGEGSGGNATWLAADVEPDLVAAAIAIEPVGPPFG TACPKEGNPFRRYSPFIEKEEGTRIYGLADIPITYDPPAHPHEGYDPPAREPLDLVKV IAPDGRSECFMQRRLDYMEKSNGRPSNRVRQLINIKKVPCMVVTAHASSHAMYDWAVV AFMMQAGVQVDWVRLEDVKIEGNGHLMFLETNSDQIAQVVLDWIMKKATPETFLDMPS SIPVPEPTDLTEMIEQSQLHDLPSVIPSSLPSPGQMLQFARTLPIDYAAIEAEPLRTP QAPSFEVARSRPIETPHFPSLEDSSKRPAMSSGQTTVSMNEHHESPHPRKSSGHSHKR VKFELPAETSTPSSTSSSLPQSNQMSSEQPQLQERPQPASQASQQPGHVSVIDFGMPD ISMMRPAHPEGPARLRQQENRSTRSPLASPAFSLSSYEQAPNPFTHRPCSSSDELSLV QQSSPAYRENQRNYSLNNAHTTARFERNPGTAEESIAAMALNNPSRAGSGLSYPSLMT SQSYRSATVQPQQQIPYLGQLGNSPTVTSEAEFRPLSTPTAAGQSMSMAGPDPLFTPL APFSGQSGQEQNHFGVYPQMTPPSPSPMPRQSLLNPLSYNLDTGSPHLAQSQHKPTPR FPSE_05097 MSSGVKRDRDGNARAKNAGPPKQSGPKGRFHDMFEGFRDELDEH HDRRERIVKASRDVTAMSKKIIFTLQRVKHLNKDFPPHIQQDIDTRLEEIAKILSAIA PDLQNVNRYRYTSPLRCLEEFVEALSFAHYLRHQTIITPTQAQAAMPADMSLTPHDYM YGIFDLFGELMRFATVTTAQTGELAGNGERNIMGDIQELGCEFEILPDVPTKDWRGKM GAMRQSVKKVEKLGYGLVVRGSERPKGWVPDMKDDAPEPTSP FPSE_05096 MPSIAPFLRTTPLFTISLLLRLGLLFYGIYQDAHSALKYTDIDY LVFTDASRFVADGQSPYARDTYRYTPLLAWILLPTVRFPAFGKLVFAAADLLAGWLIL RVLRRRGMDEATAGGFSALWLWNPMVATISTRGSSEGLLGVLTMGLLWAVDRQKFSLA AIILGLSVHFKIYPFIYAPAIVWWMDDARLGKETKPAPQSSSVKDAVANFFTPDRLKF GLLSLITFMILNLVMFAIYETPFLVHTYFHHVTRIDHRHNFSPYNVLLYLTSATPAHA APAFRIESFAFLPQLLLSCVLIPLALAKRDLATSMMAQTFAFVTFNKVCTSQYFLWYM IFLPLYLPNSSFLRNGKLGIFALLLWIVSQAAWLQQGYELEFLGVSTFYPGLWLSSVV FFLVNCWILGVIISDGARQSTRSTVKFHVE FPSE_05095 MGDSISEPMDTTDDGHTGPRAPCPTSVAASALLPIRDGYSPRRT DFGDNRDDDADSDTEFASIMASSMLNGIHNTASNPVSPGSSSPSHDQAKTSDFVPPTR PSSTPFPHPDHRHSKPCCLNGFSHGADENPAADSAASSDTEPVISHPETNFNDLPIEV HEAILDHLFGYRVSATSRSSMAVSSISKSWGTALRHSRRRELTALALVSDIWRVLVQQ RLYRHIKLKATVDCLEDAMVHLAMHEHLQTYVKHIEIWFPVFQPTYGPVALSNSLTLP TVTMEGLTNATYTLPGNNCTLEQVFQFVGQTLPQTRVLTLEGGERRKAPRVLHFPEQR IDPAIYKSLPTLHSVQTLVTRGQWNLMRDNQDFATVLNALPGLYEWQGSYSKPKSKSY ITMAEFLPQLPRHITNLSVCLESDYRREGVMPPFYSKVVQKTHICARMAETLPFLEHF AYTGRVCHQFFDIATRLTDSRDYRLKTLDLTVKNCCRYNTSFHEAGSGIQDMGFIDAF ERLVLSAIRALEKLKGVVYLRIRFVDLDSVLPPLNPFFIMRNGACSGVWSERILAEMG RVRPDVHFTELSESFGNIVYNKDGRMVITPEPPKTKITSLKLSNYRSLATGITIQ FPSE_05094 MPNYSVIETTLASIAASSPTIELLRDLHKQALDEPAYVSTDGPA STALDKFVALDPDKCAFVYLLLRSMKARFVVEAGTSFGVSTIYLALAVAQNSGSQPGK VIATENEPTKAARAREYWGRAGDDIEKFIELREGDLRETLETDLPEQVDFLLLDIWTP LALPTLKLVQPRMQPGAAVVVDNTDAAKSGYKELMAYLEDGANGFKISTVPYSGGLLV AVYVGN FPSE_05093 MAFLKTFLASLLIAAPLATAAPVDVAQSIGNMAEAVGSDIGGGL GEELDKKMGISAFLRPMLSNPDSLNIIPNRYIVVYNDTFDDDAIAAKEFSIASAIKKR NLNKRSSIGQAMSTSIKSFRMNTWRAMSLDADDMMVQDLFKSDEVAYIEADTRVQLNA AIAQVNAPPGLNRLSHAQADQENYIFDDSAGEGITAYVVDTGIKVDHSEFEGRATFGG NFIDNVDDDENGHGSHVAGTIGGATFGVAKKVDLVAVKVLDASGGGSNSGVLQGMQFV VDDVKKNNRAGKAVMNMSLGGDKSEAINRAIEALFKAGVVPVVAAGNENRETALTSPG SAPNAITVGAIDATSDQRADFSNFGPEVDIYAPGVDVLSVGIKSNTDTATLSGTSMAS PHVAGLAAYLMGFQKLDGPAQVASLIKSLATESGAKVRNNVRGTTDGIANNGNQ FPSE_05092 MGYLYYLLHPYQLRSIIQWKVWHDPVHERDPSTESPELQECFRY LNLTSRSFAAVIQELNHELLVPITLFYLCLRGLDTIEDDMTLPLEKKIPLLRNFHTTM NEDGWQFHESQEKDKELLEHFDVVITELKKIKAPYHEIITDMTHKMGNGMADYAENEE MIKNGVQTIEEYELYCHYVAGLVGEGLTRLFVESELANPKLAERPSLTESMGQFLQKT NIIRDLHEDWQDGRRWYPKEIWSQHVDKWEDMFNPAQQTKAIECVSHMVLDALKHSEE CLFYMAGIKDQSVFNFVAIPEGMAIATLELVFRNPEVLKRNVKITKGDACKIMFECTQ NLFTVCEVFKRYTRKIAKKNDPRDPNFLAISAQCAKIEQFIETLFPKQDPKKLTLTQA QAQNKEPTMDAGEAVVLFGVVIAALVCISGLMLGTAWFFGARFDHIFREASVFLPSRE KANPMITGHEEL FPSE_05091 MVVDTAYYDTLGVQPTATELEIKKAYRKMAIVHHPDKNPNDPTA HEKFQAIGEAYQVLSDSDLRAAYDKFGKDSARPQEGFADPAEFFSSIFGGEAFVDWIG EISLMKDLTATMDITMQEEEEAAAAEAAAAEGAGEEFPGTEDAKKESMKEQEKKAEEK TAADAEQQAGAPPPPYTSASVSDDKETKPASPAAAAGAAAPPPAAGLSTEAPQRSDAT SPAPSSNSRNRTQIPLRPALMDKSHSDLLESEAAKGELTEEELKNKDKKKGGLTKEQR EQLAAYEKERAKIRQDRVDTLARKLLDRLSVWTETDKGADVTKAFQEKMRLEVENLKM ESFGIDILHAIGQTYVSKASGLLRSQKFFGIGGFFSRLRDKGTLVKETWNTISSAIDA QQTMEDMAKMEEKGGEDWTDEKRAEYERRVTGKILTAAWRGSKFEIQSVLREVCDSIL NDKKVHLNKRLERAQALVLIGDVFVRAERSPEEEGDYLVFEQLVAEAAMKKDKEEDHK KKKDRKSFHSHRDKEHKDKEPATASTP FPSE_05090 MRTLNTINSSSSRPTSPGLSVSQPRTRRPLTPSTNDKLVASKPL PRIRSQATTGKTQVQAQAQAQARPRFSSAGNTRTSSPSLGFHDPNQQHVLSVTATITS TRSTSSSSAPPTSKMAPSESRHRPPQLSAAAAKTVGRTPLTPKIASASKGSVVGAPPL VRRSTQGLSAVASHRDETAITPRSGSRQSRNNSNTTTPSGTPSLDQESWNPRNSLTSI GRLSRAESPADPDAKFFRASDAPTPSQPSGRPSIGSQKSNSFFHASKATSEFKKATSP SAAPYTSASNAAPEPLASKFFYANGVDLDLKPTSANASSSRLQSKRPSTSSSTNEHSN NSQPSRPHSPTKNAQPNPSILKNAVASGISGRPQVVSPLQIASPPLLAPAASITAKRR VSIESAPKKQRGHARAGSVPNFDHSNSPRLPMSPARRQHELSPPSSPGTIQPALTMAS ILQMAEDISDEEDENEEKDTQDNESQPDLQSPTKSSHGEPVNDLVANARRERKVQDLE ITNASLEAINRTLERQMRKQQAEIRRFRRLSRSGRLSAVASAASSRVTSAALTDIPIS LSDLSEEEDSEPPSEEEDDSLDESDMSTDSISAPLDPNDEKAMEKAMARRKRDEDRLQ LDLSKHRDILVDSQKINQSIKRCLNWTEVLIKEGQKALEYKVRVTDVEFGGQILPPLN DDEDDDLSEMDDFGTDPGSPVDEPPLPWEKSSQDRDSGIELQPDSS FPSE_05089 MGYIGISLIVASVVWVIVRPPSWMPEPLQVLLRWRGGGLPAITA KDEAKAEAEHDSDPGPSIMVSDHEVEPGPRLRARPADNRTTQDDNQGKPTTTATSTSS SLKAAKSDVSSMPPPPLPKVMPPPPTIAEPEEEQTTPKAVASNPPSINVPSFSLDNAP MAPSSHPAPRRNPTAPGPAPSLGLPTARQPSMMPPPPPPGRLPPPTSTANPPVGRMPT LSQFPAMNSPQRARGPVPNRGPPSSSLGGGLAPPPTHSAKPNKPSRKVLLTPGHSPLD WARISGPNADLRGVEPSTPYLRVTPSMLKRMTGRKGKDAWMALNGKVYNVTPYADFHP GGIPELMRGAGRDATKIYGEVHPWVNYETMLSACLVGLLVEESEGAENQMDQMD FPSE_05088 MWILENSEAFDSRKLWLRPGKTYLFGRTAAEPGQLAISHNTISR KHLTITVSPVEPGQSHNPSSRSTLTIEDLATKIGTVVNGEKVKGTQKVIEGDKVEFTM GKCPNKFCISWEPIIFAFSFSSKELQTDPLATLRERFEQLDIKIVHEYINTTTHVVSK KRNTAKGLQALINGKHIVTETFLDAVVEAGTLPEGAEATELSPLEQDLVQNWPDALQH LPPRGGEPVQHPDSTYAPDPERKDIFEGYTFIFYDQVQYDNLLAPVTNGGGKAMIRKV IPMETEVDEFVQYVKGVAGEKGLGAFDDGSEGKGVVVVRFIPSKGATIDWYVEFFRTV SLRLDHRPIEQSEFLEAILIKDASILRRPLEVESTHNTQEPNHIQQEAEVSGGSQNLN TQAQSPPPPEETQTAPRRGRTRKPIKKRFTGFDDESDIDMDTIPPAPAPAPVPVAQPA TQPTTQRQPEEEGLFVSQELDVPPEPQPSSNTRSSQRKRKASPLPEDDLMDGMTTAAE KFKRQRIERGEDMGEPAEQMETEKPKAVPTPKKKIKKEIDILAIAAQNREKEEARARA EKEDMANLPDDVNLSEIRRLNIVEEMEVRQPINGRTREQDIRDGRWNPKWNGMKNFKK FRPRGEASGRQPARTIVPLTQVKTKEFGVGDDYWLEDEGTDHRKSNTTQPASRETQPS APSPSPTPVSAPTRGQKRTVPIISDSSGEEDSGITQRSSAPATRTRGAQAAASQSQAN TKSQASRVTSSSQGSKRSAAEPAAGQQPSKRARPTRMAVEIADSDDSDDELKFRFGKR R FPSE_05087 MADPEEKKTSQSPENDVGQTRRSQDTTTTEEGVITQVQQPDQQI STSEKDNGDMFPPQNDVPPPPPNGGYGWVCTACVAIINAHTWGLNSSYGVFLAHYIQN DTFPGATSLHYAFVGSLSIACAMLVSPLATMCVRDFGTKPTLFFGIILETASLICASF ASKIYQLFLTQGVLFGLGMGFLFVPSVGIVPQWFTTRRSLANGISAAGSGLGGLLYSL AAGAIIRNISLQWAYRILGIIVFVVNIICTILVKDRNKIVGSRQAPFDLSLFKRPEFH LLLGYGWFSMLGYVVLIFSLANYANFIGLDASQAAMVSAIFNLGQAVGRPFIGYFSDR TGRINMACATTWLAAILVFAIWINAKSYGLLLFFTIVGGAMAGTYWATVGPVTAEVVG LADVPAALNLTWLVISLPCTFSTPIALQIVAGTGKYIGAQIFVGFMYIAGGLCLFFLR GWKIGELAEIARATNHDPEEISRVRSQASGAISIKSRRIGRKRMLGECYKPGKV FPSE_05086 MSDSVLSKVGALAILIVLIILSGFRWYGLLLHHRGLKAYRPTPR GKSPSDNDLQDIAVILPVVDYQSENFIPTVESILKNFPGQLYVVVVGRGAYDKVEPQM AALRKKYGHSQIHIGAVNKASTHRQIAHALSTINHRDFRLTVITEQGAYWPPRFLPSA CYPFDDEYVSAVTVPKKAHIPPQSGIWAHVKAYLFSFHYCVQAEDNCAVNCLDRSALF GGPTTLVRTYLLKENRFKDEFEKEMWFYERFGPLTGGEHFFLNRYLLGGNKKIFFQDS PDATVSVEMNSIREFIGEFLRTTRDNWRTCYSIAPQCASLYYHHGHVIYPAATRMTWW PTIFSLATQIDLLTIVLVLNDSLLNKAAFCVFIIVAFLMATTQAVLGLLVVRRMQRND FDVIAPLSCALLSLPFQCALSLLKGVALLTFWKMDDESVSQNIEVPGERNLPWSWDFN EGDWHGDMPFGGSWLLFKAKK FPSE_05085 MAQNGQMPEFQQAYTNDLPHDSDKTLERQTTAAHQAAHPHLYPN PNHIHPAFGGAMQPGLWKPVEAHRKFANPAPLGLCAFALTTFVLSCINMHARGQTSPA IAIPVAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWISYGLLQTPTWNVLGADG PYEGDTGSVMGFFLTGWFIFTTLLLICTLRSTVAFFLLFFFLDICFLLLATENYAHDM GNHGAQLALQKAAGFFGFLAAFMAWYNALAGLQDSSNSFFQVPVIHFPWSDEGRAHRH QKAEQHLA FPSE_05084 MSRPQLLFLSCIGFAVALTAMLYSNIIHSSTLTSVISKTMSSSV RPVVVVGSGLAGLSASYEALQRGAPSVHLLDRAPKPGGNSIKASSGINGAGTKYQRAA GVESDTSFYSDSVRLAGSRFHLAQPPVDREGLVTKLTSESAAAVEWLVDEIGVDLSVV APLGGHSVARTHRGAGKTPPGAAIIIALLNKLKENKKFSITNLAEVKALLKENDEIKG VEYEFEGQKHNLEGSVLFASGGFAGDATGLLARYRPDLKGIPSTNDERPGSHDILTSV GAELLDMDSVQIHPTGFVDPASPNTMLKFLAAEMLRGEGGILLSPDGSRFVNEMDTRE HVSNAIMKLPAAIDGDGVIKQWDITILLDPGASAASANHIGFYEWKGLLKKVKVRDLT SAQIAAVDKYAQAVADGSADEFGRTQRGRWTLPAGEENRDQDIYIGRVTPITHFTMGG VAIDEKARVLKRSGDKLVPIPGLFAAGEITGGIHGDNRLGGSSLLECVVYGRTAGAEI VGSA FPSE_05083 MSKTLAVVGATGKQGGALINYILNDPELSKQYTIRAIARDVDSE KSKDLKARDIQVVQGDLADQASMKKALTGAQYFFFVTTPSWTATDLKPEYEIIKKTAD TAVEAGIEYIIFSSLPSTSDISGGKYTANHPFDAKAEGEKYIRTLPIKKAFVRLAFFL ENITQIPLWQPQKDTEGNWIMSLQLSPKTRLPWIDNGSNIGSFVGAILAKPDKYDGVG FDVAVGHYSMEELVAIESKATGKEIRYKQISPEEFAEKLPIMKEVYVNAFHAGEEFGY FGAGGEKSVAWAAERARGKLVTPEEYFKVNPLVLE FPSE_05082 MARKRSAPSDGKDARKASLARIEELESKLARYERDGYDGLPSTL PPRASEPPVSDAAHITQRRESTINPQLSQSSPQDHQQSRSRSSSFIRPSTSFSAPLTQ SKYSYDGTHPSPAATILAGSSLSSSHTFGSRVQDLLGSSRAELEQNGKPWISPEANQV HEIISHPWRLSSSDFPKLPPRHEAQRLLDTALFYIGQSQHHIDAREFSDKMWAFYQNR DDPAQLESLWVLEMILMIAIGTLFDANPEGNDEFSGVVLFEYAHRNVPTLSDLRFKGK IGIEIFALFAIYLGNMNRKEEAYLYISTAMRLAISQKYHRVCGTRHLMQSERVHMNRL WWTIYMQERRLAAATGNPPSIGDEAINIPLPTDSPGFPPVGPMCTNIKIARATGRILA VLYNPEDESEHTFIPRVQDIVKSLYEISQEIPSDSVTDVYNLGRDQSLRTTASLHLML FQATILTIRPIMLHAAKLILSGHGPTGEQLHASPLGRLSRTCAEAARRQLKVVATLRK RNMIAIFGFYDFEASFSAAFIMILAAILDSVCEEKLKISPKPGLTQALEELQHLADHG NTYARERLHEVKRLWKVMAQKIESLQVVNVSPQGVAGDAQANTRATHTNGATPIGLSD SDALQNGIVPGDQSQTSPEDFMLLDTDLWDNFSNLWVPIIEATDGNSAQEMMMADIPP DDFYKHCYSMYNNPDWDLTGEDVGDFAELGRHIQDS FPSE_05081 MPLESESVYQFSYYSYQMILTEGVVAEECYLSVDRFNVGWVNLM FKVGTAYIGYQACVGGESTVQIQRYTFSIDV FPSE_05080 MGIPNRGPELQAVCYTLLVSSVIAVALRIYVRTRMVKNFGLDDW TMCAALVTFLLFCTSSLSGVTHGTGRHRSDLDPKDYMKARNWWWWCYLWYCLTMITSK ISIGITLLRITNRKMDIWILYGTMAITLCTGIVFFFVTLFQCWPISYFWNTNQEGKCV SPDVIIALTYLYSVFSVISDFTCAILPIFLISKLNMGKKTKLAVIPLFAMACVASSAV VVRFAFVKDFKNPDFLWATVDIAIWSATEQGLAITAGSLATLRPLLRLLGRKLGITTS GRSELRDTDQRTGTGLGKFGPSRATNSGNKQGDLFGLATFAREDDLDGHGRDCEAAYA GKDHFGRPSAERTVVSTWNSRRGTGNSSEEELTPGLRPKSSSGAVKVTTTFKVEEDRI FPSE_05079 MSPYSLRFNNGPSPNLQGTRIRDTKPNSNESLKSADCKIGDLFV FDTSGVDRQTMAYLGRRFTLGRKEAQNSESHEAADGVKYIAFEQDAFISNSPETIKKL PDSGCDSVLLQPSKASLGSKKRRTPKLTMEEDSEAGVVLYLDDLQLKNIDDTSQYIMY ADSF FPSE_05078 MTSSPPRQKRRKASCKEFQCTHEGCGRTYSRAEHLQRHQLNHSP KEIYYCDYPDCSFTFVRKDLYARHKLRHERQVEQYGNGRLSQRPQKEFSKLPRQRSER YSFSEDGSAWSDTQDEGREESAKERHASMARQSMDASGLDSHSSKQFGIEGGIVRQDS GQRDELGGPSGYYGDQRQLQSRDSSPEKQAMNTVPFRIDPVPPADMSMPLTSPDLTGR PERARAQSYAMPNGIPASNTEHVNIEEQHFGLSPSSTDEFTTWLFAGQGLGSNYNFVP STFGMAGYSNNFGQLCTDYTAQTGIMGSSYVEPINSLWFQSESLTMETPVVDLSQFGK NSFSEHKRQALLHYMLQRFNEISLHGSRSAADIKGEIFGSDTDAESHVLSHVNVERYL NSYWDNFHDQLPILHRPTFIPETANDFLLLAVLIMGASMVDKHGTSQDTMEKISKFTT FVSWNLRWQVFMHADSHPPAKLWVIQTLLILEVYEKMNASRVLHERAHIYFPTTLSLM RRGSALTGKQSSYVSRVPTPMGSPKMGSARMFPRSTQPGFNSSPEKWWDHWVAQEATR RAALAAFIIDATHAALFGHTPTLVIHEIKLPLPCDNTLWSSDSPSEIGCVESSLHANG VTPITFLDGLQRTLNGQRVRTSPFGRISLLAALLSVTWQMHQRDLDRSTLGTDTIPGV QERWRPKLLRAFDWWKKDYDDGVAHVKHAAFDWQRLGLSNRGGSDDGEAMETQGTVLY HLGHITVYISMPELCIFAGVPQILGRTVSSVDWRRTEAKIKEWVASPGAIGGVYHALQ LIRLILLQEEPKRGGMASEASGLPSAWFPSSYPKYDAGSDKLLVRPWALYYASLVLWA YGFVQDGNIEPFPDHLQYPTSNIGIPTMTTDSSVPSTSNQGATSTPQGSQAEKTPMSS NMDYEALKRDRHEDLRTYLQIMIPPTIDSIKAFHLHQNQAGVVGNRNKIIGLLSVVDD ALTNTKWELLSEARNRLKMAASMMQRPMIILTGDIVFGEHPSDLMTRKNVVVSYTRDN LDVRHEYRVFSTRVSHCGWNFILCFIVQLGPAHAEDVQTELTAVPAVYRTNLSKQAEE NASVKHIKRLLHVGSSPDVLAPRIMASQTWLNGRVYRFGTPELANAASVHCWMGDLKS ALSVRSLVEEKQEGSVDRHVENAV FPSE_05077 MATSKQTGEINPHIESTDAGLDHLKNVDLHDKALANEALEATAD EHSYGVWQGFKTYKAAAFWSIAISTTVIMEGYDVTLLGSFYGYPRFREKYGVWLDEDN GYQISANWQQRFNCLGALANIIGAMLNGWATSRWGHRVVLISGLFWLTAFIFVVFFAP TIEVLLVGQFLCNIPWGIFATTGPAYAAEVTPLAIRGYLTAYVNLCWCIGQFISAGVL KGLVSNPTPWSYKIPFAIQWIWPIPLAIAAYLAPESPWYLVRTGQLEKAKVSLERLSN PEHNINYDNAVALMVHTNQLEIEERSGTSYWDCFRGSNLRRTEIACMGFLSQITNGGA LCYSGSFFFQQTGISPETSYGIALGGTGIAFMGTIISWFYIYKFGRRTIWLTGFSCLV AILWTIGFLALPKQTKPLAWAQSILCVVWLGAYSMTVGPIIYTIVAEIGSTRLRTQTI VLARSTYYVGNIVCGGLIQPEMLAPGSWNLKGKTAFFWAGLATLTLLWGYFRMFETKD RTFGEMDYMFQKGISARKSSKYQINEDEFFMAHERPEAEKQRG FPSE_05076 MKVSSAAVAVGALAMGAEAKNYLGFNSGATLANREAKFKADFQA EFETAQNLKTSPGDFSAVRLYTNIQAYSQDDPIEAFEAAIDTKTQILLGVWTSGTDSI DKEISALKKAVEKYGSKLTDLIIGVSVGSEDLYRNSVTGVKNKGGVGVQPDALVDFID DFRSAFKGTPIAKVPLGHVDTWDVWGNVTNKPVLDAIDFIGVDEYPYYENGKGNGIDN AAKLFNKAYDATVATSGGKPVWVTETGWPYKGPDWDEAVPSVKNAQKYWQDVGCKSLF NKVPTFWYNLRDSNPDNKMKFAITENLSTTPLFDLSCDKVDDETSSSAESKTKTSTHA SKATGDSHSTGTFVTATASATSGSSDSEDSTATGTGSSSKPTSGSGSGSSSESGSSGS GDASESGSSGSGSSGSGSSSESGSKSEAGSSSETGAAAAETPSTVNGAAGLTISAAAL AFFAMLAL FPSE_05075 MAAVQLQTAPHKVGVFQNLIASQTETLVLKEKVMSLTGDSFDIK LANGQPILKVEGKVMSISGRKKVFDMQGNHLFSIVKEHLHIHATYAVEDAQGAKIMEV KNSFKLMGSKATATFTSSDGTAEILEMKGNWFDYAADIFDKSTNTVVARIDRKILSGR DMIFGQQTYALMVAPGVDMALMAALCICMDEKNNEK FPSE_05074 MSSLPQTYKACVIEKANAPFTLKDVPLKHPSKGQILVKVLACGV CFSDVGVSEGHMGDIFPRVPGHEIIGDVVEVGEDVDNFKNGDRVGGPWHGGHDRTCRQ CQRAQFQMCDHKEVNGVSRDGGFAEYVLLRSEAVVRVPKEIEPADAAPLLCAGVTVFN GIRKMHVEQGRIVAVQGLGGLGHLAVQYAYKMGYEVVVLSTTDDKADFAKKLGAHHYI NTKTSDVGEELNKLGGASIIVQTAPNPKAISPLIQGLAPEGKLLNLAPVGGAEFDTVA LLMKGASVVGWPSGHALDSEEALRFSLTHGVKCMVEKYPLEKVQDAVDSLKAGKPRFR NVLIME FPSE_05073 MPLDTSTYSLALLRVDGRRWNELRRLHAQIRTQDAADGSSYLEM GHTKVMCVVTGPSEQQQRRGGQQAGRDMAAINVNVVVAGFSSVDRKKRGRNDKRIQEI ETTIANALSSNLHTHLFPNSSISISLHVLSQDGSLLAALINATTLALIDAGIPMSDYI AACTAGSTSTYAAGDDNADPLLDLNNQEEQELPFLTVATHGDTDRVAVLVCESRVQVS RLEGMLVVGVDGCKQVKQFLDQVVKDKGAEMVREGAVERSDAVMDLDG FPSE_05072 MHNPTSLLVTLTAALAALPEAQAAIYTKNSPVLQLNARNYDKII AKSNYTSIVEFYAPWCGHCQNLKPAYEKAAKNLDGLAQVAAIDCDDDANKQLCGSMGV QGFPTLKIVRPGKKSGKPVVEDYQGQRTAGAIQEAVMSKINNHVTRVSDKDLDSFLAG DKPKAILFTQKGTTSALIRSIAIDFLDVISVGQIRDKEAGAVKKFGVEKFPALVLIPG EGKDHIIYDGEMAKKDMVKFLTQAGQPNPIHASGNTKSTKGKKDDTKNTKAKKDDTKS AKKNKSTEAAKEPAAESKEPAAEPIPSIVSISTIKSLEKLTEECLAPKSHTCVLLFTP GEAGEKAVESLSHLNTKYVHGGRNTIPFIAIPSDSDAASTLPKTLGLNDKVNLIAINT RRNWWRQYSGDFSLSSVESWIDAIRMGEGAKKKLPEGVVVEKKAGSTKATDPEPEIET DGPDDVKTVIETEIETEYETVTGADGEETRTVVETEVEYETQTETEHAEETAEPEAQP VAESEAEPKTKDQIKHEEL FPSE_05071 MAEPLPAVLKIPEVSRFINRANQLRNIKPALAYWCEYHAVNQIV GKGLHNSDDEAFEFTKTLIERLEITKTERPDDEAIIDNAAGQAYVEQFAQQTFDRAER TLRADKVTRQTADTFDAAATFFDLTREWGEPDPEVLKKIKFAKWNAARILKAIREGKD PNETNPRAPEPEPSVSLDPSDPEVQLLTGGQPATVEDAPDAGDSPKVATPTEAPTKDP TDPSYFPPQNEPEGQAPEPFIPSPMSTSSTPGGELGRPPVGLPPDVSPAAESPAQPAT QAPTGAPSSMEIPDDHDVLARPMMPHLNSSHPGKPPGIESPAPLAVAAAARPFLPHLA SSHPATPDVGLPAPLEAAANARPFLPHLTSSAVGNGPVPPRGPPSSHPAKAPIDHSNP LNAAAAARPWLPHLASAPPGKASVVEPEPELAPGVEPIRPMMPHLASSHPGGSPAHSP PAQAPTSAPPPANYTTDQKDINQAQKHAKWAISALNFEDVPTAVQELRNALAMLGAR FPSE_05070 MARRSSKSSASRPAGSIRIVMPSSSQAPPAKAAPEKAVTEEDVN KLSIADLTLDVPLIPFRTKRRVPKIPFHFLDLPAEVRIQIYTYFFDDVPTLLDLGPGN YKRVHKKLGLMRVCKQVHDEATFAFYSTRTFRLFPTYPGKYFKSKKPLLARLKPQQRK FLTSLELRLGPGWNAPPRGWVVNPALGLSECKDVERLNIFVECDPSDNIFQGWRRSEG FYEAFSRNLLTDVLEALPSLQAVQFDGWTSVKKSGDMMQGLMQIVEQQGLSIEWGPER GWTDADDDEEEGTEPVGYPEGFPHPGYEAHGLLALA FPSE_05069 MLSRLAQASRLGLMTARLSQPVASPSLRAIPATAPHFASPWLRS YSKRPSGQPPKDSKKKPSQAQNDAEAAKTPEKPAENDVNKASEQSPEAPKEGEQIPFH KLPDLTQGIPSTLFEEMGGDKKKEQQALQELEEAESKGNERDRSEYVSTSERNRKWWT RFMLTAVAGSGTLSLLYMGRNWEDTIEAERHSDSPNGPSPSLWWKRAKARMTESVTYY QEPAFEKLLPDPDPTFERPYTLCLSLDDLLIHSEWTREHGWRIAKRPGVDYFIRYLSQ YYELVLFTTTPYATGEPVMRKLDPFRLILWPLYREATKFEDGEIVKDLSYLNRDLSKV IIIDTKAKHVRNQPDNAIILNPWKGDRDDKNLVNLIPFLEYIHTMQYSDVRKVIKSFD GKDIPTEFARREAIARKEFQAKQLTHKHKHGSGVGALGNMLGLKPSNMNMMVSPDGEQ NPAEAFAQGKMLQDVARERGQRNYMELEKQIRENGEKWLKEEAAMMEAAQKEAMNSMM GSFGGWFGGNNPPEKKA FPSE_05068 MLSSWVVGLCASSLVHALPVSDYYTVKVPRLIVFGDSFSDNGNG SWVVSNGTWPADPAYYRHSFSNGLKWNDLVAKDLGLELINLATGGATTNNNFVAGGTG AQSTIPVPSAADQVLSFLSWDKPRPDDVFVHWIGANDILFNTSITGGQVTSLINENVD RLYHAGAKKIILANYLKITTFPATYSSPDYDIPSVEVYSSALTQGLKNIAAAYSAYAQ TAVIDVGGLFEDITSDPETYGFDEKYLNPPTACLTGVYTSEGVPRHLCNDPQKHVFFD SYHPGKEVHALIAKLFEQEIHQFSG FPSE_05067 MALDDATYSQHLHHRGSVDQLFELVEGLDDTQIHSLLEDFNNTV TSNVPVSHGIEFFEHPTSVVRAKTTPTTTTTTTTEPTRSSSIRKSFNKLPRLFTRSPS KRSASAPIQRPQTGLPPATRHYRRISRPVLPMLSNGPDLDALLSAYLSPAPPPTSHKT ISPSRSISSSSTLSIEAEDSGVDALAPLVFGRPQREESPMGDIMEVLSF FPSE_05066 MGAMHGKSSACITSLEYNSELEEHIDSLTESGAVSEDEIKRVIA RLCQNMVQFTDFMRLRTNEEMELYVWKSFLRPIKALMKLPHPQGNFVAARMVLYLAYQ IHQTLDEKEYLRQADAEKATMPLCQLCIKLDETLLKALRNIWKASTDFEEDFNWVFVD DRIHLAPGEVAMDDNDLKIAQALSPSERTRVSKENGVEYAYYSDPFGVNKANPTPYKG PIPRWDCGQEDTDSFIWSTKSSDGPIRLEISYDVRIPRAGYNGLSPPDSSIPMFRRSK QFCLDARQLSEAERRKQVHFVLDKIVRYYKVPPEIHYQILEYLPYREAFPYIQKLDLV AAYAPFPAASGLCEDCCKGPKLSWLRRTCPGLSIWIWNLPLRCFHVFHLGQYRNWTLC AHGAECTGHHDSHDRDWIVERGPGLSLFIEREISRLNDEFVSLDQVGLGPALKIRLDT EEEDRDRQQRLALGQGIYQDSVDDWKMSGGLGGLVDCMLHGRVLVGAWGRDGADKGTM QVPTQWAHGGGLKDQERAIQAIRDLHAWPGCCEWC FPSE_05065 MFAPGTIRTANPFLDRSTLIALLQGFYKITPVWCVIHRRGSQPF LNCCSQLGMSCEVPIKESAGYDDSPDKSSESTQTGTEVAPISSRPLRTANSSSSAVEG SPAKMLSQGLFLPITSYVFSQCQTRVKLNRVYMQVISPRSGIHQIVTYHLV FPSE_05064 MDKIAPHTQAAKDSLHPDQIARIDQTYDQAPGTVTEFEKQKTAE GEAHFRRLGWKRLAVILIVEAIGLGTFSLPGAFATLGIVAGVFCCIALGFMAIYTAWI IGKIKVLYPSIQHYGDIGGLLMGRFGEELFGAMYVLQLILITSSFVLTGSIALNILAD GKVCGLIFSAVSGFMLFILAVMPSFAEAAILGYIDLVCVMTAIGIAVIASGVDAANQP GGLGSSDWSAWPDPDATFKDGMVAICNIIFAYCFAMYMTPFMSEMHTPEDFMKSVWTL GSVEIFIYTLTGSLIYVFVGKDVASPALESLPGVLPKVAFGVALPMIFISGAIGNTVT AKYVHLRFYKNSIVRFVNTPKGWVTWLLTLAGITVISWVLGEAIPFFNDLLSLSSALF VSGFILYFPAVMWYKLICRGKWYARENILHAVACIFTFFFGLLVLVGGTYATAMDIQH HYEIGAFRTPFSCEA FPSE_05063 MPSLKKEHLEAAVEQNPVCLLGLTSWSVDYILHKFNHGGTCTIA SKQGNKTLPPELWNMILSYLDEDIFCPVYPIGVTFVQIDHDNLEPAVIFNRIDTWWGF GDIRNGSDLSYYNDWLLNPSYETVEEKKKRRPEYYPFPDFSKTVLPGQSVTIPVSNLE FNDDFLVHGLEVPEMIAFCERGECHLCDGRRKFCAGCPDGKAVMEKFTRIHPSRVSCG TQMLCPLCIGVEYAMKSVCETAGWFDGKIMSDEEYQEWSGQRLKSLGYMK FPSE_05062 MHSFNILLSSSLFALTQAHSVILGAQGLDSSPNSVGFQVDPEIA RNCITINPCQQDATIIRDAEITANIVNQCGRTELSGNIDVGENTENAISAKQVTQVEA GGELTVTIHQVNADGAGPYVCDLDESSNTNTNIQNLTVTNNVPGTNGLSQVKTQAFNI TVKMPDDLNCFGASTGNICTVRCRNNALAGPFGGCFAVQQADTDKKTNTPQNIKTTQT LNGINAQILQNQKDFEDSVAANENATSDEAAQNKAAVDALLGATVVTSAFATETPTVD LGRPPVATPDPNEGKDGKAGDNNGGNNNGGNNGGNNGGNNGGNGGNNGGNGGQGNGGQ GNNGQGDQGNNQGGGNGGNGGNGGDRGGNRGGNGGGNPFGGNGGNPFAGGNGQKAKRG FSIRRMSKRTVF FPSE_05061 MSSAANPPPPSPEYLAETRGPVIRTVTWLSVFIPVLLVSLRIYT RVFVRKVFGVDDWVIALSLLSLIAYGVIIELAVQKGLGRHIEWVLTVVPENAVPIGLL GQVSQPLVIMSCAFGKISFSISLMRLAVQPFIHRFLWFIVASMLTLHILISIIIFVRC KDPRTTWNPAIVSQCWAPHVYLGVMYFIGAYSAATDFILALLPWAMLWNLNMKSREKF GVAIAMSLGVFAGSIAIIKCTKLKANATSLDPTFDVGELLLTAGAENALIIIAACLPT LRPILRKVFPSTAKSSENSHPLKNLPNSIMFVPKHKAGQWSALVETEAHPERHSDNQS DRSILNEHRVAMGDGQENAKTSGPSSPRITKTREVIVSYGRSESR FPSE_05060 MALFTLSLGYLLYAGVAFVIARVLYEFFLSPLRHIPGPGPSKYT DFYRAYLTTKGHVDSHFRSWHRKWGAAVRVGPNTVSISDPDLIKVIYTTRNPWRKTNM YRPNDVLVNGQRIQNIFNTQDEDFHLKYTKPIRGFWTLPKMLEAEPLMDETLCELITH LDNRFASTGDICKMDDWVSYYAWDAAANISFGRTYGFMDKGGDVEDIIAESTAGLKYF APVSQIPWLDEWLDKNPIHRVGPRPLVNGVIYTIKILTEYQQQLASGAAKRKPVDLFI DKYNSLKETVDYVGDQQVINWLMLNVLAGGDSTAGAMRAPAYHLLKNPSVCEKMVAEL RSANLTLPVPQWKEISQLPYFDAVIRESMRISPAVGLILEREVPKEGFELPDGRFIPA GTKIGINPCVVTRDVGVFGDDVDVFRPERWLRGKGETEEGHASRVRRMHECTELMFGH GSRVCMGKYMSKMEMYKMFATLYVNFDVRITDPSHTWKYENNWFMYHRDIPCTISRRG KA FPSE_05059 MYEREPTTKKSQARLSSLFRRKTVIEDRHEPTPLSSPVQAPVSH YPAYIAEYQLKSQPQSPVSPLRHSQSSSTGRGNYRPYPKPALAPFPIPGSLVSKIEER EAMAATNTQNPTMPQSPQSPMSNPEETNRVSLLSGRDMTGLPPTSSDTYNITPISSAI SRPSVVSTLDYSDQQPYEYTPLETGFIRLVRIAPGHKTMIECEIIQALITNVPQYVAV SYTWGDVGDTRKIDIEGSRVPITVSLYGALEALRQRQSSVLVWADALCIDQKNTDERS QYVQLVSQIYANAAFVAIWLGLEDNDSSKAVELLSRLAPPNSQFIPDQDVSRILGEGA ENGDLLATVSLFGRDYWKRLWVSQEIFHAKRVAVHCGETFLPWQSYKSASTCFRRHHT ELTFRTKGPDMRRPDKFTSVQTLIHGGPASLPTLSPHVLNGQNALLQVLRASRSQVST DPRDKLYGILGVLPAHIRQGFRVDYTLSVKDVYTEIVEFLIQSTEKLDVICESVHFPV NTGSAKLPSFVPDWSHVPLTLAMGHQYGFQASGHTKAICRFHDERLNKLEISGIEIDV VQTKGMVVGTLCNLGDFLMAFLHWRALLLAAVEGRTPLQIKLAEEAFVATISLGQIIT GYDRSRWQQVSYHVIANLFRERLLHIPLDDILRSHLDIASSIPPEARRQFLQTNFGDR MMGRTFCLTQNRRLGVGSGAMLPGDVVVVPLGCSTPVLLRPEGTQGEYRFIGDIYIEN YMFGKAVDQWQVGERELKKYVLH FPSE_05058 MTTVLRQETSVAEADGDLTLGTPEDNVEQNETRSLSANEVLEPA FRQNPLVDNGYIFGQAFGRYWYMGPASSWAFCRRVLALVGKHLPEANNEPPPWHLDGV AFRLQWRPLMPEEHPDISNLPPSDYAFFLVQTARFYLGPLASLIDETEFLQHFKELYQ DASTKASTCRLWYAQYLLMIAFGKAFLGGKSADGSPPGYQYAARAMPLMPELAGIALD PILSAQALTLAAIYFQSIDMRVAAYQHIGQALRICVLSGFHRHMPEEVVGAQHSKRCH IIFWVVYMLDQEFAALIGATSAIRDEDITNKLPSQADNSLTSLSLTLHVQLARLIARL LGTVYGVGKDYDGTLFSNTQSILRNLAELNRDLMNIINKHFRDSISKASRIATRLVLQ YHHCVVLTTRPQIMCALHMHIEQSKTRMTHGLSLSPPVASLIQSCISSAQSILKTLRA LADEDLIEAFLPFQIEYASSSAFLLHLIPIVCPSLLSDNSWRDDARYVFDTLIAKGSL IAPLRKVELEQLEQKLSALTPASNIASPEILTENQENANQEENEDQHNEEHLADETGW DLFAANAMAGLTPGELLDLAEQLDVDNFLYEPEME FPSE_05057 MSFSANRQNSSAAAAIAKPAFSAVQPVTNFAEKIASRPDFDSSN KPIQVTKSPDPSWSYGDGVHTGETPTINKVHKEIDPYSSDRSVSQNYRLLISGIAPRP VGFISTISKDGKTKNLAPFSYFQMVDHDPPMFMVSFSSRHGRIKDTYQNLKDTKECVI NTVSENMIEAVNASSIDAPYGISEWDITGLTEGETTTVKAPRVTESVLSIEGKVVDMK EFEGHKPGMSGSAIFLVEATRLWVQEDAANEDFSHIELDKLRPIAQLGGMSYGRITST FELPRTRWVDEQPKSELLTKLDRQKPDE FPSE_05056 MAESKPTLRWGIVGTGMISSWFIADLTIDRKDAKATHIIQAIGS SSVEKGKKFVKEHLPNASKPPTIYGSYQEAYQDPEVDIIYIGTPHGFHKKNCLDAISH GKNVLCEKAFTLNAKEAREVFEAAKEKGVFIMEAMWTRFFPLVKTIQKLVHEDKVIGD VARLFADFAMDQHIESLAPEHRLRDLSLGAGSLLDIGIYSLTWGLVGLDSGVGEEATT PKICAAQTLVQGVDISTSIVLLYPNGKQGIITSNSKVKTPNVFCRIEGTGGYIVVEGP AAAPENFTVYKDGDTEGKKYDFEKQGRGFYWEADAVALDIATGKVESDVMPWAETIRV MEIMDEVRRQGGSKFPQD FPSE_05055 MSALVLSLLSFGALTCVLIASNGQPLSRWTMPFITINAIVSILA GVSKACLAFSINICLSQMKWNWYNQSSQPLVDFDRLDASSRGAWGGLRVLKSCIRRPN WAALGALATIALLAFEPFTQAILASEDRELVLKPKEYAELARKNNQSIDSAPSIGRTT RLNAGSWIGFRGAENGVGRFPVNPGNTTHYYVSFLTTSNIQSDMGLKAALWSGFSPLT SSQNLKPAYTCLSGNCSWADFASVAVCHKCHDLSQHVVRTIGTDKVPGLSMPPAKWEP NSTLPDISNQWPAANWWQTNQSLTHTKYKIASMNLSLSNYDGKASCTSKSDNCPDTYL SSRFTTNPGQTLNFQNHDTLIMAMQYLKSNKSWSDGKTIWEETGITSQECALFFCVNE YHDVLSQGSLQETVVASFIDRTTESYTPEEDDPMVEEFFRYTNYSLDMGNRLVNLSDL QIKIPDEYFRTSNLSTQAFNITQTTILSLLSNLNEGLWGYNTGTTYQFMAPWRLIYPG RGAGGFSSLMVGLGESHDIPSTIENVALSLTKWMRDRDLDDPEKGTSTANATIIHIRW YFFIFPIVNFVAGVIFTVLCIWETQRSGRPVWKDSILATLSCAPDGDLRKMLREAAGM DKLQDLSRSLVVTWHKDEGVGHLKET FPSE_05054 MVAFRSLLVLLALGVEGIAALNPTSTCTTALGTKSVKNVPTSRA TTVKKITIIKKVIRKVNVVVIPVAKTSTIRETKIHTSTIIADQATKTATSTVVSSSTS FIQRTVWSTVNSVTTTTTTKFFTSTVSKPDGFTDILNQPRTVKRRNQERRGDIETETV GPGGDHPQSVRCVKEVPTTSTKTITTTVQGARQTAKAVTKLKTTTISTTFLTTLYPDD ASTTVLTTEYPVTTSVVDVTSVTTVVSTVTVESQVPEATEYAICSSDNIMVTDNGGGY FFTYTNYQQQSSGRNLGTGYTATSCCNACAKLPECQGTFYSVADTECRLFIAVDSSKC IHSRQYMIAEYQTRMQSSPSVILSNGLCGQWKNAGSIGQNT FPSE_05053 MHSAIFAVFAISGLAAATPNPKPQAECEGLAPLGLDTVKYYVCG KNGFRGYCSEDPCDAKWCRDFAPKTCDLVFITEPEAPVVGGHKWESETATTTVTEAAS TDLPIGQCAPGTGFFQNCSNGFVGCCKSDACTGDAGVCPDTKEAKRGDPTVCPPGTGF FQSCSNGFRGCCKSDACGQKLPICPPSVTKRSDDPTVCPPGTGFFQSCSNGFRGCCKG DACGQKEPICPSTAAKRSDDPTVCPPGTGFFQSCSNGFRGCCKNDACSQTQPICPSSS AKRSDDPTVCAPGTGFFQSCSNGFRGCCKTDACSQTQPICPSSSAKRDDTVCPPGTGF FQSCSNGFRGCCKGDACGNSWCPDFKTGTYQPAQSFKVKARSDGTCAPGTGFFQVCSN GFKGCCKKDACGQKQAVCPN FPSE_05052 MSSHHSPYDTLETASHSTPGHEPQSSKRKHSTSGREYGLMRDTG EHDAARFVGSGSGIHYIRAVHLRLAKKSASRTESSTINTLIPGEDDQLRQGTSSKRNQ EQFLWRDNEVDTEQGALPDFQDFVDWSKSYFEAWHPVLPFLHGPEVLGLFEEVSKNAV SSLNPIDKVIVKSILSISLADSRQSIAFEKPIPKQFLFNSVDDALASSQFALSQPASL RTTQAALAIQLFLTSMLCLNAASRLGGLIVRAAFQLGLHRCPARYPFFTTKEDSVRRR VFWCIYILERLLCQSLGLPLDIHDDDLDVCYPGEERHTLTNRDENVQRLQLLTFVTKH ARIRGLILELRNKSMLQRQDTADRAAFVQAELAKWFNEIQDAVEEDDLADADSNTPTI SDHHKIMLLVLKHESVICLNRPGLASEHPSPSYSSAFQACISAAKSILIILKKHRNRH QLQVDSNGARSQTPLLWPSFTWSIWISAFVLIHAAFERQVPLDSALKHVAAAKGILGH LAARDTSWPEYCLGAIDELTSTVQELSQDRPCLIESPADASITTRTFSPGVPHQRGGD TTTPSRPPRSLNQDPATGLGATTDTRDTERPSLPNTSSATDSPRLQQRKRQRIFPSAT AMSHGIPHHQQETPHGPYTGDLLSTPNTSFPLEGPVYPQFDNVPLEGQESMMWYDQLF ASSFSAIDNPFLVHAEFDASVDPTWNYLR FPSE_05051 MIDPNAINAVLDIFVPAIRVHQKNASKPFVLGLSGLQGSGKSTW AAALSQALTSQYNLKNRTLSLDDLYRDHPELVAIREANPNNGLLQTRGQPGTHDEILA KQFFDQVLGRVDSEKKTVKWPAYDKSLHSGQGGRVPVDEWEEVALGQDLDVLIFEGWA LGFQPLTKEEVTRKWEQANASESQQSEEWSLTNTLANHKVDHLLLINENLRRYCDTFA GPQHFDGFLHLSTDKLVQVYEWRLGQEKALREHKPGMSDEQVIKFVKGYMPAYELFLE RLQNKNLFGSEGDRASGKKHVQVILNKAREVMEVKEV FPSE_05050 MSPSAVAAKKTYNIASIPADGIGPEVISAGIEALTALAGTLQTF NLDFTHYDWSSDTYKKTGKYIPDGGLDQLKKHDAILFGAVGAPDVPDHISLWGLRLAI CQPFQQYANVRPTRIMRGTQSPLRNCKTGDLDWVIIRENSEGEYAGQGGRSHRGHPWE VATEVSIFTRHAVNRLIRFAFEIAQKRPRKHLTVVTKSNAQRNGMVLWDEVAAEVAKD FPDVTVEKMLVDAMTTKMVLKPESIDTIVASNLHADILSDLAAALAGSIGIAPTSNLD PTRENPSMFEPIHGSAFDITGKGVANPVATFWTAAEMLSWLGEEDASAKLMECVENVT ASGVLTQDLGGNATTKEVTDAVVAEIKKLGEGSD FPSE_05049 MLSRKDMVGLAHPDLIDLLFRFDLQPTENLSEVSEPDLARRILL AIELADVDEQVSLISRFIPEEELAAERLGTAVVQITSHRIILDPAERKIALNEDPSGP EPKENGEDCIACAESAHGKAPCGCNYCVTCYRQIIRIGLRSQEEFPPKCCKPFDEMAV ALSGSPALVHLFRQMQEEVKLPIPDRVYCYQGNCAAFIPPDLKGRCPICPYKTCVDCG EKAHDGLPCAEGDALEDVWATMDANKSVNCPDCGRMIQLSEACNHMTCPCGGEFCFLC GVKSRRCSCPPYRNFHLMVPMKDRPGVKPPQFRRPPRTDVPTGQGGGDTEPLKIPQLR PKPGEKERTPRNSAYALGRVIRPLVLPQDDQPHRLDEVRRWREREETRSRRRAPHREP LRFHAEAGQGWGQWGRQPPAPADLFDHPPHTFMEATRQVRIPHVVHPVANVWWPPART RQPAQPAATARPSARHYARGNDGGVGNENQMRRRTVNQGGVKEPLTLDMQQRSQHQEQ GISEVHIQFELIVRHTETTNREHQERERVLRMQEAKSMFEKTASITAGRSRQTQQPQQ SKGQRNSKRVQRQFENIARWNADVIDKSSSMPALDALLWGTDF FPSE_05048 MAIAMGWQKPDNVAGSSAPAIMVGLFVASGGLLFGYDTGAINGI LAMTEFKEQFGKHTNCVDENGAVDICTKDSSIIVAILSAGTAFGALLAAPTGDSLGRR KTLLLAVAIFCVGAIFQVAANNIDLLLVGRFFAGVGVGLISVLVPLYQSEMAPKWIRG TLVCAYQLSITFGLLAASIVNILASKLNNSSAYRIPLGLQIVPAIILTGGLLLLPETP RFLVKKGLHEAAGLSLSRLRRLDITHPALVDELQEMIANHQYELTLGPDSYKQLFIGS PHLGRRMFTGCGLQMLQQLTGINFIMYYSTSFFDGAGVESPYTKSLIINIINVVSTIP GLLVIEKWGRRRLLMIGALGMAGCQLLMASFDTATGQSYEKASQTILIAFCAINIFFF AASWGPVVWVVTSEIYPLKVRAKAMSVSTASNWLLNFAIAYCAPYLVGTGPGNASFGP KIFFIWGAFCILAVFFVWCMVYETSKISLEQIDEMYERVNHAWNSKSFEPSWSFQQML NDGWSPSAQPPADHELQTTTSASSADTTLGDGDASSITVSQSNNNSSSPNNNNDQNKG PPAMSMANVDFSY FPSE_05047 MPRSTVRTLYYIIAQVPPEYVRLCTVCTVSSSSPARVQSRVPVL GGHDLKSELASPTTTLVGPGSKIF FPSE_05046 MAPRVIVVGGGLSGLSAAHTIYLAGGNVVVLDKQGFFGGNSTKA TSGINGALTRTQVDTGIPDSVKQFYDDTLKSARDKARPDLIKVLTYKSAAAVEWLQDE FNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELAESEPGRVEIVKKAR VTELNKEGNKVTGVKYEHNGEIVSVDGPVVLATGGYAADFSDSSLLKKHRPDTYGLAT TNGTHATGDGQKMVMAIGGNGIDMDKVQVHPTGLVDPKDPGSKWKFLAAEALRGEGGL LLNADGDRFCDELGHRDYVSGMMWDEKKKDKFPIRLILNSKASKVLDFHTRHYSGRGL MKKMTGKELAKEIGCTPEHLQKTFSTYNDIADGKQKDPWGKKFFHNLPVNVDDDFHVA VMEPVLHFTMGGIEINDKAQVLNQEKQPFEGLYACGELAGGVHGANRLGGSSLLGCVV YGRVAGDTASNYLFQNALKGGAGSAAERVGQISLHLDPSVPNQVTVSWGAPGAASGSG APSKVDEHASAAAGPNPVKEDGAKAAKPNDPKAFKVPEKEYTMEEIAEHNTKDNVWVV VKGVVLDLSDWLEEHPGGVQAILNFMGRDATEEFEMLHDDEVIPKYAPQQVIGRVKGQ EVTLEP FPSE_05045 MQPLIALTALISLATSSQIPLNGHLPIMPSSDSPSVQPSVALSD ILGSNRGLTSFSSFARMQPSTDTRLSDLSTNTTVLAPLNSAVDALPRKPWEQPADYDA FGADAYEGDGGQDRAKENMRRFVEAHLVPASPWEKEEKIKTLGGKEVWWVVKDGNKVI MPDEVEVERVASQVGNGELWILKGVLNYA FPSE_05044 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVA VFIFGNNKKLYEYSSADMRELIHRYQYHGGPSEHKGPADFNGGNDDDDEEEGDGTPPH GSEVVENQMMPPHPYGQHQPPFPQIRHQTPSASPPIGNGGGPFQAHPGHPVQRTHTPQ PSIGSRPTSRNDMRRMGPGMVQLPPPPGPQHPAMNYMATPPIYNSPHPPSGLLPQHAP HPQYGYHQQPPPMPQHQQHPQHQPQHQQQHQQQHQQQHQQHQPHQPGPYMEDRRSPMP SPMPPAYSSQPPSQGIQAPARPTPSPQPNQQQHPTSTYSQMSPPPPQPERRLQDLPPP PPPPVEIKTEPQERPQPPLLNTDSAIKKLPQRKSHSIFTPIEENRSILSQHLASFTES GKSESAAAAAAANAANAANRSQSVDVAALNRAADASKSSPHMPQRASTQTDSKRTGSL SSIPESAPTPPSRSNSAKLPGGPGGARPRGPRLTVQIPDGGSEGGGSARTAESNSPRN PAETTTQVPQRHNSQSSLVLPPPSPSASAILSAGATGPPNPFARPPPQQNVNGETPVS ALPSRFLTNELLPSPSSFYPDWNFRGGDSNTLPSPLNFATPVVGSGPSFLRDDTLNTT PNANSSILKELKDPTPNANGIASQNLSVSNHSTATKRKTPELGATTQSDASEESDAKR LRVE FPSE_10230 MAQENENPNDFSSFDETEWKAQDAADDREIAKLLGDSQDTGGAG INFDAVEFDQTGKADDAEDFEDISDDDLPDEEPSAGVSMEMPGLTDDGGTSNDADDLF GEGPSSPDPILGPSSPAPHVRDTDTGDDTQPTDVGLSFPGINFDPEPHLDNQDPDIPA PAETVEDLLKATWPAYKKGHVLTWSELLPAKKATWKEKKPTKKPKKLVTSKLTLELAP DQEKLFRIPGTATISRKARQAEERGLVYCGLDEQDEAQDNIQFDLDQDSDSETVAGFS LRDIELACEDWGSHIAIVEAEFEARQSAEQEKQQAQKRSFEEQDDEWDAEFLMDLGDD GPPRPKKRKTLKLGLPEIPRYAAPSFDNFEDATRRGAKRVQLDMSDPYLLIETQELQR NAKRPRTDNKLTRMANGNLGRGVANRFNISNDEAYEALKENHQSKVRATLGNISVEHS MPAIKLSWPYYKVKLGGTTDEYHRPRFRYKKFAGHIIKFDKPTHHKRKMMKGKAHEVF LKSKDLSINDNSTAVLYEYCEQRPRVLSSFGMGNRLINYYRRKDTTEDEQLPKQDLGE YRMLLPEDRSPFSLFGTVDPGETVPTLHNEMYRAPVFKHNPRGSDFLVVRSTTGENGS RWFLHKIDHLYVVGQQFPSVEVPGPHSRKVTNASKNRMKMLAFRMIRHSDTDNCQLSD ITKHIADSTDTQNRQKLKEFLQYDRDSGEKGMWRLKPGEILPDESAIRSMIKPEEVCL LDAMQLGIKELEDAGYDPRNASLDEDVQNNDAEGEEEDVDDEGSKVAKGGAKKQQEKQ EETLADKMAPWKTTKAFIDACAQKAMLQLHGEGDPTGHGLGFSFIRTSMKGGYIEAVQ GPLATSADAMEREKRANGGHAYNVKKQQAMYEEGIKEIWEKQKTTLSDGQEHDDKDVA VTEDEDDRFNVQSAMTPAQFDDGTSQISGLTSASRQQKRTIRITRDFRMPDGSTQSRI EIVHDPVVISQYMKRRTEADLESRDIYSSRPTGNADHDRLAGIRIKKELERLEKNKAR RQAREQQKELHQKASTGDAGSPSVNGDKVPTGTTRKCANCGQVGHIKTNKKYDPPFFT NDGTTKKQRAKKSQLQILIKQCEKDKAREEKVQAREAKAAAEREDKERRAAERETERA KREVLRMRQAARAKRLREKKRAARAAEAKRQQKAKAAERRKRQKLQRQRKEKRDLEAE RKRALRELERIRREQQNPKPKWRNGRGWR FPSE_10229 MSDDEKRRHSASKGSAVAGDHASIQYGIDDSMGHLHRRLNNRQI QLIAAGGSIGTALFISIGGGLAKGGPGSLLIAYSLYSIVLALVNNSIAEMNTYMPVAG GFVRLAGYWVDDALGFLAGWNFFLYEALIIPFEITALTSVISFWNADALNPGPTAGIC AAVIICYGLLNVLAVRFYGESEFWLSGGKLVLIFLLFAFTFVTMCGGNPQHDAYGFRH FSNPGSFATYLSQGDKGRFEGFLAALFSASFTVVGPEYISMVSAEAQRPTFTIKSAFK TVYYRFCIFFVVGALAVGICCAYNDPLLVDIYFGAGGTGNAASSPYVIAMTNLGVKGL PHFVNFLILTSIFSAGNTYTYCATRALYSLSLEGRAPQFLRYCNKSGIPVYCFCVTML FPFLSFLQVANGSAKVLGWLISIVTGGGLITFMIMNITFINYHRACVAQGVNRKTERP YYGYFQPYGAYIALVIQFVIVLTYGYYAFRPKFDVEVFFQNYSMQILAVLLFAFWKVF KKTKYIRPHEVDLIWERPQIEAYEATFTEPPVGFWTEMGQLCMPCFFKKTKRSSHV FPSE_10228 MASRNWEEVVAEKRQQQTHAISAFNLAELTDVDDKIEYYNSITG IDDLTHLAKEIEQGKYSSEDVTKAYISRAIQAHTRTNCLTEILFKDALAQARELDAYY TAEGKTKGPLHGIPISLKDQFNVKGHDTTLGYTARSFNPASEDAVLVNILKRLGAVII CKTNLPQSIMWAETENPLWGLTENPIIPGYTPGGSSGGESALVHSRGSIVGFGTDLGG SIRMPCHIMGLYGFKPSSSRLPYAGVPVSTDGQEHVPSSIGPLARSMPSIHDITKAII LQEPWTQDCRSIPIPWRQNAYDDILKRKLTIGVIRDDGVVKPHPTIARMIEEAVATLE AGGHEVIEWKPDFHAECIEVMDAYFTVDGCEDIRRDVEAGGEPFIPAVKRLIDRGKPI SVFEYWQLNKRKRQLQQAYLEKWNKAISSKTGRVIDALITPTLPHAAAPHNSIKWVGY TKVWNLLDYTALVIPAGRVEPGDLEATMDYEPRNDMDAWNKNLWEENKNDMVQNRLPV DIQIIGRNVEEEKVLAIGKVLDDLLRSRRQ FPSE_10227 MDEIWKYAEEFDGRVTITDVKPPVYWTNNFDKMGGEGIWNPNDI VAMDLATRHLNHFLSSIVIYSW FPSE_10226 MSSLKVLICGGGCAGPALAFWLARLGHRVTIVERFPALRATGAQ IDLREQGIQTVKRMGLDDAVRSKLVDEAGVGIVDSSGRVIATILANKSGKGAQSVTSE YEIMRGDLVRILYDATKNDVEYIFGKTVESFEQDEKQVLAYFSDGTSDTFDFLVGADG QGSRIRRAINPPNTPDPYRQLGLHMAYYFIPRMEGDTNIRRTYSASEGRMIFRRTHNS TETQVYLILKDDSPETCSIHKGTVEQQKEFWSSRFRNAGWQIDRFLEGMKTTENFYSQ EVVQVQTDTWHKGRVVLLGDAAHCPSPFSGMGTTASFVGAYVLAGEISRNPDNLSLTF ENYDKALRPFIDEVQNVKLTLIKLFIPDTQLGVAILQWFAWIVCLLRVPYLVSRFSSE EKGGWPLPDYPELSRSK FPSE_10225 MVYTIVVHLYAKDDEESLKKLTAKLIEASRVYSQDKETLSWHVM QSTSDKRAFTIVERYEQESSQKYHLENPYWQTFDPYVIPLLEKPMDLRRFEELDTSKD VEVPQ FPSE_10224 MSNYIPSFSAPNSRPGSPLPLGSPSASRPTSFFANSRANSFVGT RRNSAVPPTSRKNSVAVPSRKNSTVAPSRPDSEDKSSSDPESTDVEVLKNEKGEPLAG RIVGGKYVDPANESIDPEFGAIKTVDIDMPLTLTEVVKENGKEYIVLGFASGDKENPF NWNPWYKRSISTILNLMTLFIGLATTAYSSGIASMCEDLNAPNIKGQLGLFTFNISCA IAPMILAPFCELVGRKVVYASSFLCFSLLFIGLALAQDINTIIGLRLLLGLFGCVGTI LVGGTFDDMYEPHHRSRPMAMFSWVAIFGTVGAPVYAGFINQSLGWRWIEGIQGIANV PLLLVIFFYFPETRGGVALHKRAKALRQATGDERYVSAGDILTPSLQAMLKASSVKAI HMLVTEPVVFAFGLWIAFCWAVVFLFLSVIPITFQEHHGWGEGVAGLPYISLCIGTTL GWLAHHFQMRKFDRLVADPDIKVTPEARLYGAMYGAMFLPIGLFIYSFTQYSHVSWVG PAIGLAPIAFGIYFVFESTYSYTADCYGESSSSAIAGQGLMRNTLGAVTPLFANAFFH NVGSQYAGLILAIFGTILSLIPFVMFKYGHKLRARSKLAIEM FPSE_10223 MAPPRPNPRDPFGNDYDESQSTFDWDKDDHHRERSNVDISNKMS HKLNHLDRLTGSHYRPIDSFVSATHRLHITKTNRKHPSNHKLWKDAVSTDKLDYNWGI WLVLRAIYYDTVDSQDRRQSFRNGVAATKIAERYKGTDIFNDTSPTPWVPRPEKWSSV TGHDSATPEPQQPQAMTQSSRITTPQSSSRATFHNRPLNRFPPINRQTRITNAPQQTQ SGGSSAKTDPFAPDPVDQPAGISPIANLSTSWPTGGGSTNVDNRQRHFAQPAANSLDH SGSTIGNAQPYPGGLPPLHAYSQGVNQVPSIGAQQFSVSRPCPPSRSEHYESEQKFPA ATTHNHHDRTILPPQITSSYRAPAETHRDSLQSGPSSFSSRRYERSHPQGAQSSIGDK TQNEQPSSHVNITKLGQTTRIPHGAPGHIPSTVIPQGSSSQTGTLIGSEVIAPLIERI KDEMKPWITKEIQSGIQTARIADLKRKLEAFEQDE FPSE_10222 MAAPPVVGAIAPPRYSLADRINHISSDSDQLVDLIDELEQHAEA SVGWRWQAVQTQIRQDNIYKTYKDFLTSVGIITDGLSDEEKELAMFPSNKDEFIKRMR LYIIFIAKFGQGRRRGTKISYGSLTSHRLGLVFWHKRVHTKYGIATSLTHAQLWHAIT EAMRYAATKFGLTSNASISFTKVGLPELRQLIDYDTLNAASIEVSEGHHLAWCIARVC AVRPGSIGISGQRKINTNNLPFLTWGDIEITRSELARFQARIIFRNLKTNYHDHENAS RNPIVLASKPRGLELSDEAVTVSALGGYLKLRGSKIGYPGTLNFYSIRRRAANDLTRE IGRDAARSIMNHDAESRVLEKYYLSVGESMDLSRVTLDEDTSNLGVEGHSEQIDHEQS LATHVLSSQKAREIHGPAVNALMVKLMAADPGFPHTATSAQLKNYKRRIRRVALETLL NEETQKQRQQMTTLDFNQRLQHLNDSKIMDLILEKGRAHLAVATSAGDTDEEESDAAI DDETQQIIHNLEENPEEDLELQINDANQSTEIHRELDENDVDGDNGVDIDIEISYETA VRAFMQAMLDNTLSRHHDFKNNPVPCPLCQEDDTITDPKVKNKLWSVQIKLNDHMKTT FHTPRARWVRRAKLDFDASSEAEVRCPYYEQGGTNRSFAEVWSLVRHIENDNDPSHRQ RAQEDGWFGDNWDSHPEEKSKSYRQTKDNEFRMKVAFTGIKYSDEKELPAPIPHESYP GLVRGPGQLPRIDRPGLVWSDGPPTIPRPLPAGMENLFITTSGPPLHPTPIPHRLRNH VIMVNPLIGKPTGPVETTEPAEPTEPAEPMDTVEPTEPAVEKKRQGDEIDTPATKRHR EG FPSE_10221 MDQSDTTHPPMPNVNPLAVKGWVAIEDERGLSTVDVAIMRNLEF LATQNQSIMQTQEKILEALNKKQGRRSSLSSTGTAVTETTDGDDLTGVADQADPTVIA AFEALNNPTGDMNIALTETHQAFMDDTGNKLYVKRTITATRAAMSFRDHIGETFSVES SDDAASDSDHDLKKSIVDHLAILNSDLVTAIYINLNKAMKARKSKSKKGGVAATRAST PAEATQDILSSPLAHRVRRSTGRIFVSSDSEEPAGASTPKANPGHSDENSQQTQVSLT SVEVRRSNQTLRFESESEGEGDDDEMRG FPSE_09733 MTSIGRLACSAVSAVSEITVAAASLNFDFSLVKVEAPREFHDLG QVLSHGRRREAEDGALHTTARRLAGLFGPLLERTPDLFKAYGTRSSEIVSNRDVNPVG SKEDHGIFFEQVGADCTSIWAAATSGDSAVAMHLLACMLARIWEPDEAISVWVDIVAA RKLEIQADESLCGLEKLVSDAAARQQISREQLAQWDTSARAWLRCADEANGIKKKQTQ LLLILKNIAIAVNSITDTYKSVMQAWKSAMVLVENLLLGQPQEVRDGAILLGLSAWHL YPDLLVLGNTVTQVKQRDTLVAPGGILTVGLAETHSDQGHCGVSWSLPLASLNFYGDP ITSTQTLDPDTSRLSSRDLSYVGLGSLLYEWDLPSTTVSQAVECLVELWAFLCRNVGG PAQSDSLRLQPWKWLKMLCNAAKSFLDAQGVEKERAYRLLQFGRRRAEQFLSYDSGLK TNFLGLLDSRAFIGSLRDTETKIYYLRQWASRSFSDKDTVLQYKVTKPAALSEKRYRY SQQSAVVDDMAKLQDSLPDGTTRSEETHVHFEYATAIKTKSLACKRDARGVELPTTCH KRWIAIVSEDPEASSSGECNCKKHELNFCNCKRHLCSSIWKTHSPEPALTENRASSLS LTGESITLEEQRVIYSDPAYDCESVIWHGSPHTYNDRARHSAKGQIYILLWGKPGDAA IFVNKKEDRGDLMGNDTPSKFLSLWTLALKSDAVRPEILNGILDVAMRENKDYFTALD ALSIAYETFENMPGATIDPKVASHPLKDSQWYISYATSQHVAYERTREEGRKQPVIPG MALEECYTPVWQMPLTRCETFACIAFFDTGNTDIQPDQLESVMAISCGNSIYIALQLL KDPSKVDTQHQVRRIAGNIGQAGISFLVPPAKPRVRAINNDSWQVINHNSFDERQENS FGATSCHLSFTNYRMPIALRHQVHGRQDVEAYFIETVASIYDCGKWVGDLDILGSLAS MNLIRVGHDESCVAEPTTSAVLNCRLTSIDNWEEFLDRPKNAVVARAHRNWLARLVLT SFAIERGYKVFVFPESVCWSCCAKAHMSFGDYEVLIL FPSE_09732 MSSTQGRKRSRLACGTCRELKRKCDGSQPCGACVRFEYDCTYNK QTNTNKRRKTVEQDKEAPLPSPPVHVDKESRPHVTSPHHLQSLEANSGAAFFRRLALR LDPKNAPRMHTFSWNAFLGARRTSQVPVSRPVTEMLSQEGMESLSEIYFEKLDPIYGF IDRDWISRITQNRWSGLICDESEDAVLCGIAAIACLFSEVEPLPLELDLIESARFILE QNMSDTPSVTGVTGWLLRVIYLRTAETPHTTWMASSILMHMLEAAGLHCEPSEESVFQ VTEEKVDSELRRRLFAVSAHLNIWISFDMGRSRTILCNSTLEMPSKRGGDYTIEIMEL LPYSTDLDPHKTHDAAELEVSLSAVLKRVHSVPPSIMAQCNLTLCLCRRLQSMNTSFT GKTLEQILFITQKGIEAAQAIIDARVPWHQMANVPFQIICLLLAIDTRESLAQLNDAM QCLNNIATVYNTNATKEALNTASLLILMQQRRKEKCASNLSKIVKNFPILPLSETQVE APLQQIDDMRWFNNLAGELSGFDYSDLDRFLSQSMF FPSE_09731 MNRLQLARYTTRPMALASTSSIPQRSLQTQIPTGNRNETQIRKA STGAGKALTPRTYPASLADEKPSNLVDYALTTVDKLANWARTGSLWPMTFALACCGIE MMHVSMPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPEPRWVISM GSCANGGGYYYYSYSVVRGVDRIVPVDIYVPGCPPTAEALMYGVFQLQRKIRSSRPSR IWYRKR FPSE_09730 MPAPQQAPQCPTCNKPIAFSRNECPFCHKSFSRVDASTRHARSC PARKGRALLRHIKPGKKSRACDNCARLHLSCNAEAPCARCSAKHVACNYSTLCHDISH RAVACELPKDGRHSLSFLLQASDPSHNSMDVNVAAEPERTLEEPTWNCQESETTGWVP GTVDPKFLLLNLSDMLLDEPLDYESTESDFQFQSIFNPPSATNTLTTRVAALSSSLQG MATNKPHLKEELNYSLQRGFFTVSHFQNAFIIFFRRRHYHKPPIHWPTFDLDKIAPHL LLAAVLTGTAYLQYLDRSSQNPLTASLLEVAEKYIFKEVKRLADQNMTPLASTHMLEV CQAAVLINSLEGSTNHIEGRRRIASKRIPALLAVLRKAGVVGLKHEPHQGEMGWDSFI HRETCIRVVSGTFVNDSLMSLFCNHPPAMTVKEMTGHIPCRSELWEANSKASFQQHIN NPAGSYPLSCNEAVSGLLAQDWTCSTKESFGRLNISDLFFISGGLMRHVFHCRTSVVT PDYTAMVLRALDRWDYLWVNALARVPVDERRWLGIARHSPEVVAILRRTIELSGTKEA GNSAYLQCVATYDSAIFHEFVQKYGLRESATSGR FPSE_09729 MRPDLAVVLFTLFSSAVASAIDGPLHIKRVDSAPSTDEHDLYKR RGGGGGGGRGGSSGGSRGGSSGGSRGGSSSSGSRGGSSGGSSGGSSRGSSSGSSRPGS GSSGGRTPARGPQPSYAGGQYAGGASRPYSSGSKSPSGIKPVLLGAGIGGAAALAFWP GLWLGGAYMYHYPNSYRYYNESSEEEEDRPILCACSKEASCGCDQDDKVNNTALYDEL IGNGSYEHLNKSIVDVAEVNGTTTILLNGTLPKDTALPSEGSSSGSGSGDSDSAAARA MIEAMGYWPAAAAVLAAVLIA FPSE_09728 MSSSCQFNSGASTPRPLYHNNNGSTCSTNVCTEKNIDGNTEIPM SEEEPNVHDENVMQLARQLTKRSTAAPGTLFPQADGPLNPSSPIFNAKKWAKAFYELR TDTSEGNPPRTTGVAFRNLNVFGFGSDTDFQKSVGNVFLEAGTLVKKLLHDKQRRVDI LQNLEGVVQSGEMLAVLGPPGSGCSTFLKTIAGDTHGFHIDNGSEINYSGIRPEEMRT AFRGEAIYTAEVDHHFAHLTVGDTLYFAARARCPKNIPEGISRREYAEHLRDVTMAMF GISHTKNTRVGDDFVRGVSGGERKRVTIAEAALSYSPLQCWDNSTRGLDSANALEFCR TLRTQADVMGCTSAVAIYQASQDAYEVFDKVLVLYQGRQIFFGRTGEAKAYFEGLGFV CPEQQTTADFLTSMTSHQERIIRPGFEGKAPRSPDEFAQAWKDSIHRQTLLTEVDDYI QRHPFGGEHYEKFVDSRKRDQSKSQRVKSPFTLSYMEQMSLTLGRSWVMLKADPSVTV TLLLCNLVESLVIGSIFYNLPETTDALMKRGLFIFFILLMNAYNNVLEIMTLYAKRNI VEKHARYALYHPSAEALSSMLVDLPYKIFNTIMMNTTMYFMGNLRRDAGHYFFFLLVS FVTTLNFSMLFRLIASLTKTIAAALARASIMLLVIALYTGYAIPPQYMPVWIGWLRWI NPTFYGLESLFINEFGGRSYPCSNFVPSGPEYSDISRFSQACAVQGSVPGEDFVRGSA YLSTAYGYLDSHKWRNFAVVIAFSILFMGLHLIATETIASERSKGEVLVFTRKEMKKH VGKGKSDEEAGSVGGTQLASGNEATEEVTDVDEQTSVFHWKNVCYDVKIKGETRRILD HVDGWVKPGTLTALMGSSGAGKTTLLDVLASRVTMGVVTGEMLVDGKLRDESFQRKTG YVQQQDLHVHTQTVREALNFSALLRQPAKYTRQEKLDYVDTVISLLDMEEYSDAIIGV PGEGLNVEQRKRLTIGVELAARPQLLLFLDEPTSGLDSQTSWSICNLMQKLTNNGQAI LCTIHQPSAMLFQRFDRLLLLSRGKTIYFGDIGKNSRVLVDYFARNGGPECPAGVNPA EYMLEVIGAAPGAHTEIDWPEVWRSSQEHELVQQELGRLAGQGSTHAEQNDGTEYNEF AATSYVQYTQVTKRLFQQYWRSPGYIYSKAILSAGASLFIGLSFLNGQNTERGLQNQM FGILVFLTIFTQIVQQMLPDYVAQRTMYEARERPSKTYSWKAFMVSTILVEAVWNSLM AVLSFICWYFPTGLYRNGYATDAADSRNATVFLFVWMFFMFTSTFAHMIIAGFDTAEV AGGLVTLMMIMMFSFCGILATPEELPGFWIFMNRASPFTYVVEGLMGTSMANADAGCE PNELINFSAPNGTTCGDYMKTYLSEIGGYIVSGTASDCQYCKISGTDRFLESKSMSYD NRWRDFGLLWVYCVFNIAAAFAIYWVVRVPKKNKGKKE FPSE_09727 MSSASGNGPDQLSRLSCARCKERKVRCNRVMPHCARCKSQDQEC VYPVRAKRRSARPLIDPALSSDSPNAALTTILDRLQRLEARTVASGSQANGNIPTPSV GGSEASQSPVAFNTTNGYASSILTPRNSGQEMDAMAVLKDAVDRVQELRKRSFGSSAI TKSIDIPTDLAKTWVANFFKYMPACMFVSFVDRRVLELLPEISNLPHIHVDPVMLVIY YSIMYNGCSLKGSNTTSQESFAYMNASYLGCLRAVPSWEQEATGTITDLIAALYVSRV AADFFDYDIAWRAFKYACEYCQVLNLHKLDSDESNSFLSEATCDNERRGFWEVIQIDL FYRLILNTPPVITNNPWKVNLPWLDLDSGTVPHGMQTIAFLASSRVSLVIARFFAMLD DPTNATKTEIVAKTEALCREMQEIFAEWQLTEWLNDAQDNEQDIWGVADVILTGCTSI IYMFRKMALLDSDSPRPPATDLDIPDSPIVEDAARRLITTLNRLLDICPYGVTMTSLF GAYRCFVAFAYLANTILRAEDPRQYMADIEALEQLSDTSTELCRGNRDVVPLIKAMQS ITEEIRKKL FPSE_09726 MCKWDIFLFNCNCFTLGLKEHCHQHRLKQTANCNEVQQVREAWV YRQNKVCQNHTGQEETFANGPTVTWSELESKVYQLAKEYAAKGDFPGRP FPSE_09725 MGALAFLSKLYDHDTLDTRFTTSSSTPYQNVIEARSDPSIKKDS AAKERSRAQPSKWNTPEFYLYYLVIILVIPYMFWITYDVSRDTDPRYPKFKRWLSPGW IPGRQIDISDSQYGTFRENMPYMGSLLIFHPLLRKAWNKFNPIPERSQGGRQRLDQRA SFDFLFAFIFLFALHGLSAFKIFFILWVNFQLATKIPRRYVPAATWIFNIAILFANEL TEGWRFRVLFSYISPPVMGLYKNKMRLLNSDLMNFGARIDSTFQGILARWEVLFNITI LRLISFNLDYYWSIDRGNSNALEKKQLDPANLSERDRVSISAEPRDFSFRNYVGYAIY GPLYLAGPIVTFNDYISQSKYRSASIETSRTIRYGIRFLLVLLSMEVILHYDWVGAIS KGKPDWSSYTAAQLSMLSFMNLHIIWLKLLLPWRMFRLWSLVDGIDPPENMVRCVSNN YSTQLFWRAWHRSYNRWLIRYIYIPLGGSSFRNWRTTARSILTFLMVFTFVALWHDIQ LRLLIWGWLIVLFMVPEWTAAALFPKSKWEDRPTAYRMLCCVGSVGNVLMMISANLVG FAVGLDGLQSIIQSILHDWSGFIFLVTACSCLFVGIQIMFEIRESEKRRGILVKC FPSE_09724 MAPKNPFRFGSDLWDPSNRFETSWLLNPWLLFAARALISVYAFV TRFFIIGWTCSHDDLGGCRDVGRSFSYFTILTYWGIAFYFAVAALHTVTYAIRGRSLL DSFPRPLKALHALFYSTVTTYPFLVTIVYWVVLYKGPWFPVEFNAWSNISQHGLNSLF ALFEIIVPRTNPPQWVHIAWLIFILALYLALAYLTHATQGFYVYNFLDYEDVGGRGIV AGYIIGIAVGIVIIFCIVWCIIWARRWLTETKLGMDGKFASQPADNNDVELAGNKHSI GNNPEAQDRVY FPSE_09723 MATSRLQPLTYDGGDLRIVLVPTSDDGHDASENGNLDYEVDRRF SSDSYRSYRAPDQSIPTHPIPSLQDAFAESLDEVTRNDNGPGKPKLRELDAQGRRAKL LEQDPEDEPFDSVWRYRPRQQQHEMYKLISQITFGVYLLLNSLAADNSQVVTILQGHI DEVDEFLEVMLEDFAQAHKDLSERIAHLQLPMANRQAFENMLEDRAFRAKILAGNVKI DHILARTNAVMKQWDDDIDAGLRSSEAFMEWLNEHKDTRWSDEDPDLESIYRAMKGNA DGWLNTFDDLNNQAQDLNGLVIKLMTIVAEMEKTAGEVSRKTWATIPPFTIPTNLPKT EDQTRISLLPTKPNTRSMMSVAPSIRSFDSRDADINTLSDFPLPGGAPLLLPTTYHRA SFKPSPNLSASSSSPRPNISSSVSPSSSGPNLTANTSSSGATTPRASVADDTKQVDKE PDNSDTHSGFDDGPLYILQPKVYTPKATSPALMRPDTPPSDDETKRRTITPSIHIPSP QSLAEAHDERPTQRRTLTQSVQDAMGLHKRTSLRQRVSLKRSPPESIQIPPRNYAEAQ PNRQSTANTTHLAIRPQTTEHAHGSGLEVQQPHRLPRATSYADFSAPKLPPIMPSPHS EYQQTYHPVRASPHSPLQQRPHTAGPADQYHPSGFFQGHQRNQPSRMGGMSTLSSVTS ATNDDTATVTSRATTQAGGKRLKKKKSAFGWLKKAFSLDEDEKAEYEARKAMQYQNQY YDGQSPKFLDGKRLR FPSE_09722 MSSSSTNENLLNSELESFRQKWLSDLKTKNDHPEPAETSAAGGS SSSRRPHHGPPISSLPHKPAPVSEDDTEGEDEEDFVQSPSLKREESLLTQLPQETHHD RKGKKLVSALDHFEEAMHKEDQGNMGDSLKLYRKAYRLDNGVDRRYREKHFPQKSAPR PVSPTATKASASASAPEAAPQPPKPEATAESKPLPIGELIASFSGLKIEPAPPPVEGM PEPPCPLADLPDEILIHILRDIAIADVGDFARLSRVCKRLAYLVASEQRIWRRVALGS EVGFGSQLYRFERSVEWDELPEEEKEGPEIQDGLVISPSELAQRRQDANIAFTESLTP SVYPTWKNLFRSRPRIRFNGCYISTVNYVRTGQASTNQPTWGSPIHIVTYYRYLRFFR DGTLISLLTTNEPGDVVHHLTRDELNSHRGSAQPHLPSSVMALAFRGRWRMSSSADRD DPAAMDLPAPTANTGVHDRDRDPEGDVFIETEGVGSKYMYRMDLSLRSAGKGARNNKL MWRGFYSYNKLTDDWADFHLKHDKPFFFSRVKSYGFGE FPSE_09721 MATIGTNRPGGYDHHHHQNPLQNDDEFSLRHMMDAEPNSPDNSR DILSGSSSIPATGDGKGNGTVEITATQKMVSAMSGSLLTSLLVTPLDVVRVRLQSQAP RSTVDFSKLAITTSSLSPAQTAELGITSCCREVFFSGGNTEFCLAAPRIDGITSAPPA PAECAVEEVQRRTFSSTFDGLRKIARNEGVATLWRGLSPTLVMAVPSNIIYFTGYDYL RFNSNSPFSRFSDTSAPLTAGSAARILAATAVSPIELVKTRMQAASGASTTNHLVEAF DSVKGMIGTHGYTALWRGLTLTLWRDVPFSGLYWWGYESIRSRLTDFREQRQGSSLPF EDELVEARRRSQAKENHTETFVDAFTAGALSGAFASFVTTPFDVGKTRTQIYQGTSKK VKQSGGSTAAPEQRSMVRLLWHIFKTEGASGLWKGWIPRTLKVAPACAIMISSYEVGK RAFRGVNERQLNGSTSTGKSS FPSE_09720 MYSLTCADGLSLGRDVYVLDIQRTSAGLATISSDQFLSVLDPAR LSAGPQRRLPTQHGNLTTLRVFDSNAALVCTAGENGTVAVWDLRQGSNVVQFQASQAP IFSMACSLDTQTIAVGTELQNHAASIHLWDVRSTPTSKAHYQEVHSDDVTDLSFNPSN PALLLSGSTDGLVNVYDTRIADEDDLTVQTCNVDSSIHRAAWLSATEVAALTHDERCA LYDVSEERANGDAVQDFGDMRSVLGCQYVADITPKMDGSGAVLGAGAQDKQAFELVFL AKNPNGEGWALDRENSVGLPGAHGDELVRSFCFFDEEHVVYTAGEDGNVKAWRPN FPSE_09719 MANLPPVYIVSTARTPIGSFLGSLSSQTAVQLGSVAIKGAVERA GIKPEDVDEVFFGNVLSAGVGQGPARQCALGAGLPQTVIATTVNKVCASSLKAIILGA QNIMLGTSDIVVAGGTESMSNTPHYLPNLRNGAKYGDQTLVDGVLKDGLTDSFKKDHM GISAELCVDDHELTREAQDEYAINSYKKAQAATEAGLFTEIVPVEIPGGRGKPAIKVE RDDEVKNLNVDKLKAMRPAFKPDGTVTAPNAAPINDGAAAVVLVSEAKLKELNLKPVA KILGWGDAEREPERFTIAPALAIPKAIKHAGLTAEQVEYYEINEAFSAVALANMKILG LNPDQVNVYGGSVAIGHPLGCSGARIVTTLTSVLKERKAKIGAVGICNGGGGASAMVI ENLQ FPSE_09718 MDDLYDEFGNFIGEEVESEEGSEVGVEAGDYVYDDEPDEAPSVT GQELMQLDDGPSNAIILHEDKQYYPTAEQVYGADVETRVEEEDAQPLTQPIIAPVEQK KFNIEEADLPPVFFDREFMTDLMNFPEQTRNVALAGHLHHGKTAFMDMLVLETHDITD RLERRVGKHRDEQLRYTDIHILERERGLSIKAAPMSLVLPSTKGKSHLVNLIDTPGHV NFVDEVAASFRLVDGVCLVVDVVEGVQINTEQIIKHAVLEDIPLTLIINKMDRLILEL KLPPKDAYFKLKHVVEEVNTIITNTAPTKAASKRISPEKGNVLFACTDMGWCFTLPSF AKMYTDTFGDINVDEFAKRLWGDIYYNPKKRNFSRKPIDERSSRSFVHFILEPIYKLF THSISDSPEELKPVLASLGIELKPSQYKADAKVLLKLVCEQFFGPSTGFVDMIVKHIP SPIETAERLLERYYTGPLDTKVAASMKTCDQDGPLVVHITKLFNTADAKSFHSFGRVL SGTVRPGMQVRVLGEGYSLDDEEDMAMANIAEVFIGETRYNIPTDGVPAGNLVLLSGV DNSIVKSATILPPKLEDDEDAYIFKPITHFTESVLKVAAEPINPSELPKMLDGLRRIQ KSYPLIKTKVEESGEHVVLGTGELYMDCVLHDLRRLYADMDIKVSDPVTRFCETVVET SATKCYAITPNKKNKITMVAEQLEKGISNDIESGAVNIRDPIRKTAKFFEEKHGWDKL AARSIWAFGPEETGPNILQDDTLPTEVDKKTLNAVRESIRQGFSWATREGPLCEEPIR NTKFKVTDVLLANEAIFRGGGQIIPTSRRACYSSFLMASPRLMEPVYSVSVTGPEESY MEVYNVLSRRRGHVLSDGPVAGTPLYRVNGLLPVIDSFGFETDLRIKTQGSSMVSLVF DSWSIVPGDPLDREQIIRPLQPATAQATARDFVLKTRKRKGLSEDVSVKTFLEPEFYQ SLMESGMLGEI FPSE_09717 MATLDNANPTILSASQLPTRQKKAEPRRGPESKYDDIIFAKPSY LSGPFNGPTSAAWPQLNDQHDEFSSEAIDEQEIYDLISTISDPEHPVSLGQLSVINLS DIHITPSPAFGVPDPNTIVQVVVEITPTITHCSLATVIGLGVRVRLEQALPPNYRVDV TCKENSHNQDDQVNKQLGDKERVAAALENDTLKGVLDKMLETCA FPSE_09716 MSNEDPSVRPILPQQSQMNSFSFAPQQYTQRETQKNYVFVDEHN RHKRLKVMRACEGCRRRKIKCDAATTNTWPCSACIRLKLHCVRPNGFDGANDSTTYET SPMGSEQYQQMPMQHQVMNPGAKLSPAAMYGTQPQQVYPDANASYQHVSYDTSQQPGM HYGAVAPPGSMMDHQYAGQNVFPTPPMHQPPPPPGAQQQPSPEAYSSPGDYQHHDLAD LLGTLKVNETGTAPYLRNKASFRHEEQPAVEEADDFPGALPPMMPAPGHKIRIPPELM PDDETALSYFDLYFTHVHPYVPVLCKTVFYQQWNTDREAISPLILEAIFAMGGRLAEE PTEGQQWLALASRHADSFMDVPRLSTLQALLMILKAREAAPKRGYYYRSWMTVVQCVQ MGKDLGLDEHHEDHQAGIACEFTPAECQLRKRIWQTIFVCEVMVGAPQGRHDHAVKLN TVDFTASRPVPGCEESEYHTSRNFSYFSQVVRTVSAMSKVYTRLRRRKDWGVDPEFQQ LGQSFNTWLAELPPDLAISFPQDGSPPWIPSHFIGNMHGYFYLAQILFHRPILSFLDP NSNDGQWKRHMMICYSSSKALCRVQEATLNTFGLTGLQCMQRGFSFSLYAGLSCIVIH LVAIVSPDPEFNSDAREYFTRHMRVLEKVMESWSMPELEKQINALREAFSADVRKPFV LKPSFPYGSPHPSTHSSPPRGSEPFRPVIHRTGSIDQHLDTHGAQHVSYINHPITPPI SAGPMDSKSDSPAVQSLVMMSQGTQGPGMPQSLSMADQPGWNPSRLFEQWNTTFGTPA SHTQSSTTPPHTSPLNASSSGATEVPTIQDIQAVQATMPTGSHQLSPPQYTAAPVPNF VTPAMWQESVASVYEGGLKRGWDYDGVAVMKRH FPSE_09715 MLQPRMIIPRLRPTQLASLTRQATPIQSSALPRSFRRSLQKSLQ QAQLRCYAAKPTAAPTKSTKIEDELKRQARAVAKGGKEFELPEKLIIYHGGTGRITFM AMLKITTLFLGAFFCFIVAPSYVKAEKPEWVTASIVLCGIVPIFFVAYITSPFVTHIH IHIPPYARTSRALLERFVKALPPSTPLTLTTMSAISKPRYSSIQAGDLSPVRRRLGLI NYVRDTKEENAKRSWYMFRAVGKFYIQDKKPQARVRYQKKTDKVDGWIWDSIKERVDN RALKAASPYKGDPTV FPSE_09714 MALPPKFAGQKLQFAHPPASDSGVAHTTHTLEFYLDYCCPFSAK IFRTLRSDVIPAIKANEHWASSLTFIFRQQVQPWHPSSTLMHEAGLAVLRLAPERFWD FSAALFDEQKAFFDVSVVNETRNDTYRRLAKIAAKTGVDENKVYELLVIPDKAGDDGA LNAGNQVTNDLKVITKMNRLVGVHVTPTAVFDGVVQDVSSGWNKDQWVEWLQKNVV FPSE_09713 MICLSKADESFYQRLTWNQAPSPLAASQTTRQDLNGISNLREHV DAAQDDGSGGTAGSLLNGHDDSNQAGSHPAEPFKGSLKDAGRQSRISGLSSCLKTVNG GVDHFRLPRGTLSSCASHIWSWTVWVLSVLAVSTLISYWNSPAPVAVVMTSPPIVQES VPIHFSPLRKRASTCESGGVNKDEYNTPLHVGALFIILFVSTLACAFPIMASKFPGLR IPNRFFFAVRHFGTGVLIATAFVHLLPTAFISLGNPCLSSFWNKDYPAIPGAIALAAI FLVTVIEMVFHPSRHVSPAEITTPKEGSVGSNSGGCMGGTGMLPIRDMGPIRGRSSSI GQNLSTLNSRDVRMQDLEEEACDDDDNVQSGRKNLEETSLEAVQMPVLTPEQQQRKEL LQCVLLELGILFHSIFIGMALSVSIGNEFIILLIAIVFHQTFEGLALGSRIASVKWPQ GKLQPWFMALAYGCTTPLGQALGLATHTLYSPNSETGLIVVGVMNAISAGLLTFASLV ELLSQDFLSDESWQFLRGRKRIYACLLVFFGAFFMSLVGAWA FPSE_09712 MVAQAQAISVSLNDLKDGNISFETLKQAFGPDSLGILVVKDVPQ EFPELRHQALSYASYLEKLENARAKYLTGWSLGKETLKNGQVDTFKGSYYANCAFYID PSLECAKPTQEFSTDNFPEYLSPNVWPPEDVLPGFKPSVTSLCRLIIDVAVLVARACD RFAQEDIPGYPAGYLEHVVSTSSTTKARLLHYYPQESEPSAAGGDEDDWCATHLDHGC LTGLTSAMFIDEHKTSPTVPDVTNLNGASLPPLEELPSSPDPSAGLYIKSRTGETVQV KIPRDCIAFQTGEALERITAGRFKAVPHFVRGVRASVSDGKVARNTLAVFTQPNLGEE VDIEQHLTFGEFARGIVNKNTVS FPSE_09711 MVKPLTFKGDKKVKKRKRTDAEKASRDDVDDETGTGQVQKTTTE EAENDDSWVSAEATTDVSGPIMIVLPTDTPSALACDAAGKVFTVPIENIVDGNPATAE PHDVRQVWIVNRVAGTESFRFKGHHGRYLSCDKIGMLSADSEAVSPLESFNIIPTGDT PGTFQIQTLRDTFLSIKNPSKASSKACDVRGDADTISFDTTFRIRMQARFKPKLRASK EEKALAKISRRELEEAAGRRLDEDEVRKLKRARREGDYHERLLEIKVKSKHDKFG FPSE_09710 MPFKGATILFGGLMFLCFFSSLANCFSWRPHTVAAGDDHSAIQQ HQHSDSSSLWMQQADRKLSNVYSTALQELQDLESQPLCHRIAARQLVNNCHLLDGQDD AKVHLDSGRAARDFVDFYAASLAICDLERGSFLIPKSCFKFREPFLAALPVPTSAKLH VSTNEIDECLEGLAQSDSAWNTWVSYRHKALRFCDAARVDNQKDENIFLYQRITKILE KLTNDVEADLEKRFQSLNRAFDAASQSVESIGPQAKYLQMEMEKASRILRDDLGHAIQ DSRDIVTSGLEEAQALHDLLEILVRAVQTNTADITTSQEVALRTNTEKWNDEIGGLVT ALTAVVATSLDQMETTAARSADILAKQAKIEQGMDKLEELADDLVFKYESYESRLDDA LQKSSQVLDVLEATAASAAGLQGYMLGGLGFSGFWPYIVCPALSLAMGSYRLEPSLLR NIWLVGIGELMGVIVSKASYYANIFSSAKVFEATTDLTLNQTFPATAWEVTY FPSE_09709 MDPNILNFFAVPNNQTLNNVDQSNQSSQQQHEPSPWGSADLESG MGASRNQGASSFGFQPTSTSDISFTQPPMQSTYTGPNNSILPNRGHPRNNPQQRRRDG HAHDRKRTKVDTDSALESLDYWIRFDDEEAEKAGSYEIDFSKRYDPMSNANTHYRPGY GSSSNTPGLGTGVYANSLPFQGAEYFDDNALDNALSDEEEEGIDSMSLEEHLSKIETM PPPEVPPREGLYSTPLSWEKPEPGIRMEPDFGMGGTQGAMNLGFGQAMSGMGNNQVLS NEEQRRLLAIAMNTGRTSSSFMPATGFGLGFGAGLGTGMSDFNSNIESLLGTPTGDRS QKQTPTPTNSSKVPSRKQDIKTENSSETGLSSTRPGLSRTSTATSDVKGKEKLKLGDR TAHNDIERKYRTNLKDKIAELRDAVPALHSIPEDGDNNEAEGDSQRAPKVSKGTVLTK ATEYIQHLERRNRAIMKEHQELARRLQAFEQLLSASARQPFLMPNHSRTLFDPRGFC FPSE_09708 MKLPRAPFRSVDPRLPLRAFSTRNISTISLRASPSLPLNIPARL RTYASVSASELRFGQPVHETHPHILKAGEITQGITAQEYADRRAALAHSMTDGSVAVL HAASLQYKSGAVFHAYRQESNFLYLTGWMEGDAIAVIQKTGPNYGDYIFHMFVKPKNA REEQWNGYRNGVDAARDIFNADEAHSIYETEHLLPKVLDRAKLIYADSPPSKGGIAAT ATWLWRLISGKDTRPTRTPLYSVMNKLRVVKSEAEVANMRLAGQISGRVITDAMRQPW KREKDLHWFLDYNFAANGCEGPAYIPVVAGGERANCIHYTVNNSTFDEDEFILIDAGG EYGTYITDISRTWPASGRFTPAQRDLYQAVLNVQRSSVSLCRENSKLSLEDIHNVTAR GLVDQLRAIGFEVTMANIDQLFPHHVGHYIGLDVHDCPGYSRRETLRRGHCVTIEPGV YVPHDDRWPAAFRGMGVRIEDSVCVDHDSPYILSTEAVKEVDDIEALRS FPSE_09707 MSSRRGPLTNNPNVANSPLRGVSALAGYAKQKRSYATVQREETY GQPPPLKKQVLDNGVQRPVRSPTRSTRTQVLVQRGTTRPSTKERSSRTAPTNVARDMD NEKEAWKKHHRAKFPKMVFYFESIPDDVRARLTKKVTYLGAQQEPFFSISVTHVVTTR SIPPERPEGHQEQEHNHRDDHHESEEQPQTINPSLLNRNTEARRKLLSEFRNAPARTQ QIDDPTKRTRGTRNNDVLHKAREMGKKIWSLEKFQNMLSVLLEVETSHASYNSRSAST RSHYGNPREPNLLQLLHNERVNGPSDRDPTAVHRELTYFKGPHIYVWDMDEKNKPIMV REYPKVTNKSDGEWPQFRSVGNGRCPFVEDHDVPDKDHRRQREQEKARQAKREEAAHA IKPPQVSMPKPVTGKRTIAEMEEGQNRIRGATPTDVFNPVKVAMSKQVDVRPQNAFTS RAAAGRLFAGEPVASGVQPSNITSAIRSQMISSTSGVNGAKAGTSKEVHGLQRKVLQK AAPASFDVSSRRLAEVSMDVASSRSTTMGRHTSRPAEVQEEEAQKTERRTQSQPLKSK KDLKPGYCENCQDKFRDFDEHILSRKHRKFAENDDNWLELDSLLSQIKRMPKYAPGSD EEEAW FPSE_09706 MRLDASPHALLTLDTWEHLQNLKEGGEKIILDGNTLDIASVVAV ARYGVKAEIVKDEELVRRINLSVDALAEYLSHKYVVYGVNTGFGGSADVRTDDWLENQ IGVLQHTQSAIITTSDKNLGGNSEREPSHVMPPEWVRGAILARANQNMRGQSSVRLEV LERLIKLLHHDITPLVPIRGTISASGDLMPMSYIAGAVTGNPDIFVQVGKGSSAKVMP SHEALQQNGLSPSGLGPKEALGLINGTAPSVSLASLVLYESQQLALLTQVLTTFAAES MGGNVEWTAPFIHAVRPHPGQIEAAANIRSFIQGSEFVAGLENRKRTGDGLWQDRYST RTSPQWIGPYLEDLLLAQRQITVELNSTSDNPLVDATEKDGKVVGEVYSGGNFQAVAV TSAMDKTRLALQMIGRMLFSQVSEMINPCTNNGLEANLNVSDKENFTMKGIDVNMSAY MSELAALAHPVSSHVMSAEMHNQGINSLALLSARRTMEAADLVAHMCACHIYVSCQAV ELRATHRLLLETLYQKMKAEDGPFHVFGLGESAIEDLGEKVFAVVEKAWYDHNAGCWK DRIVPTVDAAMAPVTQYLTSEEMDCPISSLAVFRAELHKSIFEAASSIFYPNMAIRPV DVATKLGDGTAPLYVWVRSKLGVPTQCGIDDDPLYNSQKGLSTDGKKTIGSWVSMVYE NLKDDMMGVVLEGIATDRSGLKTEEQYESLCQSLTSRN FPSE_09705 MSETPKDQGPSSPSPSPSPSAASPMPLADNEVAGSGAASPNLPL TMSASVVLADLPRDATAALEAAGSFKTDKIVVRFKPVGSAPSLAQDVCKISATRRFEE VVRYLRKKLRCKETDSVFLYVNSAFAPSLDEVVGNLHQCFKNSHGQLVVAYSLTPAFG FPSE_09704 MSSLINPTMRSCTSLLSRVSVSPYRPAIRSLGSSVRPLIIRGVA QSRYSSHSPMGAPPAAQRKKVTVGTLRSMHRKGDPITVMTAHDFPSGHVADHAGMDII LVGDSLAMVALGMEDTSEVIMEEMLLHCRSVARATKSAFTVGDLPMGTYEISPDQALA TAIRFIKEGRVQGVKLEGGKEMAPTIKKITTAGIPVLGHIGLTPQRQNALGGFRVQGK TSSGAMSILEDALALQEAGCFAMVVEAVPAEVAALITEKLSVPTIGIGAGNGTSGQVL VQVDMIGNFPPGRFLPKFVKKYGDVWGESLRAIEAYRDDVKSRQYPGPEHTYPISAEE LESFTKAVKDS FPSE_09703 MSSPRRRIETDVMKLMSDYEVTLVNDNSKRVFEMSISTPLTATS VCPSSPLVTSSDSLTDTLRQEFYVRFKGPAETPFEGGTWKVHVELPDTYPYKSPSIGF VNRIFHPNIDELSGSVCLDVINQTWSPMFDMINIFEVFLPQLLRYPNPTDPLNGEAAA LLIREPKSYDAKVKEYVQKYASKEAADEAGAESEDDDELSSVASFGDDDDEPAGQMDD V FPSE_09702 MQGFNMGRYVPPDVEGTISANTLHKKHPLGARASKPGSLTVRFE LPYAVWCNTCPKPTIIGQGVRFNAQKRRVGSYFSTPIWSFRFKHVECGGEIEIRTDPK NTAYVVVAGGAKRDTGEDVPREGDNVIMTDQEREALRNNAFASLEKTIEDREQLVNAT LRIDELLEASAKHWDDPYTQNQKLRKAFRVGRKERERDAKATGDLQDRMSLGIDIMPG TEEDTRRAALVDFGPVDDGRDRALLKPLFKTEEGKKKSVDSKSGSRLKADKEISKRKE AFVSELMGNTRAAKDPFLNDGRSEMKGPARLPGVKRKRPAQEAESHTSEPPTKAQAKA TETIAPTGLVDYDSD FPSE_09701 MSNLLNLSDELLIMVLTMPWTERLSGSTNVSNSRGFITALLGTP DVFADQDVHREDWLIINSTCRSFRRLGKEIFFRTKPFLMSLAMREKLLDGESWLDVEP PTQEQTLNLYRWKEGQISATDDQHRVFDYRYELDSWCALSAQDRGLAVSNIRHIVFSD MAAFQRLHLAIIKIPKLTNKFTALQRCDIVVREKNTRDEPGEAVKDYQLYLKGQQDDD NQEPDGDLRHTVAELEAKLKILGMKDIKLRLCPGQGGHEQCERPRLEREVFPLLRFIV GTVSRKK FPSE_09700 MASKFLAVALAAAAPALARTDLAGCTTYDTVVSNADGMYATRIW YVPDSGEICDILDCGGGRAPPKTTVPGCPAYEGTETYSPLFIDPKTLGGAAPEKTGDA EGTVSVSATITSAPATETNASGTATETEAATETEATETETASETESKSETASESTVRP IISNTRKANDTGMSTAMTTPGRATLTTTASSGAGSGTGTASSGSDSGSASDSESKPAS GTGSAVSVSTAGAAMPTAGAFLALAGAAIYAGML FPSE_09699 MGSHDSLNQAEGAPRFWYRDNFFLTNDKSYLCPQTFNKSLDDNW WSSPLPNGQLQRVLDNCLTLAVYYTPQTAEEMKRNGIASPKDGSPHKMVGFARVVTDY VTLAYLTDVFVVDEFQRRGLASWLMQALKEIVNEWKHMRGLLLMTHDQAAARLYQREL GAQEFEKGPSAGLVMLEMAGPAEKPAPKH FPSE_09698 MGKSSKDKRDAYYRLAKEQGWRARSAFKLLQLDEEFDLFADVTR VVDLCAAPGSWSQVLSRVLIKGEKFGRATWQDKEAKFRQQMLGILPKDGDDEQQTTEI QQTEEEPAKPREDVKIVSIDLQPISPLAGITTLRADITHPATVPLLLSALDSSYDPKA AGTQASHPVDLVLSDGAPDVTGLHDLDIYVQSQLLFAALNLALCVLKPGGKFVAKIFR GRNVDVLYAQLKIFFEKVIVAKPRSSRASSVEAFIVCINFQPPAGFRASLEEPLGVGG RLEEMLKEKGDVDMQDSMAKDEGVVEMEVYDEVPEDTERNSRWVAPFIACGDLSAFDS DASYQLPEDYVSLDPVQPPIAPPYKRAIEMRAAMSGSQR FPSE_09697 MTTQLLSTELTNLIQESKRKHSDLRQAAEKSLEELRNLRNPSEQ TAPEELSQKPNFVNPFIIACGTKNAKFTAIAIVCLQRLIVAQALPRSKLNQVLEALMQ ATSAGLDVQLKILQALPSLVQNYASDLKGNLLVTTLNICFTLQSSKNAIVNHTSAATL QQLVVSVFDKVVAEDKKVGEGSMNSDAEYSDSHPAATDAYRIFNDLCLMTENQRPEFI RVSGLQQTFGLELIESVITNHATVFSKHPEQAQILRSRVMPLIIGALKARPNFATTVR LMRILYTMLRRHIGILPSECGEALAVLTHILDQDETFWKRALCMEVFRGIFADHALLR RIYAMFDATKGEKDVLKPLIATFVRLSSENPAVIGLGPQSSLPTVSSNTQGSSEQAIA EASGMTGLMTGPVGSETITVGISTQFSSVRVPCIDQLDKTEAPAIPESYLYSLVLACI SNVSDNLAKLVLSLTVASETRNRKRASRQEFGRDSPVPQSEHDASPKSRLERSASFRK NPVSINPLSLVDHPQHSEVKICAAIVEECWPAILATCSTFLNAALDSEYYHGLVRAFQ RFAHVAGLLQLSTPRDAFLTSLGKAAVPSNLLTACVNSGQGRPQTPSTPTDGSLFSNA RGLLSVDSLTPTTPTGDKGRQASFDASVVSLNTRNLLCLRALLNLGIALGPTLSDAWN IILETLQQADFVLYVSGKTPGRAPSINRGVEGGDNETTTLMHNFSSEVRAVETAASRL IESTIDFPNESFLEVVAAVCSLLVQRSSEQSEAASQAQPPSDGLQLKAPAAQGRRASG QMNSGSTQEDKFALAKIGELANINIERLLEYSPEESGWDVLTKKLIDTLTSSNTNSSV RTRAAEILVKLAWEAANVTSSLPEESRGEKQLRFFEALRMSLEPLLKGDREVSLASHS TDIDIHKIILDGLQSIIESNGETLVKGWDIAFEIIGTIFVTREPDHEYRRGSSANPIL LDTRSAKLIRSSFNSLQLICSDFLASLPNSCFLILVDNLYKFCSQDDDLNIALTTVTF FWVLSDFLSSKNESLDIPAEMMQFTNPSDLEKMAADHEHKCSDAALWMLLLLRLTNVT TDDRLELRNSAIQTLLRIFDAYGDRLSSESWSTCVKSVVFKLLSSIEQEIRVLQSGED EEADDGDRAEWTETAVVVLNGISSLLANYLDILAVHPSFDGLWKELLTHFTTLLDFKV LDINTAIFKALAHILSETNNDGKSVFSKTAIDISWELWARGVPISKPIGEKAEDNQNC LIAYVSVLTEIYRLIQETLEVKRVDRILSLLREALDEATVGDYVKDIEYMTQLQAQIL EAVQMIRTDIEGVPSAMITQVADFVVLPFVQASPSKSGSKRTYIALSKMSMKELEKLI VNHSSNSDIYSSNSFYKALKALCKPVALKYGFTTITKSTPPWRLATSTALTVLEATLS HITSLELSKEVTRNIWTTVVAIADGIMSADCSIAPPDTNFPDDESFDIASFHKLRELI IPSLGAAAVPEKARTAYAESLFKTSIIHAPSPEEESIINGTHEKGLSALYGHRAGRTV SVPPTKRVDMAYVACEVLFDMVSAKDEPTIVIQPPTPKSPDPRHTRFAESPVTLHARI ASTAAPFLILRCALTLRAYVADQPLRGRMPQPLSQRKELSWILTRLVDLKSDKDSIPE LRNVESDGRKHLLRLYPLIVRASGVAGHETISILLREALEVVGEELGF FPSE_09696 MLDQMANEIKLISGSSHPEISAKVASRLGIEIANTMSLNYSNRE TSVSIGESVRDEDVFILQSTAPGDVNDGLMELLIMIHACRTASARRITAVIPSFPYAR QDKKDKSRAPISAKLIANMLQVSGCNHVITMDLHASQIQGFFNVPVDNLYAEPSVLRW IRENLNVENCVIVSPDAGGAKRATSLADRLNTGFALIHKERPRPNVVGRMVLVGDVQD KVAILVDDMADTCGTLAKAAETVHKHGASEVYAIVTHGILSGKAIDTINSSVLSGLVV TNTVPLGDKIERCPKLKVIDVSGTLAEAIRRTHNGESVSYLFNNAPV FPSE_09695 MTSTTSLHDMGRNRKLRLLVAATGPRDTSWAQALVVRLSKNPQI EARAIVDDVVPRLTQTIIVMQNRGLALGAGDRADDIEFYRQQAFELVEWADLMVCVPL DADSIAKMLAGVTDTFLGEVLRGWDTQKSIVLVPGMSTHMWSNPMTKKHLNKLHRKWN WIRVVTPILWHYEGSPNPKRVPNWNGFNEVLGIIKNQADLLGLGRDVEVATGLGMADD AGVRVQCKLPPEIWTIILDYAGDWELSKALGMYTNLPMPTTWSSQPRDPNDPLKVYEH ELEWTVLTCNSAAICKKISQSPPEFHDISALVIKLVIKFGLIDVLAYMEANRPDLFKA FDGTTLPTKASAYYPRADVLDYWKQSAWFRDRHVYDAEAVDGASRFGHVRVLDWWWRR SGLGMRYTESALEQASGNGHLLVLEWWRDAAAQDDKVVLRPGRSLLWATQHGQADVLR WWDASGIPAAHGDSVAKVASRWGQVEVLETWRRLKGDDKLVFDAEVLVPPTIFKHLSV LDWWRNFAHGELEGMEGRKQQVEFRTCNIEEALEDSIGDQSSVRRWWTQNGLNLGLRD EEWLKTRYAAALQRYISPRPGRMERVWQLKYSRGMEHSERLEKKAQESGLQAYIHRSG MNMKNNKSHSVLCTFIWVTGLGIDDT FPSE_09694 MGYQEDPESKAVEAPNVSDTSPGVLIDHNTVDREYGRTVRGLSP RHVQLMAIGGSIGTGLFVGIGGVLSKAGPLSVVLGYLIWGVFFVWPCNLCVAEMCSYL PIRGSIFELAARFVDPALGFAMGWTYFYAGLMLVCVEYSAVATLMQYWVPDINPAAWV AMAMVICVLLNVVAVKYYGEAEFIMASLKVILLFGLLFITLITMCGGNPKGDAYGFRY WKGGKAMRPYHAEGDLGRFCGWWKVILYAGFTIAGPDMISLSSGEIANPRRTIPRVAK LIFYRLVGFYVLGVLAVGVICSSDDTRLLGAIENSAAGAASSPWVIGIENLGIHGLPD LINFLILTSGLSCGNAYLYSSSRTLYGLARDGQAPAFLMKCNKAGVPMYCVLVVSLLS CITFLVAGESSVTVFFWFVDLTTTGLIATYTMMIVVFIGWYRARKAQGLDNSALHYVA PFNPYAAYIGLFLGTIALIFIGFDKFDPWSTQGFVTSYFCHGYAAILFVVWKVLKKTK FVNPKSADLVSGKKEVDEECKHWEEGGIEENYKRELAAMSFWKRNWERIW FPSE_09693 MRKFGFSGRKKQAPTVTVTAPHMSKAQKILGASAINIDNNFSSS TLDLAVPAPETSQTQTLNLNHGHGRVSPARHERGTREWGDESEIIPRHFKNNGAPTDA HDEDAFDMASILRKRQSSSTLHSWYDKTKQPLSVTQQTSASAMAKGMPSKAERMLDME NSIAEAKLKKKKPTKLDLSHLMGRRSKKNEQQWEGPMLDQITRSPSILSPSSTPSLRG KLSRRPTKESLNSATSDTNRPKTDEGNRRPQNHLNGLPNLYEHYEQMSFRSIIDDELD EPDEEERVLEKSKSRDSHQPKPSRILRHSKSEQRIPPGHHAEHIVSQYAKRNLPPPPP PTMAKKSDQSSLNDCAASVSSRHTRTSKASKRTSRSFQDADLQGKSVLSLSSDSEDDD AYTESSSKGQPSIPEYATSDTSSSIDFRPGTARTSESADNSSKRLSRSSRQTTGSNNY LTIPNGHHRPPVVAPRSSSLSGSSTNTIQEGTAGPTPSRTSSLSNSSVNTSNTGHSKT VPNKVVALYPEPDTLDYPEYEEDKEGEDSENEPEPELDFLSAMTYIPHGSISTTADAP TPPLSPSSVDFYIRSAHSSVDGKDGHNRFMAVTRQEEMLLSALRHKRQTMRGSVTSEM DEKVSQKGHRSKPSEATITEETMDFDFPAPPTFKNKTTVNAEGTTVIDLSHAEEPTTS ARSSTKQVHNGQRSHSQDHQQRERILLYIDKGLAAEHSFDDSEPSPDLDDFYDYNFDD DESASEEEIISEEVYAQQRRLSDRKGRHSALKRSSSRNHQQSLPAQRDTLEVGVPRPD SPISPDAMSTSAPRVNKSMARLSAVGPPAKWGFED FPSE_09692 MSAKVPRNFRLLEELEKGEKGLGAEACSYGLEDPEDLLMSSWNG TILGPPHSVHENRIYSVKMHCGPDYPDLPPTIQFISQVNLPCVNPNNGVVDPSQLPCL AQWKRENTMETVLIELRRYMASSQNKKIPQPPEGSTYP FPSE_09691 MAMSLARVALRAPQSQSRLAAAAATITARTIVAHGRHFSTTNQA LIPSGFGSPIVPSYFSKPRLPANTVIRFVPQQTAWIVERMGKFNRILEPGLAILVPFI DRIAYVKSLKEVAIEIPSQSAITADNVTLELDGVLFTRVFDAYKASYGVEDAEYAISQ LAQTTMRSEIGQLTLDHVLKERAALNTNITAAINDAAEAWGVTCLRYEIRDIHAPGAV VEAMHRQVTAERSKRAEILESEGQRQSAINIAEGKKQSVILASEALRAERINEADGEA EAIRLKASATAQGIDAVSESILRGDTGAQAAVSLRVAEKYVDAFGKLARESTAVVVPG NVGDISGMIATGLSVFGKVGQAQAQTMAKSLVEPKGDSQTAELEGQAKPDVKETVLES FNQATSKR FPSE_09690 MLRSTLLLALAGLTIATDSVYFSTTKADKKGLPLLSGFTPRPQF LSMAAGSCEGSTKPCGNTCIEASGECCSTISGTYCEDGYRCQSTGCCEDGKLCSGPPT GCTKGKEMCGQFCIPEGKVCCLTGFCDEGQKCTSDGKCSSGGSSGGSSGGSSGGSSGG SSGGSSCMSFEETCGDGCMPKGMVCCDSGYCLSGQTCTSDGKCRSGSSSGGGSSGGSS SGSCASYQETCGDACMPKGMVCCGKGYCLSGQTCSSDGTCRYGSSGGGSSGGSSGDDD DDDDKATFTRESATRTFDSPSFTAPSIEPVPTIDDTFPTFSSQPIPTGPRAGGDDGDS SGSSSSSGGGGSNGGSIVLPSMFMGAIALIPLLL FPSE_09689 MSGPQEAASNSQSPTSQPSHEIQIRYQLAKSTFRTLCEIKSSPD TFALLKKACSATEFNTFPIQKHETVFFRAINENTGIPFPISEFISKPWHKVILLVQIE LTKSGWPNKLKGEARKELHKELAQMCKLLDRVLRCLADILGERGDGRGLQTTLDLLRS VKARVWEKSNNHLLQVEGIGTAKKDRLVKANIKTIKDLAKMEFYHIERILSRNPPFGQ TLLHKVAGFPILTLDFEVIGQYTPETPTPLPVSGTQAAGESLWIARAVLGFTNEKTPS WNASVPWTTLVVEGGNGRLVWFWRGSAKRLTGSKEMIIGLSAKSGEQLKVSFACEEIV GTTVKMDVWVRG FPSE_09688 MDVISTVHFGKQYENAFWDPEKLQMVFGDGGEFLNNFVGCIDVI GHELTHAVTTNTSPLDYFGQAGALNEHISDVFGIMVKQDAQDEKSPVADWLIGEDCIL PGVKGTALRSMKEPGTAYDDPIFGKDPQVAHMKQFKTTFEDNGGVHIFSGIPNRAFYL ASNAFGGYSWEKAGKIWWAAMRSGKIKPRCTFKEFADVTMDCAKELFDDKTAKVIKKA WADVGVLDDVGSESTPGNWCGVL FPSE_09687 MVAVPPHILRAIAQSKAAEHICRSAQVTLEHTERILQARRQSFL TRESVSHRQHIIPPQILRNIAESDADEGTRDKARESLSHLESIIGKVKGSQPEPQPES QQVLEASDHDSTKPSPKDPPYRAIYDIHESSNEEKLPGKLIRDNKKEADKSKDKSVNL AFDNVGVVLDFYKKH FPSE_09686 MKFLGLLNLAALASAVPTPTVQQVGKTLGKRAAITDAANIGYAT ENGGTTGGAGGATVTVSSLAEFSKAAESDEKQVIYVKGQLTGNNKIRVKSDKTIVGAT GASLENIGLYINKQKNVIVRNLAIKNVVAANGDAIGIQKSTNVWVDHCELSSDFSKDK DFFDGLLDVTHASDWVTISNTYFHDHHKASLVGHSDSNAAEDTGALHVTYANNHWTNI GSRAPSVRFGTVHVFNNYYEDISVTGVNSRMGAQVLVESTAFSNAKKALISKDSKQTG SISVNDVDLGGSTNDAPKGTISKSDIPYKYSLVGSSKVKAAVVGVAGNTLKL FPSE_09685 MSSRPPSFLLPNSTSCRVLDRFSDLPENIQVEEDDEGKIPFWPL LDHLISTPVSSITQLIDRLQTIAANAETCQDGDYAFLKKFLQDRDESMILNTTWPRIR DVALDLPVYFPSGQLELLQADSPLRLSRGQVACLVAHQFLCSSVPQRNDDGYQDLGIW YSSEQRHPIAVQMYLEALSTYFEGLPTAKDLLDDHQAGSDNLVSYEIHQSSQVSLTNA RLRPVQIKYTDIHTSDAHHPHVQGKGGAVVVSSNKVIGFGQSATQEEIFVGIAPEAYP VVLVAPYLTDNAVITVSGARAMVDVKGQRRNIEWEVHPTSSPDNDQKSWQGGRLVFMD ALEMDMVERSSSDDLPDLYPENIDREINKATNGFKSYNGDSVFTGLWGCGAFGGDPGV KLMVLWLAAGASNVELKVVLGPGEHHLGKGLEEVVKACNGLTANDVREMLSRVPKELR REGILEWIAEEASRVSHQTI FPSE_09684 MLSKAFTTAAMALACAGMVSAQTFTDCNPLKKTCPADPAFGDTK VECDFAKGECGAFHNMIATELKYNEQGALFRINKETEAPTIRSDNYLFFGRVDVVVQA AKGQGIVTSAVLQSDDLDEVDWEWVGGDDAQVQSNYFSKGDTTTYDRAQYHPVSAPLS TTHKYSIEWTSTKIDWLIDDAVVRTLNAADAKGAAGFPQTPMQVKMGTWVAGGKNSNE GTREWAGGYTDFKQAPFDAYYRSVTIVDYAGKDAPGQNPGAKEYLWTDKSGDWESIDV KKTLSDTETSASTTVTKATKTAEPTKTKTAEHTSTVEETTSAVVTKTKTAEHESKTHE TQTESAKETKTTEAATTFSTATAVASTTVVSDEAAATSTGGASSGPDSGVNSGAAVDR GSSSDSTDSAPSDTPVAVNSGSRMAGSLITAFAGLMLAQIII FPSE_09683 MAWSKSFVLLIASYSVTGVQAGPCKPRSPSYTISTYPVPQSLSS KTTTVTSSVTIVTSVPCYGPGCVIPCYGPGCSTTTFVSANTKPASTLCYGPDCSSNTL VSTATSVPCYGPSCSIPCYGPNCNSGSSSTTLVSANTQTTSVPCYGPDCSSTTLSKTT GLSTGTASSGTTTIPNGSSTISSLSTSGMTNTQSTTNTETTKDTSVTTKGSSTTTERT DTASTQTGASSTTQQTSIATTSATGSGTTETNTLSTNTGSTLTAKTDTTGSASTNTVS TGTENTLTTKTDTTTGTAYTNTLSTNTISTNTVTTGTFSTNTLSTNTVSTNTGNTQTT TGSACTNTQSSSATASTTTGYDTFTTTNTQTQTSVTTTGSGTSQTSGSNTSGSTTGND TSMAQSSQTTSIPYGPSASTNSGSSSVATQTTTGSASSTTGASSSTAGSSTQTGGSTT TGTVTSITGVSSSTGSSSVVTTQTVSTTGSASSGSTTTGGSSTTGGSSSSGGSSTQTG SSTTTGSATAQTDTSTTSGISSGSSTGPASSTTGASTSTGGTSSQTSGSTTQSTGTTS TGASTSASVPYSVPSGTSSASTSQSSNTSQSSDASSTTGSAPSTTASQPTSTKVTSTT ASGSSTITSNPYSVPTTMSTKTTCGTSSATSGYPPPKPTCFRYTLVENPPSPSKCGNT GLGGGKKANVLGNGDADSVEACGESCGQMKGCKAVVYGYKESDYNKPYCKLLDGPQVR DAGDDTAWRWYDMSCFNPDCVAPPGYECGY FPSE_09682 MASQTLKSEALVSAPNVESNGRSRDDSLPSSQEAYEIEGRFEKD LDVTQDDLLEAREIAATLSLEDVHKTMSKVYKLHKRDPNFPLSVIQKIEYFLNQDDIL KHPERHKALIQEIKIEAALITHNSPYAEVRAVVDNHDDPNMPCSTIRAWFIGIIFSCA VSFINSFFEIRQPMIGVGLAVPQLLAYPFGKFLEKTLPDIGFTLFGVRHSLNPGRFTK KEHMLITIMSSISMGTPYTNYIIWIQYLPQYFNQPYAMNMGYQILLGLSSKFIGYGLA GICRRFLVYPTYCLWPTTLVCIALNTALHDEGDVPVLGPFKKIWNLSRFRFFSLAFGA MFIYFWLPNYLFAGLSWFSWMTWISPKNRDLANVTGGHTGLGLNPFPTFDWNIVTLGC DPLMVPFFTTFNLFCGALLTCFVILGVYYGNGYHTAYLPINSNRVFDHFGGLYNVSAI IDERGIFDAEKYEAYSPAFLSAAHISSYMFLFSLYTAAFTYGVLYHHRTIAIGFKGLV NSFRPLKKNEVEDEQVSDAHNRLMKNYREVPEWWYLVCLAIAFIVGVVGVSQWPTHTT PAVVPFGVILSLIFVVPVGIISATTGIGVSLNVLSEFLGGAFVEGNAIAMCFFKAFGY STCAQAVYFSADLKLAHYLKIPPRFTFWAQMVPTLVSTLISVGVLQYQIRLEGVCTQD APYRFMCPGLNNFFAAAVLWGTIGPKKLFGPGGQYVEALVGFPVGVVVVLLFWWLGKR YPNIKFIRSIHPVVFLAGGMLWAPYNLSYIWPAVPIGWLSWIYIKKRYLAFWAKYNYI LSAAFSVGIAISALVIFFALQYHDINLDWWGNRVPYEGCDGTQSCFLKILAENEYFGP RIGDFK FPSE_09681 MATRTMTFRWGMRVKRWGKEDSRAFHGAFLVVWLEYCGTWQRKA SANVETDQSEAIIVSVNLRPAILAHLTVDLNFSTALNSFGSSSLTTANISGQDFPVFT TDPQSPWLLSTSPSLPAQSAQQQLHSPESPHQDFVLFDQLPNRHTTTSLQHQRRHSSH LQNRYQQQPASPAVQNQRVAQLLQAFGRPSSTVNNNRPTNQFYASSAPSSSTTLNKQN RAARPPVPLFSQSTGGVPQQTAKMMNAAGWYPLNIISTSPTSSPSDSDVELEEFTAFE GGAHTAFSSPAVASVFDFNSSASSSIANLATISPQDLLVQEPFMSAPNSSALTALTSP SIYNESPDFDQYDVSPNFGNAEFDAPGGEWFSLFPSEPSAVPQLSVETSPEMKSDELD SDSQSPPLNRRKSGTSPSTRHSSVAGVNARKRDKPLPPIIIDDPSDIVAMKRARNTLA ARKSRERKAMKMDELEDKIAKLEEERDHWKRIALAQSGVQ FPSE_09680 MPQDPNLYGQRPTKKPKRDATLSTSLDFTAQLTSLMSNASSSAT SAGRPRPQKEGKDDIFKGSKPKRTKESDGSKKLHIKEVAGTEEETQELARARRRMEEK ARLYAAMKRGDYVAKENEAAPLIDFDRKWAEGEETKEDYETSSDEDNGEESGEMVEYE DEFGRARQVTKAEKEKLERRTRRGLLGAEELERMSARPSAPSNLIVGDTIQAMAFNPD DPDKMEELARKRDRSATPPPAQHYDADWEIRTKGTGFYKFSQDDETRTTEMEGLAEER RKTEEQRRVREEQKEARRREIEKRREEMATRRAKKQADSFLDRLGG FPSE_09679 MAATKQVFIGIIGAGGVGKAFIDQLQSLAARKPSPKLNLAYIAT SRKAIYNDDYSPINLENVVDTLGSSSKAPLALAQVVEYLSKAPAKTVLVDNTSSQDVA ELYPLALSRGISIVTPNKKAFSGSYKLWQDIFSAAESSGARVYHESSVGAGLPVISTL KDLVETGDKVTKIEGVFSGTMSFLFNSFAPTEGQGGKWSEEVKKAKSLGYTEPDPRDD LNGLDVARKLTILARLAGIPVESPTSFPVQSLIPKELESVSSGDEFLEKLPAFDSQME ETKAAAEKAGKVVRFVGSIDAASKQVKVGLEQFDRSHPIAALKGSDNIISFYTERYGS NPLIVQGAGAGGDVTAMGVTADLIKVLSQIA FPSE_09678 MSRMSSEASWNLFSPLMTEYSSIVNLISLVCLGLGLMLFVPVIL LVIFDFVLWMWRNICNDNPPPTDEPDTVVTTNPHAAAIATGIDKASL FPSE_09677 MTEHLPNKQKGRERDRIKRTKDGITQLPRKKFYRQRAHANPFSD HMLEYPKSPDHMDWSPYFPHYVQEGTSEDSSKPPRLTKDVEIVDIGCGFGGLTVALAP KMPDTLTLGLEIRTQVAGYVQERIKALRSQNSDNMYQNVACIRANTMKFLPNFFKKAQ LSKIFICFPDPHFKARKHKARIVSTTLNSEYAYALRPGGIVYTITDVEDLHLWMVQHL EAHPAFERISKEEEEADECVHVMSTETEENQSGLDLHYLLSHHRLYHLHPSIFVQECG FEVNILVMALKRRDVIVAGLAAFIAWGFAASWSPVLRWAGHAFVAGSLVTLVLLLTGL FLVSRRPNDRTLPPNGVTFLNPKSWRSEVQALRQRQSYTPTQIQPESPRVSKAIDDLL GLIVRDFVSSWYSNISRNPAFTNQVDKAVREALLSLCDILRDKDLADLVTSRLVPLLT AHFRDFYEAEKSVRGKKLNRSVTESEELDLAIASKFRDGRLHPAASLSFPDTKMVQQD YLRSLVAKIMPQILPENMLSSRAVSIIIREIVGCSVLFPVIQLLSEPDTWNQLMENMG RSMLQDRSTVRKLRAALDQHAPSTPRSNKVASMPRVAPGDSERKFEKFIRAIRKVNNL SDARRFRSEVASQLKRDSLQDNQDQVYLRRLEMGKRLLDQRVTHLAAGGERRPPGPLP LPSTSSKSKLESAPLVDFLRDPSALSYFMEYMDRQNLMPLVQFWLVVDGFRNPLEEDG HDDELPSTLPMWTDSDRQDLQQINQAYLSRSELKVPDHSKNEVKEFIKAGKTATPMQY YRARQAILKAQSAVLEEMRARFFQGFKKSDLFYKCLAAEEAANNQTLRSTPQPEAQTL APDRASKTLPAKPRPVSRLTTQLSNTSKRTGSASDLRSLNSNGNGNGHGHRARRSLDE GSLTPLFDDDDIDTDGLGDSVQSLDAETNSQQLPDTQVVQAVEEALTNILENDRPQTA EDLRASLFENGGSSSIGGLDDNASSLFSGHDNESHRGSLDMGLRPGALVKEGEKPSLS SLGLVSAASRIGVFVDDDLFGDENKDLPDDGDPDEGPPPDDEDEVHEAAPGDLGLAEA ITALSNDIDRLVAQEAVVESLTRKAELTNNTAELRILRKSKASLQREIRRKELQRQQY VIQESDNSLYGRSTIKIKSIQVGREEDGREFALYVIEVQRNAGEQMPAASWVISRRYS EFHELHQKLRSRYPSVRNLDFPRRRMVMKFQSEFLRKRRTALEQYLQDLLLLPEACRS RELRAFLSQSVITQGQDILDREDKKDMMTRLYDSVADGMDDILGNIPVLDQISEAGQS LIAAATNQLNTVPLNVNEENFPAAEAEAELNAFENKELEPFIKPICDIFLEIFELNKG NNWLRGRAVVVVLQQLLGGTIERKVRDNVKMLAQDENVLKCISLVQDSLWPGGELQRD RKPRTAAEKKKTRTEASLMLATLVPDLAGSVVGRVNAQAASRRIFATLNNSRLNAHLV FTMIDEIVSVLFEEP FPSE_09676 MTEVSATRLYLGNLPRNATKTDVEAHFATHGTGEITEVKLMNGF GFIEYKDPMDARDVVPAFHGSDFMGERLTVQFARGSRHREGGNFGNHERTAPRPRRTP HRMQITGLPNDTSWQDLKDFARQSSLDVVYSETGRDSNGRGFVEFETAADLRTAVEKL DGREFKGQRVQCVADTQPDMPPRERGRSRSPGRRPYPPPMDDYDRRGPPRGYSPRRDG YGYRDRSPRRDYYDDRARYRSPPRRPMEDYPPPPPRGRYDDPYRRDYPPPPPDPYANG RPPYDRPPRDFPPPREGGYPREGGYPRDYDRGGRYW FPSE_09675 MVADAVIYHPTVAHYLRYMATTLGRDKLMRVFQYFARFYAWYLL RGNATADKVAPWNALKKQFGLFRKVFRAGKFVEHLKAAATASDSKSMDPVLKYTQVGR QLGYAGYLACDSLTIPHAAGIKTWQHATRMQHEAYRSWAVGIAFSILGQLYTLRQLSA RASKVDLKEGEGVVESKTISIERAAAKKQLLCDVCDILVPLSGLGYNKYLDDGVVGLT GTLSSIIGVYTQWKKTA FPSE_09674 MSTTNSGQESEKVNTNIVTLTRFLTEEQAKHPEATGDFTLLCHA LQFSFKSIAYYIRRATLVNLTGLAGSSNITGDDQKKLDVISNDLFIEAMRSSGKCALL VSEEEDEIIYFKDASDARYAVACDPIDGSSNLDAGVSVGTIFAIHKLPEGSKGCKEDI LKPGTELLAAGFTMYGASAQLVITMRGGTVNGFTLDNGVGEFILSHPNMRLPKSRDIY SCNEGNSLYWDDKTINYFNSLKQAQENGKPYSARYIGSMVADAYRTLLYGGIFAYPAD KKSPKGKLRILYECAPMALIFENAGGQAVDSKMNRLLEVVPEHIHDKAGIFMGSYDEV EKVKKFHQ FPSE_09673 MSRPTGLIASKGIELLTWGTPNGVKAQILLEELRDAYGTDSTIQ GIDISKKVQKEPWFTALNPNGRIPVLVDHDNNDLAVFEGNAILSYLTRKYDPEYKFSF KIDDDDYTRAESWIGWQHGGIGPMQGQVLHFLYDAKPAMPYGIQRYVGETERLYGILD KRLSERDYIVGPGRGRYSIADIALIGWADRLPRTTISWDQYHNVKAWFSRILERPAVR RGMLLPTNEEKPTGLDPVDEETRKKRADLKVIVDKAKEQFGYKYSSP FPSE_09672 MECGSSPEVWAIASKDMSTLGCAAASNNDNACPEGYEDIFDTNH FPSE_09671 MLGKPSAQRKRYWDGHTYLNCCKMPDLNAMERRVATQRSWAGVG RHQGAFLFPNVPLRPRLILTAGKLDRERQPN FPSE_09670 MSITRRAQQATSPWCDSTPVPRLSLPVSQINTVNSSHTPNHMAV DNKLSAPANIDRNIC FPSE_09669 MSMFMTQPAYAYAAAPPPPRQYSGTSSAFSASANPDEDWTKISD LAERRRIQNRIAQRNYRKKLKRRLEDLERRAGSSDDAESDKQPQKPSKSKRSPSAPKP QKSQSTGPSKSVASQGQFTPPMEPSDELFFSGTYDDRARSDSPPQFTYSTYPAPDEIL LHPYGSAQHYPAITTADAYPNYMTASTVPMTLPSMTHFSDAIKRETPYSSDEGLAPYM YGYMPPMDFNSGSPYDQNPHTPPLSHSFDHSANCSEAGYDYPTTPLSMPSSPNMIHHQ FPSE_09668 MESHVNYLFGGTKPWDTSLWTASDDRVRGGSSISHLTVSSPTQA IYHGHLDTKTLGGAGFASQRTIGDLALDLSHTAGLQLVLGAGSSEQKFTLNVKDTIPG KRTDGRDEAGISWEVDFEAPREGGVLVKKWDEFKATYRGREVKDPEPLKVDDIKRISL MTRSFFDKQDGDFKLVVNSIAAVKKDHDESDDEYDLKKPAQPAQSASRPAWKALFCGL L FPSE_09667 MMPAAHVYGHHQFNPQGGDSAWMHQQPGAHHAHTQQQQQQVAAA AAAAVQQQQQHFNRLAGAGQMQGHGQDADHNNVSEDNRRTMAFIADLLNENTREAALL ELSKKREQVPELALILWHSFGVMTSLLQEIISVYTLLNPSQLTAAASNRVCNALALLQ CVASHNDTRTLFLNAHIPLFLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSSEVIN FLLTTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDNGLNYICATYERFYAVGTVLS NMVAQLVESQTARLLKHVVRCFLRLSDNARAREALRQCLPEPLRDATFSSVLRDDAAT KRCLAQLLINLSDNVVDPNGVGGSNM FPSE_09666 MGTRTASSAVADASLRGPGRTHSTRSSRSSRVPSFPPPSPSASA APAAPAPATSTGTGANYNQSHTRQLSHSHSQSQSRSQSQSQSLSQAQSQAYASRSHSH SHSHSHSRSASNAANSHPPPHHSQHQRTSSSARTTSQNDHESSRLAANPKHHRTSSKD DRHPPPTRGPDPSRAHRRSSSSRSKNNNHNPPPEMAPSASAPSNGTSHASAAPPTNHA DAPPRASTSKHARSRTVIPTQSGKWMLGKTIGAGSMGKVKLARKEDGSEQVACKIIPR GSTDDGHQSRADKERADQSKEIRTAREAAISTLLNHPHICALHDVVRTNHHWYMLFEY VNGGQMLDYIISHGKLKEKQARKFSRQIASALDYLHRNSIVHRDLKIENILISKTGDI KIIDFGLSNLFAPRSHLKTFCGSLYFAAPELLQARAYTGPEVDVWSFGIVLYVLVCGK VPFDDQSMPALHAKIKRGLVDYPAWLSSECKHLLSRMLVTDPKQRATMQEVLTHPWMA KGFNGPPDNYLPNREPLTTPLEPEVILAMQGFNFGTPESINAQLAKIIESEEYQRAIK LYQREKELPQPTKDAEKKRPFGFDFYKRRNSGNSRDTLSAPSSEALQMGNDPMNAYSP LLSVYYLVKEKQDRDHADMVPPAASQSREKEKEKEREREREREEKRERERERERERER EREREREAREKEKAREPELPEIAAPQAAHTNSSAYEMPGEKPTGGRSRPRARTHGEDD MPELPKQTPAPPPEPRVEQIQKKEGTAAGILRRFSTRKRREPERLDRDRSHPPVVQVH SPAEPSPLAPRKSFSIRRGRRDREDASDTQIRSSSNQGQHGELLSPPMSSGGNREGRR GSALGRSTSVNSAEMRRRKDHPATNESDQSVGNEESSSSRRAHGHSRSVSLRAKSIGH ARRESIQHRRQRRDAAQEANVPEETDQELEQSGVSTERLDDTELSKPVFLKGLFSVST TSGKSVPTIRTDIKRVLKQLNVDYTEIKGGFRCRHSPSIDLNKVQDPPMSPGNTPGHK RRFSFGGLIRGDDRDRDEFRDSDRPPTTPRTPGRSDRDRSFSNSETSFDSNSGRNAGP SRQVPGETSTQVQSDLGGSMVLEFEIFIVKVPLLSLHGIQFKRMAGNTWQYKSMADHI LRELRL FPSE_09665 MATGNMRDEISQCCDSGRINLPIQLYRRHKLCAHIRDLRDFTPL SQNAYFQCFYGHYAIRQHPSPMSYKRSRATYEADSNAPFATFGTPLPDDPEARDDGSF VPVWKQEVRDERGRKRLHGAFTGGWSAGYFNTVGSKEGWTPSTFVSSRNKQKDGTAQT QQRPEDYMDEEDLADAAEAQKVQTSQAFAGLGSFDQNNSSGGLMGLLRAEGDTMGLKL LRRMGWKDGQGIGPKIRRSARLDAGQKNPETTETHLFAPDNAEMIRFIRKSDRKGLGH DGEAKLSNLNAITAAADEDDDNDSNGFDTSIQSTSLFSSQKSKTKPARGGIGVGILND NGSDEEDPYEIGPKIRYNRVVGGDKKKKKAKKASAAINPALKNAPVFMSRSARAGTGL GRCHDGRLPLDGFVLAKITEDLSDLLLEYAPPPVPEGWVSSKTSSDQANPEDYKSTAD AAKASTHDAKSRAALLGERSLPGKSVFDFITSSARDRLATASGNKSLPQGLGEIPEGY AVSDEERQQAVWDQAAKLDQETAIAAISRGSSGPYADNEEKKARYRTYLEHFATGSQP LPYKPQGMAYDDFARELSEFHNCARIFKPMTGFMASRFTTAKKPSAVSTNDSETDLLS KPEPKVTDPAEDAAKVGMYGKMTRTVDNFYPTRLLCKRFNVRPPAHSQPDTQAGTSSG SRNHEDARPMDDVEISSRSPVDVNMIEGAPAGTVPPVPVPEPAINPDKNEAVEGKTAH DEVLRAIFGDILIYYHALDTHKPRNEKTCHNKNISNNVLRLFIELGIGPSTSLDNKTS SPASSRPPSTRTTSSHHREPTPDNLKSAKEPESHILLKCEQSPPPQYPASEPSTSRPP PFSSLFTSPTTDAHERSNKFVTFTARSPCEAVASGSAAPAYESSSPREALPFDPDQIT TAFRDPVAETKRALPRDTKGDSNRKDDDAEPPPAYSEEGDSPLAAFSFLMAAAGGASS IITQVQQGGPPISTLGDVGADETIAMDLRGTRFYLSRDELLTLPEFVLLSLFPNGLFP EGQMGGFSDGDAVQVDYDPASLQYMLDFFRDVAQSIPTDGSPSASQEEDTPSLGTSRD DSKRAGIIVLREDLDFYAIPPRPDIGQLDMIAVKRAAAEALQQQSGIFSGLKRSDEPG TTEAHLIEMLTAGGFNHDDRWGHRAGEPNKAVICSLALARLRSDIRGNEMGTNAVGMA QKLLLFWRKPARRCWWEGVELENVAGVEGKLKVWIRRVWTLEMSVIGLR FPSE_09664 MAPILRVTATTLASTLLFSLSSAQDCNFKTLKTSYPSPVAAKNW SYGLIANELRRPRGILFDSKGALIVIDSGNGILHFELEDGGGTCLQVTKKTTLLKQDN LNHGLAISKDGRTLYASSSNDVFAWSYDPSKVTIDNSTVQTLVTNMANSGHTSRTLLV SDKDPDMLLVSRGSAGNDDRGAEDQETGRSQIRAFNISSAIDSYGEPYDYLDGLRLGW GLRNSVGLAEHPETGGIFSVENSADELHRNGVDIHKDNPAEEMNFHGYLNGSREDQGG NYGYPHCYTLWSTDDFPNRGDLKVGDQFPADRQSRQNKDDTNPTDKECNTDYVPPVLA FQAHTAPLDMKFDNDGKNAYVSFHGSWNREPPVGYQISEVPFEDGRPANSSRSRDAAT PIIYNKKLSSCPDDCFRPVGLAWDTQGRLWFSSDKTGEIFVLNHNKDDSSQDGSSKGD SDDDDDNDNSAFSLRPGSAALIVTMAAVIMGGFLA FPSE_09663 MHDAVLDYYGRKLATCSGDKTIKIFEIEGETQRLVETLKGHEGA VWCVAWAHPKYGNILASAGYDGKVFIWKEQGGQSNAWQRIYDFPLHKASVNIVSWSPH EAGCLLACASSDGNVSVLEFKDNSIDHTTFAAHGLGVNSVSWAPATTPGSIVSSAPGP GATGNRRFVTGGSDNVLKIWAFDPASQTYKQEREPLTGHTDWVRDVAWSPTVLQKSYI ASASQDKTVRIWTSDPSNPTQWESKVLPFEAAVWRVSWSLSGNVLAVSGQDNKVSLWK ENLRGEWECVKTIEE FPSE_09662 MANASCSGGTPFKRLIDHQARDVSHHQDRLTDRAGPQGHASFRS TPQHMQGADGFGAFMDGQSTLPGVPQHHHAAGRLAAHAAVLEQGHPHAFHAAQAQHFN QAQHSPSVDTSNWAADFSRFANQSQQRQPVQNGFSQAAPQMHQPAPQMNFHTAFMQPN TAFSPMYNGALMDNTAAPAQEAGFDDEMSKWMATNGGGGMEEVDAMMDKMARELELND AAVAQAEAERASQQETVVEPRLEPNPLKLVMDDISNLAIEAEREAILQEPEPEPVEVA KTKSEVADAAERLLESVEHEDGEKWKNSVFLSLMRDFRDGRKDIIDNEIRETPENETN IAQDAQ FPSE_09661 MDPNDSKITDPSRRSSTRRSRSLRLSAGSAVIEDEYDLNAMMVA DGFRPTDTTASSNTNLTPISNTQPEPSLSPTESFSEQTTSAKSSEAPVAPRSSEEITP AIRNRPSSISKPHRNHDSLALRNDGTTMADRSHVRNNPSLSSDAPVIRAESPYVGPSG PSHPYNMYPQRTMSMATTSTAANAVVPDDRPYQGAQGPAHPYALYTQNTTSGESSSQS IPVGFNGLGSTYQRQLGPDGEEAGDLVGPLGHTEELPPYTRYPDNAYVPRPTAQAPVS PVSPVSEPSSPVREVPVAQIPGAGGIGIATRDPEFSSTDDDLALPRTRPSVRSANSSD NSSHDINGAAAGISEKPPVSKWQRRAKKKLCGIVPYWAICLLLVGLVLMGIIMGAVIG TMLTKGHPPPPPDVDDDKFLSPATPTTYDPEILTIIPADLPPMATGRFALPNIDPSPQ GYSACFNDTTQSSAWSCDIIYPLWSIEVLQDSDPKNETGISYNLTLRAVDDDKYPFPW GAQPPNIKTVAMKLVNDTTDVARGPAWWLRTTYNKTVIVSDKKLGDLEKRGWDEDGGD YEDYNSFHRFKNKPMNAKVGEQPWICTWPNTVIEFFIYPNETATGYHPTPTTKDDAAT STENSYATASTAWDAWKLPVYPHVYKMMERRFLWDEDSVATCTKVEVIGDGTNSTELM KNGTKITLTIQEDEDENFIKGLDLEWTGKGKRSIPQLMRRTSPALTDCACIWKSQ FPSE_09660 MSHKSFGGSSVYSDDTSSSTWTTTYARVPKKQPMAIRFLSWVAG APPGVTAVKKRTRDFDDDRSVRSGGSSFSYWNEPETQLFWVARPHGYYYYDGSTSSNS SGSSSGSSRSKKSGRSRKSGGGSRKHFDGAVPRPPVQPMGMPMPMPMPMNGGPPPPPP PMGPPMDGGFDPGFNGGYDDGVYDQGQGHDGGYGGGYSPAQMNGPAPPMPPPPMNAQM PEAGAPFINVTGQNQPGNPFQGGSGPRSESEWSSDWSDQEM FPSE_09659 MDPLNSTSPGPGQDGLPKAPSQFAILDFIFPGFSTFSSIIHAYL GIDLNVYIPLLLIVSGIMFVWNYITEYSWSIIGQHLMSAVRIRTDDEIYNIVMAWVAK QRFSQGSRRFMVNTNINSRSWFLYCWNDDEDEDESESRKPLQYTPSVGSHFFWYKGHI LLFERHENRDRSPFMSSSSEELSISCFGRNPRIIKELLLDAQEQYIKKDEQQTVIYRG SLGSNGGDPTWQRCLSRASRPISTVILDEKTKSELIEDVTDYLNPNTRRWYSNRGIPY RRGYLLYGPPGTGKSSLSLALAGFFRMRIYMVSLSSILATEENLASLFAELPRRCVVL LEDIDSAGLTHTREEKKGDNSTETETVVPVPAAPAQPGAPPTTAPMPPGRLSLSGLLN ILDGVASQEGRILIMTTNHLEKLDKALIRPGRVDKIVQFGLADDEMSASIFRAIYAPY EGEDVDVGAAKVKYLDIEEAQKHAALAEKTRLETLERISALATKFAAKIPSLEFSPAE IQGLLLKNKRNPEAVIDAIDDWVVETRKERKQKEIEAAEKRRKEEADAIKAEAKKKRK EERKKAKKAKAKAKRKSNGESSGSDSDSDSDSEAETKSDNKKESTDKKDDKTADKKDD KPADKPKETEAEVDEKKDAKVEEESTGESKPKGTSDSGYDTPNPIS FPSE_09658 MSSRPQNIGIKAIELYFPSQYVDQVELEKFDGVSAGKYTIGLGQ TKMSFCDDREDIYSFALTATSKLLKNYNIDPNSIGFLEVGTETLLDKSKSVKSVLMQL FGDNTNIEGVDTINACYGGTNAVFNAINWVESSAWDGRDAIVVAGDIALYAKGNARPT GGAGAVALLIGPNAPIVAEPGLRGTYMQHAYDFYKPDLTSEYPYVDGHYSVNCYSKAL DAAYRAYCKREAKQANGTNGVTNGDASPKTGLDRFDYMAFHSPTCKLVQKSYARLLYH DYLANADSPVFAEVAPELRDMDYEKSLTDKVVEKTFMTLTKKRFQERVNPAIQVATNC GNMYCGSVWSGLASLISVVDNKDLEGKRIGLFSYGSGLAASFLSFRINGSVDKISDVL NIPSRLESRRAVPPETYDQMCDLRKQAHLQKDYTPKGDPSTILPGTYYLTKVDDMFKR EYAIKE FPSE_09657 MSLISEPRDKPDEPDPTRRTSPSFTSPEAASPEMMERHKKAVTS IASAVRGFFERREPYRIFHGSTNSTRPQTAGKPVVDISALRNVLQVDRATRTALVEPN VPMDKLVESTLKHGLVPPVVMEFPGITAGGGFAGTAGESSSFKHGFFNDTVNWAEMIL GNGEVVRASREENADLFRGAAGAVGSLGMTTLLELQLQEAKKYVKTTYHRTSSVAEAV ARIRAETENPSNDYVDGILFSKDHGVVVIGTLTDDKPADIKPQTFSGAWDPWYYLHVQ DRTRNVPSAGPVVSPESTSPVDYIPLAEYFFRYDRGGFWVGAAAFTYFKGVPFTRFFR WFLDDFLHTRMLYRALHGSGESARFIVQDLGLPYKTAETFVDYTAENFNIWPLWLCPL KQTAPPTFHPHTGETTTAADGTVTTPPSLNIGLWGWGPSDPEEFVTKNRALEDKLVEL GGLKWLYAHTYYNEEEFWKLYGREWYENLRKKYHAETLPTVHDKVKVDVEARREERQK WKRSLKSKPPLGGLYGIWKGIQSKDYMLHRHAEWKYKEEK FPSE_09656 MNLETIRIVVPLLPLILRQSFLHVLHLSDASKHLDLRSALIIAC LRVILTPKTPRSISAVQELTLRDPGIKGRIWVSKYASPPPPETSIRDALIAALQHTGG STCRAPVPDLVDVEAEWTGYRSGVSSGAPLPDVSERERYHGMMRDCKRPTTVLYLHGG AYYLCDPATHRPTTKKLAQLTGGRCYSVRYRLAPQHPFPAALLDAFVSYFTLLYPPPD AYHDPVQPEHIVIAGDSAGGNLSLALLQLILELRRQDSPILWHGELRQVPLPAGLALN SPWLDVTQSSPTWEASTPTPFDYLPKPENVNQLAIPPCKAWPANPPRRNLYVADELAA HPLASLVMARSWKGAPPIYLCTGWEILAYEDKYLARQLEADGVRVVFEEYEGMPHCFA MMLRNAPATPRCYNGWASFISAAVENPGGIESSAVMIKSKTCEEAPLRFDQLSDASEK EFRQRVLHKTGLEDGDIEIPMAKL FPSE_09655 MSIREDLVTSAIQFLQDPSVASSSVESRISFLRSKNLTQEEIDV ALSRTGGSAPAAPSAPFPSAPAGPPPGQQYYPPYPQHAWQPPPPPPRRDWRDWFIMAT VVGGVSYGLYELGKRYVYPNVAPPTPEKLEQDKKSIEDQFDRAFTLVEQLAKDTESLK NAEKERTEKLDTAIADLETVMTDLKASNRRREDDANRIRDEVQSLKDAIPKALENQKS LTDNRLREINSELTSLKTLVSQRSATASSSTMNYMRNAGGSVGTPAAAAPTPGASTSA APINGEKAAVQSATTTPVAEVPKPTFPSAPQLNRSSSPLANMTGKKSIPAWQMAMANQ NDTSSTQVKADESKAGASSSS FPSE_09654 MSQDTGLWSVRRPREVRHPDYSHQLMRRWQNITLGGISANSAIP QPGSTMKRSSSNIGGNYPGSHVRSMSGSRHSLAMPRPSQPMFQRSSSGTNLVDLGLSS VKRSSFAPKSTFTPGTATKRASTDGDRRSSVYRPRQSTVPAVSHHQSFFQTTPAPAGV PRDPRPLKDRSFQARIGQEIMEYMVQHNFEMEMKHVLSQNVLKSPTQKDFNYMFQWLY HRIDPSHKFQKNIDQEVPPLLKQMRYPFERSITKSQIAAVGGQNWSTFLGLLHWMMQL AQMLDGYVNCQYDEACMEAGIDVSGDRIIFDFLSNGYRDWLAMDEELGDEEAERVLAP HVQSMAAAFERSNSKYTSELDMLEAENARLLKEIEDLEKSTPDPAVLDHHFKIMEEDK VKFEEYNALAMQRSEKYESRSQVLQEELDKLLEELQEADEERRSLQKAVDAQGISMQD IDRMTAERERLQRGIESASQRLEEVKKKVSEREAEASRKLDELEQMVDRYNTMAYQIA LIPSTAANAKGREFELQVTVADDSDFTTSIMKSGPSSADRLVVDSTTGHQAGHILNLD LRGKIRNSFISLRKEISDRRATAMDEMIKDHDLLDGIKEAIEDRRGEVEALNHRVRAA EEEYEKTKEVTTAQKLASDAHIEKMEKELSRMRAGLSENVQILEQREINTTIEYEQLV LQANALREELHTEIDRMLNDVIKFKIHVQKSLDDYEGFVTEELEKELGSDEMQEDTQR MDM FPSE_09653 MATTTASAASSQLKPTESAAQSMPRGSMAATTAVSKIPGRSALP DEAVSNPHHRLKRGSVKGFKNPYPSCASNPSFGTMVRKIWWPSFTGDLKKPNLNPPNV PVVKPQWNTERETTDKIRATWLGHACYYVEYPSGLRVLFDPVFEDRCSPFSFMGPKRY TPKPCEIKDIPIVDAVVISHSHYDHLSHSAIVEVQKYHPDAQFFVGLGLETWFRKSGI NHVTELDWWEDADLTVTVKDGDNSREISARISALPAQHSSARGLFDRDTTLWCSWGVK SGGKSVWFGGDTGYRSVPSLPPGTDDYSAEFDHLPRCPQFKQIGEFRGPFDLGLIPIG AYYPRAAFSPVHADPNDAVEIFRDTQCKRAMGIHWGTWALTMEEVLDPPKVLKEALRK RGIPEIGVFDVCDIGEAREFS FPSE_09652 MGLPTFSESTTTTTTSSQTPQEQQTNNSTGVATGAGANTGAGVT RPKTEAELEADRLYEEAMEEEYAKRDGGS FPSE_09651 MDDDYGADDELLAAMAAADPAPVARPTPPKIQQPTPQRLDKAPP SSASSAGKVVQPTPQALPQKQSGSTILVSPRQRGNPVLTSIRSMPWEYSDIPSDYVLG LGTCALFLSLKYHRLHPEYIYTRIRNLQGKYNLRILLTMVDIPNHEASLKELSKTSLV NNVTLILCWSAAEAARYIELYKSYENASFGAIRGQQPSSYGEKLVEFVTVPRSLNKSD AVAVVSNFGSLRNAINADAEQLGMLNGWGGVKVKRWVSAVEEPFRVKKAAKRGAKASE KTARLDQALPLSRVPLRDMPAAVSAVASSSSSRPLPTGETSSSETPQAKQFQFMDNTD DEDDDEEAMLAAAIEASKQTAQTEEASRTSQTHNDEQVSEGIAAALARLRETD FPSE_09650 MENSLAKSNSLKPPRRKLQKADPKLKSLNKAKRGSTDSHSSSTM ASLSPMSSPTQRQMSNGAPDLSDSKWDHYLRPKTVFVPSFSEAEEQHSEENMNQHYHH QPVQHPEPSPRAACFSPPPQGQSSFHRQIPEFHHLTLHEHRARPSLDRSSLPSTASTT STSSAMRRQAKTPVFRIGQLEQHALARKAKDVQEKTSSVELIADQYHALLETRDGPPA LDSQLPSRASSQYSFDDTPLQIPPYAAKRSQSMRTAKTSSSMTAIPRQLRPRLRTAPT HDTNVAAVEGDTIYFKPYSFSPPPSPEYPGTPRHSWTDEFRPSSSSGHSFQDNISLQI AFDLLTSELSSVMTGRPQRNGQDTAALQIWVMIEAYERLRDQLTRQEPSNAEAQKVAT MFDCWLASLYSIHSSLTESTLPSPTEYAGLEEELD FPSE_09649 MGEITITGWKTRDTFTIGRGNDIVCAAINHVAERLKGRTLSSLV ANWGQTWRHLVNDSQLRWIGPEKGVIHLALGAVVNAVWDLWAKTLNKPVWRIVADMSP EEFVSCIDFRYITDAITPEEAIAMLKEQEPTKGKRLEEALNNRAVPAYTTSAGWLGYG EDKMKSLLQETLNAGYRHFKVKVGGDIERDRKRLSIAREVIGYDKGNVLMTDANQVWS VPEAIDYMKQLADFKPWFIEEPTSPDDILGHKAVRDALKPYGIGVATGEMCQNRVIFK QLLQTGAIDVCQIDACRMGGVNEVLAVLLMAKKFGVPIVPHSGGVGLPEYTQHLSTID YVVVSGKLSVLEYVDHLHEHFFHPSVIKDGYYTTPTEAGYSVEMKPESMDRFSYPGEK GVSWWTSDEAKVILDGEKI FPSE_09648 METNCSTSSSRLKPGEFTPPIIISPLSSHKFTIIILHGRGFNAE KFHSPLLSSPSTSPSTPFQESLPHARFIFPTAPLARATKYRRSLIHQWYEGTGDWEPE ARGDMRPSVEHIHNILKNEIEMLGGDTGRVVLVGFSQGGAMALVSWLLWQGQSLGAVV IMSGFMPLAETLAKEEEHLSDDGMFERDDEQENKTPLQRAVDELREEAELDPEPPANS FPFLKTPVFMGHGRKDKDVEICHGQEAAMCLERMGIDVELKIYPDMGHWYCAEELGDI AQFIYQRLKM FPSE_09647 MDLLDYKSRPILSLTTIFAAWKGLLLAIAFSASIGPDYDTSTSL FFDIVHGASSPTSLATRLTRWDALYFMHDAVKGKVYEQEWAFGIGMPAAVRGICGLLN LQLWEPLVAIAISHISHLVAVLALYQLTIVLCNDRKLAYLASVVHVLSPAGLFISAPY AESPFACMSFVGNLLYAISVKNSPDSLKRNLAVVGAGLSYGISCTLRSNGLFGGVLFA VEAVKCLLALRNGFAISKILRLIAPVIGGILVAVGFVAPQVLAWMRYCNGNEEQRAWC GHRIPSIYTFVQAEYWDVGFLKYWTPNQIPLFLLAAPMLTILLKSGTETMREPSRGLG AVAMGTNEESRLLVRTLAAMQTLLAVLAITNYHVQIISRLSSGYPVWYWWVASCLMDK QRQSLGYGVIVFITMYAAIQGGLFASFLPPA FPSE_09646 MHQSIVAQLGAAAVLFAGLGFAEQYTPKHESGRCAFRGHCGKQS FFGKELPCVDNGLAEDPEEELRNELVGLCGEKWRTGPVCCTLDQVKSLKSELGTPNTL IGSCPACKDNFFNLFCTFTCSPDQSTFINVTDSAPKNGKNLVTELDHLVSEKYGSGFY DSCKEVKFGGANSRAMDLIGGGAKNYTEMLTFLGNKKPFAGSPFQINFPTQQKVPKLQ PVDMKPKKCNDEDPNYRCVCVDCPEVCAKLPEVKDSKSCKVGLLPCLSFASIFVYGVL VSTLILAVTGHIAYQKYSQHRVERTRLLHESSHSDDEDEGGPVDTEAMRERPTKRYWV NDRCDRGFYQLGHIAARFPGWCIGLSLLFVGILSIGLFRFDLEKEPARLWVSPSSAAA QEKAYFDENFGPFYRAEKIFLANDTNPSGPGPVLSYDTLKWWIEVEESVKKIESPVYG KYFQDLCFKPSNDACVVQSVSAYWHSKGGLEPETWKDDIRACAKSPVDCRPDFGQPIE PNMIFGGYGDDIVDAHAITVTWVVNNAKEGTDAIARAVDWETALRDRLLEVQEEAKER GLRLSFNTEISLEQELNKSTNTDAKIIVISYIVMFVYACMALGTPLKHIFRNPAVLLV ESKVTLGLVGIIIVLMSIAASIGFFSWVGLKATLIIVEVIPFIVLAVGVDNIFLIVHE LERVNVSFPDQMVEERVARALGRMGPSILFSALTETVAFALGTAVGMPAVRNFAAYAA GAVFVNAVLQMTMFVSFLSLNQMRVEDHRCELWPWWQITKARIHLNGSNGFAQGGGRG SDMAEESLLQVFIKNTYAPRLLGKKVKLAVVTIFLGMFAGGLALLPKIQLGLDQRVAI PDGSYLIPYFNDLYGYLETGPPVYFVTREVDASKRKEQQAICSRFTTCQDLSLPNTLE LERQRPEVSYIASPAASWIDDYFLWLNPIFEDCCVEHGQTCFADRVPAWNTTLYGMPE DEEFIHYLKKFLSSPTGEECPLAGQAAYGQAVVLDSKETHIKSTHFRTMHSPLRSQED FIAAYSAARRIASDIGERTGVDVFPYSVFYIFFDQYLSIVPLTAGLLSAAVGIIFVVA TVLLGSALTALVVSVTVVMSVVDIMGSMALFNVSLNAVSLVNLIICVGISVEFCAHIA RAFMYPSRTVMEGNSNAFRGRDARAWTALVNVGGSVFSGITVTKLLGVSVLAFTRSKI FEIYYFRVWLSLVIFAALHALVFLPVALSIAGGQGYVDPESEGTAAQDLTDRRWRAIR VNDNSDSEDEY FPSE_09645 MSNDSEELVQKLVEKFRSLLDEALVVAIASDHDLKDASQYESAQ TVLEGLAQHVTTEEATGFNPSGISNIPDDANGDEGTAETNSQQASRAHDTDTTSASDQ TSASTNTTYSIPRLTSFDDDSEEYKVLLLQGMFSELKEFDIKHCLKKANGDVQIALDE LLNIQYLKSTGQEKKGIDGFFEPEEAVGKKKKKKNRKKGKKALGDETLSSGSGTASPS PDDIKSMKRQDEIAYLADRLDLPFAVVSDIYYNKKCASGAAAVEILDRYMSQGIETQD KEGKKYASELAQKYQNVPAKYMSTIVQVTGSISQESDDIAALVSKHFIKNPWTEKLDV SYQLTPLPEEDLEGFEAVTRGGKSKLARPVSGGTAYLPAGPSAYAQAAERAGQYNRAK RDAAASAASLNRRGASNPLYRQAAGYYSERAREQARYEMNATSTAADMLVNSQSSSTS IDLHGVYVQDGVRIARQRVQAWWNGLGEFRSDRARQQPFTVITGLGRHSAGGVSHLRQ AVAAALLQDGWKMQVETGRFVVKGRR FPSE_09644 MAAKTPLSQAAYQPVPREDDPSADLLEPSERGDRDDGKPALLKV KFSFILLLRLLLAPIVIADIVFMCSPYYSPASAGIFATGGILLAFWHGFRVLKSCFPG GSRDNFNLKIGSLFCMCGTSSNSRTRPSKVWAYLISLVDFSFGLFFIGPSVTAINYGN FNNSSAVGGLSITLVVMQSAIAFLNLFSLFRRMTIVVDRDDDEQDRLYSIPELFRDEA SEPRDSMSSVV FPSE_09643 MAGTFEHFVAFGTDLVGIERILRGFQAICSLLVWYPALFALVEP KVTSTIPLRKLGGQINVSRRFIRFFRFLDTFQTGWNVYVAQGDKSLDVWLEIVSKTCF GMFGMMETATLLDLCGIDNLRIFSPEKFKEIDYQSQLFWFAGLYTSVLVTVIRLYRLV SSPPAAVTRQSVSTTSTENTAELVSTEKETSTAPLSEKSSKEELDKERERLKGIVTKR KAERRAWMRKINTEGYVLLRALVSDLLDMLLPTTTVGWIKLDPGLVSLAMFFTTFTTG SAVWDKVGRDLQMKKQ FPSE_09642 MLSTRTAPKKVVGLSRTAVRGLATVQDGAPKRTYGGLRDQDRIF QNLYNRYPVDLKSARKMGDWHKTKEIILKGHDWIISEVKASGLRGRGGAGFPSGLKWS FMNFKDWDKDNKPRYLVINADEGEPGTCKDREIMRKDPHKLIEGCLISGRAMNATAAY IYIRGEFVEEAAVLQRAINEAYAAGLIGKNACESGYDFDVYLHRGAGAYVCGEETSLI ESLEGKPGKPRLKPPFPAAVGLFGCPSTVTNVETVAVAPTICRRGGSWFAGFGRERNQ GTKLFCISGHVNNPCTVEEEMSIPMRELIDKHCGGVRGGWDNLLAVIPGGSSTPVLPK SVCDDQLMDFDALKDSQSGLGTAAVIVMDKSTDIVRAISRLSHFYRHESCGQCTPCRE GSKWTEQMMKRFEKGQAREREIDMLQELTKQVEGHTICALGEAFAWPIQGLIRHFRPE LEARMQKFAQENGGEALAGGWSHNAKSQGKLVSPGQ FPSE_09641 MSSKRHSILPAIDRSGPRPPVHFSSTLTISDNAILQGTHSITMQ TETVIHPRSRFESNYGSILIGRRCLVHERTHMGARPADPTAKPGGIVLADYVTIEAGS TIEAGGTEIGEGTIIQAGTIVGSGAKIGKVNNVLYKL FPSE_09640 MGLLGKIGLSDSSVPDEPPPSYDESQSKYQKSSSPQAPPPRQTE PVAGPSTQPPPPVAGPSQPPSIPRQFPPTFNLYSQGWPNVSFTLAEHQQQPLYVCSVH TGLSAAPPVLLHSGPDESYQPLASANFMLMSLSFEVELPPVPGSGTPLARERIIPVGS HGGLSTAFSFTIEVGPGGNGPRETFEWRRSSGDAVASLGGYYHGWKLVRLAQGPPPGA NPGFVPGGFRDSMGHEVVAAWAMGNGRSLTKVAHYRFMGTGLTGLLGERWAIMTVITG LTLFHRDRRR FPSE_09639 MPTAKKSTSQAMDKIANIFRNKRPKESNSSAVRTTSIPSENSPQ VQSEDVSARADSEREVSLKNIETRNQNSQVEQASTPGLPEVVSPTHGEDLNAPKLDPT VDAKIHEDDISPPQESKSTEAIVSSIQEENQGAPETSPLADGNIQKNRISPSLRSKAS TGRSIVSQHVHPLSTPSWTREMHSEHLWSCAYDRLKEEKREVIKHYESVIFACHWGAA EGKHELALGRLFDIIQSSITFADSEPPSRTTLMSSFVDSFLIEPNKIEPLDTSIAEDS DTKSIDSTVPASDKVESNDLQNLTIKLREMIERSRLASIPWVASCLALEDLVCSAQPE RSPDQSIVLDIICKMEWYVGLSELIFRHNPKETQSSDVPDKNPQELALISLYKSILSL LIHVALCGLEVFGEHASVCGDIDESALDDYGQEIWEKEEELMNCNTHNFKEDVISWLD ISATRNVEASSSTDSTQLEDLFKSWNVAKQSYCDSDPSEGCTFIDLCHWLQTTPEFKE FVSWGTDPRGRILWLDEDLRIGKTELTQVVCKTLSESHRGDTTGLETVKNLAWFFCDS SKSQRVNAVSMMKSLIFHVVEQQPFLSKPDIGKKRFDGPNDFYALSMVFYSLLRDEKF QPTYFVINASGGFVASDRTDLVTLPTFEHHETVTQWPNEWGLNDILSMMTITAKDSDK IRWLVSFNSSQHIGSGFASDCSYFRIPITEDMEVVREILAIHSASSVSSIAKTEQDYD FIRKEMYEGMSRSSNFNLLWINTALNITRADPAYWNAPEIFQGLMDTAPNIDALYEKA QGDLDKLRTCDSYFCLRVLDTVAVASRPLLSSELQGIVDLPEKIVMVLIQRFLPLFLR VCKDEVSGGGSVHFVHPSAKDFVRRGLESSSISNQHAFVADRCLSILIDSFNKEQIGS DTEESPQQDRVPNEYATLFWILHFFQMNEGKRVGTIMLADHLVQEYRTQWLETIASYG KLQEVLVVIESVNFTLQTGTKADTEQMNHIYQAIQDIGDFIRAHLMWTRTSPGPGYSE ATIKNSLIFWPSMSPPWLALGPTPHKRSHTPKSCLHVLEHPDWVRSCAFSPCGRLVAT GSDDHSIRLWDTASGTLQHVLGDVDTFTYDVVLSQPGPKGQLLLAACGYSTIKVWDLF TGRLLKELTEISEVEELDREKTAEPDGFTGEGDVASNDHDGGSRVDQDGKPDDDSSID AQSNFMVESIDISPKGDYLVAIANYRLVLWEIPSFKPIILYETEDGKDLKKVKFSSNG QLLAASLGAYIFTLDVSAHQTEPQWFPERGSSPPTTMPEEVEADTEQDDAVEPNEAGS ESASSESKVPEEQPGHSADIYGLCFSPEEMGKKFLASGSDDGTVRIWDLEKGETQVVL KHSGGDIDSVSFSADGSYLATASTDFKIRIWKQMSRGDWGTGVRTQPGQVFAGHSYKI CSVDFAPQGYRLTSGACDSEARIWEIDTLQNAAQPGELGTDNDSGHHVLLSKQDAEPG HSHPVVCVSMSSDGVTIASGCRGGYICLWDGITGAHRLTMADHHDAKVTSLVFSNDGK TLVSTSMDESAFVWDVYGASSKATHHLEGHNDWLRGAAISTDGKLVATGSDDRTVRVW DISDSPEPPVDSDDKTTGQVPARVFEGHEDYVYGVAFSPNARHLASVGDDGMVLLWDL AGEGNQELKDKKPASYGDWWRGVVFTPDSNRVLTVSSRGVVAIWNLGFESAAETQRLA VCDRANLFTSMHINQDQPDVLLTNSGAWVFKISEAELESSEAQTPSLQLQRPASLPIS ITEDGSWITWNDKKLILLPMEFRPADTDSCSWAQGRSVAVGCKSGQVLLFRLSENASP EYPNQVT FPSE_09638 MDTIQSNDTPLVENVQYFDEASAYNEDHPEDPARSVGSGKVNLP LLVEEVPDGQLRAKFEGDSNVRRVLSKDESDYCLTVDRLVWIDGWHSTSGSGDDKKRK QEMTLLVLNLTFENKRRENKIGSAIAELTFLSDIPEGQNPEVTAWGPFRRVTCWNPST AQSTVSVKRNLEASAGFAGQKISALEGKEKGISKEIVDFDQGSSAHFYSAPRGRRQPG EKPNGVRWMIKQNDLQRQGINPELRIAVLVSRPESMAPYRVSFRLEANTGTKESFRNT AQRYSGRPIGDQVNWRTSPGDVKMSYGGGIHIAKLVERENLGTLIKDPGDGENLDQPW LQPWRRVDLSQLAQACRAGAESTYEAESMMHGVEEAAGAATFGEAEVDVHIEQHSAHV IGDESEVPIKRPGPMPSIGMSGKPEVAAAAVTGGEGKGAATSTTGNRRHASPEPRLSE GTTCDCQLGRRGYREYDRLLWLETRTAQAEARLASQDQVIFDLQKAVTVLQQTVSSGT YQT FPSE_09637 MAMEGPSRRLSLIGTSIGSPRIAQQAGLPRSAIFKDAKGPSSDM VFETLKDKWAEGTAVKEKRLGEDTPLKTILDVMIKELKKSKNAIDGVKRFLADEKTKI DDCVKWRLLLDAVDLDLAQKPDKDEVTARLQLTEALIQESPYLAFENPTDQEGASILY INNCADAHWHKKLKDKRTPLHTAARKGNAKFVKSMITEGQRYYDVKNGESTRGENRVS GINQQKYWKFSGEYCRLAKILQHEDLDTKDTPLKSATKADFGVCETIKELLEVTDIAE DDTFHHALENDNQEVVKAFLSKVEVASTIVSKESIMTTLSPPKKRTTGHRDANSRSLA RLENAKLLVGSIQDPKALDREVAEKIIKRKSGAMDIWKAHKKEMLNGELEQFLLHLAV KHQKLDFVRLFANDYPKSVVEKWSYSEHGDKRYPLWYNNHNNEGKRIEEPFDDIRKDI RKEITTKMIHEVPEMDTLSDMFNSCQVGELCFDLSRFDSAGFQVSAFINSWISQHQTG KLLTFEKTLRYAEFPPLDVLKEEREIYKDSCHLQYQHTEVFRILAWLRKKMGVQTIIK LKVPDRLVNPHDEKTMAKAVKDFKVEIFDWKVLDLSLSVFDPDAKERIKELHLYSSGK RSAISHWFGPEGFKSMKKLEVLKIYIVQVETCTSTHLWEVKDEIDDKLKELDTPNGLR FSDRAEQISWYPKTQQANLIKIAQRLDPKLGRWLESLNKYYQHSFSNDAFKPTKVAIL DDGVLSVSPTARKTAVSLNGENQEDISWDSNDNSKSNTPVGRKSGSATIRSDAELEYN SSLSSRIKEGRSFVAGGSSHSPWHLACNPHGTQMANLICAIDPLCEIYVARVAEDAVG ITPDRVTKAIDWAISKGVDIISMSFTIGDKGTLADALIKATKQGIVMTCSSHDEGSKT EMAYPASLKGTDKSLIVLAACDEYGRALWGIEKDDYHYLLPGQNVAAGVIPFLKSNDT ISGSSVATAVTAGICSLTLTCDRLANPGRSYNKGMEAGSRYAKVIKELDSMKSKAGSQ HILLKKFGEIDTYGLGAGANPGPQEILNRHFR FPSE_09636 MEGSSRDIKDEPDLELGPEPPKPVVASTEAELPSSPKGAAAALR KDKARVRFNSNAAANPPQSPANTPATPQTLGVARPRPSLLRGSSAEVVKTLNLKEDAD DESDPESKEAMVAARERARIMADNIHNDSSAIDRDSLESTPVGTPGYDSPFGSSIPLQ DLTEAGSSKEGFQKLPSDEKEGHGLKDEAFKLVRAHTQRFGPSASTGQNSPEDKETKG KGKQEERTLTELNDGNFDGVFDVPAPEQYRGSVLSQLLKLYKPPEPSFKGTHHRAAST SSVISDGTPTVQGTPSGASTPRRKWYDQNKSQDTLANLIEASSRLANPSDATGDKAKK NGKRPQRPANKRTTSANRLSGLWQQQEARITIHIAETLARQGYIIRLCRALMLFGAPT HRLEEYLSMTARVLEIDGQFLYLPGCMIISFDDKSTHTTEVRIVRTGQGIDLGKLKDV HLIYKEVMHDICGVEEATEKLETLMKRKDKFHKWLRVIMFGLMSATAAPFSFGARLID LPLIFAFGCLIGVLQLVVAPNSALYSNVFEVSSTIVVSLLARTFGSIKMGGEEIFCFG ALAQGGIVMLLPGYMVLCSALELQSRAIVPGSIRIVYAVIYSLLLGFGITVGAALYGL FDSDPSNTTTCPNAMNPYYAFIFVPPFVVSLCIIYQAKWRQMPVMVIVSFAGYMVNYW SAQRFKSSPQISSTLGAFAVGLLANLYSRLRHGVAAAILIPAVFCQVPGSLASTGGLL SGLQVANSLTNATGEIQGTSSVQLTQGTNPDNLVFSVAASMIQIAIGIAVGLFMSSLL IYPLGKRRSALFSF FPSE_09635 MVPSVRVVPNAAKRATSLLRTIQYTHPPSCPCHSNPGYHQSPPT FTPSKHAHQRKYATPTTHPGQKEYAFEMAASSIRFGPGVTQEVGMDLKNMGAQRVCVV TDENVNKLDAMRQVREALNREGIPFEVYSKVRVEPKDSSIKEAIAWARPYAPDAFLAV GGGSVMDTAKLMNLYTAYPDADFLDFVNAPLGKGRPVDKKLTPLIAVPTTAGTGSETT GTAIFDLVSKRAKTGVAHRNLKPTLGICDPLNTRTMPAAVKAASGLDVLCHSLESWTA IPYNERTPRPTNPILRPAYQGANPISDVFSFHALRDTIKYLPRSVKNPDDLEAQSKML LASTLAGVGFGNAGVHLCHGMSYPISGQNPGYSHDGYEVASPLIPHGVSVAVSAPAVF RFTAASNPDRHLAAAEAFGVDISNVKRESAGEVLAEAITKFLAELGDQPKGLKDLGFG TEHIEALVEGTIPQARVLMLAPGLSTELEAEKDQLRRLFENAMTHG FPSE_09634 MDRANGGGPGAAAAASRAERFEDEKRRIVESCFNKKDVDGSLLE TYITHIRITEYSSYPTTPPPPQARNGESQKPRIIIVAVRKSGRVRLHKSKENQNGSFS IGKTWNLDDLSRIESYTGPEARPDYRDWAGDTGFQVTLGKPYFWHAQTDKEKKFFIAS LIKIYGKYTGGKTPELAGFEQKEYDQVMGATRRPATGGSRPPPPPLSEQATSQNASAP PRPIHPMHPAAQQGNQGPGIRPPHPNLGPSPVGSFDSSASRERPPAPRWMAQNNKSQD SVATSFTARSDDGSSLPPRSRNGANGPGSYGRFGEATDNRTPSVLTPPQPPPQSQSSP KSQPPSILSPPQPPQSVPSPLQLERPPPERRRPPMDPTRPQDRDLVPPPLISPNKEPM APPPRSSERVVSRLDNSSQKSANSSYSGSVLEQPKPPPTGRLPDPPKREPLGTPAALR PGSSPSRTPVQNPTPGMGYQQGGPPRANTGSPAPAQGRMPSPSPVRDPSPAAARVPSP APARVPTPSQAHAPSPAMALSPGSGGGGSSDVSPMTVSVPRFANIQQPPPELPKQESP KPVDEPSPGERGAEISDRDSNPSPAISGEEKPGLGPMIKAKKSRNEIAGALWKAASAA NAATAFKPRPGGAGERLRLLKSKTEEGPDGISGVVPAPPRPSEQPTPEETPVETPKTE EENKQLEEQKPAEPTKSASRMSLVPEVKITLPTSRPVSVHGPPQEVQKPKEPEKEKPR RSINAGNDMKYLQSLGVNPAILDERSEEFAQWLDYFGWIPGDQMRARNVEEMRADLER ELNKAQAGGWLARFREEDERVDAIKAGLDVAMAECEELDNLLTLYSVELSTLSEDIAY IEAQGQGLQVQTANQKLLKKELESLLETCAITSNELDVLRSAPLDDISGLQEVELSLV TLYKAMVKIDPSRTSADQLKVDVTSDTNQGHGLNPDFNQMRIVQEKKQVYDQESAMFI GRFVAFMSRQFDQAFTETKLAMEGALSRKVDSTHHDLGRDTLWQFSPLMLYARDMHGE EWNQVIQIYQDKSQPVYRVEFQAVVAAWRRNARKMTGEEAELLFTSAIEKNQDGGVAT TARKLTVKRSGTLARLRSPREDGGNKSKVEKGASDNKDLPYEVFAGVLDDLLPLMQME QNFIVDFFHATTLEQIDFPDAVAATPPYDRGGTDLRRPRLMEPDRDLARRILRAMEVI FTFLESELLRLMEWVVGQDPLQGIGVLATLEKYIADVSQSNQEYLNTLLQKLHGHLEV RFKKFVDEQLRAIEETKVKISKRKGVIAFIRIFPLFSAAVENMLVGVDALAARGTIDR EYDRILKTMYDSLMVIARENPSVGIVTTGSADPEDKEALNFHILLIENMNHFLEETET RGLDVLEEWKEKANSTYYEHMNLYLNAVMRRPLGKILEHLENMEAQLQTGKSPASIAA QPSNSKTVFNKVLSNYDSKEIRKGIEALRKRVEKHFGDADDPALSRGLVIKVLSECEQ FYETVERRVGAVTTNVYGGDILFEWPRADVKAAFR FPSE_09633 MTPKTRSGGVPAASRVYHSTPAQQQAHFPPRSKVVRTYGKQNRK KQAETPSKLLRQQTLTQIDFVSSFEDRDPIVLSDSEQDEMGADNEAEEEEQEQEKEKE PQDEDDEDEEPISTGRKRRAAAKKAPAKNNQTKRRRTLGNDTDEQQPKPKKEKKSSRR KTMGDAPSSSSNYHTQTLTQFLGRDTTPTEFIKDSEDEDDDFQQWLENPKSPSPKHVR KKKHVSPVKTPQILKSILKRRPEKTLPPREESVVPQTPQKQTPGKRAKSVEIPSSSQL SAPPSISSTPASMMLERYGPPDRVEASPVKGISLPAGLSPIKELKGKPRMATTPRKHE RIIQDSYATDSWGSTSRTPLRELPVDSPNKTPKTPKEILESLESSSGLDEIETPTKTR RGGSTELGGSQRSGSPTPRMKKVSPGSGKKRVMLEIPDSEEEDDEDFGDDENEDENAE NTFVAGAETQLVMSEIASSEEEIMRASSSAQSTTVTTTTKRQAFASIISEESSVSAGQ VSNTSLPPLSSRQTEIPPPSSTPKARSRPAKRVRKPIHRPLPPTQTQPLESQRVPLAT LQSLTPASARTDVLLPLSQDMIDDVLEGYQADLILNFKIPAQVVRFWLFDGELLRFMA CADPGRVTEGPAWRYHLTQVYELNNPVEGDDMREEGWIDGDVGRYVYFPPAVVGQLLW NLRHAMFKEDASQDEPTQIIQDEDDSGRVDLLANSSQPVPEDKRPTPTSSLSVSQQVE AQLKSDFASSTQFPPSDDILVPSTPDEETNDKQTTTPPIHIPSSPTTIKPPPRRTPRT ASSRRASRPSLGSTSKRPTVRPSQATTVSQASTPEKQQSSSIRRPPLHSSSSIGLPES LLDVYDEDEALRLPPGYNPDSSQLLTKSQMLPDSLLRDDTRVPPEIWDSDEDDERL FPSE_09632 MKVVDVASLKHIPGPWGKPSSLTTKDGTLLVFESRSGYTGMIIF AKLNTNGSGSEDDGIGTAWGL FPSE_09631 MSSRITRSSARQAASQAAQTNNFAPAAADVPTTAASSTPASRKR KGLATEKSPNTALSSGSSGRRSKRQKIPEAAPPSTNNNNHQPAPRARRKGKPAAEMDS PENNRPGSANPAESSIPSGSSSRKSSRSKKPTGSTQESAQGSTLTTRRSKRNLDSAVD QDTPMTGTDENRDPGPPPPPPPPVDHHDDDDSEENDEDDDEEGSRRYDDDDDDDDPFG GFGGPPGSLGSTLRALTGMMSGLTSRFRELLHNLRVDDLSVQLIALQELSEILLVSNE DNLTGHFSPDAFVKELVTLMNKEESPEIMLLACRSLANLMEALPASVANVVYGNAVPV LCQKLLEISFIDLAEQALSTLEKISVEYPTSIVREGGLTACLSYLDFFATGTQRTAVT TAANCCRNIPEDSFPVVRDVMPTLLNVLNSNDQRVVEQASLCVSGIVESFKYHPHKLE ELVSVDLLRGVLRLLVPGTTNMISSSIHTQFLRVLAFTARASPRLSAELFKLNVVETL YQILTGVSPPSGTEDVASKLDSVVIMQALIHRPREQIIETLNVICELLPNLPRNADPS FGDFVELIASTEPPHPVSSGGRNRRTTNEKRIELLEDCKDEVRRFALIIFPTLTDAFS STVNLSVRQKVLTAQLKMLSNLDEDILVEALTPVPYASFLASILSQQDHASLVMLGLQ AAELLLSRLDKIYRYQFYREGVFLEINKIAEEEDPVEPEPEKNEKLEGDDGRTAEQAN EHSSEHESEHDEDEEDEERESSDDDDDEDEENDNENGDANNDDMSPVSSRGSTVSLEV PLGRYISDVRSMKSRTRDVAKKFLETHETEGHGQAMKAKATAILDALSELAGELETFY LKRSTGNIVADKGKELFAKLASYFDTDVLESVTSAELLASGLVRVLLEIFSNPDEELA RAAQSTFLEVFMAYTVKSKPKTATAESPATPFSVMIHKLQDLLSRSEHFEVITVHHNT FDGNHSSPASMLGKQIRLRLVADDELEVPKPYRNIMVSIHAIATFKSLDDYLRPRLSI TDRPRGSARRDGVARALAAMASSAGFAGLPLSTAAAARLAAADAGNPFAGTPPVPPPP AAPTPSSSRTLRKSKSQAAPATPDQSAGSSRDKGSLRRSTRRHGAASTPPAPRPPPGD DEEMQDTLECADEKQLTDDDDIGESSALDAIVGELEEDMEDESTPGDTSAVNMEVATG GHVTARKEDGTRIATPTASGAPSRAGGAPAGAHGTPTPATSSSRAMSYASALQAVPQD WHVEFSLDNKLIPNETTIYRAVHTSASNSDEHISRSIWSTIHPIKFKRVPGPPPAETL SFTSNSEADGEDEHGIPASLAKHPTTSSILRLLNILHDLNSNIEDVLVEKKNSVIGLN VEPLSQFVNTKLTAKLNRQLEEPLIVASNCLPSWAEDLARLYPFLFPFETRHLFLQST SFGYARSMTRWQNTQSAEENRRDRNNERPFLGRLQRQKVRISRQKILESALKVMELYG ASQSILEVEYFEEVGTGLGPTLEFYSTVSKEFSKKKLKLWREVDSNDSDEFVSGATGL FPRPQSDEEAGTPNGERILHLFKMLGKFVARSMIDSRIIDLHFNPIFFRIGDAITGVK PSLGAVKIVDPGLARSLKAIKQFSLAKKEIDEDPSRTAAQKVADTENITIEGVKLDDL CLDFTLPGYPNIQLEDNGSQKRVTIDNVDTYLEKVIDMTLGSGVRRQVDAFRAGFSQV FPYSALSAFTPDELVTLFGRVDEDWSLETLLDSIKADHGYNMDSKTVKNLLHTMSQFN PSERRDFLQFTTGSPKLPIGGFKSLTPMFTVVCKPSEEPYTSDDYLPSVMTCVNYLKL PDYSNVEAMKKQLSTAVKEGQGAFHLS FPSE_09630 MKSRALHQVALRRAILSRPHVTPLAIRSASSVSQRPNSNFVSFP GALKSAFTSNLKFESPESYPALSTYRVVDQHGVVVDESFKPDISNEEVIRLYKDMVFI SIMDLIMFDAQRQGRLSFYMVSAGEEAVSIGSSSVLDREDVMFTQYREQGVFKERGFT AKDFMGQLFGNVRDPSRGRSMPVHYGSKELNIHSVSSPLATQLPHASGAAYALKMQKL QNPSSKARVAVAYFGEGAASEGDFHAALNIAATRACPAIFICRNNGYAISTPTLDQYR GDGIASRGIGYGIDTIRVDGNDIWAVREVVKKAREMALEDGGKPILIEAMTYRVSHHS TSDDSFAYRARVEVEDWKRRDNPITRLRKWMEAQGIWDEAKEKEARTDLRKEILKAFS EAEREKKPPMRSMFEDMYEEMTDDLKAQMKELKEMLDKYPEEYDVSEFEGGKDTLKP FPSE_09629 MSVPPAVSIALLLLTLFIVFVGIALYLRSQVQVFVRAIFTHTQE KRGKDDSAVANTGDTSFPAPPRPPSTIAMASRTALRGFSRSLNPSRCYQTFVRPFSSA NVVRKNYQTPTSDRPTHFGYETVTEAEKQQRVAGVFTSVAETYDKMNDFMSLGIHRLW KDYFISSLNPGATNPPGQSQRILDVAGGTGDIAFRHLQHAHEFNCNPNVSVIISDINP DMLGVGRQRSLALPASHQSALSFLEANAEVLPSQIEDNSLDLYTVAFGIRNFSNIPAA LKEAHRVLKPGGVFACLEFSKVDKHPIFNTIYKQWSFKGIPLIGQLVAGDRDSYQYLV ESIERFPSQTQFRDMIKDAGFVVAGEGYEDLTGGIAAIHKGMKPV FPSE_09628 MAAQRPYNNTGSGYLPNGATGGLPPTGAAPLLPNQGRVLQTGPL RVLCIADVRGNLRSLNDLAKQARADHIIHTGDFGFYDDTSLERIAEKTLKHVAQYSPL ISEPVKKAIQQGGPGPVKNRFPPNELPLSELPLLISGEVKLDVPVYTVWGACEDVRVL EKFRTGEYKVPNLHIIDEARSMLLEVGGVKLRLLGLGGAVVMHKLFDNGEGRTTIAGG QGTMWTTLLQMGELVDTAHRVYDPTETRIFVTHASPAREGILNQLSVTLKADFSISAG LHFRYGSSYNEFSVNPTLDHYRGKLAASKASFNDVWETVKGEVEPAIQQNEAQQNLLK NALQIVEKMPTTAAGGNPFGGPVAGQASLGQVDESAFKNMWNFNLADAAFGYLVLEIQ DGRIGTEMRAQGFNFSHRGAKQQPGVPPTTAGPAGIPSPAPPSTQSSAPPPTSRQPSA VQAKPAVATPLPGPPKPATPQPAPTSISPAPSANNKETEKTSASAGANGSAHAPERVS SPAPKTPASDIIGLFIMNVSTEEQCRDLFNDEDKHKILKVDKWGNSNKVVQFKTIEDR DAALDRLPDDVKTRTQEDRSKPLVKVFQHRENKTYANRGGAGNWGASGRGGATNTSGY RSAGGASDSESNRRGGRGGRGRGGDRGGRGGRGRGGLKGDTSSPAAAPSTPAAE FPSE_09627 MMTSSRPRGDVGGSFASRRGHSSQLSISDPSHHVTEAIGTLYGD EDDSGSEGGRPMSLNRPLSFIGGPTPYQESLPRPPPDGRFLTVDTRRALKRTDSEGSA TETSPVNGDPNKRPHQLSPNQRSNSFEQGPKSPLSPTISLRDVQADSQFSLTNIDNPS DIALELSNLQALRRLSMDVGNNKDPDMPPMSLMAMPTIAPSGDDDENDPSRLLWVPAR VHPELAPGEFKSFLENRVQSIRRRSGDSFLSADTDISEGDSGIGGLRRKKSMLSRQID SSSDRGSVDYVDGADRLDRKRSRGHSHELSLEELVKDPTRAVQKFAQESQIAPSGPEG SIDDLPILPAAPGMGLRRSTRTTYRKGGSIRTGPLARRSGHRQQPSGDLTFAPLEAPP GHGLSRVQSEPVADNYSRQNQTVTRSQNLSQESLDDFEHYDGASQGTNGSHSDTFQEL PIRSSPSLSTVDTSPHKDTQDQSQQKFPKRSSSQNAKTHPVSQRMSEPEPPIEEEPSR SSRRSFSRQNAPQSAAQQQTSRSSYPQSQSLSDNLAANPSLIPGSGATRTDSLTFIPT LTQEERKPDKKSKKDKDEEAGSTKSGSAWKWFKSSEDKDKKKDESKKSKTKSLGDRGQ DNARLDVIQTSIDKNAPKGRESLVLDRDNVESKLQEERKKESHRKSDSKRDKDGSIFS SIFGGSKKKEEKERASKKTQHLKVPEEPVFKPLVPDVDYHWSRFPLLEERAIYRMAHI KLANPRRPLHSQVLLSNFMYSYLAIVQAMHPQMNVPVSPQQKRLEEEARRKQQEQEYL AQQRSQEEQDSQEAMDQYDFDYHRAAVQYGESGSGEVDYVDDAQIYEDEHGNDNNRQD YSYDDPEGYGPGAKEYYHQYQDNDNDRRHDGRDDMW FPSE_09626 MSYNDLNRFDLVSYPMDEVSVSEEADDTSQDFDASTRHLGHLSI KDPETPPPNTFHDPEPPKEACKTGRLSAVGHLSLFHLPAVATTLMLVTFYSVHIRWGG LSDEQLSYLQFAAKGHEALILISLTDILMHRIRYNLLHEENGVPLGFLSSSFVISSPI SYFFSWELWATLLRPHTKSNYAKMNTQVTDVLIIISIILSVAAAPLSAIVILPRSGWW QIHVPMHVESDITYINGPLWERNLTYHPEYTYLDSSNGLVEGLDRLRDVIDIPPVSLH NSTRQISNISFASPYRVISITLDFPSLIDLQQQSLAATTTPLKYVGDFYDISWQGRPV EVLTRTRQKHLGDRFESVWDSKANLTEAEKWKMFRQAPTSRWKQPIVSVECSFTRMTG NTAHFEFKSNITANDVLLRTEDNNDFKAFLDNINESKKTGKGSAARYLFLDLPDNHVS AAILFLRVVGDLDETGLSLCRIHSRWAEADTWIEYGESVYKTHLDNPLFDVEAHFRRS ADADDLITMNKDWLQNTSRQVPSSNSSYHDILNYCTEKDPFQISRCLTISLSVYMTYI LSLTSSWTSYNIMSESFLDSPQPTPDDIVIYKEYFVGGYGYDWKSSRTIPFAFSILLL HVSIVFIHITIVLWSRHPWYSSSWGSFGQMMVLALRSRAPDGLGSVGAGVSSSKTWST SVSVRVVGDEDRLEMVLQNEKGGLSQYQEVGGEVEEEGFDRGSSLAQPGVKYH FPSE_09625 MSSLISHQDSNSLFKNRRTSSTVSNESTLSTHEIEYMSLRDENL CYEDDADFHIFIDAMDRVDELVTQIASSPQGGRRHSMSRVIFSGLKLRRGSQDRHNSA N FPSE_09624 MATSISRSRALASALRPAKPSVQARNNQAIRCLSNTARQYVAMP KESPNLRKAPRDHIGTLKAPIVNPADKYQSKSDNMHRYGAWVMGCLPKYVQQFSVWKD ELTIYISPSGVYPVFSFLKYNTAAEFTQVSTITAADYPTRENRFEIVYNLLSVRHNSR IRVKTYADEASPVPSVTGLFDGANWYEREVYDLYGVFFAGHPDLRRIMTDYGFEGHPL RKDFPLTGYTEIRYDEEKKRIVTEPLELTQAFRNFEGGSSAWEPVGAGLDRTPESFKL PTPKPEEKKEEEKK FPSE_09623 MAPQDSFIDDEEDTCPLCIEEFDLSDRNFRPCPCGYQVCQFCFN NIKNNMNGLCPACRRPYDEKTIQWKVVTTEEVAEFRANIQKNQKKRATDQRQKELQKR EAEKENRKNLIGVRVVQKNLVYITGLAPTVREDELLKTLRKPEFFGQYGNIQKISISN RKSSDGQHQSLGIYVTFEYPEEATRCIQAVHGSQNGDRVLKAQHGTTKYCSAWLKNEK CSNPGCMFLHEQGDEEDSYSRQDLSSMNSIASQRPLPAGGSRSASRQQAPHPTPPPVV SHPMTRSISKEGSESGVDGSALPSSANWARNPQRSRRGSLATSGAASSPAISSAQPVT AEPVPEEAVEDEEEEDEDEEDEEEEEEEPQPEEPEAGPSTSRAMEPESPAPARNVAES GLREILRTLGNCPWPVFSTAGADQYPPLFDPRGGEKRRAMREEEDSRLGGEQEERPEA REPSEGEPETGGSLALGGEPEDRDAPNDNRGFDRRGSTQPPIQRLSTDGLFGPALTGA SPFGQSSGNPGSRSMTPQQLFLRSQSGFGDAPPGISSQSNAFQNQNQGQGQGQGHNRQ SSRFSFANDNASSTTNVKVSTNPRIMAQQSSMMPNTFQSQGNNQFYGASMPGPPPGLK STGTPPNMFGQFGGQGFGAPKDNSNELLQSLIGRSRAGNNQSHDAGKREFMISSFSNQ YPPSSTSTPAPAPGLLPSLYGGTPSAYQDMSSKQKKKGKKHRHANTSSSGGSGLVDLA DPSILQVRMQQHQSQGSGGVGQGLFGGQSQDDELPSLDEATNSVDALVSDDPILPATT LEGRQSVPPGLGLPPGLAPISRPPSTTGNARLSNVLPALPKITPPGLTQGASTPDQSP RRLMSATLVSETKKTSKSLATESGLSKEITSQPQPKQTKGINLQDEEFPALDALKSKS RPATPTPKPTPKAKRNAERIVDRMMAKAGVSKQSSTTEEPKVQDATVQGAKTQELNPQ ETKVSESKPMPFTLPTEKKPFSLKTQIEESSTPTQSAKVDGRTPISLNTQIEKNTAPK AGESSATTEKPNTGNAAAFPPLPAPSSAATSSPVTRTGPKTLRVVAAPKTEAPPASPA LTTASIALPGSRGVSASYRPDTPASSEAVSDNASVVSNSVTHSRASSPPPSRIGSAAV RATTKSQQRKARKDVLKQETKMIAEAPKVEAEVHAPIIGRKKKQKKEKPVKAPQSGAS TPAAPEPVKAEPTPEPPQPESAKEPEQEPEEKPAKSRTAQKKAAKSKGKAKEVEPEPA AQPPSPAKEATPEAQETTDKPEPNPASVFNEIKNALWTSSVNKLQLFNPIASGSSRTD YSSAHSNANKAEHCKDCSCKCGEIQDDDLAALRAGKPVRKQFHLDGSRMLITPNGDCI RGLNAEEEDAFLELQAAIANTAENPGAFIAPRHQPGSGAFSLIKGRAVPNGRPNIFPT TPQLQSQDPIGKLQREDALSYINQYVLPRLNLGATNMGFPKGASPTKDAAAASLNSLA PYFYGPDAAAGVGIYSPPDGARAMQDFSSAGMSGEERGKNFGMGVGGMPLMSVEDAEG ALGTARRETEKLEKGLNQVIRRNRRIVFGGSN FPSE_09622 MDDGNLGENIQEETEVIEESISHDKRIENDKAHLSPNRWWFASS AFPMIAGTLGPVASAFSICALVRPWRQHRPHGQEVKTAPFVADPIWLTVINAIQLAMA VVSNVFLLLNMTRRVRFTIAQPITIIGWYISAILLISLLATAAGPIYEGFKYPSDELI WSQAFYYGMWAAILYFVDASLMLVTFYGAWTGHYNKDFNLTPSQRTLMLQTIMFLLYL LLGALVFSNIEGWNYLDAVYWADVTLFTVGFGDFRAETNLGRALMMPYALVGVISLGL VVGSIRSLVLERGKRQVDARMEEKKRRRIVRTMTKKGKDEMLEPIRDSQRSMTPQRTD SNMQLPATEFERRKAEFELMRKIQAQTSSRRRWMAMAISTGSWLILWLLGAYIFVKCE ENYQGWDYFDGFYFCFVSLTTIGYGDVTPASNAGKSFFVFWSLLALPTMTVLISNAGD TVVKLIRDGTLRLGNVTILPGEEGSFSDDLKYILHRCTFGYAFPDYTEPAPASHMKKM PEAQDSSGDAAAAFIDTEQGSDQNDSRGRSQNPRNGDDGPYSERPDHSRHNSTFTTRV RRSLSRLRDAHEDLPTGSNFHFLLISEIQALTTHLKSQKPHKYSFEEWAWYLKLIGED ESRADTHLKAQPKPKTHHHKRHHNKDVDDSPEKASGEKTDSEHVTAWSWVGNRSPLMG SQEETEWILDRLMERLRESLSSEGRKQVTSDARRALGADRRRSSHDASRKKHREEHV FPSE_09621 MQGCLPIHALRRLGHRRLIDYLPKRSQRLYSFRSHERISVRCGS AGFVNIDLIDIAEQPNSPLFVYLPPSSPNDSQLASIPKFLQGKPLATINYRWNFRDRE NTHHAWPTPIHDTYFAYRWLIENLAPEGDKKRDIYLYGSHLGASLATSLALTESQPNV PFAVRGIVSYNGIYNWTMFFPDHPVNKFGPYAKNPKAFYRPREGTYIYNLQQILPKLF GSTADTFDVFASPALFFHNPGLNIPASYHLTEEQSTALELKNNPEAEAPLETPRRGRL VFPPRGSPLKLPETLLLYDLLPRPPPTNWPAQPGFVRQWGNSLEAQAKELAEEMHSSI EKIELKEQGLWDDSIPFWENEQGRRVKVKRVGAESATMEMSETGEQMVEEWLAERISP KVT FPSE_09620 MPGIPLHALDNFKAKLKAAFKKKENKEEAKPAEQKPTETTPADA TPATTEPTKTEAAPPAPATAPAPAAPAAEPAKPETEVKPEAPAAATTEPAKTEEAAPA PATEPAKPEAAPATELPAPVPEPAKTEPAPAVELPAAPAAPTAPVVELPAAPAAAPAD TTPAAPAAPTELPAEAPKEEEKKDTPAAPAPAPVASA FPSE_09619 MTSTTPPKLNALEILDLSSPPAFTIPSKRINEGPDVARFLTSLA YRDIGVFILQLNRALCPRNQSSSPLPRTFPLNSKPATTPSVQTLQALLAKIGSFIDEA PPDPGPRRFGNVSFRKWHAIFEERLSALLDEGLLGETLKVGDGKAKEEVSLYLLGGFG SVQRLDYGTGHELSFVAFLGCLWKLGFFKDGEQGGEIEREIVLKIIEPYLNVVRKLIL TYTLEPAGSHGVWGLDDHSFMPYIFGSAQLTRPINENEPMPLEGSVKGAPKPSDVTKA AVVEDQRQVNMYFSAIGFINDVKKGPFWEHSPILFDISGIRDGWGKINKGMIKMFNAE VLSKFPVVQHFPFGSLFSWDVDPDATTPTQSVHMVNQPAMAAPTPSGPGTSAPWAQAT RMPPSGPGIPYSRMPPPGPNSGSLARPPRNTSGTGSTDAQITVTKAPWARDS FPSE_09618 MAPPRKRRSDVDAAQEQLQKRQRSTSHGSAEQEDMAQPDQQNEE VDQDEESIPSLEFLNGINKNIGASAVLNRRPSLLSNASVENPAENDDGGALSHIGTSQ EIQFEETTITAPGENLQSQSLVRDLDELGAILEQHEAVPEAPQSAQPVPQPSSPQSEP EQSSQRKIRRPKKKTSYYELNGPDSPDQSAQPTSSRNFHVDETIYDVPDSPPRPSNQT TLGEKSQKAPMSTGGGSSKMEQKQRSSQSHQRRSNVSAQHQGHEQQREHSQEESEESG DDGCHFGDSEPEINQQDPSLVVDDSLLLDAPPPDSQEAGSTPTARIKRVYVQKLVHIM TLRGWMGKRFWKDDFLDYAADKSDKLARQPDYPVSSVRILAKLFDLYGLCKEIPKSPQ INQLAYLREHAPEFSSLVSNLRHTIDSSTSNINNIMKGGDPSRVEVGYRFVMKLQQRI IPMLVLLLDTAFEAGCGASLENAAKASQQTGEFTVYMLEPLERAAGWARRLSQAVEGW YELHPPKREEDQAEEAQANRAAFRSAVATLKSELGKARRDLEKPKPAPEELIRKDEDI RREREAKQKERRERHALQMQRFKDSIQRINPHRRPAKRRPTRQEVPVPRRPIPPRSAP SQPLSEQEYYERHGWHYWEDDQILTLIRTTAHPNYENFQRMLPDRSPEELRERSGYLK LVVRDKYQRRGITPPGFCVDED FPSE_09617 MSETESFDVVQHEEAVASQSDAAATTEPEPEPESPKTAATPSSP ESPVREKAKESPGAKPTLKQPGAPSTTATRRPGAISSTAKPTTSTSTTRAPGGLAKPP TRPAASTVRRPPTATSMSSHRSRPSMSASEDDKKSTTSSVASARRTSSIMSSPAKTAE RRTVGTAGSTTATRRPPSSATTTPRTATASRTAATPTASATRTPVSRTTAATPTTNKR LSTSGSLTAASRTAPRPAPSAEAAKEIEALKAKLAEGETEIETLKAEVKTSEEKIAEL TQKIGQEVTPTESGDKPSHDNDEAIANLKSEHEAAIAALETQVTEVNEKLQAAEGDRE QLRADLDAAVSAMEASSTELDSLKSQLEAAQAESEEKLSSSQEALQKAIEEHATKIEE LKTSLEAEKASAIEAIEAKNKESLDKDQPDTSAHETALAELKASHEAATAELQKKIDE LTSSQSALESANDDKVNSEQEEQKTKISSLEAEVADSKAKLEAAENAAETAKSEMDSL NSQITQLQSSLSEKESELESAKADLVKAQEEAASLKAAAEEAQKSLAEKEDEIAKVKE MHEERMKNISQDYETEIESLRGDAFFKRKYEELETQHKELQASSSEATEGHSSALEAA KAEHAAAVAALEEKEAEYQKNLDALRASHAEELEASKNSTSGDHDAHIAEIDALKESH AKQLEVLKSEGANTHVDEIESLKAAHASVLETLKKEYEEDKEKLVSSHQSELASTKDA GETTHATEIARLMSELDSARESGESAHAAELQSLKAQLEAEKEASDKEHAEALAKAQA GIDAAKSAGDEAHATEIANLKAELEAARDAAKKSLEVELEALRAELAESKKAGSSNDE ELATIKAELQTAKEELEKARDSNQQAIEMARFELEKEHANEVEKLIAFNSEVMEHMKK EGTDVKKELEELTSAHTKAIEDMMAEHRSNNSHLEDKLAQQAASNADLETALNTATEA LERAQQDVEELSQQLAQEKTERFTALAELEDARNTKPDSAEADALRRELATAKKFHED ALASADAELKATQSELEAAKSNLTTLTGDLNLVQKDLEAFKAEADASKKTANADYQDL NDSMTTLIEDANNRAKELQAKLEDTVAKVEDSEKKIEILEAQLKVKDAEIAEAKANAA VAKPKGLSASRFATEGDDAAANEEAAEGEEIDDHSSVALASISKARLTAKQMDTLDRE MRDRNLQLLKSITDVKSPKLSGEHHYEQQQFDQQQYS FPSE_09616 MDDYKISSKPIANKDSIVTGPQWRFTLISDMVLRYEWAEDGVFE DRPSTFALNREFPTPKFTVSDEDDQLEIRADSFHVTYNKKRFDRYGLVISFTNKNTLW GADWRYGETVQNLGGTARTLDEVNGRCELEQGILSRAGYSVLDDSESMLLDPSTGFAA PRRSGDRIDGYLFSYGYDYKGAMRSFFAISGKQPVLPRWALGNWWSRYYPYTDEEYLK LMDKFEAEKVPLSVAVIDMDWHQVHGDHIPHAGWTGYTWNKELFKDPKAFTKALHDKK LKVTLNDHPHGGVYHHEDQYEDMAKDLGHDTSAKAPILFNPADPKFMHSYLNTLHRSL EKDGCDFWWIDWQQGPYSRVRGLDPLWLLNHYHFLDHQKQNGEDKAIIFSRFGGPGSH RYPVGFSGDSIMTWESLEFQPEFTATASNIGYGWWSHDIGGHMGGYRDDELATRWVQY GVFSPIMRLHSQMGLFTSKEPWLYRSEFAEVMKTFLRFRHRLVSYLYAANLDSAYRDD PVVQPLYWKFPERDEAYGKPNQYFFGSQLVVAPVVKPRDKRTNHGAVDVWVPPGRHVD IFTGTVYDGDRDMRMFRSIKSLPVLAHEGAIVPLDANLSPRNGGENPEHIEVLVVVGD NGEFTLVDEGSAEQGTDSDSTRFEYAQDKGQLKFRPEGRAWTIKFISITEIPDNFKVF KRNEFSDDDLDVKTSVEDYPSVPGLVVEIPSNSPGPEDVVICLGDDPQLSVLDPLKRI QDLILDYQMDMWTKEKLWGVVKASQPTSVKIGRLMGLGLDEELLGPVMELIMSDSRYQ MSSN FPSE_09615 MTTRLRNANPCDGCALRRVKCEQGKPCHECLLRGIECTALRTRK KRGPKGGVRTTTKDKVERFQESIKNDQSCTSNLPSYRIPLPEYKRILTISKRLATSIW IVINPEHLLTKISENSEDYESHALAAALCAATIAQLRLPEHAGPLNTTSSLQFAAECL QLRELYDYRESYTLDSPLIPFFMHVYHSNGNKLRTAGLFLREAVTQVQLLQLGYPETY AHLSTSEQSLRLRIYWLVLITERTYSAQHGLQAVLQVIDVFPDIQDDMADERRMQAFV SLTRLFAYLESNLTTFSSNQQPLERQKLVSYQAALCLDSHDHAAREAQRVDLFVTRQW IRLILWEYTARHFAMSCYPDDEAFSLFLPVKIGHKMLSLFSIVTNAAIKTHGYGIELK VFRLADAMLDIIACTPVSAHGNACITFRGRKGFWISTQDTESFPSKLRM FPSE_07574 MANPTKDNLYGYDANKILPIVAAVIIGISLVGHYIQNTRYKFWR VTFFMFYASLFFCIGWAMRAISVRQPDSLPLYMISTIFIYLAPPIYSAAEYNTLGRLM HYLPMHSIINPNRVVYIFVFLGSLVEILTAIGASWIAAGNGRQDKDRLSSGATFMAIA VILQGAIEIGFIAMVGILHRRCAKANMLPSNVKTLFIMLYGTSMLILIRCVFRAVETF ELRDILESGKDNSNALMKREWPFYVLEAIPVALYTYWLNIIHPGRYLPHDQRQYLDFD GKTERMGPGWLHKRHWVMYVADPFDFIGMFSMEKRDAYYLRPHEWLETENCFAQGKGS NAKPGKYTRVSKGDMHEADV FPSE_07575 MPEVRRGSLAPPSDSALPSQEVPVVTRPSQSHQSSDRGVRFNIP QEQEPVSTTSPTLPREPLDSAFAELPRKTSESQRRTSEIPRRSSDAPRRSLSYAQRPQ AEAYYDSRAATEKEYRRRATTLLEYYDENPHLLPQLPFTWHHGWKRWRLFIFAFAVFV DASAVPIALYYGLKYAGDVEGWIIFAVVTTIWGGPTYLEFAVRTLRLIKVDRFYRPLG TNSRWCFDMLTYASTLTIFVVTALFIIGSAPHNVWLRVLCMPAPAILYCYGGTLFLIT LYHQMNWPAPFRISSTAKGEKVLPGAYYFIEDVIAVNALGGRPYREALAARYKASPRF REMVYRQSWFWSIPALVLAVPLTIISVIPQVPATGAYGVAWAVPFLWAVVWGIITIKW CKRDMKREREEWERGVDPEKEIKRKDTSIETP FPSE_07576 MVSLSDVHQTNASASSKLPAGLVAVFAGATAGIGETALKAFAKH APQPKIYFIGRSREAGDRLQIELKELNPEGSYVFIQKDMSLLKNVDEVCEEIKSKETA LNVLFLSQGTLKIGVDSDEGLPMVMALTHYSRARLTINLLPLLQKASSLRRVVTVMAG TKEGQIFSDDIACRNVPFTSIHRSRGHMCSTLTLSLEALARQAPEVSFIHNFPGSVDT DLIRSGDGVMMQVMKYWFKLSMGIKRQWLPKEECGERHAWLCWSGMFADKLGKDGNGV ESAGVAAVGVDGSRGGGVYSLDWDGESAGDEVVRLLGEYRQEGMADKVWKDLETEFVR ITGKTCI FPSE_07577 MTAVANPPNFSNSRQAWGAINGNHQMTSEEGRGGIGMFAPRKPL SRSNSSSSVSSNSSNSSATTVASNGSHPNGSPLSSTTDLSIWSSSDAPRKRLQPKTPW PPGKREYQQQDMSRMSLGRAQGTMAAHGSVQPGPGQVQMAAQGMMRPMQGEQVPPGQP VLYLLSLNGTFERKTIAVPFAPETLRIGRQTNQKTVPTPTNGFFDSKVLSRQHAEIYA ERNGKIYIRDVKSSNGTFVNGTRLSQENRESEPHELQTSDHLELGIDIVSEDQKTVVH HKVAAKVEHAGFMSASSNVMDMNFGDLDPANGAMMMPSGPMQLRGRTNSNASAASNGR MMPNGVGGVMNVQSNSMPQQRPFYLTPVATDQILKRLANEMRNARLQAQDLGRTNQFV HTLLSKDDLKDIEKPEGLEHPKPLPVVNGMGAPFRDPKARFSDPPAPPPQQPLPEKPD VPSLKRGPTERPKSGPPNSPVRPDNLSQIVQLTEALNNAKRDIDSQTARMRELEEMLQ KERVAREEAEELAKRLEESATVHMNGSAMAGAEPSVEVTEVSEDEKTITSLPIVETIE ETTPAVDTAQETATALQSRIDLMETQMRDMKEQMEEWKQRCETAESGRDADKKTLAEM VVQLRAEEARREAAEARARSRSRKRDADTNGVVESIANSGVPKGVTGPVDAVEPSVDD APDAPTLSRASTITPASSGVRGQDQRLQAALPYASMIGVVLFGMGLMAYINGWQSEPP RTEQ FPSE_07578 MPPVAPMNVGQHGPSTADKLKMGAMMGGTVGVIMGFVFGTVNIF RYGAGPNGIMRTLGQYMAGSGATFGFFMSIGSVIRSDADPKLHDMYMRAQRRPIMLMA NPAWRKS FPSE_07579 MGNTNSNQFNLGALGQLSQLQTNGESTYGTLDAPDLPTFLTDNP TPNGYPWSTMNTRTNYYQDHPNTGVIRKYDFTVNRAKIAPDGYELSTILVNGQFPAPL IEANWGDTIQVTVHNELEDEGLSLHWHGILQKNMPWEDGVPGVTQCPIAPGQSYTYSF IADLYGTSWYHSHYSAQYLAGLFGPLVIYGPQERKDYDIDVGPILLSDWYHKEYFDLV EEIMTPGTPGIVFSDSNLINGKMNFNCSSIQPGDKTTCKNNAGISKFRFRRGKVHRLR LINPSAEAIQRFSIDGHTMTVIANDFVPVEPYNTKVVTLGIGQRTDVLVKGNGKLESY WMRSSISANCSLARAHDALAAVYYDNANPKKEPKSKPWNIPDPATCANDDLSMTRPLM KLPLPPADKTIELDIASFKNASNITLWSLGGVAARTNYNSPTLLLSKLGNHTFDKEWN VINTGKAKSVRVVINNKTPVAHPMHLHGFNMYVLHEGLGDWDGTIINEHNPQRRDVVQ IRGRGHLVIQFDAGSNPGVWPFHCHIAWHVSAGLLAQFLTNPDQVEKLRIPNVVAETC RQWGHWTLSNIPAQIDSGL FPSE_07580 MGQEESSMGYSGPPVTLSERSLAAVAASIKSGRSKNIVVLTGAG ISTAAGIPDFRSPGTGLYANLARLNLPYAEAVFDISYFREHPEPFYVLANELYPGKFH PTVSHAFIALLARKQLLQMLFTQNIDCLERVAGVPSDRIIEAHGSFAKQRCIECKEEY PDDKMKEHVFGGKVPHCDKEGCKGLVKPDIVFFGEPLPKAFDNNTFQVAMADMVLVVG TSLSVYPFAALPGLAQEGKPRVLFNMEQVGQLGSRSDDVIELGDCDAGIRKFADELGW RDELEALWREIVGDAEADRQNSGREKEAVQDEVERLAAEVGAVVIDDGEGKADAKLED TKEDVLSYKVPAKLDEEQPKTGHNNSEPAADPPSTTAAEDKTKESPKTEQLKPENLEQ IEAKAAKTESLVTEPPRSPPSEEQTSQTETQTETPKDEPTAAPTESTTKEGESKSTL FPSE_07581 MPLPASTKYWQKYDNGTLGNEDLSLPKATVQKIVSEILPPQAGV AFAKEARDLLIECCVEFITLISSEANEISEKEAKKTIACDHITKALEQLGFTDMVPAV LEAAAEHKEVQKGREKKADKFANSGMSMEELARLQEEQFAAARERHG FPSE_07582 MASKVFIVTGASKGIGAAIAQYLVNQSHKVVITARSSEPLEGLK KSHPDQVQFIAGDIVEPQMPTKLVNLAVSTFGKVDGLVVNHGMLIPNKFADTSLEEWK KVYDINVFSGIALAQAAIQELRKSKGCIVWVSSGAAAKEYAGWSSYGSSKAAYNSISG HIAIEEPDITSVSIAPGRVDTEMQGVIRSGGKESMNQAQYESFVDAKEKGILLKPEQP GNVMAKFVADPLKELSGKFFSWNSPEVKAYQE FPSE_07583 MASTVIVGGGIIGIATAYYLSEHQPGSTIHLVDSSAQLFTSASG YAGGFLARDWFHEDLASLGALSFDEHERLAEQYDGREKWLYAKSLTVNYELPRRKPKS FAGKDWLSEGGSRVDLVDERGEVKDRNSPPWLKRVKGDALSLVDNGEGTAVLDPLKLC QFLLQKCREAGVRIHTPAIVRHVGADCHDELSILVCAGAWTPSVLESMFPGSHINIPV MSLAGHSLVVKNPADIGDTFHSVYTSTDTFSPEIYARPNGDIWLGGLNTSTRLPPLAT GAKPIDSQLEKLKDLAKQIIDTQGEELEIIRTGLCFRPVSERGTPYITRIEDVDLRQG YTTRKGSIGGVYVATGHGPWGISLSLGTGKVMAEMMQGRELSADIRYQC FPSE_07584 MPSKILILDGGLGTSLESKYSVTFSRSTPLWSSHLLVADQPTLQ SCQSDFGAVPVDVLLTATYQVSLHGFADTRTEEFPEGISRENVPRFLDDSVRIAERAV GDKGCVALSIGPYGACMIPGQEYSGKYDEKHDSLQDLESWHRERLGVFSEVNDIQKRL GYVALETIPRLDEIIAMRKALAATPALSKLPYWTALLSPEKDLRLPDGNSIESAVEAM LDPEVSANIPWGIGINCTKVDKLDSLLQIFESTVSNMVEKGKITEWPALVLYPDGTNG EVYNTTTQKWEMPDGAENQRRSSWEGQLEDVVKATEGRGKWPAILVGGCCRAGSEDIK KLRDRLV FPSE_07585 MYSFHITHQDDEAGAAARSMIRPTPIYHQRGTCFNADDKLALDG VFAMLQPTPIYHRQQATADSDDESDLEDSTMLRPTHQSQICQIPVDKLALARGMLKPT PIYHWEQETSDSDDESDFDEEVTLLQPTPIYHREQVTSDSDGEFDFEDFPMRFFDDGV YPVTPTFWHYRDEMAVRRRVERTMELSVDMYSPTIEGRNCDWALIFHDPFFGRAFRFR MAGGPSMGEPWRFDFECGWPNPFPTPAKRYFITTMPERQCHRIHAATRRTHGRFCQQW VVDAIRDLESQSLVPPEMDQYPDVHPSYNDQLTRIERERALPFSERMLLWTFGRHHRE IRPIIDKI FPSE_07586 MMDFSDFEIIDPIAEFGPESSDDEDVPQPSIPHLQGGHPPWQGH EADKIVFTSNGIRLSISDLSSPNARMLPTVYQYRASISLYDSQYDPETGEKIPIPDDV IKSVVSVLSRFVVICEDFSCKRENVHVIATEATRAAKNSKAFLAAIKDKTGLNVELLP KEEEGQIGALGIASGFSNMEGLVMDLGGGSTQITWMLSSRGQVRISPKGSFSFPYGAA ALTKKLHDLRDGKKKDEADAAVKAFEQEMIANFRDAYHNLQIPAEMVEKAQKEGGYRI YLSGGGFRGWGYLLLYLNQTDGSYYPISIINGYTAKRKQFEDTEALKHVAHAAKDIFR VSDRRRSQVPAVAFLVNVLSESIPGGIREAHFCQGGVREGFLFKQLPPSVRAMSPLEV ATGYFAPGSRHLIQQLLKSSIPRASKKKEFPEEFGEPVVDSFANSMYLHMFMSKETAS TTALYSTSVGVMSSIHGVSHQDRARLALMLESRYGGELPPRELEFRESLREMLTPEEV WWASYLGRVGQLITALYPAGKIHKSKPRVVFTAQWSYTLGKKKNKEGLLLTISVQKTK NDPARTKETVEEAASDVEKIGKKKNWVGDDEPWGMKVKVRVVEEGILSEDGDE FPSE_07587 MSFVPFKIPTSGNVQERIGPKKDSPEVDLNAQELLLDYLASNSD EYCRVRDLRKKGWENSQGDEYFKKQRQDADGADENKRRFFYNMMKTIALDLDLSTGAL TRGSLRTERVLDMCAAPGGFIDQTMIMCHDITRVRAMSLPQEEGGHEMRLENKSVNVE FRDITMLAGDMGVVEQDIPDNFPERGKLILDRVFESDEKYDLVFCDGQVLRTQQRSEW RERGEATRLQVTELALGLEHLSTGGTMIILMHMLDTWRSFKFIHQFSQIADVSLYKHH RHHRFRSSFYLIAKNVQAESSYAKSLVKLWKEQYKIAAFGSEEERVRVHWEGKEVAQG RIDEFGADFIKMGYNIWRTQADGLENAPFIKGL FPSE_07588 MTIITRLWAYVVSQDHSLQKSDHDVSFGFGQMVVVSVKDSLYLH QSTTPIMSSPICPMPLAEIFRDIFGKEKETNKVKILYDDAFKADIGRWIASCAVIGLA AGIISKNPSQGLLAAAAYNLAVGALHFFTVKIPVKPLSMTNPRPPMWYNLVLAASTAM WLAALVVMFVKREDISAYEESNHEKRRTGFVGEISEGAVSMMDNLTIACGCFGVCALL FCLYQWFVVYYLHSTNFRKVEIREGFRKMNKIPPPKDNTSEDV FPSE_07589 MGDKNETFVPNYDNCKEVSDKCPVEFTIYGDYLSGGAAGFFAVA FVLLLFAQIFQGIRAKTWSYMIWLAIGTIFEIIGHIERFSLSRNPWQQNVFIISYLTL LLAPTLVAAAISVTFKHIVMWYGAKWSVIKPRLYPLVFVGTDFLSIFIQVIGGGLTAL ETVGKGTKTTKKLGEILVTGGVAFQVANMMCCGTLMLIYIQRRNTSLKGRDLLMGAPA SAPPVYSAGGPTREKVPVAREEATNKEAKMVRWFCYCLGIAYVCIILRCVYRIAETIP AIAKDVLRNEPLFIVFDATAMLISIGVVTILHPCYFFPYLGLSKSKKSQGKVYEGIRM ESNSALIGRQQHQPQQRGAESLQNSLDEGLESRTTDVEFSLPPADGGRKAYLFLAACW VVEAVTFGFGFSFGVFQEYYSHNEPFAGSSNIAAIGTTTTGMLYLGAPFVVIICRFYP RQARWFTWAGLVVTSLAMAMSSFCTTVPQLIAVQGVLFGIGGCFAYCPCTLYIDEWFV QRKGFAYGIVWSAAGAGGAILPLLLQALLEKYGFQTTARICSGILFGSAAPLAYFVKP RLPLSSTINRKPLNMRFVTSRVFMLHQLANVVEATGYFLPTIYLPTYARTTFGTSAVL SALTVILVNIAATAGLMIMGFLSDRLAVTTCMLISAVGVGISVLLVWGLTASLPVLYV FCIMYGFFAGSWASIWPGIMREVTQKFQDQNEHIDPVMVHGHLCAGRGVGNIISGPLS GSLIRGQPWQGKVIGGYGSGYGILILYTGLTGLVSGINFLWRYVNVL FPSE_07590 MTEWNGLPAEIRNKIVELEGFCCINCRSPSNRFLSSASDNKILM NGLEKLFKTLSTWEPRDDPLVLDISVYSPTDNQHWFKYLDFRPDNELDDASHEHERET AILDDPVHGWVAGENNSDAGILPTTALFDDIMCDKPFGSWWRSLPLVPVVGVVLLRQQ TRRRWNQVALANMLSRFPNMKELCYEPWRQYAPLQLTTAADQQDIGNQELIESLRSTK LCKLTIFENFTEEYPEAGIVFPAVRVPDPDVSRKLARSSLHLTTLFASFMVDASHFFA ECQDSWVWDNLTSLTLTSRALTESTDPLEINNMLHAAAAAAFKMPKLETMELWNGRRG VAMLFRYQRAQNWQPAIITLQGTLVLGTSLLALDDPTIVQAWDNVAYQHSHSKVEVVV QALIDSDKIRSHADAICHLGLSTVLRPVSLQQILREHRLRRTGHRDWY FPSE_07591 MSYFPGQGYNGGGGGGNGYGYGPPSGPPPPQQQYGGYSGDNSGS RRYPPPNYPPPPHLDAYGFPLPQGLNHHAQHARSGPPPPSVPQQFGHGAPEGYTFQYS RCTGRRKALLIGINYFNQEGELRGCINDVHNVSAFLVERYGYKREDMILLTDDQQDPV MIPTRENIIRAMGWLVSNAQPDDALFLHYSGHGGQVEDQDGDEDDGHDECIYPVDHSQ AGPIIDDEIHFRVVKPLVQGVRLTAIFDSCHSATVMDLPYVYSTKGVLKEPNLAKEAA SGLFDAFQAYSSGDVSGAAKSMFSMAKNAFNGGDEAYEKTKDTRTSPADVVMWSGSKD DQTSADATINAQATGAMSWAFISAIKANPKQSYVELLNSVRDILETKYTQKPQLSSSH PIDTDLLFVM FPSE_07592 MSFFKKIAKEFENLGIGDKKEEQQQQQPPQQQPPQQQPHDGNRA YGDNGYASPPPQQQYGGAPGYGAPPQQYGSPAPQGYGAPSPQQYGSPAPQQQYGAPPP QPAYQPPSDRPPLPQGWTAQFDQNSQRWYYVEQATGRTQWEAPQAQHAPPPPPPDSRP PYESQPSDGSRGYGGAPGGYGSPAPGGYGSPAPGGYGAPAPGGYGSPAPGGYGAPSPY GAPGGYGSPAPGGYGAPSPYGAPQGQYGAPQGQYGAPQGQYGQEGDRGYGDNSNNPYP QGEKKKSGSSGMLLGAAGGLALGAVGGALIANELNDSDSDHEHHAAAAAAPAAAAPVE QQPQVINNYYGAPPEDPYADPYAQDGPPGVLPTHDADGNSVSSSDREEVQEAREEYEE AMAKAADSDASSSDIEAAEEAREEYEEVYEEVYEDE FPSE_07593 MSSKTPPQPPPSFTTTPSEILSQTTNLISSTTALEDSLVSSLTP STATFSSLLTPILKDDHSVSKKTLLIRLLSSVSEDKDLRDASRTAEEMLLKANSAALM RKDVAALVKAVYEKNQRGEEKLGEEDAYMLFKTHRAYQNTGAGIEDEDLREKYKAAVQ ERNEVLIAAKKTISESDDGIYFTRSQLNGVPSSVLDAMKVNDKGELKATFKKGHLISI MKHTTSASTRKAYHIAKESRFPENVARLERAVELRNSTARMLGFKTHAELKMEDKMAK NVESVVTMLNKLRVQLKPLADEEMKTLFKIKKAYVQEHGTNETDDDLDRMNAWDWAFY ARILEKERYSVDSLLISEYFEVNHSLEGMLRIFEEIFGIVFIPTDAPVWQKDVTVYEA WNSEDQGGEFLGYLYLDLYAREGKYAGAHSSLIQPGFITPDNKRHYPSSSLVTSLLHT PSHPTLLLHSELKTMFHELGHAIHKLVTHTKHQHGCSRDFVEIPSILLENWIWVPSVL RRLGKHYSYLNEEYLSFWKTQKGDANTRPEEELPEKLTLDLAKTKHVNGAHAMLHQVF LALFDLTIHNADEAHPVDTTKLWNESKTEIMGLGRADSIGQASFAHPFRGYDAAYFTY ALSKVYATDLWVSHFKDDPMDNKTGLRYRQHVLQPGGSQPELKSLSNFLGREPNDEAY YSEVTSTSGSETQTSAAL FPSE_07594 MSADSLLSSLASSYNRVSLHLSFFARVYRASCASATVMSSNWHS LIARAIDIPLEGDDSRVGSTRDGSSGGTLSTNNASKSSSLQSLGGTFIPVVIYCVVCI IFFSIFRVKCSRVYAPRAIPSLRSPHKHIPPLPTGWFDWVKPFFKTPDTFVLNHGSLD GFFFLRYLKVLRNTFLVGMLIAWPILFPIHITGGNKLSQLDILTIGKISDSKRMFAHV AVAYMFFVLIRPGFVLFTITRECFYYIGIRQAYLSSPHYSKRLSSRTVLITSIPDRYL DEARLRKLYGDSVKRVWIPRTAKALIKLVEEREETAMRLEKAEITLIKKAHLARKKHF NKNPSSTSSSVDPYPTESSSSYRSDPSSEQTRSDSPQVGVLQNENTQDERQEPGFKSQ YPQVTQTVTHDTHDLLERSAEDPEYVHPYGLNPNLPDVRGSVAAQWIPVKARPHHRPL QNFFRRVDTIRWCRMRLKDLNLEIYKLRRQVRRGDGDTLPAAFIEFDTQEAAQAAHQI VAHHRPLQLAPRLLGVRPDEVVWKALRMRWWERIIRRFLIMGLVAVAIIFWSIPSAMI GIISNIDFLSGIFFLRWIKLLPKPILGFLQGFIPAIALSFWMSLVPAMLRFCGVQAGI PSLVLVELFTQNIYFAFQVVQVFLITTLTSAASAAVLDIIKKPMSAPDLLARNLPKAS NFYLSYILVQCLAIGATGLLHLFELFRHYILGRGLQTPRTRFKIWYNLRPPRWGGIFP IYTNMACIVTAFCYTCIAPLILLFACAGMAFTRLIYRYNILYVFDSEMDSMGLFYPNA LLQLIVGLYLAEICMIGLFALKLAFPPMVLMLIFLIFTGIVHMSLRDSISPLLQNLPQ TLTLEEELQQQEKAEAEQKLQEAAESGEVNGGTAASYFDADLNFGEEEVEEPPSDDED GQHNGPQGSRGVDGGGGVKLMVREWMKTTAKAQVKKEIQQSGIKRFLDRWVLAGDPSN PDQQPTFLQRFLHPEVYEDFIALRKLIPFEEPPDVDYAGDEKMSNYWPPELWMPKPVL WIPRDEARVSRQEVAHTRKITPITDVGVTLNEKGLIIVDVEAAPFPRMRLVH FPSE_07595 MDKNPIPRQARRSIMRMSARVPGKRPRSEFENHGIQENNPVPTD TTPSSPSVRRATRLIRTKECLACGEDFPQSTMIVAPCSHLFCKPCADNLVSLAMRDEV YFPARCCDTTIPVTLSNRFSKEVVTQYQAKGVEFAIPSLGRVYCSSELCATFIPPTQI DSGIGHCKHCLTDTCIACKAKAHKGACAHKEEDVQGVLQLAESTGWKRCSKCGHVIEK SMGCNHMVCICGHRFCYACGKDAMKSLQPPMGQNTSARKLRRLWQGGTGLQHLHLSLV CTHCLPRMSPPALTDMILRVPQKLDAENTRDEIRAFLNKLDIEVVYPCDIVIAGTTKR LDHLSSCFKNQGPIFLILWEAAFIFKEDLLRVHKSLNKFCHHRRPPSESNKRHKMSSS RSADCTSAMSIGHENSSAASQATVDSDLPPLSRVNRSHNHPVEERNPDIALEMCGGCM ENVPRNETAVMACRHSFCRPCLSVIIERSLDGSSVFPPRCCEIPLTRNFVYPHISAET GMRFEEKQVIYETLDRTYCSNIECQTFIPPKSTRLDIGHCPSCTQRTCTRCKNPAHMG RCVVDKSKNKLLALAKKKGWKPCPRCGQLINRTSGCKHIMCPCGHDFCFQCGADYAEH ICHEEESCTCLCIDLLIVSGHCALSANQPSTSRQKAAHRQFSTCALIFHPAPVDRDHL IPQRDNKMDESTIFAMSVARAVEADAALIEELRLEDQIARDRMMAETLETDPEATIEP CQSAIDEETFETLRHFNVITTSNAEETDSGEERTTDGTQNDQEAQQIQLAAHTDDIQE DTVVDHDTSDDTSGDNQIVNDWQTPDLENDAQGTNTVQDCAWLTTDESAQEQQEPDDP GEVPVQEQERDTAQNVLTAVEDSAAPAQPTDIALASEMKQNKSRFPPRCCEPLPVEVG DFITQEMVDNFQKKEVEFSTKNPTYCSDAACATFIPPQSIEGGIGRCPECEKQTCVPC KQPLHEGICPEDSASQEVLRLGEAEGWQRCEECKHLIDLKIGCFHITCPCGHQFCYLC GTRWKTCTCPQWEENRLVDAAGQRVVDARERATLSLDYVLVHVTVMNDNIYVGKTWSM YQPTR FPSE_07596 MSASVMDLGPELLARLPALTSPLGIASVAAILLISLFITEKLLS VPYPPSIPLIREPEGARRFSLRTRWQYLTNCQPMYYEAYHKYLKQGKPVVVPGFGIRI EVLLPQNQMRWTINQPDEVLDQGLAFAEIDQVSWALGHNRFVVDAWQGMIVKTEMNRT IEVVANAMKEELREVFDEQFGTDTENWKKIDLTPTIKMIIAQAASRFTVGLPLCRNKE YLQNALDTNELFILNAGLTGGMPQVFKPFTGTIFGWLVGSKVSQFKKWIVPLLKQRVD KIKNHPEEPEPQDLLQMMIKYALRERQDEAENWDSMARRVVAQNFGAIHNTQIHVVHL LLNVIGSDAEYNTISVLRDEMDRLLGSDDADGWTKAAIQSMTRADSVSRESIRLGTFG TRAVFRKVMTDDFKTQDGHHLPKGTLLSFNSFQAQTDDELYEDGLKYDPFRFSRIREE AASRGDKAPPATFVTTSPEFLTFGHGKHACPGRFLIDFEMKMILAYIVKNYDIKFPDE YEGKRPPSIWIAEANNPPSGTHIMVKRRSQK FPSE_07759 INNIFYTFILKELFFKKVSKAFIFNNKTKRGKRDY FPSE_11236 MNDSASSSGWLEAPDSTLEVRMVAYLLMENRETFKTDPLAAATV EELASKNILNWSEFTMSYGMHMPIIDTAIATLNCEGIAAFFDFNSKLSHEQAVQFIRE INIQSWDPVDLEPGFVQYLHEILCSNVDNGQSGDNESKLGDSLPKKNIGLFLFRCRRS FPSLDIHKDFILQEVKRILSACEEPVQSRPPTSPQSDLPMRLRLSRLVSYAEVHLGLS AIKAYLEVPAMRADKSLEELYGPNWVEVTSIESYVTKLSNTDDCKEALSLLELLGALK VYQYWRMKMDRALQSDKEDIMNEMMQSVELSDS FPSE_11237 MTTYQIRQVDNEVKGRLALVTGASGGIGSAIARALAAEGCDVVL HCNSSLNKVESLSKELSSSYPEQLFSCVSADLSSRDQTRGLVDKVLQDSNISAKHKAV SILVANAGLGRRIRDIKDIEEDDWDTVMEVNSRSQFVVTKACLPGMRAQSWGRVILIG SISSHGGGINGCHYAATKGALSSMGKNLATVLAGEGVTVNAILPAMIGFTDMIPTPKS TTWTNKTDLEELKETDPGLAIAASVPVRRLGHPQEVANVAVMMAKTGYLTGQDILLSG GLK FPSE_06840 MDRLAPEIISNIISHFYTEGCRDADHRIAPLAPLAVLCRHWQPL IEAETFRHLRLDEDAFRSTGIPHEVLTPKRLSYVRKLVHVFPRAMRFPTHFDQWYANP SFDLALTILFYTLRVTPLYQEPLIDLELVIPSVYTYRITDHGDEPEYVEPIRKLPDLP DLPMVRSLKFTNNSFDLEPSPCAFMYIASKMTRLRDFNVTLSCSQSRKSLIHQRVELA KSLFMLPMSIQIFNLTYHQHDDLPDGLLVVRGGEDILTRELRRFTQRKGLQHFFFNGC VEPSIFWPPDSDTSDPRHWPTLKSLIIDMRRVQELACLRTGEPFKKAKRKKNWDRVYA KGGLMNEFYRAIAKCTACMPSAEINDIDFNDQWGRSLKFYTVLPERYPCLFINGKPGF EIEKETVIEWRKAVEVHNLVFKVHLDDVNDQDHARNAFEPEEIDE FPSE_06839 MSSSFEKSVKGATKIKNAPPKTKYIEHILIATHSGEAGVAEVFR ALTYRLRDSTWTIVFKSLITVHLMIREGSPDVTLAFLSTHRNVLAISSFTDAQIQGRN IRHYAHYLAERARAYEKTKTDWVRASETRLEKLSVEKGLLRETEIVQHQLEALLKCDV MENEPENEITITVFRLLVLDLLALFQVLNQGLISILGNFFEMSKVDAERAMAIYRKFT KQTDYVVQYLGVARQHEHHTRVEVPKLKHAPVNLGRQLEEYLHDPDFEVHRRQYLAEQ DVKKIGGGSSSKQGGLSKKKSSDFPAPASNNPFPKVGSPSTGNNAPESKPQANKGPDP DLIDFFDSIEQNQTTMNVNPQQPQPQAFQQQATGMPFQQNGFAAQPTGFASNSFQQPQ QTGAFMQPQPQIQLQPDFTGAGFGGFTPQPQGFQPNSLSPIPQDSMANFPNAAPQVQQ PTPTGQPQGLQPMQTGSTNPFRQSMLMAQQSGLPSSATTPNVNRQSTNPFARSSPQQN TSPFSQNSNSAFQAPPPQQPQQQAAPLQAAPTGTNPFARNSMLPPATQEQRPQTAGAA LAPQATGTTNPFRQGAFVNHSTGQGWQANQQPIGGGLDQIPTVPVFPRPANQVPWQQ FPSE_06838 MSRAGVSIRHATDLSAPPPPDQDETCPVCKTTRYFNRDMEFRIN PECYHRMCKTCVERIFKDGPNQCPYAGCHKTLRLRGFKTAFFADLTVEREVDIRRRVA AVFNRTEEEFETLDDFNEYLEMVECLTSDLVYGTDEQKRKAEAQLSEWEAKHKADIER NRRLARESDDARQRRIAAEEAEARQRRMLERQEELEEKVNAKRFREEMLDSLQSAETG RAAETMDKIMLKKRGQNKRDAAFGAAGVGSGLSIRGLREKKGPVVDNKPYDPYGGVRV MPDRIDLAPEKLATYSNDWVEITRTKEAFKAGGYSPDEFLTRALFEAFSGLGVFVDEE KVEKSVSTAAAKEAAATGETGGKMDVDDPF FPSE_06837 MTDKEPTPAETAAATAKEAEEQAALPYKWTQTISELNATFDVPG NLKSRDLVITIKKMSLQAGIKGQDPIIQGDLPHAVHVDDSTWTLSTNSDGTKTVEIHL DKVNKMEWWPHVVTSAPKIDVTKIQPDNSKLSDLDGETRGMVEKMMFDQQQKEKGLPS SDEQKKADILKKFQEQHPEMDFSKAKIQ FPSE_06836 MATGMSQIATNKHATDLLIQSTGQQGRDERLQQRLRGAQRTNIQ DESFNLDFNLDLAGLNIASSTPAAPKPAPPTSSANTSAKRRRLDNEAPPSVSAQGSAR RRSPRIRSDDPYELPDTSKESDAQDNPAEVVEVEEQIDDAEETEVEPESEAEPELPSP EQSIEAPEENEAEIELPVLPNENASTSPGARPSSGRTEENDPSPDAPQNIPIDTLSSI TRLHTTLAEEDDVPASSSPLVSKLRRSRGHSMSRSRISQGRASRATEVSQDAEELEPE RPDQAPADDELSEDNQIEEEPADITMEPAEEELEEPEEPEEEEGNTQEEEEAVAEAIS AVEAAKTIGKKRPRRSLQSQSPEAELEEQVEEEPASKRRRGQSSTSLATQKQPAAKPK PSTKSRTGPKPLPKQVRRTKQAEKARRISDGSAIEITVQRFVNVKKYGEDDGEEDQLA GDVPFIMNGETVVDVFSHLCFEVIDGVVAKLYDTLGSTEEKEKKKECRIKILALEAYK EELTSRLLQQAIHLNDLHTLRKRVKIVQREKLSLREEILRLKAEREQVALKMDAVRIK HEEDTKESKYRLDTSTIMHDIDMAVERGRDAPELSRAQEKKADLANLELLVARITDEA SSSSSAGGMLQQVKNFNAFLERAAVALETNGR FPSE_06835 MRLPAFCAALWVVCATALTIPNVLERIDGKFPLRSRAALPPKYF REPSEHQHYDLKYFDEALEPAAQNARIKVLMQTYLATFRDLGVQTWLMHGSLLGWWWG KKVMPWDLDADVSVTEADMYLLSTYYNMTIYYYQYDGCPGGCFFQLEINPAFKHRGRD DWMNVIDARWVDMQTGLFIDITAARYDPGHKMGEGVMYDKHDHEFKDKYIFPLLDTTF EGVPAKIPYRYEEMLTSEYGLAAVANTEYNGYRFDTQRMQWIPVN FPSE_06834 MGAWNLFRVLGDLSHALSKCILIFAIHRNRSAEGVSLITQVLYA LVFCTRYLDLFRESVPWNIVFKIFYILSSIYILAIMQWIYPRSREREVSWKIGAVILG GSFILSPIVMLIFERGEHRGFQTWMWVFSQILESVCVLPQLLLLRQTTVPTVIDSFYL VALGSYRALYCLNWFIREFEMHGRGPNIISVIFGVIQTALYVDFAWVYYTRQRVKLRG GGIVDADDMSRSWLLRRIFGKRFVHDEEDDEENGPGGFDDEQGGNRRGARPKWGARGI SVSADDGVLEQERHNRDQDEFGGPVDPDAKMQDPDELARALDDDDDENTPLRPGQTEG QPSGLQGGEGWRD FPSE_06833 MNLNKAARVILFGAPGVGKGTQSERLLSRFPQLNQISTGDLLRR NVKDRTPLGIKVENTMKSGGLVADDLILRLISNEFYTRGWLAKNGPPNVMTLSSEATA LEHSFSSNAGVESFINAPFLDGHRPSDSSNDPSASFILDGFPRTASQAGPLDKLIPIN LVVSLKTPVSVILERILGRWVHEPSGRVYNTSFNAPKIHGMDDITGEPLIQRPDDSEE VYRARYKKFQETSEPVLNHYAQKGVLIEIEGMSSDEISPKLFAEFERRFV FPSE_06832 MASVYLLDQPSDRPGQTKPVFVCEVRAEDCLIEAHKIRVRDTKS AMTSACDDGLRDGNLLSCLSPAGLIHIPRRHFASDASFPSPGTSVFADSESTFWLNPS TFACFFAFLHGTGQPLLAAFGGHRVPSNKSFRHFTQDSSRSRTRTGPLLGPPLSTCLL PTTHLYLIVDESVYFLFFIVVAIKNNCDKEQVPHRATKRVQWAAGGKQRAETLALCVR PPQGVQIKYIRHVVKAGFDKAFNMKSIETRLHWWWANAYSACRWILSSIALLLPWIVM LSESSKIRLLWNTSNLVKVLSYVCGDRLVQDAQPVQDGRDEESNDSPTVRGDNESPDA WIDIPEGDEDLYGHGQDVSPPLAHAAPAHEASVDANHHQASMPSIQGMFQGDAMWQQG LRRILRTLCQNYPRAISSAKQQRTTMDSHTEIEYTTGPMGDFETVSYNDREETISAPD KCDDSDSAVCRTKNPGSGSSDKSWNMVNMNNQLHDWWPWAFFDLECLVSFDKAWNMIK LNNQLHSWWARAVFDLECLGSSDNYWNMVYMNDQLHSWWPRALFGLRYLCVRPPELQN LDAETSEDQQVVVQI FPSE_06831 MDEPTLVIPICHYAPKAFVITGDIAQKPPHLTMEHDLGPGKIST NPFVLHLFRFDAEPTLLATRSFLQRNDSENSYHEVSKFNASLSDGCLMGFACRHLISI GFEKIGNQISLAKHVHNYLDRALWAFKPYYYENGEIWNYVIFYWFPDIFSQNQAKKVR I FPSE_06830 MPNTILPSEGNDTLYFVAFSSAKCALAQPGQHLFDELAELEKEW WFYISRPANRAIESVGDQYGALLKHPSIDEAEAQLLNVKIHFNWMECSEGKAAEEMSS NDIVADMAERQIAGLGMLLVSPSLFSIMRLLPLLA FPSE_06829 MWSSLLLLGGVSMSIANPIIRQDANPAYRNKTLCIDKRVDDLMS RMSLEDKAGQMFHARTVVVNNTFDETIKGFVTDKRITAYVLSGGVNDVRGVANWYNEL QKLALSTPLGIPITISSDPQHGWTDSNAVSVVAQGFSRWTEPLGLAALRSPELVRTFH EIAREEYTAVGIRQALHPQVDLATEPRWGRITGTMGEDANLTSALVVEAIKGLQGDKI GPHSVIATTKHFPGGGPMENGEDSHFPWGKNQTYPGDNREYHLIPFRAAIAAGTRQMM PYYSRPMNTSWEEVAFGFNKGVVTDLLKKELGYEGIVVTDWGIVTTRFWGLEDETELE RARRVIEAGCDIFGGETKPELIIELVKKGLVPESRIDESVRKLMREKFELGLFDNPFV DVERATRIAGNDYFSRLGNETQRRAFTMLTNKDNILPLPLAALDAKFYIEGMEAEALE QRNLTVVDKPEDADYAFLRLASPFKPTTAPGLPAMINNGSIEFNATEKARQAKIYATL PTIVDIRLNRPAAVPEVAENAAALFGSYGSSHDAFLDVIFGTDGWGPEGQLPFGLPAS QAAADAQLEDVAFDGEVLFKYGHGLRYKDVCESS FPSE_06828 MSASSIVARRAIARNPFMGNARALSRGVTPAVLGRHVSRHAQIP AISLLIPRSMSTDHHRPDPSSSGPPPGFNAEQAKKPLPKDSTIVAKKEDKKLDKTVNG SAILKPADAAATPNAINQEVVATNEGVMEKADAAQKAKEEKKLTLWEKVKKEAHHYWD GSKLLVAEVKISWRLALKMAAGYELTRRENKQLQRTVQDLGRLVPFSVFIIVPLGEAL LPLALKLFPNMLPSTFEGQKSKEAKATILRSTRKEVSEFLRQTLGEGLPLSEATTQKE EFSNFFRKVRATGETPTAQDVIKICKAFRDDLTLDNLSRPQLVSMCKYMNLSTFGTDM MLRYQIRHRMRQIKRDDKAISYEGVDSLTVSELQAACAARGIRTHSVSPARMRNDLQT WLDLRLKEGVPSTLLVLSNAYMYGQGSGEGSGQVEALIGVMSAIPEELYHEIELEVHS AEGAATNKQRLEVIREQQELIEDEAEQDQASQSSGFATPRDTDDIDEKEERLAQAQAE GLGRKQVSEMVEAETELAKAAESARSLEQEIQASSGSSKEQK FPSE_06827 MTATEQQQDDFSNVSWSEHVHDQQTRSVPDAEEPGHDMNAPGTG LERDAPSLGNEKLECTVDTPIKENDGTKDAFVSYLITTHSTFSSFQRSTTTVRRRFTD FVFLYKQLTRDYPAAAVPPLPDKQRMEYVRGDRFGSDFTARRANSLQRFLSRLSLHPT LRRAPILHTFLESPDWNATMRSRGSRVSSASDPGSAGVFDNFADTFINAFTKLHRPDR RFLEVKEKSDKLDDDLGHIEKVIARVARRETDLEVDYRDLAEQFQKLIPLEPHVEPAV HGFSASIEDTASHLRKLKDMTDQDYLGSLRDMQAYSIALKNLLKAREQKQLDYEQLTE YLNKSTTERDTLQSGHGGGSGAGSFLRAKIEDVRGVDHEQARRERTRKLELRVEELTH EVESARKTSDMFDDEVVKEVADFERIKRIEMKAQLGSLADSHIEFYGEVASIWEKYVE EMEKQGITSA FPSE_06826 MKIASLLSLAGLTALAHGSRPTRDYDANDYYVLHLDSATTPDQV ASRLGLAHEGQLGALDDHHVFRAPKVDHDIVKREISERKRRKRDLGGSDPVDGILLSK KQQARQHLFKRDVPLNQRGWNPFSSRADAKVAELAEYQETIMKQLDIQDPIFKEQWHL LNPLQPGHDVNVTGLWLEGITGKNVTVAVVDDGLDMNSDDLKPNYFAEGSWDFNDNDP EPAPVLDDDRHGTRCAGEVAAARNDVCGVGVAYDSKVAGIRILSKLISDADEAEALMY KYHDNHIYSCSWGPSDDGQTMEAPDVVIRRAMLKAIQEGRSGLGSVYVFASGNGAGQG DNCNFDGYTNSIYSITVGAVDRTGLHPYYSEECSAQLVVTYSSGSGDAIHTTDVGKNS CYKAHGGTSAAAPLAAGIFALVLQVRPDLTWRDLQYLAMDTALPIEGDEANQQNTTIG KKFSHTFGYGKIDSWALVEKAKDWKLVKPQSWYFSPWIHVKKAIPEGRDGLTVTLDVT EDMLKDSNLARVEHVTVTMNVEHSRRGDLSVDLISPDNVVSHLAVSRRSDAKEAGYVD WTFMSVAHWGESGVGKWTIIVRDTEKNSYKGKFTDWRMKLWGEAIDADKATLLPMPNP GDDDDHDKIVSTTTIAASTTKAPPATKPTLIDHPSDHPERPTKPARPSGTKEEESSST QTSAEETSTASSSWVSWLPTFGASKKAQIWIYGAVGFIAAFCVGLGVYFWVARRRRLR NSSHNNYEFELIDEEEAPLNAGEKAPGNKPRRTRGGELYDAFAEGSDDEPFEDYRDNR EPSRDRLAGDDTQHYAVGDDSDDSESDDDSSDGARAETRPLGGRR FPSE_06825 MAAKQSDVPNFTFSDYAKFFGAGALAATSTHGAVTPIDVVKTRI QVDDALKGYNMLSAGRSIVAKEGASALLTGFGPTAVGYLVQGGAKFAGYEYFKKKYIS MLGGPEKAVEHRTGVYLTASASAEFFADILLCPLEATRIRLVSQRGYADGLFSAFGRM AREEGFKGFYSGFVPLLFKQVPFAVGQFSVHEAVNEVIFRAMGPERKKKLTQLESTGV ELTSGVTAGAAAAVLSHPADTLLSAINKGAGDKSQGATSRMFQLAREFGPKRLLLTGL GPRLVMTCGLVGAQFVVYAQCKALTGAPPGIEIHKEESL FPSE_06824 MSDTTAQSAQGAFSIESSTPTGRSIANGILTKNITSRVKGDPSI DLSQFLQQQAELYPQLCKNLSQRRDSNSSSSSTSSQTDEKDQGSVSATKAASQFQLTN NINIIYSLDDSIVVLAGARQTPTEPESEYLAALASGLNQAINDSQTLWRLHNTAVLQI SPSQVVKVSTSLDHDSITNLQYIRKHVQEVPTPYCLGALQCGPWKYAFMTRAEGETLE TVWPRLLTSHKRSIQQQLNMIFQQLRSRGRGLDKNKSTPQRIGSFVSGRCKDMRRDSR EAEMPIQKEAQFNDFLCRQPRRTETPWIKMVRSFMSDNHELVMTHGDLHSRNIMVRWD SHTEVTNESERCIRITSLIDWEVAGWYPAYWEFVKALSTVQKRGPLADWCDYLPTEAI GSWPKEFAMDLLISRWLG FPSE_06823 MADTAPTAFGDVHVLEPTQPHTHTAILLHGRGSHGEEFAEELAE TLMSDNKTIIQALPSWRWVFPSSRELWSPVFEEIMPAWFEAYSLTDITVRQDLQTDGI RDSVKHAEGIWEAEVERLGGMESKVVLGGISQGGAIGIWTMLCIKSKRPTSQPAAFVG ASTWLPFAVDIEHALSGNDKESENKIGSDFVRSMIGQDTEAQSLMPVFLGHGTDDAIV DVELGRQAKDVLSRVGWTVDWNEYSGADLEGHWLKGPEEVDDIVRFLRSVTE FPSE_06822 MVPAAAYSQVGPKVLPIILTVGGATVASRSVIKTNQYREPVRAK TFQNTSPQPRSQYFEKVLESIFA FPSE_06821 MTREPPDHGLTSDITKETESPPNASTHLDPSAERNSTADVQDNT LCSRCKRNAAQTPDDESDTNECSSSLEHSSQQLGDRTDQDTGSEVQSARSMTGSGSYS DGSDVYLEREPHWSEIVGPGRLSPRVEGKFLELIKKKMLFLWGVGTEDVPNISIDTHG LKTLRFKADNHAVISLAWDEHSRTQTYRKEVSRAQSSICQAWPQDRNVFGVKQYVLAS NGRDQATMISLRYFEQSYMDEVVASKSSSVLHAGYEDIWHRWCFEADEVLAIEESKIP IRGKIVYCTSSSQSKGFRHIPLTAFAVAVSDNEPFSVADQERHNFNLSLSYFSANFRG GCVISPWPASIASIHWQVRYFEPSSGPTQHDAEINTCVLSERQNATIRQGPTEIHVQE NRAQIVFRVLSRNDNLFHIMVLSDNTLTSSHINSPAGTQYHLRRYGLKIERRIIPFTH YLLEICKAFDRSVQGWVKTLDSIDDLVHVNLSDFDDRERIEDLMFDKSFNRSRDYFVA LQLLRIMDEWINEAVSSIQHLRDKSYINEKAGTVQRRLRKKKEEINSLRDGLFNATSL RESTKAMALNQAIYVFTVVTVLFTPAYKMKTDRADVLGIAVLEQPKTRK FPSE_06820 MSRKLPSESVSEEVPLDVFPTNSTLPNNPSPTSIRARNGDHQEP NRDDAEDEPRVIVFPGARVDIAPIEAPQPPDHTLRRKLEGKHIFMIAINGTLGTGLYV RSGQILELGGPVAVIMSFLFLGILTWAVMQCIAEMLCLWPIPGALPVFVRKFVDKELG DTVGVAYWYTYSIGFSALIATSASVLNYWTADVDGFTEGFVFVALPVTLVIINVVRVE IYGWIEVVTGVIKMAFLAIIIICLAYIIINSDVSSNSSWRDPFTYDTDAAKGWFEALM MCFSVAIFAYAGIENFAVSVIEARWPPPPPTPVTSTDTPPATPTNTRYRSVKRTLGFT AFYLPIIVAFAYTTSGLLVSLGIKRDNCGLQRLSWLESKECPKSDDDNKKGKFTFSPF VIIARSSEIYGLHNAFNAFIVFTALTCANTNLYVASRTLFGVTRNIRSADAMPRALSW FGVTNNNGVPIRAMTFTALAFCWVPFLQKKETFNTGSDIGEFVEILVQMGSVSIIIIW ACLCLAYIRFYHCIHKFDDALAEAGINLARHRHKHEYRFYPYQSHWQPLLAYLALAGC LVILVVFNGVFLWNKFDVIPFLSGYLTTIVFIAVWLLLKVYKKSWSLWAGLDAAAVEE LIKDLNALRDKSLEQPPRVSRSFWVSIKGLFKSNTQPIEQ FPSE_06819 MTKIKQVLVGRYYDKVKLQRVLEGLFPEENGVFELRMTNDNWVF YATRDVTMDELKSARLPSTAPK FPSE_06818 MAELPPCFVNEVTEPVRVISTGPIRPKDESCASEVHQFSTLDEI KSHFSRNHDYPWHFVSICQQNSWEPLQITRPMFSFLTETFKLNSSVWDVSSCFYGKDI DVESTFCIPFTVSQNGSVTELSYTIRYPEFKPGQGTWVIRQTGIYQKFNTKSCENVII LFNPAPACALQQKAEIVLSKVPQDIHRDFFWIHKELFNTYFSAWRLYNVHLEKILLPI ASNAVSSFVEELSETEYHHLPKLAYLESRLVQVPFLLTASNDVLAGLSSLCQGFLCST DDQLQQTARAAVVQFNQQKSYCEVYSRGAQCLQLQSQKITQLLANTLNFREQSLAKAQ NTTMLRLNKSAVFITTLTLLYLPPSFVATFFGMNFFDLDESADRIVATPMIWIYVLCS AALTVGTFVMYHILLDRTVFGQLTAKALTFKTFVKSKTKKTLCDTEFETV FPSE_06817 MASPNYSGLCWEIRQEFAKGFKKAPGNVEFATRALVKGVMSEDR LQRLYENLMAENDMPAHDSQFGAQQFSTVVADKNLYHFLAVLMYAPCSIKAAKSFVET LVLGPESQEAKEWPEENPYLLPAREEHLGLFTDPKDVNYFLTNQRPFCTIVAGGPGMV TIDNDDLRKLPWLSERWICSGSFGAVYEVQVAEGHLTMDQNLENTYEGPKAIARKDFI YADNAETSFQKEWKAISDIFNSKWKHENIVKSFGRIECKGQPSTFSLLMPLASMDLDK YIQDYPEAIVNDRVSRQLLVRATMGLADGLKFLHQGLNTEDGKENLICYHMDLRPSNI LVFNVGRPDMSWKLSDFGLSRVKPKSRADVSDLSRIFRKRGSDQHSKATATANLRGQA TYQPREAQDQGKTMNEKSDVWSLGCIVSMLFTFMENGLDGIERFSDLRHNSSNRGMDV FYQHNKTIPTYSINKGVTKQHDKLIEAAKERHATEGKILSFILKWLEREVLVIDQDER CAAADIFQRLKTTLERYKDMGVDPSPQTSSRSPTFLKKAKSMTRSTWSGLRGSSGVNH KKTSATQTDMSQWRLGIEKGMRFRSSDSSPNGEFLVYWNDQTMKLFSDTAVITSVPSF QSGHASTYSESSRSKDQVLTSPGQHVLQSTRQSWISGVLTDRYLIAAATDGTDTFKCY IFDIESDSRLGTYSQITLADMGQGIHKLITPPDGPPDKPSFACILNDDNNGRCSILIA RIDPDTSIPLNGQPGEEALSPCGIQLTYLPVPSQNLARQDAVIHLLRQIICPLQWRAI DIEHVALQTRDEVYVVVRCASDLSLHLFTIERPGVLRRDLNLNANGDNGERLFTDMIC FSNADDSRKTDVIVVAQRESIFHFTFNGVDNDPKVVRYLPVKNYWILKIARDEPGSIL ALGTHSGNNNIFLLSIKLKGRIMRPDPKKLAELHGISITHQPKLSLLRGANGSIAQVT AATPDGHYRVYRLDLTGVLDT FPSE_06816 MTDHYSKMPTLANMKEAAKAFSRILTEHNIEHAFIGGFALQMLG NVRETFDIDVEVDMDIEDFRGRIVKILSATDPRFVFSNLKIFFRPGGQGSPIPIETLA RGMLGLPRQFSILCPGDGSVPILEPGVLILTKMKRATQYIGSTRPQSVLKYGGDLQGI LHLLAWLRNRNKKIDFVAYDAASPERLYDAVRNMRNHWARLGQSNNVGMLDSALKPSD KAKVE FPSE_06815 MDDCVHKILTEFQRSDITLVEWETPLLQRLGYPLAPKPDLIFLV PDEQIQEARRIVEGNGLRDNNRRPSYLSEHANKGFRYVHGDEGHRLILVPLSSTGIKQ DELLPLDSSDLPCSLWTVPMPSLCAAYLRIITAAERGAMARAIAVSDLTAVVVHSMFD MSYEGDYMPLPEDEDLDLSDEEKARWAEKDAMELEAAIKSINQWHFAEGTEWAKEMII ELVSGKLLL FPSE_06814 MIGYAALAFTWLACPASASYAFYVGKNLTQDGSVMVGGTGEEVS SHWLQLFPARDHPPNSTITVGVTKDATFPGELIQIPQVNHTFRYMSMEYSDFEGFPAP LTNGGLNEKGVTVRDVWADNRDELLEMTPNPQRGVQYSDFARIVMERASNAREGVEII GDLMAKYGEATYGGNSHLIADKDEGWVVWEMAGGQKLWAAQRLGPNDVKVLYPGYIED FPTDFKDNPDYAGSDNIVSFAVEQGWWNKTSGKPFNIFDVYGPQDPRYKARDGGYKFM SQAALENATLEMVPLTEAKMMQRVRDPRIADDEAGYGQVVSLHDGIDQDMLRIWNAPA TSVAAPFVPWWLGVQSVPPEFGQHRYLTTGASSSFLNPDYQLQEASEFAGRIFKRVLY YMCSEPNKYHPIVTEMFERFENASRVQVEGWVEKTALTMIQQGERKAAQSLLTYYSHT RAAEALEIGHTLNNAMDGYIKLTGKWRWPKGDQINDSGEGNETVNCLVGFDPDKPRYL QEN FPSE_06813 MSKRTWSEAENGEQQFPPMISRKVKACTACRRQKIKCLIDDNGP PCRRCAEKGLCCVLGKSLQTILDEKSQLSQDVAQDLEQMHNFLKQVAGKLDLELPPLR SFITPAEGPKDESPSQSNKGHHIPSRDNSPKPMPEDDDLPYAPIHSLYTLTKLRALRS PDDIDSQQHQGNDDIITRRLVSLPDAERLFEFYKKRLDPYIYLIGCPYESMQALRQKS QFLLVAVLTVAALHDPTADHLYPICSSEFRRLFETSIFERRLSRDYLRALCIGCYWLS DLSWMLSGHAIRRAAEFDLHNSYDRAIEKSSQEDAECARIWYILCVCDQQLATQYGRP SIVQEDASTQGAIDFLRSAISNEEDRRLLSQATVVSILRSIRELFSSNKGKPIPRLHL NHISHFRLQLDQWFAFWTDQVQEKWPGIGGFPRKGIVLHYNFAQLYLYSHVFRGISKD DHIPHYFLDCALNGITAATTIIDKFLHDPDITQGIVGMPSYVHSMTAFASMFLTKVAI KYHDLIARDKVYDLIIGLVQQFRSQPAGKWHLAGLMASGLERMAQTLKPDVPVDMGPP LGVGMDQGVDAGISGVDNFFPDLPGDFFFNYDMSFEMPAT FPSE_06812 MRSSSLALSLALVSGVTPRPHIERSKDSDEPQLKIPGLPFPNAT KSYWQDPPHRIANHRTTKDLPTSEVFDYVIIGSGISGASTAHKLLDRDPDLSILMIEA RTAASGASGRNGGHCKAGNYNGVKSWVEEYGEDDALRLSNMEQDCVDDVREFVKTHNV SSDFTDVETASLYWTKDSFETAVKNIEFQHKLEEKRPNDVPKNKRTIMKGQDARDYWK WPEIVGAVTFKAHTQNPYLTVCAMLEYSLNKGLNLQTNTMALNLNQLGKKTKDGAKWE VKTNRGTVKSKNVVLATNGFTNALHPGIASTNFTMPVRNQVAAVTPEADTSGSKVFER SNSLGDLHSGNVYIAARPPGSKDAGSVVIGGSTQMSPTRERWISDDTSINDQIALVLH GAARTVYGYKNWGESTTVIQDWTGIVCETPDEFPLVGEVPAEDGLWAIVCMNGHGMAW AYRSAEALVDMMTTGKTPKWFPEQFRAQRAWEVKGYDEL FPSE_06811 MSAHNYFELTRIMPSDEVELRREYASSIYDAMKFYEPTFHMTLV HLASIMITPLDKLEQIRSTSLMFTFMGCLPPLLKRYLIENPVIPKSYMTVDPKHSIPG DSEHQRNLCRKRDNYKCVFLPNTPNDVKVAHIVPPTWVDTQETMETIIKTMDDACFLT GFHDIDKYLSLFVDEYKRGTSDKCWNMISLDQTLYWYFKNRYMGLKCLGIEYTEDDAK ATVIIQLTHIYRTTNYPMENATIEGDGNHFDDIVMGIRKFEHQCNLPYIEGQQCMPPT TISVLSGMCTRIEMSNDDAKKCKFMLDISWRLSMIAGICGAAAYSRYLPDHDSWSLIV RDLSINAWVEDQARLHSESQDSNEMLELDRGCQHDGNDMERKRKVNLIN FPSE_06810 MRTHWQYLYLSLFVGSSLAARGGHCPPLGPVLPPPLHPSTHPSV KSAIKNIQDLFVSATSELVNSSVAVAIKSASEDDYMFEFASTPPNVDPRGVDKVDSDT VFRMASLSKVFPVVALLKLHKVNFDDRVTKYLPELRALNKEARKNDAVWAVDWDEITV GALASHLGGIPADLLADVQPYGDWTQLGFPPVDPSRSLNCSGLLGLKECSKKDFFDRF GERPPVYAPFEANTVYSNIGFSVMGWIIEKVSGMPSGEYIKKHIWDPVGMKHTSEETP DDPLGFIPPGDEWWNATLGYGNPAGVYYSSLNDIMAFGDAILRYELLSPEQTRKWMKP ATSTSSTGILIGEPWEIFRSNNVTKDGRLIEFYTKAGDITTYHSLMVLIPDYNLTISL FDAGPGEVGGGELQLWFSNIVQELLPAIEQAGKDEAEEKYAGTYSDTKTNSSLTLSVD DESGFSITNWTVRGVDIAATYASFGIPPQFPTPEGLVRFRLYPTSLKSDTETSWRMMF TQGTAEEIAAKDALFAWPEANCNTWASLDRIVYQLLSHDHFVFTESGEGSKRRAEKLE LVGYRVSLKRED FPSE_06809 MIFPVTLLSLAASALASVVPQGANTWKTLESIPIAPRQEHGVVA LSDKTVAIIGGIVPNPDADGFQTTALMQFYNTRSNSWRRYVTEAPVKVNHPNVAAVNG KIYLLGGLSDITDGAWRAFPESWVYNPDLDEWSELAAIPEDQERGSAAVGVYGNIIYL AGGMRTLEPTGPGGEQDTVDFVSAFDTKTSKWLDLPAAARTLPEDRDHAASSIVGNKF YVLGGRLRGQRNVKDTVFILDLDNLEQGWTTSEAKMPTPRGGVVSGTVGNKIYVLGGE GNAEDGSEGMFDQIEVFDTETETWEEVGKMAVPRHGGQAVAVQGGIYLPGGGITEGGS PVETLDVYWP FPSE_06808 MGQLQVLAALLSVFPQIGLSKPCETPYPPLMHPLQSRQFSDNLG AVASESEICSTIGVNILRDRGNAADAMVATVLCIGTIGMYHSGIGGGGFMLIHAPDQK NGSNSYEFVDFRETAPAAATENMFKNNVNESISGGKASGVPGELRGLQHLHEKYGSLP WSQLVQPAIKVARYGFPVNNDTLKFMGATYTKFENESFLLNDPAWAIDFAPSGRLVKF GEKLTRKRFADTLETIASEGPDVFYQGAIAEATIRTLKREKGVMTMDDLKNYSVEIRQ PSQINYRGGKVTSGSAPSSGAVVAAALNILDGYDFLGDPSRVNDTAYYTDEAFKFSYG MRTNLGDPSFVDDMTEYQKQMYSNKTAQEVRAKLDGRALALKEYDPKGLESLETPGTS HIVAMDSSGLAISLTTTINLNFGSKVIVPETGIIMNNEMNDFSIPGESNQFGFIPSEA NFIRPGKRPLSSISTTIVEDADGKVSLVTGSAGGSRIITATTQVVLNAIDKNMTVREA LAAPRLHDQLVPRQVTFEYAFDNSTVAYLKEVGNNVTWVPTQSTAQALRRLANGTFEA AGEPRQVNSGGFST FPSE_06807 MADQTSNGASASSRQHEIRQLTKSDDDIKKAWQLWQSIFPDWSI SEQRFTKLIFGLPGYHWIHDNGLCLSYMLDGATSLTDGAHGRIAAIGVLSDHRCQGIG SALLEKANIGMKDAALSQGRELQSLEMGSIFPRFWWQIPSTMSKEVKEFFSHRGVYDS SHPIKDLYKDITETIAPPEIMERVSKTKVTFAPWSAELYEECMTKQKAQFSWSGVYKA LASHNQHDQVLVAFDPETNEQIGWTLMCSHDSLVGDMFAFLPLLPSGDKTGLIAAVGV DEKARGKGVGLALVIKAMETLKEKGMSGILIDAVEIQGFYERLGFETFWEYEGCRLEM P FPSE_06806 MSENTSERRFFNYPEAQEGPRVPYAIERNPNPVIRGPLLVAAAF LMEWVRFIRETAWKNAGFGSLRKIRTYIENVEPRYDPTVYPLALSQEAAKERGERVQL SSLQQDNTHVFNPARFYSAADYHALYLAGEITPVDVVNAILPLIQLDGPQPGRHASAW RELKIDQIMRAAEASTERYKNKQPLGPLDGVPSAIKDDYDLDGYSTTLGSLKDYAEIP AEGQSSTSWIVRKLEEAGVVILGKLAMHEFGLDTTGNNPNQGTPLNPFNPKYYTGGSS SGPAYAVSAGLVPLALGSDGGGSIRIPGSFCSVFGLKPTHNRLASWPGANHSPTCAVQ GPLAGDMQSLVAAYEAIAEPHPSTQFPPLALQPSPPVTKVLGIFDAWISRAQPGVQSL VRGLVESLAAKHGYTLVPIDIPFPAEGQMAHALTVLTDASTLLPDTTGITAANKILIS LGRTTPSTDYLLAQKLRGMLMKHLAHLWKTYPGMMIITPTTACAGAPIRGGKFEMSYG VNDGNYTLQSMEYVWLANFCGLPAITVPAGYVIPEGSKDAGEVAEKDIEGKIPVGLMA TGEWCSEDALLQFGFDAEAAGQNIRCKPAIWEDMISRAREKAWESRQG FPSE_06805 MTDRGHQQLGKLLFAVSHPPKDMETFVETLISDQGRTIDELLQD NIFKWFPIVEACYMDSYVYVSSHDSEDNDSNKYLPLLHMAMLLVTSPAALVSDGGPVF DQMTFHTDLHKAINALLAVVSLNAKAHVHLIQTLGIVALYEFGIGAFEQAHRTLTSAF VMTSLCREYGVDMEAQLTWKLCLMTLDVMIAMSTHSRPCGSAMGYDRHLLPLICDSNH PVRKEVGWMMKRYDHHGYECSSEEAVQRLVEMHEGVVSARRILQRNNGKGDRPIYGSV FDSDSDSDVEPGTSRSRYRGNTRSAVEEEKLTMLDDKGNITVPDYWKGHDPQVLSLSA MLVHEYHEGLPKNGGGHAPERTDWRDKCENVALKACAALLTKSRRADVDIRQLSMVSV FCIMHNMTIIVKDHNSDYAIKRAKTFLPVMNKFAARWPFAHFYRDYLKRYIAFAENIW DGPLDYEMGSKW FPSE_06804 MSTSNLSQLVDQHRPDLRPLEELYKHLHRNPELSNQEVETAATI AERLKAIGPEDLIIKPHIGGHGLAAVLRNGDGPTVLLRADIDALPVEETTGLEYASTK RMIHAASGTEKPVMHACGHDMHIVTLLGAAATLFSSREHWTGTLVLAFQPAEERGTGA QAMVDDGLYTKHEVPVPDYVLGAHVRPLRTGTIGTRRGLVATSADNFRVTIHGKGSHA SMPHTAVDPIAISANAILKLQTLVSREVDPAESSVVTVTSIHAGDAENVIADSAVLGI DTRSTTTATRERLLKRIRTVIEAECSCANVIKSPEFEQTRAFPLTINDSIVTQRVEQT FAAHFGEGPGQYDRDMPKLAFSEDFSILATAVDAPYCFFTYGCVDENAWDEAEREGTI AQSIAANHSSKFAPVIHPTLKTGLDGYALGALTFLVK FPSE_06803 MKFENILLGHVLLHSTQALSLPDDVYSSLIARTNSKKPLEWSTC NLDFGSKTANETQKGFDCARLEVPLDYTNSSNTKTFHLDLMRLNATKGPHKGSVLFNP GGPGFSTLESMLTNGPKLRPIIGGQYDVIGFDTRGTGRTIPFNCPDTSNTTGLDRRDF NDLPRLNLWEYAKTIFWKSREAIAESCFEANKEMGQYYGTPAIARDMMSIVDALDQGD KLNYWGVSYGTVLGQVAASMFPKRMGRIVLDGNLNADDYAAITWLGSLIDTERSLANL FNDCVKSGKDQCSLAEFHGKNTTGASLFETFKEKFEEAFAGSEEEQLAAYTLKQNIFN KLYSAFSYPEIAKKLEGFFVGKESNETAEPLAEPSKWNPQLSFANFAIACGDSSYRIN DPDDLFPMYQAQYEEASFADVNINDRLKCAQWRFSATEQINLNKLRNVNTSNPILLVN GRYDPVTSLQSAWKVAVKFPGSRLVVHEGIGHASLAHPSNCTNNAIRNYFTNGKMPKV NTTCTPEMTAFEYGNYELKEAGDMVF FPSE_06802 MNQHQQLPDSDGLQVDTHAEQAAKAPEVAYNSQNGHYYVPAGYE APAPKGRRPPFGLGLWAFAGLVALLTAIVVGAGVGGGLGAALANKSSDCPVDDALASA PSATAEPTSCPTFDNSTSDNDTAPYVPKAASKVTNLELNCPEKMNDATSYKSNKGYEF KWWCGVNAPQGDRAKEGGVVFDYLAFIAYTIDDCMEACGNMNQKDDDNHNGVRCRSIV FSKSMAAQLDGGENGNCWLKNATKSEGGDWGFKDTNIAYAELDD FPSE_06801 MYNRDSRIMRKLLGKASTETPAGDTTNLSITSAPASTIAASFRP SKSQDAVYKAGVPILALDVSPDRRAAVLGSGHILKTVVLDDPTNFNFNFREGVDLRAV ITAQPAGIKGNQAADQLSIRDVKWHGGSTIFTACANGKIFAYDVNRIGTGAGEPLEYM QMQEDSRQVNTLDVNPHLKSWLLSGSQDGMTRVFDTAAPIQGRSGGITFRQRFAPLKC IDSVRQVKWSPKVGHEMACCTEGGVVLKWDVRQPGRPLLRINAHEKACASIAWHPDGN HLISGGRDTKLHVWDLSSTADKRQKPKWSVTTPAPIWTIAWRPGLWSATAQSKRVAQI AVSYDDSSSRRYGTSAVHIWDLARPTMPYKEIERFDISPAALLWQDQDMLWTVGQDGQ FTQSDVAYAPKVIDRQSTSSVAFSPKGDVMMFLDERSHQSHRPRPPVSHHAEIVPRGP YGSSPNAPMLSISRSDSEEDVVGSFLGPRRRLSRRKAGRSHLSTTPPSSSSLADDNKP FLALDQAINVTGLFKTQQTMAFGRLPAVKTTQVYQYLSGLYLETLQQELPVQDGKPLN KRVGIIMEHYARAAESVSLFRLAQTWRILAYTVGILLDRRAQYHLDTRLGRFHRVRIE EKKGSGLLKPVDLYNGSRTPGDETPRRAPAKTGIGGRTLSARSLLAMGFESTSNVATP LARPADAADNQVGRKLATVSEPEELSLGPGINDRFEDTPRRHQESAPVSDVSPEPESS QISSTEGYDFYGAEVLLKAVDVPPKKKEPLSLGSTSPQVQNERVIRHDSEESFAQMFS TSDATKQPPSASKNTGQWRSSLARHASDMDKEYPSHIRGEEVHPSQDTPTDSPQPRVK EPTTDSPDEVFLISQTTMGTDDSYPSQTSEPIEADDISPTKTEPQSLPTKTESPQPQL LTSASHPGRSVSPLKDLFPVHKDLRPHVVDTDFLPWEDDPLYPFPTVTSGVSPAVSPL DPYNSIVEALHYESRSSALNASAIVLLLKPLVPEFVIDHHQASGVLRQHHSRLMSMGL FIEASLLRNLCVEGWPEGLEQWGDNYTAIFTPAQQNGKVSFFCSSCKKPRELDPKNGP EGIWTCERCRTTMAPCAVCGHREPTQAEFTPIEVSTAMASSGSFLSEWWYCPTCAHGG HASCLQAWHASIDVPDTSNSATFSDGCCPLDGCGHACLPGRYRGETTTARADEIGRAT VEKTRARDERGPSSSRRSSPRPGTDRSVKSDGNDIPQSRAVGMARDALNNKTSGGILS SSPGRVVGTGERERRKSVKFAGTDR FPSE_06800 MTRFAYILQLLGSASIASAVGNTPERDSIILSESTISSRRSSDA PESSALGVTFSLPTDATPNSRGIIEPTGRLAILQIVTPRNKRCSINRGQTTNGFVGID NPASCAFATTFNLAEGQLFQDGVPVYYSGESYKELAGQELAAPEDPTSRAITKFFEDV GRLVFRNSGLPSGSAGFCQTPDGVVYLTFTSGPSGYKTVNLLVYDVSQCQNGQLVGDD DLTSTISETVTRETTTSSQTNPTITSGIKETTATEVTPSSGTTNSEEATVVSSETTEQ SVSLSSTMSYISQSKTTTAETTTAGETTTAEPTAPDTTTAETTTAEPTTTEITTSAAP TPTTPATGCSALGNLVTVEKALHCSHCNLTYSGSTRPLEVGIAFVLMVEEGTISLISG GVIEPRDSVTARTQGYPKTIQKPQRSLEEQQRLED FPSE_06799 MHISAISLLALTVGAVALPTSKNEKSESLEKRCEWHDGHGPISC DYFSVVFGAEGRERSEFIMKGNNFEERKFPRCDPWQGQNYQNFYSPLPYVLQVAPGNT CETRLPEAWWDDLHIQYAGTFLNAPTDPRCGPVENGWGRRCIIAQRP FPSE_06798 MKTSLSILLLGAIAAVSASALPKDDPLKGYKIVPLKWTGVVEDG AEPVTLTGSIEEISAQIQKLNPDYMPPETNSTAAAEIEKRGQGHIICNVGGYGAVDTR AAQRDKD FPSE_06797 MRFYHFLYLLGFAYAAPTEGDHPVALNKRQTAPTIPPVRPLPTA PLYPPINFTGCPITIKQDPGFESFKAYNVQANLYIVDAISSPGTKNGANPYEFFLTTS GSIYRGGIVFATNQYVMQLPNQLGPVNNDFVRTRMTNDGYVVANVDYSNLENPAKTPL SFNVERAVATGKYDPPTSYRMVDGWVALRVKDNAVDGVIQLPIVVGGNPLYVARFSGS CMKTVANIPVRS FPSE_06796 MGLGILPDSHLTDVPGTSFLSEKGLPGSLEEATITAENHTSLKH DPTGKIVLVPQPSNDPNDPLNWPAWRKHMFTVSIGIGCGVVGAIGPLLGPAMVPLAEE FGVPLQRFTLGFTGSLLISLAFGNLFCNSLAMVVGKRPVYLITAVGLLVTTVWSAVAK DFISLAVSRGIQGFCMSPMEALVPASISDVWFVHQRGLQNAIFNLGVLGGINLASPIA GAIINEYGYKTCLWIMAGAFGVQLLLTIFFMPETTYKRNTDPGVLDTPIQKLSTIDEK KESVSEVENTITSQGNTTIPSKHSFLYELRPWSGFYDSSGFLYSIYAPFKMLGSPVVI WGCFLLTICLNWLVLLANTQSQIFSGPPYNFSVAQVGLTNLSSFVGTFIATALAGLIV DGLAKFMAGRNNGVYEPEFRLPIIALLATLSASGFFGWGQSLSKGEPWPIPVILCLGL INVGIQLGIVGVVSYVVDSHRHEAVESYAIMSFAKNIFGFGMTFYMNDWIALQGVRDA FFVIGGITIGVSMATIPMYIFGKRARSFAFRNGFLA FPSE_06795 MLLLYNFDVDEKDKYGNTAFNKITDKTTIPVLETLKTWGASIDI ANEEGEVPLCNAIRFSNEPVSNWLVKQGANVNISIGKQESILHIACSEGSLEMVKLLR KNNATLSSVNHDNSTPLHIALLRSDEGKEAIVYYLLSMKGLDVNHSSEAWGSCLSIAC LNTGINVVEALLKRNAIVDSKDKMGRVPMHFALYRTTTYINTLLQANASLETIDLMGR NALHFAVVSGRLDVVTLVLNKHPDYIHQTDIDGWSPLMWAVRICGKWDTREDERTKII SELIARGANPHISGEGLDRKWTACDLAYYYGHGRDVIDLLEPQDEMLEHGELQDKDID NQRAAKIIKDNYCDACLLNNYGFRFQCDVCEDFDLCFKCYRSQNTIHPKHSFTNPSGR CVCAEDSSSDGSSSDSGSTEEETSSEEEGNGSGGGSGEESDDGSDAESQNDSC FPSE_06794 MLKKSHWQYGQPGSRRNPNTAIQFLHGDNEQETQIGFEFPPKIP KTPNAINFRDFTKSYESLKFDKVFQHVEFRQMTVKSPPVKRPDWTNSEGRAGVRRKDV YYFFRWLSKKKSVESIIHLIVEDGHGISHSDEAIEESLKDFNIEILDWRKPDLDPMTL QNACKNSDLREVHLWWDGNNAVLRAWSEPDGLVKITTLQVIHLHETKKNIESSHRTKA RIESFKTRIREHRAKVLQPLECHHYEPYEQHTSRPQGQMPTEQTEQRARIDPYQWLSV MDRFADGIASLEPLECFPVDEYLNHPSLPVELRKDVKVALIDDDVNFMHKSLAENMDG GKSFDREYDDGYRPGPREPFHGSATGHGTCMAYMIRRVCPRVKIFGCRLNVLRGNVDG KASFTAKSAADAVEYAVARKFDIISISWTVQLQKDEKHDNSADISRLENAISLVTATG ILVFCSAPDIGRASKETLESYYPFGCKRSSTDLFKIGAAKADGVSFAWMGHEEIVNYI LPGHKVAPREADNLPEEDDTPKTGSSVATALASGLAALSIHCVRLAAIYNIYTNKRDD LSVNETTVRAIKRFPAMKETLAILCSNNDEKQTRDRNLRVENFFKRPGDTMDNKDVSE EEKWKQVVNIARDLVSYKTLTQTTI FPSE_06793 MQIFTLATLLALMEIASAKLHSQAVCVTNRQYAPNGGTPFSVSY NWRVNYEILPDATKCACDYYRNRNTGNKQWDKCPDCNFDGLVCGSRDWHIGGDEFTYY CEKKCGAQGAEAN FPSE_06792 MIPSTIIFLGLAAVANAVPFMTERDLNSTIWTPEHVLQQDEVIL YGEGRMEVVHVSVYEKLLESEGVNPITPEIDHDWIKAGAKAKGKAKAPRDLEERQSCG STTSYVTDRTQRFVDWDVQMSPVVIGQGRNGIDVTVASSYSVSNGVTVSGGLDITAIK NRLGASFGVDYSRTWTTQASVLVRGTVSAGETGVVITRPWTNRRYGRAFRGCVGSMQQ TGTWMADSHEEGSYEGVRWVAGAITMCIKRQSGIPLSRCNGGGNFR FPSE_06791 MSPSLDSPTDTSPPRLSVIVTGGASGIGLAITRHFASQGHMVAV LDVNSKTGPDVVAQVAKEYPKAQVSFKWCDVSSWEGQYAVFDQAYREHGDRIDIVIAN AGISDPVVGALDCVPTEFPRKPQLRMQDINLNGVIYSVSLAVHYMLKNEIGSRLPDSR GSIVCTSSSAAFYPFPVSPLYSTSKAGIVGLVRSMAVRLQEPKIQINALAPGALETNI APDKELFRFMVITPMSTLIRAVEQFVTDPSRSGQIAELNEEEITLRPHVEYANAGAEH NNKMFWKLGYA FPSE_06790 MTEILASSDILDNVKGRVVVMTGGAQGIGATTVSILYERGAHVY FGDWDDIKGNQVVQNLQSSPSCKNGGSVHFQKLDVCDYNAQLQLFKTPYEEKGHVDIA ISCAGVTEPAGDWFAPDNLNMETVMTEPKVLSKSVEINLTSVIFFCRIALTFMSADRN RSAPSGFSKSIILVSSIAGITEAPGLYAYSSAKHGIIGLMRSLRGPGVTNFDVRVNAL CPWATDTQMIDKVRPFWERNRLPINKPTEVGGFIVQLAADKNLNGKSVLVAGGRGFDT EEGIDRTMPEWFGELTEEFLRGQAVLGMGGQWSGR FPSE_06789 MPRPKVRAEDRRRAVKACVPCQNSKKRCDSQSPCANCRRRDCIP YCIYDPEAARPRRQSSKAQRRAADRTRNMASPNASDEYYNLDYIVCGDIPSSVTSDSS STQVQAPQTPESTQEPQSHPTPDTTFSSSMSNVGSQHQTPENEKFSMGDSPSLSFLDF LRHTFQHYMGPSPFTDKERAKAMLQSVHAEPSDDMNSESELTLEEKRDYIQRFLIATT GIIYIYSPEQMLAMLEETEESRGQRSNNLSASRQKCALIDLAIAIGGQGCRTTPKSLY HAQKHFERGQKLAFEEMLLDPTGDLVAIFLMMTIYMLCGCQRRGAFIYLGVATRLAHA LELHQSKSYNNLAPKTHRFRLQVWKSLRIIEIAFGAVLGQPPALSTGQASETTPFTVP DGPEPCTPEFTSMSSTYGVCVILEQITIHLDSKHEIDVALIRDLLSRLRDWSSALPFS MRTCTVSSPISLVQRRQVLGNFAVSAFYYYTVMLATRPLLISYMLMRLRHLDPLDTAS ATLQCHTTDREMRELAQLLK FPSE_06788 MTTLNHSQLGDIKGLKHDDKGVAQYLGIQYATIAHRFAAPQLRT DYGGTIDATRRGPPVVRSPVAVGIEFSLIQKSLDIPEYPPVSDLNGLNLDITIPQQVS ADKDAKFPVLVFIHGGAYLMGDSGAPHQDMGAFVAYSQSIGKPIVGVSINYRLGVAGF LDSNELRASGAPANRGLLDQKTAFEWLRRHIGGFSGDPTRITAIGQSAGASSVMNLLD LESGDTLFDRAICLSGNNLAVPVCTKSAAQDAYKSVLECLAIDSTLSSEDQVKALIAT SAEDILSKVSLSIIMTPVKDKDDEVPSFGGMEAYLASRQYKTPLMAGSTDFDAVIFQA LGILEGREQGALARDFVKYLTDNVPLTHRAKLESLVSLYDISATDNNDEETVTKIVQF ATDLTFYATTKYHVSYWPTQSWMYYLNESNPWEGPYQGRSSHCLDTAYLFLNFSGVMN ESQKKTAREFARDVIEFTYGGSPWGEYHASGDIKVYGTPGKGQAPSQEVQDLWKEIGL DNLFQGWHAFFAKL FPSE_06787 MTIDSKSPENTHINGNSTNCHREPFTLKDVPVENQRPLKVRVIG AGYSGVYLGIRIPQRLRNIDLKIYDKNEGIGGTWWENRYPGCACDIPSHSYQYTFASN KAWSGFYAPSHEICDYINSVADKYSVRRFVYLQHKVTSAVWDDNKKKWNVTVQKHTGE TFIEEVDVVISARGGLNDISWPEIPGFNTFKGKKMHSAAWDQEYDFKNKKIGVIGGGS SSIQIVPQLQKVEGAELSVFVRSKVWISNRFGENTMKNLGWDPSEIEISPERLKEFAK NDDEYIRFRKRVESDGNMVHSSTLKGSEMQKHFVAQFTETTKQALASRPDVLAAFQPD FGVGCRRLTPGPGYLESLIQPNVDFITTNIDSINEKGIKLTGDEGRQVDIDVLVCATG FNTSFTPPFPVIGKSGISIQDKFKPYPQSYITIAVDDFPNWFIMLGPNSALGAGSLTA LVEAEGDYIIKCIRKLQKEDYASMMPKAARVRDFSEYIGEYFKKTVYLDSCKSWYKAG GGRGNRISALWPGSVMQALEVMRAPRWEDYDFESLHENKLRWLGNGWSMCVMENEEQG DASWYCNKDEVDMPPEGKPETDPKLMARPFSH FPSE_06786 MASSVLLNRMSPYREFAQPPINRYNCYGPPFDIPITITDRDGSL IHEQEGEALLTAIIAQDDVEFLERYFAIDPRAIPNPFGLPDGDEAICYHADRFRYAAK CGSLRTLQMLYKYATKDLDKTKPIRLEMGNFQLLNVAAQYGQIEIVQWLLDTKPLYAG IHDRDLRGFTALAAAADLFPFRRAPAWYEICFKNNETIMNLLLDHGASAADVVHPTGC IDQKRPTVLTLAAQWASPDLLGRLIDGGADVHYKVLADSWELKFRDQRDFPVQIEVNA LFLASFRANPDGVKTLVDRRGDGVGIADMMCSPDCVGSLPLHWAARNQLPDVLRLIPT SMLQERAGKITQTIEQLLDFAPTTVNVQDNDGNTALHYATRYFGKNGTMYTPVFQLLC SRGADANLHNYKDETPLHTLFEAQGDDGPIDPAVILLLIAHGAKVTDVDNAGNTPLHL ATRNWHFIDAVSLLLEYGADPARLNLKQENALHTAARGVCPGNGEKNTEMPKDMMANL VKAGGAELMDLPNADGKTARQISEERTHEGIEDKTCET FPSE_06785 MTEHDDQTALHRSDAVSPKPNIEINTNYLISKDSTKHLDGIETT EKLLAVELQFIKSLPGQWVMHLKILEKNTTLNPKISETAAFKITESDNSKQSYNLLPN SPSINKSSPNTVDFQLDWLEKSRLCLAILFRSSHSPTFEFSFSRIGKSVDFANAPISS VDRLAPSEPTSDETPFSWALAHKWFDAFVSSDDETLLSWAARNRNLDVMKHLKTHATD RFESLLEHRDERGRTPISLAAGTGRRDIVKFLFKAGANLFSEDDENLTPLSWAASRQF YISNLELFLFIFDIWKRSDNPPKWWELKHLCQAARFGWITPADTFFSSLKEGNSIINE GHSNFRNVDDVLPIEAAPLESERIYHEKTTLCIAAEHGHSDIVQMLLRSDANVNFTTQ TFKLTPLMLAITGVADEYAKARVVDLLLTGGAVISEKNSKGQTAEDLAINKRLPSVVT RLQWRSKDGPAAESADQLDQAVDALFLATVTTFKSEGDSLKGFVSERHDVSQLLLNQE YESTEEEEKQEAVSKWIHLPANNMRWVEVLISQLYKDKTQTYNILKPERWVRRQHHRG LDTSNATSVKPGIHHARFMRPLCQAFRSSKDEAPSKKKSPCKEQNAFDTNLVLFMPYL HWDITKRHTQREDVMKQTTRSTNPIKGWNKDQSFLNSYLFYKEDSQNKSATGLDLERH FMHQPHVRRTLDQYYYHELDNTRERDRDQVLSRAACQRDLLPESKVLAVVDQLWLWIL TGKSGKPTTIVTCFPDKEPNSKNEGNGNGATDSDDDLDPYGDTTILRQVHSHMLDYPQ TYLDTEGQDERLRFKEMYEMAIGDVMQQETELFDEFTAVMEVTKKEQKTKQSLKTQLN ALSEVQTPEKARPQDTKQFQVNLTKRFEAVLKDLNDNSANQLIDFLVHSSGERITQEK VENLFKIAQLRVLDITKEVQLLHQIKDVQDELRIMSMIFRDQKVVIHEMEDIIQSIPS KGATEDPSESKWRKPTETIARELSEEDKTPDRAREKTPIQNVDGNTGVTKTSTNEEED NVRVGNDELFSLANGVKSLVPEVTRNHIRHDAFFTSMAPKTGPSTSLDKKQRSAPSPR AIVQLSIDEIEDMIEGANNVYNALNLLIDLKQKQSNVMDARYARVQAEQSVKQGKTIM VFTIVTIVFLPLSFMAAFFAIDIHQFKRASDGKLSIGYVSEIMFPVSAFIIAFLIYIA FEIDSFESWWTKWTGKLPVVKKRKVGDVENPPSGNDNRRD FPSE_06784 MNSRPRRPRERSPSFEDVREKRGESSRYDNERRRRRRRSPASSE EDIPRRKVHDEPSDQESDPDYPPPPPPEPENDANVRYRPMVPFSWRNEICRFLDVSPD ALDDEVFQAMTKASIILKEAEQMKAKYEAGRGPPRYEIIHSVRCEQSRTEGRLYLDQP WVVETGPNDAHLRGSQPILNFELFLERNKEIVFVIYKDYRCCGRQHKSRSKAHTEPDG QVDASSLLTAEHISIISPDLSSAMEEFSDIALGDFPHTDFRSGDEMRHPYIWWFHRRK EIDEALENYKSSPSLPMVHLFREYILGRMTEEWETVPNQLAISTEQGRDISKLSGAVT QGWLERRPFLDYSAKVNVTFWTFDGTFRKASKECSIKDITPTPTDDMDEFNITDLPLY PIEYASSEIADALRKRGKMYWKCRFRNYVGLAQETSEEIQDSIGSRFMVDIATHKKLH RDATTRSQRQESPGPNDLESRYMSQDDPDLGDEFFLCLPTSIYGFNMDKKEWVNLDVH FLRDVVWNTEAFDLLVVQEETKLLIQAVVTNQLRTTENADLIQGKGNGLFILLHGGPG TGKTLTAESVAEVARKPLYRVTCGDIGTKAEDVERYLNVVLHLGKTWGCVVLLDEADV FLEQRSLVNLERNALVSVFLRVLEYYDGILILTSNRVGIFDEAFKSRIQLNLRYKTLD RAQRKQIWKNFFIRLRRLEQENGTTGGSYGANVDEMMGKLDDLAEANLNGRQIRNAVS TARQLARYQKEPLSYKHLTAVIDEAKKFDEYLLELNRSYTADEIQRDKGER FPSE_06783 MEPLRYLETEVIRQLQCHDGAGLQQLYIIDLDKQRQDTDYREKL KELLTQIQVCIKFNVPSWDDSELPHAPLLWQVPAFDGDGFVIDQQAIKHRNRVLRIMI KSSLHNTDDIWNHKDVETWMSTSKSTILFVVGNSQSLKRLERFSVEVILRLEKNEPVL HLLDPLPDSLPGPFKLSTVSVLRQLAIQCLQTLSTPKTLGLLVKLKLDFRSASESDWY RLLAELMNNQPRVSIVLDLEIMSSNFREAHTWEARFSQLIQWLQDKTCLRVMILTGRP NNIDLDSVVHKMIVGPLRMSHVSWPDMVKYFESGVGQQRYSRLHNARYRDFLNVQRQP NSSAPIKQSGSSIESIDSSSTQEVRSEECSNTDATPMPTLDDAFKYLSMGALQASSSD SRSTEQWFQMLGATHEFMYKQEFKSTTPVKIAVLDTGFAYDNPQDKRSLRPYYQRIKR LANFIEGDPDSEAKTDPSGHGTAVAVQILKVSLTAVLYVCRVAGPDGVPDKSAIEKAI RKASGKPDKDSPDGGGWGVDIINMSFGWPYHHGGVRNAIEFARQNGVHLFASTSNDGL LGPPNDILYPARSDSVIAVDAADGLGEHARSAPSSSSQHSRGSRFSAPGLGITSPNTD HIWEGSSFACPIAVGVAALILEFARQSPLNKSPEVQTYLQEMPAMLAMLRRASSDKGP DGLKFLTPWKLIGKAGEDRLVTAWFIVDELRKEYGLEVGAGLLPNNT FPSE_06782 MSTRQTNYFLAPVGNPPEGPIRLGNIISNPAFADDAINEEYYPP TTELIEHNQPNFTFDMSVKKDRSFGVWASFLQTLGIGGDATVDWSKESSEQWACENLK TVSFSPKLSYISECLEDEGLQHYMRVNKPWLGSSKLYMITGIKIAYGAASTIEYARQR GLNLKFGTDFSSLGVPISLGPEVGSSDTLSTKESQSGAEPFVFAFRLRKIKISRKGEV KHERYIKGTLLGGKRDGEKQDVDADADAEVVVEGVEDFDADGEEFGLSTFDDDSADDA FPSE_06781 MSRYQGSQTSRSRERIPTSKSHLWEPGAPLKEFPKSSRQKVFNP PDYDSYSDPYSDDGESLTDYRSEGSIRRSSARTSLPSRSSGSSNKLRQKGPNSLSGVC NKDTISKDNTVGHGAQMDDLLASFGRKAMGLKDELHYGQTISKTTLEIQRSMDNEEFE RRAQSRLDEKKKEESSRQKRKVYRYRVTDTHHSPVGYLSDSVDEDVIVSTGKPGYAPP RTVPRLQYGDKASAAMRYIQSSKKEHKERKTTPQYALDKQNGVPLDIPDEDSEGYDSD LSNDEYEDFDERHYF FPSE_06780 MSDSTIVLDALIVGGGFGGVYQLKRLREEGYNVKLVDMASDYAG VWFWNRYPGARVDSSIPHYEFSDPELWLQQMDNPDQRYTPDWKGIDQFRGTWIHPSYW PYEDPDMKGKKIAVIGTGSTGVQLAQELSKVASKFVLFQRSPNLALPMKQIKYSPKSI PEDRYKEVYQGRLDSFTGFDFNFTPRNTFSDDSKARLALYEYLWAHGDFHFWLATYQD MLFDEKANAEAYNFWKSKVRSRINDPRHQELLAPEKQPYSFGCKRISLESGYFEIFNQ PNVSLVDVNSTPIVEVTERGIKTTEKEWEFDIVVCATGFDALTGGMLDMNITGASGLK LNDKWKTRVKTYLGMTVAGFPNMFFTYGPQAPTAFCNGPTCAESQGEFIISLMKYMSK HGCRTVEASQESEAEWGATVHDFANASLLPSTKS FPSE_06779 MKLGTPSTLLTVFCGLVNALPPSTYLNWKTFKANGVNIGGWLHQ EAVIDPKWWNQYAPGTPDEWDFCAKLGSQCGPILEQRYSSFITTKDIDAMAKAGINVI RIPTGYNAWVTVPGSQLYSGNQARFLRVISDYAIKKHGIHVILDIHSLPGGLNGMGLG EKEGNYGWFQNQTALDYSYKAVNAAIKFIQESDVPQGFTLAPINEPVDNRDITKFGTP EALSGEGAAWVLRYFQGVVSRVQKINPKIPIMLQGGFRPVDFWAKNFAANTNIVFDVH HYYFAGRPATSQNLPDLICTDAKSSAVTVEPKFPVFVGEWSIQATSDNNFSSRAINLN AGLKAWSKYTRGSAYWTWKFFGNVPVDGEGTQGDYWNYSDFVKMAIINPSTGISCK FPSE_06778 MPSLNGTVNTMSLYISLDSRPNKGEYHWGIYVTDGQGNSTVHHA SNRDGPWRYDAKFVDPADSMTLIAMMKVSDIRSPDLVEHAIRSVPANGSPSGRTGETF SCRTWVKDALVALHDCGAIVLPTDIETIEKKSIQYGMRYSRISESGGGATVLNDAF FPSE_06777 MLVRRSAAIAFSQGHFKFKFSKDPNYHVWQVWIGGPGRPSVLDN VPMTTRGAFKNHSDSEIPFPDKSLLKAFSRFTNAIRWYHISVEIALQQQKPPPVSTAL TPSFCHRLSEYGAVLFSAFCRLMPSPLRAQIYRGLWFLGARLYGPSCSFNVQRLPFGM YLKTKRQEHQSLASEYGALQYLRRLTHIPVPRPLDLVCGSDISYMLTTRLPGHPVGLC LDSMSDAGVDAFNNELKQYLSELRALPKPVGIKHAICNAVGGPLYDYRMIAGQDYDKD RGDHIQPFATEEDFNNKLQTPALPGVCHKSGHQIVLTHADINMRNILYHNGRISGIID KENAGWFPDYWEYTKALYVTKVNRRWLSMMDRVFATFGDFREDYVTERKLWDYCYSGK F FPSE_06776 MSSPQCSSESTYEAFSCPPSTDDNEYILNVPITLTCQDGTKLYE TWDRRLLLEIIRRDDVTSLERYLAIDPQAAGNESEESLAYYSPFYATVDNGSLGALRI LLSHQETITVAPGFVSYKELGFTLLNEAARRADIEMVQFLLDNQPAYADINERDSYGC TAILAAADIYATMSGEPFDRQPSTQKAETVMNMLLDRGASASDVIVPLWNHTGTPETV LTLAARWAGPELIKKLIEGGADVHAKIAGDPFCVTWDFGLGPVSNMSAISMASFHANI AGIRTFIDCRGSVVEIADMISFRDSSGSNPLHWLTRNYMAQEQPYIQSTAQQEHKIKD IIDTAGLLLDIDPHAINNRDCTGNMPLHYAVQHPAQRYESYTDVFELLCKHGADASIR NDMEKTPLHILVTYPIVSPRAMSILLAHGASVTDTDKEGNSPLHLAVAARSNVDVISF LLEQGADANLMNMEHNTPLHVAALHSLWIKNPDDKTNKHQEHVRVILEEAAGKTGIDM LNGNGKTAQQERKELMELRRKEEIEREAASRERQAQEMRNRSG FPSE_06775 METPNRSCTYSFGDNGTTATHFRGEKVGYCVDHPSIPQPYEVVD RITTFLSTANDPDHNIGFYPDLAWLGNGVDSASATFIDSRWPVFTVGGNSGKFEIQYC ISKGVVYQTFLFDGAKPPMGLKTNFLIRQLEFADRDNVFNKAEENDDCYNTELSSPGL HHIKRWHEKEENSKHVALFISAYMGKSAISFVEDFLYSGENEDVEDESQAHCMVWPES TVTATITFVYSLELINDKSIKSPTNTVHISIPWRLPDQPIPDNPCFTGLPHLNRLLSR NLEHILSVCSIPVYTDTSDETDHPAIALTCGDVDNHRVATAASFYCFQLLLLALKHFE SLPLHSEGTCADVQRCYTCSMVRRIRKVLNGHLKWIFGREYRDLADNITCLHTWVNGK EIAGWEKSPYFPDSLVDVPFHLIKAGDFYGYDKSNEFCHAIGEACNVPVTAGEAIKAW IRDLDTKNKLGYYAFPRNIKAPIHQFYFTDHVLIWLAIKAAEALGLKDNLFVTRMADQ NIGEAHSKKSPVRRYYSSSIVRSQILTRFTVENPISKKRMLSVSRSPSHIRFLFRNKD ATLFHSMDSGLFDKPGATSATAKDVWSNKLDVWKNLVDCQRLHEENDDTTWDEPLRFA LSMIMAQKGKPINSLSPKEMHGRAASVLINSVWPNGLFSGQLDFDNEPTIYTDEWKRD KYWGWTFEIPYILWKYAQPPDELTIADMSGEPQSSPMSTLDPEFWISLRGLIENQSDR YATSEIRSQPMKSSFPWNSPVNQTNIVQLSDEWLYTLPDFFKDYDDDGIGVGDLEEAF QRRIKPSGVDYRPISSNLRGVAVNVPRSKARKKIPPRLEDLCKVANDWDFLTSLIKQR RLTNTSKKRFYAAFAPRPEQQRPYPQIREESEAMLNFFSKHISYDKSFFEYATLEKNR WTTEFHLSFYSLCWGGYLRHPHDFVIDTSSTSKRLDGMVLAKVAMGCRFDGDLFDRYW TVYFLESDPKTELNTPNVESIVTNMLRNRREKGDIDFTTLDVDALEDNKEPWRQRRIL ELLLFQRMVDEMRKNSFKILDYTKSNVWKLLTKEERPSVTLYTEGLLRATNAEPGRIY SFHKVSQRCQLYQHILQIVEQDLVENLAKIELWMGREHERHNEPPRWTFNDEIRYRTI ISKMLIQNNHSVQALRHTHANISQFKEMLTIELERMSNEMDRRREDNIKRFTYVTVIF LPLSFGTGVFSMSDAPSGQTLQSMIKTSAVAFVATALLLVFSEQLELLLRFTKNVFYH SSRPLSRFYHDMAWVKREAVEEPPVGPGTSHGEWERADV FPSE_06774 MTFFIGWAWDIVLTLIPICFIESAALAIAVVGLDGQKKSDYGQH VLELTRLGPSLYPILFAAVAGRFYRNLARWRLEQPGGVSLAILEQVFGSQSFATALER VFVVHTHVFLGILILSTWALSPLGGQSSSRILSFGDRTEVSNGTISYLHPAYQITSYI SRNSYLTTRASIAALYSSSLLSSLQQKRSPRDLWDLPKIPQWKSDKKVGEIYLVDNEA LRNGRDDYASLIGIKLRGLDFDEGLTQYNFTVQTSYIDLQCLLENDDVLDPSRLFNEA TMAPSTDLVDFRVHADIKSSLPWKEWKGLADPPPLQLFYIVKWEWMGNNGYYESAWSA INCTMQSIWIDTQFRCGPRPSAKSCYAYQQRRVKDKLSPNRLPRLMTKNHGALRQAIS VWPEASSDWTSSKPSATENYIMGEMHPYAGQGFRNWTEMDREMFPAEISRRMTTAFNT FWDATLNPTGHTNITFKNLDDNSTAFEDEFNAQPFMNTTVGTMTAEREVYRANQLWLA ILLTITLFLQILAILGLVLEVLIVGPDVLGYASSLTRDNPYVRLPTTGSSLGGPERAR ALRDLRLQLTDVCPDDEIGYLAICAIPTKVVDQVTEQGSAEQVDRDSVSGQALSRKLD SKRLYR FPSE_06773 MGIVTSVKKNKVGAVVISVFNWYPSHYPKEERKLLRKLDLAILI FGSLSFFCRFLGQQNITNAYVSGMKEDLHAYGNQLNYYVVAYNTAYVMGQIPLMTLQT KGKLAPFLLPSLQIIWAIIAFCQSEIKHSWHLYILRALTGFLEASSFGGTHLILGSWF KNEELFKRAGVWFMGNSLGSMFSGYLQAAAYRNLDGVFGRAGWRWLFIVQGIITLPIA FVGFVVWPGLPTSPRRWYMTEQEHALALKRIPTVEKEGITWKTFKYTLSRPMWWICVS CYIFLCQAHYWTGYMALWLRSTGYSIELVNILPTFIDLLRAISSWLGTTLAGCLSLRG LWTFQASFVFFACIVLSIWTVPDGLKFAAFYFGGFSGMASPILYSWVNSTLKENYGER GLIISSMMTLGFCNQIWIPLFTFPTVEAPKFPHGYPAATVFEFTMWAILMGGVWYMNR WKAKHPDLEMRLAANEDASSGNGIDCADSGSVEVQPKDAKATIATY FPSE_06772 MPSATQLYQYNHVPETKANLDWADLPTIDLSLYGTESGNAELAQ TLIEAIRTKGFFYVTNYGISQEEVDTQFSLGQQFYELPLEEKTKYQPDLDAGDYNGYR PAGRRVLSGGIKDKTEVWNMATNDGRITQPLPALLEEKKTLIENFSKDLHDKVLDPLL HLIAIALELPADFFTRLHQWNVHDESHLRYMKYSKFSPEEREKLSDGLWSLGHTDLGT ITLLFRQPVAALQIKDHKTGEWKWAKPLDGSLTVNTCDALSFLTGGYIKSTVHRVSAP PKDQEHVDRLGLLYFARPQNDLVLKTIDSPVLKRAGFTQNEFEAGNHKVPTMGEFTTL KQTWQQRKGKVYKEEDGAEILPGFQGKYHA FPSE_06771 MGPLYKKALVIGATSGIGAALASKLVANGTKVVVVGRRWKRLQE FVKTHGSDVSSAITFDITNLSGIKGFATDVIKSNPDLDCVIICSGVQRGFDFTQPDTV DLSLLGVELTTNYTAAVHLTAAFLPHLKKEPHGNLVYINAGLGLIPAMSRTPNYNASK AALHAFVMDIRYQLRDDGSPLHIVEVFPPAVQTELHNEEHQPDIVNGNEIGMPLEDFI DKMYDELVEGSDQFAVGFGTELFKEGGWEFQRGKMCDEVRGLLNVALEDYLRR FPSE_06770 MVNKEIQEKLQAFVAERDWAQFHTPENLAKSVSIEAAELLECFQ WGAEPDPKRVQEELADVLTYCLLLADKMGLDPDKIVLDKLEITKKKYPVDKAKGSSKK YNQLDDGKA FPSE_06769 MYLANWTPVLTLWATFASAAPKCNPSQYMTVNTSNGPITGHTTD NSSCVVEYLGIPYAKPPINDLRFAPPQRIKSYSKTPFEAIKFGYDCPLSPSKKVDYPD TTPQAQRIIAYFASASGTLQSEDCLTLNIWSKVTPSSAKANKPVLVFFYGGRFAIGNT NSPFYNGKYFADAEDIIVVTVNYRINIFGFPGLAGGDQNLGLRDQRAAVEWIRDNIWN FGGNPSKITIAGQSSGGVAVNYWTYAYTKDPIVNGIIAPSGNAFSFPVNYAAVQEKNW ETVVSGVGCDGSSDAMACMRKVHWEDIKKAAAAIKPASSSSVLRSIPPFYPKPDGEIV FSDYVSLTEAGSFAKIPILFGHNNNEDGYYRIPAYGNGVTPTDTQVKSFLLESFTCPV SYQAKARKAHHVPSWAYRYMADWNNTRLFPSSGAYHGVDLHMIFGASLDVSGIAPSED QEELTKIMQHAWFSFSDDPWSGLAKLGWPEFDQDRATLIELGKDSQTRVDFVKPSVYD APCSTVKMGALSTSV FPSE_11256 MRLSRLICLLAVPGLYLSTVSAVLDRSRSQFRTWFPTIEKYSKE HWMKEDCPRQFEAYFDENLKEEPGDHRYSGELLNCILNVYGEVNKANMAVTAILLALL PGGLVQFGPSMAEISLLSTRRPLLAMLLGFGLMSPNPTEFEYEAILEKASNNNQPLVP LRILDGRSFAAKALVSLVEYGIGLAATGNLFWEVYKFTYHAISLAPMVVYIHGLPETS ILFGWAFLNIPIYLLSFGVFALTFRRTTPGSNLVRLIVDELTPCGQGRNHTIRRRDNR LFQQNLLGAAVRVIGGLHIILGTVLIGSIVLIPLADSLPVIYTFVFAALFTRVVLVYE LNGLARKTTVEEKMPRGAEAEAKGLLCVNCIPLNQRDMEE FPSE_07394 MAPPTAHISAPNGRKFDLPTGIFINNEWVESSNGQKLSSINPAT EEEIVSVFSATAKDVDTAVAAARTAFETSWRETPGTTRGRLLMKLADLVEAELETLAT IEALDNGKPYTQALGDIQEVCDVLRYYGGWADKTYGQTVETTRNKFTYTIREPIGVCG QIIPWNYPLVMAAWKLGPCLACGNTSVLKPAEQTPLSVLYFANLIPKAGFPPGVVNIL NGVGNEVGSAIVTHSGVNKVAFTGSTVTGKAIMRSAADTMKEITLETGGKSPLIVFED ANLKNAVKWAHYGIMGNMGQICTSTSRVFIHENIYDEFIKLFLAYTKKISIVGDPFDE KTWHGPQVSKAQYTKILDYAGKTSQDRPGDKGYYVEPTVVGNVTPDMKVYREEIFGPL LAVCKFSSEDDVVKSANASEYGLAATLFTSDVTRAMRVSQRLQAGNVWINSNNNSDYR MPFGGLKQSGIGCELGQAGLEAYSTIKAVHLNLVMAEPDI FPSE_07393 MSANQFPHPNGMESFWRTKTGSLDRHRTTPELPKVADIVVIGGG YSAAAFVEHLLEAYDRDPSSILVLEARQLCSGATGRNGGHLKPDNYHATARLAKEYGF EAAEELAVFEMDNVEAVGQYVTREKVDCDFIATRAFDVQLTDDVRRKVDSGFRALEAA GSRAVQKTFPIPNKFAEKVSGVKGAKSAYSYPAGHCWPYQLIHHMFSKAVKKGVNLQT ETLVKALSETRDHDGYWLITTDRGTIRTNKVVVTTNAYTAAILPEYRDKIVPYKGICC RIACPPDQAPPLLTNSYGLRFSDWDFDYLIPRPDGSIIVGGARERYFRNLHSWYANCD DSELIEEAKSYFDGYMQRHFHGWENSQAHVTHIWTGIMGYSSDRLPRVGAVPYRPGIF IMGGFTGHGMPQIFLTARGLVDLVQHDKRFDQSGIPRLFEETKERLNRKENGVMDLWR MSQYRSSL FPSE_07392 MKVALFLSALFSAQVLAIPEPDNTVVKDGEYTYTGIDKGLLSLR GLEKRCNCFPECRRPASNCSPGKCECSGDYGCWSCSGGRMQCQPGPGSGVCWTK FPSE_07391 MTRKFTLFSVLLRPSRYIRLLALSLLLITVSWPFAIKLPVIRSH KGNIEKDYPLINQHIHSSNGTGGGMRSLIQEFENDFLDTYDSILTPVERSDVFRILVC KNFGGIYGDLDTELIRHPASWIGPSDTATWTDDETGSDYGYHNDSAMLDNETRVVNLL WGLEADNDPNSDAYWRQSYTYPQQLSQWAFAAAPHHPVVTRYMENLRSYTKDNETAAM NSDPLKRTGPAAVTLATKSWLEDHVGFRWTSLTGVKDGGRPKLVNDVLVLPITAFHPS HGSRTGIMGRKPMTDPDARLCHHGTGSWKHFDLSGEYGKICRRLFGLCRDWPRVTG FPSE_07390 MVKNLPFADTMVPSPGFGAMGISFGLGNNLSLEEAEPVLLKAIE LGCTFWDTAVVYQAGVNEKLLGDFIRKHQVRDKVFIASKCGFDCFGDGSVTNSASHIK TYIDGTIERLGFTPDLYYLHRIDPKTPLEESIGALDEIRKQHKTKYIGLSECSAATLR KANSIAKIDALQAEYSAFETVHETDGLIETARELGIAYVAYSPLGHGWLVDDFPYQSP NDFSANDFRRGSPKFQGDNFYHNKKIVEEIKRLAVRKGVTLTQIALAWVAAQGFIAIP GTTKPERLEQNFASRHVDLSEEEMVELRRIIDSTKPQGNRYGPSHQALVGH FPSE_07389 MSIHMCTQMPIEPERQAQADMLSMQENPKNGHQLTAGDAVPGAQ SPLALAIPVGSMWRNGRKLRVRILNGTDIIKGKIKQYAEKWNDYSGVKFVFVDSGDAE IRVNVNDDGRSWSYVGTENLAIPANEPTMNFGWLTDTTHDMEFSRVIVHEFGHALGCI HEHQSPAGGIPWDKEKAYEYYSSGNNWDRETVDHNIFDYYSFTISRFSDVDKSSIMMY EIPKSITTNGFFTVSNTKLSDTDKAFIAGVYPPSGAATSALKTGPDVSKFNTMEVRPW DKPRKKTSKTVNFSKDFDKPPSMAVGLNWLDVGNNANIRVSAYADDVKQGSATLHVDS WADTDIYSAACVALQVADNDPDFQVGKFSTTDDHPWNKPQQKTSRRIDFAREFKGDPK VIVWLSLLDMDKNSNWRVLATASDVSPKGFTMNIDTWADTILYAATAHWIAYPSDKAG VTSGTYKVSDIRPWDQPRLENLGRVDFPANIFKSPPVVLTALNRLDIDKNHNLRVKLG ATGISAQGMDWRIEGWFDTTLYDVGASYIALS FPSE_07388 MKEEPLKVISPFSIPPIARRIDPTRIATSKPDGSDNNNDRVEIG PTPLAFKEWRALGLQPPHLPTMRAYRLQRIRDELANRDLGGILLFDPLNIRYATDTTN MQLWTTHNPARACFVAASGYVVLWDFHGCNHLSAHLPLINERRSGASFFYFETGNRTD EQAARFATQIDQLLRQHAGSNRRLAVDRIEVAGLRALDALGLDICNGQVVTEHARMIK GPDEILAMRCAVASCEAAIGEMRLAMRAGATENDVWAALHAGNIRRGGEWIETRLLSS GPRTNPWYQECGPRVLRDGDLVVFDTDLIGVYGICVDVSRTWICGGLEPTAEQKRLYR IAHEHITTNIEMVKPGVRFTDLTRNGHRLPESCRAQRYGVMFHGVGLCDEYPSIRYPE DLESYGYEGELQAGMVLCVEAYVGEVGGKDGVKLENQLLVTDTGYELLTHYPFEQSFL D FPSE_07387 MKAIHAATTYLAILAHQTTARRLNWSGEPLKAPNSIFVTWETAH STGDQIIFAADSEGKSLLTYACDDKITLNGVSIQVSADEAGNGDITVGDTRYPLEFEI ARSGGVVCEARWNADITAVECEIPWTAGRIDLLGSLPYNITAECLGRAAEDDLAMVGV VSEEEMQVFAGVIEEEETQPLDPKQLQSRQCWDQAPVVRKKGNGNPKKWRLHKQITNR INCGKGDCEMSAGKEWSVTHSASFSLEGLGKASWISGGYSVAWTRGNSQTATCAGTKG QTICVAHWHDHQEYTVERERWNTCALSPIKDSYYTYSPSTKGSRQSFYCKRSNCKGSG HSGWVKKGNGVW FPSE_07386 MRSAFLLSTFGFSVFTTIHGFEFTGPSSTEKLDLTQPITITWNA TSGSIDEPKARRLQLWFLALTEGEKDQSGWELASNLSLSEGSYEWDPETIVKGIEDKN TSLSSDAVHNFGARLLDNAGSILATVESDKYALKGGDFIANDGSKGVQTGLYTALTMA VVAGVITSRGII FPSE_07385 MVQLNSMALGALLLSPLASAALTKCPTKEATWTAQSGAGYSICK NTDYKNGGGSLKVVRDVRNTNACAQICNQDPRCVKAVYDKKGRICHVKDENNANRMNW ESDNNFDAITLTNVNEGRFIATCPTGGHQYRALKGKGKTFNVCYSTDFVGASARQIKV ATLAACADECSKINTCKKAVFDNEGKICHIKAAEPNASLFWVQSKRFSVVQLPSAIKP ATQGRWSDIIRLPVIPVAAYVVPQFPQSQQLLYFSSWGPDAFGGASGRTQFGALDLPT GAIAQREVANTHHDMFCPGMSQLGDGRIIIQGGSDAEAVSFYNPKDNTFTRGPNMKMP RGYQTSTILSDGRVFTIGGAYSGPRQGKNGEVFDPKTNKWTMLNGADVKPMLTKDHEG IWREDNHAWLFGWKKGSVFQAGPSTTQHWYSTKQKGSVRTSGKRDDVNAMCGMFVMYD ALNGKILTAGGSPDYTNSVANKHAHITTIGEAYAPAAVKRVADMAFPRGFGNAVVLPD GTVLVTGGQRKSLVFTNTDSILIPELFNPATNKWTQLAPHAVPRNYHSVSILLPDATV FIGGGGLCYVNKIGGSTAGCDKTADHADGEIFQPPYLFKKDGSLADRPLISGTVQKGV KAGSTLKFKVTNTSGKVTMSLVRMGSVTHSSNTDQRRVPLTNFSVKGNDYSVKLPKDN GILLPGYYYLFVMSAQGVPSMSKTVQIVL FPSE_07384 MYNKYAETGRVKFIHNQSEDATEEEKSQWLDLPTPRGLGLILSS IKTEYKFPLEFPDRITVLYKLLEAPTAESTSIKKEAWILSEKYRRLAAKCTEDTAIYD YRTAKKSVLKPFMVEKFQKTFKLQQERQKKYTEEADKLIKAVEELKSKFQ FPSE_07383 MSEHGARPSSPEKIALEAWSQGCMIGALIIMIGITFVNMRRGVV LHKLILIELLLAMPNGFFMFFKPPVWGWYLSSTVILLIISWNLHNVISWLKNKPFLSK RYNTIYIGTIVLVQPYWILEIYANFTYFNPPYTRFFSSSRPLEALCRDPWWIFTALNL FWNIKYRYEFKYLELIRISPRFAILLLSMTLSIIFIIIDLFAVTSVLHIGYINPFWKF AFIFKCFTDTIVLDDFKTALDKLSRYKMEQNYPLPFSRPGNQTNIELQRSSLADFAVI CPVESSALVTVEHLERAHNDSISSPPRATFTIVTFAYTTSTVENAKVKMSI FPSE_07382 MHFSSFVVQTLLAIGVTAARNEKFRREVKPNGPTDPGIISKCTY YDEWVDNDYVNCQQWLMDWAITEQKFSEYNPTVGDDCSGIKVGHSYCVEINHGLPEEP DKPEITSTDVSGSEPTDTEEPQILTQEGLIESCVDLHKASEGDTCDQIVSSFCTFDFD TFLAWNPAVYKDCSALWAGYYYCVGVPGTTTSAPAKTTATATEPTGSKNPTVLQKGLI KGCALFHQAGKDDTCAKVVSNLDALNINTFYK FPSE_07381 MTGIIEEMINNVWRFQRGYKVEPGGRKNPDNFKHYRPWGFTIYR TYYGKESDEHWHSLLHSLRHQTKLAFGAFEKDKETDQDDRQRLKELFELDVYEDPSQL DGLDERGLREFCNAEISKATKVVHKAIHEITVSTRPVEKQGMSDYVYGFVLLADEAVF KDIEKGESIVKTVSLYWDGYAGWGWMRIPTGYLLEF FPSE_07380 MANWVSFTKTWHSKPYAAIDPTRPELNAAGKFVVITGGGTGIGK SMAIAFAQAGASTVAILGRRLDKLEAAANDIAQASGDKTKVIFETADISKRASVDTAV KNLVNKADGANVDVLICNAGYCPATGTLLGFDADDFRQGLDLNIMGAFNTLQAFTPAL ATNAYVFNTSSGAALVKPIPTIWAYATAKLAAIKIFEYLQMENPELHVVQIQPGVIST EMTAEAGIADSATDDQAKFLKGKFVWANWDVDELKARADEIQNSPTLLKLCLDGVAM FPSE_07379 MDLLVDQDSEKYSAVVTYDGTQGGEPFFVPPHWHKYHDEHITVL EGRVTMTLDGKSTVATPETGTMFIPRRSVHSFRGFPGEKAIVEERNQPSGDYKALFFN DVFQSGKPPSFWLAIRSAFDGDLYPSLPFGSKLIDQLFVSVFGFMAKLFAPAKPKTL FPSE_07378 MSSHNNTEEFRTKEGFLWTPSGSTEGLLTRSVVASTTRTELSYD VIVIGAGFAGLVAARDLSKTSKAKVLLIEARDRIGGRTWTSHEFGEDIEMGGTWVHWS QPHTFSELVRYGLDKKLKPTIASINPAQNTHTFDSKVGEISAEFSAKLEKVAEEFCTI DGYTSRELLPYPHDPFREPCQWRKYDKMSAQERLDQMSVPEKEKDVFKAYIGLYGLCS TKEMSFYEVLRWYAICGHSLAGVLECTSAYKLGSGGMTSFAQAILGEFKGDRLFSTEV SEIKQGGTTAQIITASGQQISASVIISTIPLNCLSDIKFSPPLSALRTEAARHGHQNY GEKFHFKLGDVDPLWFKTVSMDHSSPYLIGFADHNGSHEATKGTFVVLGAKSGSVTDI KDADRLVDDFKRNIRPGADVQAYLIHDWAADPYSKGAWSCWAPLAMTTYLPELQKPHG RILFASADWANGWRGFIDGALESGKRAASHAQVILDAHITGRL FPSE_07377 MGNKSYSTIVPDSRVAKNTTVPLIISGKDIVTGTSFPKIGPLEN KEIWQVASASIADARFAADAAQRAFPQWSATKASQRRDIFLRAADVMERRRDELADII RQELGANEQFQNFMLDVTIEGLRDTAGRIAGACTGTLPDSNHDGMRAMVQKRPYGVAL GIAPWNAPFHLGVRSISFALAVGNTAIFKGSELSPKCHWAIVDVFREAGLPNGCLNLI FHKPEDAPLITEALIAHPAIKHINFTGSTQVGSIISIEAAKHLKPILMELGGKGNALV LKDANLEVAATQCAMGAFFNAGQVCMSTERILVHEAVAEDFLELLKSKVQKLYGSVQD IPIVITEASATRNRRLIEDAISRGAEKVDLALMDGECEAVPTHMQPVVLRGVDKTMQI YSGESFGPSVSVYTLSNESAIIEIANDTEYGLTCSIFSEDLGSAFRVADQIESGAVHI NSMTVHDEYTLPHGGVKKSGFGRFNGYQGMDEFLYTKSITWMH FPSE_07376 MSAQFIEGTGQLAQVSADLGVSQAVSLPAHTRTIITGGQCGFRE DLSISADVVEQVKQLALNAHELLQASGATGGLKDVYQVTIYMTEMSDALNTAWKELKN KYGIRPIETGVTVPSLYGGAKVEMTFYAIGGAN FPSE_07375 MTQNLFHLDSGYEEALKKHRGSSQLGKESGSDHRRWYQHGGGEH PGLSFQGLRNVYGQPRPPHA FPSE_07374 MYDLVVVGHGIAGLAAAVSAAEFSPSAQIAVLEHAPEHASGGNS RWSPANMRMPSVNEVLPGFVDDMMAASGSGGDRAYFERLASQAPEALQWLEKQGVQFH AMDYFLKSWPNRIQPVGKGAAIVEALKQSAKSKGVQLVYNCRAQRLHLGPGQATIEAA DGRRIIARSVVLASGGFQGSPDMLRTHLGPGAETLRPISPGTAWNAGGGIQMALANGA KASGDWNGMHSEIIDPRSSQPAPLVLNYPYGVVVNQDGKRFVDEGAGLVHDTWEHLSR TIHFDTPGRLAWAICDSRFFQLEDHEAAIRSDMPPVTADTISGLAAQMGMPEQALLNT ILNYNAACNVNMSGFTAGRLDNLATTSGLQPSKSNWARPLDAPPYTAFPLAAAIVYTF GGIATDVEARVLGQRGIIPNLYAAGEITGHFYEKAPNAVAVMRALVFGRIAGLHAISS H FPSE_07373 MTAYNNVTLSLWALFGALVLYLLTVPGPPTLPIIGNLHQMPTRK AYLKLAEWAKQYGDMYSFKIGSTTTIVLSNRRLVKEILERRSVISAGRPAVYGLEKLV FGGHFILLQQPDAPMYRISKKLLHQYFGEPAIDRSHLRILNAEATQLIRDLMVDPDNF PHHAHRYANSFTMSTTYGIRTPSHDTPHLTAITKITAQATSLIVPGRLPPVDVFPVLK LVPERFLGNWVTLCTDLGKASDKLYMSILESVIERRKTKAPRDTFADRCLDNKEYEFS LHELMYLTGAVLDAGTDTTSSVLITLIQMLCAYPDCLKRAHAEIDMVVGDERTPVWDD FAKLPYVNQLMKETQRIRPATPISFPHKVLEDTWVDGKLLPKGATIIANVTGLHSDPN KFKHPGEFHPDHYEGMQKLAQGYANTADENKRDHYAYGFGRRICAGMQIAERSLFTTF SKVLWAFDISAPKDAQGRPVAMNLDPMTGYTEGTIVMPKPFKACIKVRSERRRETILR EFEEAEKNVFAQFDLPNIGGGI FPSE_07372 MAGLEKPPQDPSPACEPTSPMSHMESFESFDHAGKGKEHEESLP PSTPSTTTAPDRDMPKNLRPATLSRRASVVPEVVIVERRKRRGLLANLSLIPEVDDPY HYARKTKWLLTILVAFMGMAGPMGSTIIVPGLKDIAEAFDASHTITNMNAAVYMLSMG IFPLFWSSFSETSGRRTIYIVSFGLFVLFGALAAVSTNMAMLIVFRTFSGGAAASVQA VGAGTIADIWEVKERGRAMGIFYLGPLCGPLFAPILGGVLAEELGWRSTQWFLVIYGS MTLLVLVFVLPETLRSKTLAAASLPASTPEKRPTGNLKRVSTTQSVAEKSKSVASHLR RIFVDPFSILLYLRFLPVALTVYYASITFAFLYFLNISIQKTFSSAPYNYSTLVVGLL YIPSSVGYMLASLLGGVWIDRIMHREARKAGRYDDKGKLIFRPEDRMRENAWIAAFLW PAALIWYGWTTEKGVIWIVPMVANFFFGIGSMLITSVSTTMLTEFMPSKGSAGMAINN FCRNLCVFGATIAADPAIDAIGNGWLFTILGLWALSTGGLVLVAMNRRSGRWREEMVK ALGE FPSE_07371 MVSFRSSWLLAIASLTQYVAGQQSAPTSLTDANTGIIFDTWKAP SMTFGFTFPANGQTTNADEFIGYLNCATPKGKSTGWCGLSYGGSMTNSLLLFAYPHDG EILTSFHWASAQVEPVQYKGKATLTQISSKITDDGFTLIYRCQGCTSWSQDGSSGRLA TTSTVAVLGWAHSLTNAINPECPAEARMLQHETQSIFGGQLTSNAFNSKYADWAKLAT KVVKGDCDGSGPTATATSEPTPTAEPTGNPVPNETYDYVVVGGGAGGIPIADRLSEAG KKVLLIEKGPPSTGRWGGTRKPDWLKGTDLTRFDVPGLCNQLWVDSAGIACPDTDQMA GCVLGGGTAVNAGLWWRPTPEDWDYNFPDGWKAKDMAKYEQRVFSRIPGTMVPSMDGK LYLDQGFKVVAGALNKAGWGEVNALKEPTAKNHTYTHPPFMFSGGERGGPLATYLVSA SKRNNFKLWTNTQVRRLVRSGGHVTGLEVEPFAGSGYAGTVKLTPETGRVILAAGTFG SAKILLRSGIGPEDQLDIVSKSSRDGSSMIKKDQWINLPVGYNLEDHTNTDAVIKHPD VVFYDFYEAWTTPNAGDKSKYLDARSGILAQAAPNIGPIIFDEIRGADNRVRSMQWTA RVEASLGENDTKAMTLSQYLGRGAKSRGRMTITSALNTVVSGNPFLNDAEDKKAVVKA LDNLRKALSGVKDLVWLQPAPSVSSQQYVDDMVVSWSNRRANHWIGTNKMGKDDGRKG GTAVVDLNTKVYGTDNLFITDASIFPGMVTTNPSAYFVTASERASDAILALPVSKAQE PYKQCGGTEWNGSMQCGKGYYCKALNPFYGQCYPEGW FPSE_07370 MGVGAYLQILNGTPYTFTNTDPSNRGYQMNSWDPSASIAPGTSD FSYFEFDDGVTVTTSDTQVTSTYTIGNTGRSFSIRAEDDSPRLYARIDGFSTSAMPEG EWLPLGFVHNGGNPFVLTGTTKNMSTTFQPPDWMHQNLNTLGNLPLKRICMPGSHDAG MGVLNPVGTGQKSQPTTVYQQLVNGSRFLDVKPVMVAGGDFRAGNFPSKSTIGGCYGQ SMSDIVSDINKFTKEYAELIIIDLSHGYDSTNNFSVLSVNQWSTLFSQLTQSLSNLAL INADYTTGRVFNNTLNSFIGSGTASVLVCLDVGGILPDPSFQGKGIFSQANLLTNNVC SSTTNVNSLDIDLLSKLENYPTGSSGQVIDQLQLVSWFLTQRQPDSGIEALANQANLN LFKNLLGFCSASAFPNVILVDWLKNTNTTALAMAINNKVYGNANSGNIPSPTQQYVSS LTVQASGDSDFFPLGTCVDESGRQGSPDCNNSFSGDYTYVVKTFTTNPSQAITGLSIH ITGDKNSWLGGDMANDAGGDFRYVVTSRDLSLPTRISNVQLWRSPDDPVTLADAVGWD GISTDINHGRSGAYLYLVWKNARV FPSE_07369 MQPLTTKSSPWLGIHLDRNTYAPGDTITGFVHRTTPIITIDAEV TCCLHGRASIVSGLDTRLNSKFNLLTCNERPDEIYRGPLYSLNNMVERWPFSLKIPTC ADSTTNTGSTLASYMPTGAMDHQLPPTYSLYSTGVIGVFVEYFVSAKILFYEYDGVKR VQAWHPFKLVQYSPNPPIADFAVRVWRYPGSVRSTRLIPGRQDERGSLFSWAKRSSSR PGDPTLKFELLFGFPTRIQLDNQTPIPLRLAVFPNWDKTSEIIKNVPQKFRLLLIKVS LVTCTKVMTRHGTEKYYTKAVNLGVSNAIDNLQDEIQIPCTSSWEPIDVGKMIDLRIT SKWITCGWKEEQFTPSFRTYNMTVTHKLRWDIEFKVAGDTLFVKGEANVLLLRSCDER EQSNRGLGLSEVEEDDSWIRPPPEDEAPPSFADAIVMGRRIDSQET FPSE_07368 MTVNKRTKQWWKQATIYQIYPASFCDSNGDGMGDLQGIISKLDY ISSLGVDVIWVCPMYDSPQVDMGYDISNYEDVYAPYGTLQDMEELIRKTHEKGMKIML DLVINHTSDKHAWFEESRLSKDSPKRDWYTWRPAKYSPDGQRLPPNNWRSNFGKGSVW EWDEATGEYYLHLFAKEQPDLNWENPETRQAIYASSMEFWLDRGVDGFRVDTVNMYSK IQDFPDAPIVDSKAEYQPAGLLYCNGPRMHEFLSEMSEVLERYGAITVGELPHTPDLE RVLRYVSAKEKQLNMVFQFDVVDVGFGKTHKYETTPRNYTLPQLKDAFGRTQSLIHGT DAWTTVFMENHDQARSVSRFTNDSPQYRVAGAKLLALLQSCLSGTQYVYQSQEIGSVN APKESYPLENYVDIESYQFLDIVKERSNNDEQEIDKAFNALQHLARDHARIPICWSDS KHGGFSEAAEKAGLPIKRPWMQAHPLSQEVNVTSQLDDPHSVLSFWKKAISFRKEFPD LTVYGDYKVIRQDDPDIYAFVKESLADGSKVAVVLNFTTEDKAWSAPTSEELGVHSGK DIKLVPIMSTHSGKEKATVLSPFEGRVYLVT FPSE_07367 MSPDSKVDHGIAPHAPAVVDANVAHEAAAATEAEQNMGLMKSLK LYKKACLWSIFLSLCIIMEGFDVVLLNNLFAYPPFQRKFGVEQPDGTYQLTAAWQSGL SNGTLCGQILGLFINGIIADRFGYRKTLIGALIGCIGFVFIIFFSETLVQLLIGEIFI GIPWGVFQTLTTTYASEVCPTHLRAYLTTYVNLCWVLGQFIASGVLRAMVTRDDQWGY KIPFALQWMWPVPLIIGIYLAPESPWWLVRKGRIEEAKISLQRLTARNTESEFKPDET ISMMIHTNEMEKEAQAGTSYFDLFKGTSLRRTEIVCCTWMVQTLCGATFMGYSTYFYQ MAGMAVENSFSMSLGQYAIGAVGTVFSWFLMGWFGRRTLYLWGQLAMCVLLLTIGCVA FAGKENVTAQWVIGSLLLIYTFTYDSTVGPVCYSLVAELSSTRLRTKTVVLARNTYNI VGIMTNIITPRMLNPTAWNWGAKAGFFWAGTCALCAVWTYFRLPEPKGRTYGELDVLF ERGISARKFKSTQVERLDAEFVDVAKEKASAVYVEQVKSNPS FPSE_07366 MSNRTTRACDPCRLRKVKCNGSVPCSQCGHLNLACVYSAPPSKR KPTVRGRLVAQIREGVCVRPDDARSNSGSPQTDDPSPSYIPTSNGTPYTQDFFLGLVK EYERAVFPVNPIILPSEVVTAIEGMENSFEDAAFVYAFAGATINLSQTTWNPDNDILA RMMDLLALGFKAHRQAELGAGFLSKLPVSPKRVMTCIFLENSLMTFERMERGLMMLRE AGTMIQMMDFDHLTDGSLSNHEIATRQRMYWEISIHERYLTVIAGYPALLPPLKTGLP ITDYELPPGINEGFNRLIELFNVLDDEFLTHWRAQQNPSSPAPEMTAEWIEYKQAQLD QDEIKVAEADQKLISNGHGGLTELQHADLLITRLWMRIMVWQLALSQGLLQSTPPQNT HEGFSMHFPAHRLSTQLRNLVDRLKSFDSVALHGPGILAKLFEITTTVADVLALPTGA GGEHEAEARVEDFLFLVTFLLSFDRIHQNQRDYLKEKLTGLQDASGFQNLATYNADGW LSG FPSE_07365 MEKLGNQKTEGQLHDGVTSDSDGYNKHEASSHDGHDFQTVNESA DNSNIYSQGGKNFRTLKRWDTIFILFANQIGLGILSLPSTLKTLGLVPGIIALIGIGA ISWYTAYELLQYYRLHPQVLNIVDMTRFVGGRTLESIAGVMMMIQVIFVAASAMVTLS IALNTISDHAACTVVFIFISCAACFLFCLPRTTKFVSHLGIPNALSVLAACIIVMVVL GVDGPRGVDNMSEWSREIVIVGNPSFRDGLNACLKIVFAYAANLSFVGYLAEMTAPLE DFKFCLTVLECGSMTMYVIFAVIFYCLGAEFTTSPILGSTAPVFSKAAYGVALPAIFA TGLAYGHIGSKYIFVNVMRWTGNLHEVTASSVKSWGTWIASVTGFWIVVFILSNAIPV FDSILSITSATTISWFTYGFSAVFWFHMNKGQYFRDWKKICLTIVNALLIIMSLFMNA AGLWASITELLDIFNSSSGVRGVFSCGDNAS FPSE_07364 MPPNANIPNIYIIGPQSTGKTTLIHKIQDDLQHWLAGTSIESPS IISEVARTLILEAQAVAEKEALQTSSWFISDRSGFDPLVYAKRYVSPQGVQDLQQLPA WIDVKDRMENALIVVCEAGTPWLMDDGVRLMPGSHDEWMAVFDDFFGLLDQVGFEYCI IPRTMLDLSERADFIRMKWNAKRESAVGNDNTGR FPSE_07363 MPPPKIDKSFSFTLLPKLSPDDNAWDFDVPNLPSASLLKDAGYI KAISIRTDLKDCKHSMVLTLQANSPNRATAQHSPDILLLFLLESIKSLIVGPASKEQL PAPDLQPRTRQEVSDYSIRCLRAGITVNGVHYNFYGHINSQLKSRSCFLLAATKEEIS LQIESLEDFTKMKTVGKKAKCIGLLFSSAKTAMTTNPDRCEDIPDVETVDYIFTMGVA FPSE_07362 MSSDLDIILDNHQYAILCSIALFTIFIARYSFLDRNGKYPFLNP KKTFELTTNRVVNEFIGDSKNVLAKGRALYKDQPYRANTDWGEVVIIPPQFLSELKSH KDLNFQSAAEDDSHGYIPGFEPFHGDPNVSKVVSKYLTKALTKVTRPLSEEASLAFRD VLTNSTEWHEIQPSQDFMRIVSRMSSRVFMGEGLCRNEEWVKLSADYTVQAFMTGDVL RSYPRWARPYVHHFLPSCQKLRATLEAARQCLKPFLEQRNAIKAEAMGKGKPCPFDDS IEWFQQEYEQHDPAISQILLSLVAIHTTTDLLTETAFNIALNPELFEPLRHEIISVMT TDGLKKTALYNLKLMDSVLKERMATADITLPNGDIIKKGTKVVCDTTHMWNPEFHENG DKFDGYRYLRMREASEQDKNAHPHLVSPSVDHLGFGYGNHACPGRFFAANELKIALCH MLLKYDWKLADGVVPKGSAFGMMQTADTQAKLFIRRRKEELDIDAVEH FPSE_07361 MDSAQFREAARTAINEITDYYDNVSSQRVVSDVKPGYLRPLLPS SAPLEGESWTDIHADIESKILPGITHWASPRFMAFFPCSSSYPAALAEMYSNTFNGAH FNWICSPAVTELETIVMDWLAKALGLPECYLSGGSTHGGGAIHGSASEAILTVMCAAR DKYLAAVTKGMNEDAVWHVRSKLVALGSAGSHSSTKKAAQVLGVRFVAIPVTEEDGFA MTGRAVAKTVAELRAQGLEPFYLTATIAFDYTNADFHSFNFNPHKWMLTTFDCSAVWV RSRAWLIESLSIKPPYLRNQFSDNELVTDYRDWQIPLGRRFRSLKLWFVLRSYGIRGL QAHIRNGVTLGESLQAKLVSRPDLFTIFTAARFGLVTFRVKGRNEKEINARTEELYEW VNRTGEFYLTSTIVNDKFAIRVCTGVERVREEHVQRIFDVLVERTEAELED FPSE_07360 MYRAEATKSLKDDLEHICLENIQACILVGNNFFGEGDAGVESLY FGLASRMAQILKLGVCDDADDGVTREVKRRIFWTCFIIDTWASGGSNISRQFKWQNAH PRAPMDEDVFFQMKAGDPDIPDSQWKPGLWGYMVNLVEIYTEIQNFHQDLADTTDWDE ALIEDTVQHLENKLVTFENAIGPTLAFSRENLATFVERGLGRVFVAFHLGYHHYYTLL FYHYLDRRRPQTRNSNKYSESCKAHAIVVCEVLKASREVPGAEALYNIVGHVTIVSSS VLLHTFMFGDTHELEASRARLGSNLESLVQLRRYWPSVERMINRLVVFQRCCINSMNI ESYRFDKWMVKFLIAHSLALEDKVDEGWPSPYSEPSYRDVQIERGLITQAMITDIQRY NGGGNFT FPSE_07359 MEKPESTAHIEQSNTSKSLHISPNHTRGHAIQQQEHNRTYLQTF RKDPWLLLWIGVMLWTLIVRGFENQSSGSVISIPVFKERFGKPDDKGNYFIETSWQSA LSGGSNAAAIFGAWASSYFADIIGFKPVVLAAAAINIGSVGVEFASTSIEMFFAGKMV NFIAIGAFQNLCTAYVADVSPLAIRSTTIGFCNLAQCIGPFISAILSNFSSQWDTNWS WQSLIVAQWGFAAVALVGQIAMPESPVFLVRKGKMEQARKALGRMYSDPEDASGHLER IKLTLEEAESQNLGSYLDCFKGTNLRRSLIAIMVFLSEPMSGLGFVSNYGALMYQYLG IGDKKSFQIQIGAQILSMSGATIAFIVGDFYGRRPMYVTGCVALACLLMCMGISGSVD NTAAVTASVGFYTMYNFFYNVGVGSTVYTIAGEIPTSVLRTKTIAIAICISSAVNTMW SFVAPYIFNPEFANLKAKIGFIFGGFMIIFAVLAFLFVPETRMRSYEELDELFMDRIP ARQFRKHVTLAERRAEEAYAIENGTEEKIRSV FPSE_07358 MSLKVVDVRFEHYRHPNTLGVHENKPRISWRFQDAPPGFEQEAY EIQVNQVFGQQKHHVCTVETRSSKSYLVTWPGNPLVSRQRYTVSVRVKAKGQTAFTEW SDDAWLETGILERSGWKGSFISALWSEKDNDKPKPEDLFRKTFSLSGKVQSARLYITA RGVYEAEINGQRVGDHFLAPGWTCYDDRLTYQTYDVTDSINDKDNCLGVRLAEGWFTG RLSFDGGRRNIYGTRTSVLAQLELRLDDGSTQIITTDNEWTVTQGPIRQAELYDGEKY DSTLEIPGWSSPGDINGTWEKVEEVPFISEGIDLTAATAEPVRRTETVQPVEKIITPT GKTIIDFGQNLVGYVRIKQIKGPRGHKVTLRHAEVLENGELGTRPLRLCAATDIYTLR GDAEPESYEPRFTYHGFRYLEIEDWPWPEKHVTDAVEAVVCHTDMEEQGQFACSNDKL NKLFSNVRWSMRDNFLSIPTDCPQRDERLGWTGDLALFAPTANFIYGCYPILKDWLKG VSFDQKQRGGIPPMVSPNVLDKCRIWGPIWPCAIWHDVVVLAPWALYQETADSSILFD QFESMETWLRVIPRNKDGSTHLWSFDADQLADWLDPNAPPDDAAKATTDPPLVANAFL IHCLDIMGQVCSVLGKAESSSYYKIWAGKARVEFTQEYASPNGRLVSDTQTAYALAIC FNLLNEQQLSRAGSRLADIVKRNGFRIGTGFAGTPYVCEALTKTGHSNVAYAMLLNEK CPSWLYAISMGGTTTWERWDSMLPDGSINPGEMTSFNHYAYGAVAKFMVERLAGLQQV EAGWKKSRVQPEIVGDFTWASASHLTPFGKVSSSWKLEKDVLYVDVEVPTGTTMEVVL PDGENGKTENVGSGKWSFKADYKKCDEWPVKETKFILQEIFEGFEKEEGR FPSE_07357 MSNHYVPPEDGSATQHNNTESLAINNTSLRRLLTRVALKTTAWF YKYNGPCVPISKHLIVKTGRSVHLTEAATMGFVAANTSVPVPTVHCSFVHKNHAFIVM ERVQGQTLPKALAKSSEAEIDSILMQLQQMLQELRALPPPPGTGVQSCLGGSLRDSRI PRSRPRFGPFKSIQDFHIWLRDGFRPEEHPDREDDDWKDIKDMVSKQDGPWPPPVFTH GDLNPSNIMVRDGRVVSIIDWEFAGWYPYYWEYTAAWYGNETRKSWQGVLARFLDPYP EELEMDKIRQRYWGDL FPSE_07356 MAEQARLQGSKENFQAAYDAAVSQKEDQEKHWKEEKEMAPEDKV SKLTPLTIDHAPGFSAALNQYRGARAAYMDALSAVDEQAAKTWQEKIKQASRRPNGSI DTNALIAPDA FPSE_07355 MKFYYLLSLYFASQAIAASPSLTSKGCVDPSGFESCQNDANKQT SSCISQTKKDDSQKELLACGCQDSVNNYNCYASYCWNRVWECEYQEYIIAYMQNCLTA KLPVPYFPAPKDAPDACSCNLGKINIAVNDAIQQAATCSNNANSGDAGANLQQMEGCS CCELSGTLSSLLKFYDQQ FPSE_07354 MSPEKSPELTRDGFGHEQSPTMETQSTEDNNDTTHVDIESSLSA WICVIGSFFFLMPTFGMMQSVGTFQSYLELNQLSDYTAGEIGWIPGMYMFVSMLVAIQ VGPVLDQHGPFAVSIIGGGGIVVMFVLLAECKEYWQFMLCFGILGGLATAIAGTIGVT VVAKLFSRRRGLAIGLALTGSSIGTVIFPIMLRSLLPKVGWSWSIRILAFVILGIFIL GTLCLIPYKRLTKLAAVPVTKKSGTAVLNFSAFRSVPFSLLSVMYFTIQFVLYGIGGL LPTFAIQAGLKAEAGYTLLSIVGGASAFGRVIPGIAGDKFGHCNVLIFMMAMTLVFMG TLFVPFGNRSVVLYVFTGLWGFCSGGFLSITPVCVGKTCDPKEYGRYYGTLNFFISFS LLVSIPASGTMVERMGTQALSGLLTGILALGLGCLVAARAFLIGEWFSIKTKI FPSE_07353 MSIHYITTWEFPADPEAVPLSTAQHLLESQGRINRLAAEQDLEI LDIQAELERVKADLEMLKAKRNTGEWLTIAVLVLIIGIMFAGKRF FPSE_07352 MAQTATLVTSDQDPNLPRYYNDLGLILWDLYHHNGCDDALEQAI VSARKAVETSPKTDLDRLRYLDTLSYILYDDGSGRGSFEAAKEVVQDCPESLEHRHEY VDHFGRVLYDLTVYTKSIDELEQDLDTVRQSVYSIPADASYKAQCLSTLSQLLNEQYN VRRGHMDPAEAVQLARDALAAEPEDGLDRETYLHRLGCLLMDTFHFTRYRSDIEESVK LLRQATAEIYDDDDAEWLSRSFDLTYALEYRSAITGSLEDLSESITIAQKVLKMLPDD HETRWGRSLRLASRLKERYIRTKAPSDFDEAIRIIENSLASAPEDNPDRGRAFHILGN AMGERFSMIGSINDLDESIKLAQKAVQVTPETYYELPLFLTSLGSGLSDRCDRMGRLG DLEEAIRIWERALDQTPETALSWIGLIYNLAASYRNRFERLERASDLEKSIEFGQMTV NKCGRGNANRHMYIASLALSLKHRFHHYRSIKDIDTAIDLEKETLEITPTDHVDYSLH LNALARSMSARWGETKDEQDLEDAIGFERRALESMGTGHRRRAESLFNMGLYLLKREG VTGSEDDTREATQLFEEAVKQTQSSVKSRIEAARRLTYIYMSQGKWQLAYDSAVAAID MIPQLILRSLGNNDKQELLKKLGGTSSDAAALALNLGKGPMVALDMLERGRGLLAASI DDLHTDVDALRKEFPDMAERFVSLRNQLGRDDMPQQESDSRWNKASNKRHEAGDKFDK LIAEICQQPGFENFLLPPTEPSIKQAAESGPIIVVNTSMLRCDAIIIETHQIRVVPLL GFSRHEMTKHSREGTIRSSLALEWLWDCIARPALDALGFIKTPDSEWPHVWWVMTGLL SKFPIHAAGEHAHGSSNTVLDRVISSYQTLVQSIIRGRKRPFEARPLQQALLVGMEAT SGSSFLPNAGKEVAAVRQVCESMSIQTVQPEPVKAAITSQLLQSEIFHYAGHAYTDDH DPAESYLCLEANKADCLTVSNLLDINLQRQSPFLTYLSACGTGEIQNEDLTDEGIHLI TAFQLLGFRHVIGTLWAVMDSLCVHFARLVYEHLRDHGTTDRAVAEGLHHAARKLRDK WLDRKDNERSLSKRVKMAINESTQSQGDQGVAGSDVSGSVTASRLLY FPSE_07351 MTYDNPSTKQFILRTHRPGDMGYITHRHAVIYENQYNFDFRFES LISRITADFLDNYNPDLERCWIAENNGQFLGCIMLVSDKKPKTAKLRLLMVEETARGL GVGTALIQACIDFANNAGYEHIDLWTQSVLEGARRLYAKAGFTMIETQPHSDWGVDLV GEFWSLTLQRKEIV FPSE_07350 MQFSTLTTVFALAAAAIAAPADIEARTGHDNNNAVCSAQNKQVC CTGLLNCPIQVLGSNCNGNSYCCKTDAPVGALINVALLNCVKLL FPSE_07349 MSAADNGELPGIFETTGYDTHSDKDSIVVDRIKSPRHGLKRVSA ACQRCRRRKQKCDGIHPVCGSCAAANVSCVPSDRLSVRQDRECECDHLRGQVERLKDR VNQLQTRLTMQSRLPSEALSQDSMQLRPIQRPEPDGSVASLERGYVGRMLLPTFRGAN ANGTSETGFRSGPWQLWNGLSASDTPPTPTSNTFNLHRDGIGLIDVFFDRRWPQYPVI HRPTFMEQHYIPYCNGQIRNRLSAFEVHMVLAIGASEKARISSDAPVSHEHFFEAAVR DLDSVLAAEDIDCIRCLSLLCLFGSNEPQSVNLWYTVGMALRLAVGIEMHRDESLSQK SLLDAEMRKRLFWSLYTMDRSVSISLGRPLGIQDADITIPLPLILSDEQLAGPPDRAI PNILPDVRDMSAFRHIVELRQINGGIYSALHSAGGANLESTNLDAIRQQHYTRLNAWL LSAPRYLAPLSMYQTPEWFQIAYHQAVINLHRPSHASPVSSADAIRLCADSSISLISC YNALYAKNKIIYTFVALDSLFLAAVTMLYSIRASSIVRHELTREVVESNIETCVRLLS KISHGKTVGERSMQIIRRLGNATLAVFDNSSYAEADIDTEFMSWFGVKSQNPVRPEYP TPSIDTAWNDLFEHGYDLTSYQNGHLLL FPSE_07348 MEPPAAPSGLQNRQSSQEIFDNSSLSSAKRRFDQIVNHFGSEGE GEGFRPGRDTYDRVKLVSLTYEHSANEKSKERLLASFFAFAGLPITSDEDEDEDIDFD DPNRRDELRASLDNFADYLVDNFFLPLKASTKKTPQPSPASPSAVMSTQAHGFAGSLE RIANLRGACLIRDRYRCVVSNKYDHAEALKRFDSERHGQGEACDQDGQPLAGQRFDRL EVAHILPHSLTQLDSGGEIVRDSGTISLAILDMLDDGAADLIEGILIDSPGNALTLTS ALHIYFRDLNIFFKPEGTVPHRYHVGTFLPPGFTDDVPVTRTFSLTEDNIDPPSPRLL AIHCAIGHILHHSWAGGYIDHILTDAEEYGIRHDGSTELHRLVGHRLNNWAGIQTL FPSE_07347 MLEIESPTATTHDHEINADLSLAQKTQYLHSYYAVTEALIHIFD TPELEAHLLSPPDSPSYLISPLKQASFDLVIAIGAQCESLSSSRTIGQAYFRKARSQA FIGFLEDPDLDMVRTFVLMAFYMLGECRRNAAFMYLGVAAKAALALGLHSRESYGQKP DAADQAKLRVWMSVCILDKLVNSLLGRPSASAQIRSDSKLDDVGQPGDRITECLMAAN KVSSIINDITDTLYDQKKVTIPIVEQFLQDIERWKRDLPASVKIPTEASGQHGTIAKV HVSCLYYLAVMLVSRPFLISTLTAKPLAKGAHSQLAAACLDAAMYLSQTCVEALDAGL LQGNMCIMKALVFGAGLILGLEIFAKYPVESDINTAFQGAKQVLKHLSTQSPQAAHYL DILTTLSGAIDKRRSSESSTGRSRYVSKLFSLDAPTSSQDENMLDDMFSFTDVQAGVA DDAMQDWVFQEPESGDFSLDWESLNVSLWDTYPFLS FPSE_07346 MIKDTPPMPNWKHLSVAVVGGGIGGMSVAIALRRAGHSVTIYER SDFAGEVGASVSCAANGTRWLHEWDVDVAKGDPVVLKKLINRDWKTGEPVSVYDLDDY EKRWGFVYNMFHRQYMHAMLKDTAMGEDGEGEPVKLLVKHKCTSIDIPNGTITFDNGL SVKHDLIIGADGIGSVVRGILGIHPEKKPSDQSCLHCNVTTEEAVKAGLVDYSQNSAL EYWGGQEGKWDKIVLSPCNGGKLLSYYCFFPREQGDYTTQAWGSDSLPVEDLLQPYPQ LDSQVLGHLAIGKEIQPWRLWVHQPYPYIHKGNVCLLGDAGHPMMPHQSQGACMAIED AAALGILFSKRYFNGDIAQSLSVYEKVRLPRATRVQAAAAKAAYNINERIGFSVNKDV STYKVENEKEVLTIEEMNTYDMYKDIEEKLAKAKGDKYDGFVNGLPVGLVLPNGVTIG A FPSE_07345 MEQQYSIQSPSDESVQPHIIQFIQSFFSVSDTPGETAKYVDMFT PEATFVVASKKASGHAEITTLREGMWDAVSSRKHTLDKVFSFGAGSDKVMLNGTVALE LKNGASAEIEWAGRMELEKANDGKYRLKFYQVYLDTGAAAAYKK FPSE_07344 MYGKVALEEAFNLPRFEEKIRWWAGLFAVDPDKHTKEMVDITNQ RIKYMDEHGVGYTLLSYTAPGVQDIWDPKEAQALAVEVNDYVAGAIKPHPDRFGAMAT LSMHDPQEAADELRRVVTKYGFKGALVNDTQRAGEDGDDMIFYDGPEWDVFWSTVTDL DVPFYLHPRNPTGSIHEKLWAKRSWLIGPPLSFAQGVSLHALGMVTNGVFDRHPKLQI ILGHLGEHIPFDMWRINHWFEDVKKPLGLSCKLTIREYFARNLWITTSGHFSTSTLQF CLGEVGADRILFSIDYPFESFSDACTWYDGLAINDVDKRKIGKDNAKKLFKLPQFYQS ED FPSE_07343 MSESTKFADDIVPNEELENAGPYSRRSNFLNGLEGLTLYEKKCV LINREIDRQGMGKYQWYIWSLCGFGYLLDLLWAQAFGLVLGPLKQEMGFGDNESGNIS TSFNAGLTAGAFVWGFLADIIGRRWAFNLTCLFSSIFGICLGASNNYNTFLVLTAFVG FGVGGNIPIDTTITLEFIPQNKRFLLACLSVFQPIGVVICSAIAFGFIPVYACSPNFS EPDALISCNNASGGERCCSRGDNMGWRYLLFTIGGITLLIFILRFFVFNFRETPKYLV YRGRDEEAINTLRHMAEINKKECGITVEMFESLQSDESSVGSGDSATPALGAGKKQLN LKWSKKAKLELYRYKMLFSSAKMTRLTILVWLTYIMDYWGFTVAGFYLPSILALKNGA VSVSLKSTYAAYIYTYAPGIVGVLVGATLYRVPAFGRKWTMVLSSALMGISIILFSTV DTRAKNEGLFTMEYFFQSMFNAVLYGWTPEAFPAPIRGTACGLAGFWGRLFGIVSPLI AQHLYGRTTGDGGQGDINSVLYLAGGVMLGCVITTALLPNDMMETRDERS FPSE_07342 MSQTYNTDIEHDSAALMAQNGETKTNDGRKRVLVVGAGAAGMST AHHLSQHPGKFDVTLIDAVDYCGGQAFSIPIDKERHGASWCNQGVQGGSYIFHHTVTM FNRQGYHADPCELQVSFGKDETFWNNVFPTELLVRHQKEVRRLTTLLKVMRWFEIFFA LLPLRLVFKLFMFSEEFTNTIALPMTALFLGTGNETPRVPAMMFERLCTSPTYGMWYP ADKNTVISNKPPMIVFPKFSEFYETWRKDLISRGVTVRLSTELTEIVQRNKHGVVVKL KPRTPMPDHHNPAGGDPNAPVGEEKYDELVLCCLADTSKRVLGKTATWKEKKVLGSAK FSDDITITHSDADYMKKHYENFYRDDLAVANVNGTDQSSRLNYAKTEYRPMYYIKMYP EDKSKLEMCFDCTNYQSQFPEKVPFEQHVFQTIYLNKDRDGKLWSDDEIDKDKIIRKD WWHQLCHSYTHYLFVVPWMMFLNAKNHTRFAASWTLVNAHEVAVMSGIAAAVDLGATY PEDLENDKFAFLCFRLYYLLTYGKWYRRNFTSKKYVKEHGETQAAKDGKSWATGLYGS VYKGPGVSEIERSCWREEIKQGSSTGNLS FPSE_07341 MYTTAALARFIDYPLPTAIQAFEQPIPTLTTRAVHLEVRADDGA PTYTITYASDSVCGYLSGSVQIPISCENKNRCLWELESFKYIACEIDGETTGIAHTKC LARDEALDANLCDDVCVSNAYNLQCTNDTAPYCRTYAYPRGVRDYRCAPTPATRVSSV DFTYDGQKFPDPIISTVTGVDRPRSSDSSSTTTEAEESSSTATAEAEPNPKKKGLSEG AIGGLIVGVIFGVLFVIGGIWWYRRTHPRGTQANASLSESVPPVGKRSETVVNQRSVQ AEDGIEVVEEISTTQLNKIMTMTALSNPSQHVFVDPEYRIFKEMIDIQMQHQSPPPMD TVADIRNATDDGMQESVHFAPSSLPSSCAPHLIPITRYIPIATASATGPQRAILYIHG GGLFAGSVKSFRLWAAEFAERSGTQIFSVDYRLAPGFAIAPSCAKIEPPAPFAVHDVL DALSWLQFLDTASEFDERNDLNISYYTSPARCCDLTEMPPTLIDVPTLDLLKNEAITF ATRLANANVAIQLNVYPGVAHGFDGQIPEHRLSKLMKLNNVQFIQRF FPSE_07340 MASNAPDSQPMDDIVHERTLPIYPLSSAPSGDFQRPSLGTHVSH VTSRVSDYGRNVRERNEAGEDLPRDNNALTDLQSSAVDYLNRPDFISDEDLNDQPRVP IKVLLNSALQLDKRNNEKRFLPSGDLKTICHHSAVFLPDFELAGMADENLPFSGNEQH IELWCRIPGGDKRRVDFLNHLHDAEMMREFYNKQWWVHVPFLDWDKENRKALNFRYDL GTVIPWTEIGHQDTSGGFGVVEKVKIHQDHHSFTQYDTFALKTIIRTCDDDDYNFFQK EIAAFRKMRPGPHLVELCATFEITPSDNFMLLFPWAEGGSLLDLMKRPRNDLLEAHGL TEKDFFRWSAMQCRGLIDALGTIHDTRIKHSHDSQDGDLSNPHKDFGIHGDIKPANIL HFSQETTHFRLGNLKVADFGLVTFHARASRTMINRKSAYAASQTYRSPEHDIGHSMSK KVDVWALGCVFSELMTWVILDSGSCKDYQQERANEPSRSNELQNFGPKVGGVELKSDL VGFVDVDPGLKDVFFVVQEQTNRPLQLPTTYKRYHCSKSA FPSE_07339 MGQMSYSFRQELDGTNLSAVKLERILSRRWSNRYTVEMQSNRLT VCTPGLLSDRDIASCYR FPSE_07338 MSWLNRHPNLRPEDWDLLDFDQQQNEVFTITINDQSKDNNKPGT EHGITTQTNRKVVEGEADLGQWVDEISGVQSKQNAPTYQPGDNGSASTINLILASQMS SLDELPFAKTTYTYIMIRMRMHGSIVRAINRNTQCTFSSLPFNWPSGYSPIPSIVYNC RTAGSWEGDMALSVTFFPKTLTTNAVWYGLDTKEHRTYGHKLTNADIITSRLSNFDGS CLHPLILPTMFAECERERHIGLVRKYHTQLVQRINDLAYPSSSTNNSNETELLRISTE RKSRDSNFTEKLRTLVSQLSMNSKTGHSSHVEDISAQEKTFHQRQCKETKEDPEPAVV LWQNTSFLSNGLLNWQTQLRKMLEQVQDLDDTRFGISTSEYDPQVELKLARLREVGLR IKTRIQDLIYEYDEHIRQCNHITEGLRLATQLELNDIGHKDARTNQEIARVNLKVAQM TRLDSSLMRSIATLGMIFLPATFVSTFFSMDFFQWSEEDGNNQELISSYFWVYIVVAI GLTVLTMSIFYTCVLRAPSVDVDEESTCS FPSE_07337 MAPSWLEKFIVREDATPDPRRTTESSALQIHYTALPGHPRTITI RGDPAPRYEVTRKAVLTVWGDKCYVKSVENDMEIAIIDFHSFPPKTTVNFTHRKHEID IKGHTGPFQASGGLGDLRWKPTGMVPNGKASWELRDEGNLVMSVTIDDHQVNGVVDVW KSHLEAETVEELIVIGVSKIEEYKKTLRNAKVSLVSIPSSSAQI FPSE_07336 MSSHKDEVKDETTVASPHDEELGSTDGEGQLKRHLQNRHMQMIA IGGAIGAGLFVGSGSALHKGGPAALVIDLIIGIMLLCTNLALAEMAVLYPVNGAFYTY IVRFVDPSWGFACGWEYALSWLTVLPFELIAASKTIEFWRNDIHMAVWVTVFLVALTI VQIFGVRGYGEVEFVLSAIKIAACLGFIILGIIINCGGVGDQGYLGTKYWHDPGAFTD FKGFCAVFVVAAFAFGGTEMVGLAAAETANPRKSVPTASKQVFWRIALFYVINLFIVG TILRSDDKRLQGASGANTKASPFVLAIQDAGIKVLPSIFNAVITISVLSVANTCTFGS TRTMQAMAMRGHAPKFLNYIDGKGRPIYCVIIQLAFGLLAYIGESPKGGTIFDWLLAL SGLAFLFVWGTICLAHARMRSGMKAQGVNMNLIPYKTPFGVWGSYLGVFLNVLALVAT FYSALFAPDGSAPNAESFFMSFLAGPIILVLYLGYKVYSRDWKLYVRAIDMDLQTGIS LLDEEIPEEPKTWASLPRRILSAVI FPSE_07335 MGGAPTTVGTYDAALQRRQALMGASGARALVKNWKVFRIAAFAC IGGILYGYNQGMFSGILVMKSFERHMGDYVQNKTKKGWLTSILELGAWLGTLMSGAIA ELCSRKYGILIATSVFIIGVVIQCTAMQAGHNAILAGRFITGMGVGSLSTIVPLYNSE CAPPEVRGALVALQQLAITFGIMVSFWIDYGTHFIGGTGDGQSDAAWLIPVCLQLGPA IILFIGIMWMPFSPRWLTHHNREEEARQVLANLRDLPTDHELIELEFLEIKAQSLFEK RSLAEKFPHLQEQTAGNTIKLQFVAIAALFKTKAMFKRVIVATVTMFFQQWTGINAVL YYAPQIFGQLGLSSNTTSLLATGVVGIVMFIATIPAVLWIDRLGRKPVLAVGAIGMGL CHLIIAVILARNIDQFETHPAAGWAAICMVWLFVVHFGYSWGPCAWIIIAEIWPLSTR PYGVALGASSNWMNNFIVGQVTPEMLEGITYGTYILFGLLTMIGAAFIWYFVPETKRL SLEEMDIIFGSEGAAQADNERMAEINREIGLDDLIYRSGAVHSNEDIHQDVKDIKE FPSE_07334 MCVKVTHEKKCETCGNIVSSIVTERPCYKAREKDGYFGCCGIID RIDVSDPVECDECEKKRKAKEA FPSE_07333 MSSTQSPPFTLFPRFPPEIQLTILNLCNRNDLVCLSLTCHYFRA LVTPLIKSKPELEWVDQLGSTPDVPHGCPEDHSSPYYRSNCEGVRGSNVYYNSRMHRC KRHSTAFRICFKCGTYPQDHPTCKAPFCKKHCQCISCPLFIRLRGFMGERRYCSKCRV FTTRYQKHKGRCTHGVTKVRKAPNNHWTHTKGLSYGRRWWRKWGTCTIDSDAYTPDAN RRFNARVV FPSE_07332 MDTLRNAMPQLHRYSRLDTRSPDSDLESLKTPGSGSVPCWRPFA KRLLQLPLRTVTILIAAFLVLPGLLALTSVKGRAYLKDPPVKTEPVEHLVTQDRRLAI VLPANNPDHELCKVITSSIALGYPCPVIVNWGKTYDPSKGWKGGSHLAKITGTLEYLD SVIDPDTPDENRFEENDLVVLSDSYDVWFQLPPDVLIKRYHEANTRANRRLAAQYKGH GKVTMQQTIIVSAQKKCFPPESSGSILHCDQLPDSPVRKDLYGPNTDRDPNEFHDNRP KYLNSGSMIGPVGDMRRYFRRAYERMQKGLVNGKDLYSDQGIFAEIFAEQELWRRSLR SHKSITKDKDFEFLHEEFEYHVGLDYTQQLFIPTVFEEQDGEIIALNDAAGIAEKSKT LNISSRLDGVPEDIQESHNPLSKLSVRELDIVEDWGEMPLYADFFSEAIPVVVHHNAH KDGAKKRRYTWWDRIWYFPYLRELVETQLKETKARPLLDIVVNGESLVYWDSRSSKPQ KKPQTFVVGNNGNATFERHEFTDVCRAKTENEEAKKAWWDEVFRDGKGDLKG FPSE_07331 MSKLEEQLASCLLKRSDRSQLRKLTTIPSSNVDFSSNAYLSLSS IPSLISDYHALLQNRPSLGSGGSRLLDGNSSFAQDLEQDIAAFHNAPSGLLFNSGFDA NVGIVSCLPQVGDVIVYDELVHASAHDGMRLSRAARKIPFKHNCVYGEGGLDHVLAGL EEETSVFILVEAVYSMDGDVAPLRDIVSCVRSRLTENGYVIVDEAHSTGIYGQQGRGL VCELGLEKDIFARLHTFGKAMSSFGEYLINYARTLIYTTALPFSCLASISVSYQYLQG GLIDARITHLWDLVAHAHKLLLSLTSTTTTVRVNDEPKSPIIPLFTSQPRSLAQFCQE RGYTIRPIVAPTVPRGSERVRICLHAGNTVAEVEGLLETIREWVRFQEGAVARLNKMD KLGLACPDTGSGVSAAASDGL FPSE_07330 MAPVPALLWRSLRTHQVYGANTDVGKTIFSTVLCNAASKKGDRT WFLKPVSTGAADEADGCHIQKFAPQTAHETLFQYDIPCSPHIAAKVSGKPIPSDEAVL AKIHDSVSRYASEGPGWLFLETAGGVHSPGPSGTPQADLYTPLRAPVILVGDSKLGGI SQTISAYESLRMRGHDIESILLFQDMKYENYQYLKDYFSKQGGIPVDTVPEPPSRLPD IQQDTEQMREYYASQTSNVAHVLDHLDKRGKQRISRLESLSEKASKSIWYPFTQQKLV TADTISAIDSAHGDYFQVLNKKSENLLQPAFDGSASWWSQGLGHANSRLTLAAAYAAG RYGHVMFAEAIHEPALALAEMMLEGAQNSRFSRVFYSDNGSTGCEVAVKMALRAARLR YGWGPNDNLQILGLKGSYHGDTIGAMDCAEPCVYNEKIEWYEGKGYWFDYPTIQCVEG KWVVSAPKGMGQDQKLDSISEVFNLESRLKTEQYRGYEEYIKKTLKKLQEQGRKFGAL MMEPIILGAGGMIFVDPLFQRALVDVVRRSPHLFGTKDALQSQGPQWSGLPVIFDEVF TGLYRLGRFTAASFLGTEADISVNAKLLTGGLVPLCTTMSSESIFDAFKSDDKSDALL HGHSYTAHAVGCQVAVESVSEMQAMEKNGEWKWAEKDWAEEETQAWSVWSRDFVTDMS HNPQVLGVWALGSVLAISLRDDDGVGYKSLAAKKIQSHLRQGTGSWNAHSRVLGNVFY VMASQKTSRQSIRELQGLLVDALSK FPSE_07329 MNVQKPAEKTREQSIAEFDARTRKIQQDHPDVDFKSTVIEPTMN LMFDIKETLTEKDRTKHEELISLMLQNTSDPEKAEKYLWEARNYLKPHPEVLKLFDDI YINKRPVSVMISQLHDAINTKPSLLKETQTDTKVSTV FPSE_07328 MTPFLILGVLYIAGVAASVSRQIQLGDLEYFIPPGPAWKLKDWN VSAHDGEFTPLTVVGPSGLPIDGRINLAAKAYERDDVWTKHFTQVLYFKSDSDVAHNV SSEYNVSAIYTGTESVPAGPYFVHRYTGDSTYQDPNGTHHPLRAGIQSAAGLTIAVPS RLYFTRTKKQPLAGLRIGIKDLFDMKGVKTSFGNKAWYDMSKVKTETAIAVQKLIDAG AVIVGKNKLSEFAFAGMFVTEHIDYLLPVNPRGDGYQSPSDSSGGSAAAVASYDWLDA SLGSDTGGSIRGPASTNGVHGGRPTQDAVNLTGALPLSVSMDTAGIIVRDPRMWARIN KVLYDDELEDYDGLPSEIFLDPSTKSDIQDLERKSTQAAEAVEGFLDGLSKILSAKPK TIDVDNLWSNSTPKEYNGTSLGEAVGILYGKLTRWEQWTEIGKDFIDDYRDSHNGSLP YVVDGTRRGWLEINKTYTEELHEEDLALKSTIEDWVAKELLTPSTKSCSKAIYIYISV AGLLYKPDIAQNPFNPSIRELMDEISDQKATIARLNTTVNCNSTLGSEEACKESTESK PPSPTHIPVFPGRLASVAGIPDYSISLGAFDMGSVSNVTLQNQSTPVSVNIMAGRGCD FVIFDIVEALYKEGLIREVKSGAIP FPSE_07327 MAPLLIADGKIQIVAQTANSVAYAVENDSNTGLAKDSPFVLKGG TVWYKGKQALGPGKDIDESHESIKKEATIYKTLGQHDRILKCFGPEVDVLNGQDSIPK AWALRLERSPLDSLRDNIIDTYNNPPSQLIRLQLAHQFSEGVAHLHHMGIIWGDLSTR NAFLFDNWQLKLGDFADSDHMNAYPSDWYGCEDRYCPPGSNNPQMHDVGTMNRELFAL GSAIYEITEWKLPYGSDTEVVDGDVAKQLVNGK FPSE_07326 MDESNRDNTLLSDLDFSPFGIEPDTISPGILQTSAAPPKIGNRF SSESVKILRNWFAAHERHPYPSIQDVQDLQEQTGLNRQQVTNWFANARRRVKVHLSRP TSPMFRNDDSGNSSGHHTPIDTPRRRPTPAPFEAMNPLQRWANSPPEHEAATVDDISR AVAAFGRQGRTRSNGSSISSRGTSVSSHDSGSYSSAHSRQSSTLFDIFKRHGRRRRTK MRRQEVRTNLLQAQNTYQCTFCTETFKTKYDWQRHEKSLHLSLENWVCSPKGPTAIHP EEGILCVFCGMKNPDKTHLDGHNQSACIERPHEERTYHRKDHLQQHLRLVHMSKFLKW PMDEWKVMMEEIRSRCGFCGVDLTTWASRVHHLADHFKAGNTMEHWKGDWGFEAKIID RIDNAMPPYLIHHERNSPLPFAATKGPADTPTSAYELIKLELEYYMQNRHQHDMPDSE LHFEACSVILGAEVISINPATSSPSWLWDIFMSAADIANQARLRPTKQLAESRLSQLK INGKGNIFENCELEAALQQYMTAHVSMGHLPSDSELQQEACNVLSRIEISSPNPSTRF LAFLIRLAWSSTGWLAPLRQRASALLSMPNESMDDSYLLWGQAATAVPMDSSMELDIS LVQPWMTENRSLSPEGVPEIPAIAVQAETRHLIKDKVRTTTPFFINDNNSYRRLKREL SRFVMTTMSPNNPNRHVPSDDELKYQARWILYDE FPSE_07325 MSRRIRTAQSVSFDIPAAEQPHLHNRWHPEVPSIAAVEPGETVK IECLDWTGGQIGNNDSADDVRDVDLTKIHYLTGPFEIKGSEPGDLLVVNITDIQPFDH SPWGFTGVFSKDNGGGFLSEHYPDAAKAIWDFDGVYCSSRHIPGVRFPGLIHPGILGC APSAEILAEWNRREGDLAASMAGSHPDKGFAQPPCEINAHGGLAKGDVMARIAKEGAR TIPGRPEHGGNCDINNISRGSKTYLPVHVPGAKFSVGDLHFSQGDGEISFCGAIEMAG IITIKFDLIKGGVKSRGLKSPVYRPGDMGPTFSPSRYLTFEGFSVDEQGKQHFMDATI AYRQSCLRAIEYLKQFGYSGEQIYLLLSCAPIRGAIAGIVDIPNACTTLGIPMDIFDF DISIESEPVARNLGACPISR FPSE_07324 MTDIKNYSLGNFTLQNGSVLPRAWISYKTFGDPSLPAVLYPSWF SGAISDNEWLIGDDKTLSPEKYFIIITALFGNGQSTSPSNSDITPFPDVSFYDNVKAQ HQLMTHLGIKHLRAVLGWSMGAAQSFQWATQYPDFMDICVPFCGSARTSLHNQVFLEG VKSALLAAKGATSAGSIQGRVESPSYSERNWTDKEKDVGLKAFGRGYAGWGFSQAFYR EKLFTKFYNAPDLETFMQDFWEKWALSKDPENLLVMLQTWQSGDVSKQEPYNGDFEKA MAGIKARILVLPSKTDLYFPPEDSEYEVKCMGSNAQLDVYPSIWGHWAGGPPGNMEDV KWLDERLRKVFDEAPKMG FPSE_07323 MAAANTLFPYLRKDPSQLPEGEDPFTITTRTGYLPFSLPLAKLP SQFDPVSDLLNDIPIQKLDGTPGLLATFELGPLIDNGGLPDLTSEIDNLVVPGTDKKD MAAITAAFRDYSFIASSYLLEPCWEHYSKSDDGGYGLGRQTLPKCIAGPLVKCAEILD IPAFMSYAASYALYNYWLVHPEQGHDDYDNIRLVRAFEKGLDAKSSEAGFILTHIHMV AQTGGLIEGAVDLLTAAEKTDSATKIAEAKASLELILNAMQIIETNMEGMWSQSLPKD YMTYRTFIYGITKQSMFPDGVVYEGQYGDKPQFFRGESGANDAIIPLLDHICEVPMPK NPLTDILVEFREYRPKPHRAFLKYVRETAVEVGVRDFLTKSGDLGLAVLYLRLLDHIR SFRWRHWMFTREYIIKHTLHPTATGGSPIITWLPNQLGAVMDLMEEVAKGSGLWAVLE EGVWNGGGSLTQEDFILVKKIMDNVVTKKAQLTKEVNKYCQDRGV FPSE_07322 MDQIHQSAHQAPTDKKRESVPDMFDNSAGEIAVCDMEKQKAAEG NAHFHRLGWKRLTVVLIVEAIALGCLSLPSAFATLGMVAGVILTVGLGFVAIYTSHVV GQVKLAYPEVSHYADAGKLMFGKFGYELVGVMFSLQLIFLVGSHCLTGTIAFLNLTDN GTCSVVFGVVSAIILLIVAIPPSFAEVAILGYIDFVSIIVAVLITIIATGIQASNSDG GMSSVNWSAWPKDNLSFTDAFIAITNIVFAYSFAVCQFSFMDEMHTPGDYIKSIWSLG LIEIGIYTLTGALIYAFVGQDVKSPALLSAGDLISKVAFGIALPVIFISGSINTTVVG RYIHGRVFKDSIIRYINTKMGWITWLVLVTIITIVAFVIAEAIPFFNDLLSISSSLFI SGFTFYFPAIMWFMLIRKGPWYSKENLPLAIANGLIFVIGMIVLVGGTYASIDDIILK FHKGEVRGVFTCEPIA FPSE_07321 MRRGGFMLGELRVQVPRDVSTCQDDDSWPQVGSVRVSHESASLR QPHPKSFFSGLAEFCLAQKQDARENPSRRMLARGGGVQLVRGEMIKKGLQGLNSAPNA AESPTSKPSDFHYSTVYTSPPWMLTR FPSE_07320 MSLSEKPFSSHVDVQVAKLPTTPPDYEHLGIHRDGSCQPWGAAQ ALASTLKGKMSRKPEVQISINNHFNSKVYTTNSEISGVVSITPSRNTRFNHVDISLDG MTETRRDGPDMTHMTSHRFLRLEMPINESEYPSSNILSAGTTYTFPFIFNVPAHLTSK ACTHSTVSEDVWERHMSLPPTLGGWEKDDMAPNMARVSYSVKAFVLTRTKTGFVAILG NSHRINVMPTSFEEPPLNITERDDLYKIEKSKKVRKNIFSASHGRISAVAAQPAAVHL SKKGYEASGSSIPISFTFEPSSADVIPPQITSAAMKVYSHTWFRDQPMRNLPTMGSQV ANFGYPYSVCLPKTETKIEWTQNLDMQSTKASPIFYTATVQIPFELPTVDKMFVPTFH SCIVSRAYTVKVVLEGDVKIDLIVPVQVVMGSPDV FPSE_07319 MASDAPALKPIHSLVLDTGPLIKNDPPANTLRAKAEHLYTLPVI ISEIRDAATRSRVETTLLPFVTLRSPTTASVKVIRDFARRTGDLAVLSKPDIDVLALG YELECERNGGDWRLRNTPGQKGLNGKPPKPADKEESLENAADKLTIEPVAESTGESVE PAVESEPVAESGAEKTETKDTEATAETTQTAETTEATQAAETTQTTETEAPKEPITES AETTEPVKESADTESPQVPGEKVDETIEAVEEASEGEVSDASDDEGGWITPSNLKKHQ AATPGATGPSAPVQKTLQAAVLTSDYAMQNVALRMNLNLVAPSLARITHLKNWVLRCH GCFKITKEMDKQFCPTCGQPTLMRTSCSTDEYGNFKVHLKRNFQWNNRGNVYSVPKPV HGSSNGRLAKNAGGQNNWGTNLIFAEDQKEFTRAATEQQRQRKKDIMDQDYLPDILTG HRSGGGGGKIRVGAGRNVNSKKKH FPSE_07318 MHLMYTLDAQGNRLYTLKKVAQGQVTKSAHPARFSPDDKWSRQR VTLKRRFELLLTQQKEE FPSE_07317 MLSTSVPNNCTFSPASATPHLTINHDVAADLDSTNAFEGPEKLL EVWFAPSPSTLPPTAAANGLKAVPADTWVPMLDMVNCKVLSVLESDNMDAYLLSESSM FVFPHKIILKTCGTTTLLLGLQRMLHIAAKYGFPYHNANSPDDIQAAATPYRVFYSRK NFLFPEKQQGPHRSWKQEVKYLDDMFDGGSAYMVGKMNGDHWYLYLTSPNQQALTPPR TPESEIEGTKIPVGTSFAPIPGSYHDETLEILMTDLDQENAKQFYLSHASAVASDKMA AEAKDARKEAINSLGGLGDDVDEVDVFANDADDNMLDSVEALTTEGHALGTVVSESCG LSSVYPTSKYPDARVDAYLFSPCGFSANGVVPPPASAEGGKGEHYFTVHVTPEPQCSF ASFETNVPGGQSGRTTTEVIEHVVDIFKPGRFSVTLFEAKGAAQNPYCMGDDEPTNWA AKRLVDPVRGYRRVDRIVHDFEDYDLVFRFYEREGWAGSKSARVGEPISPTFK FPSE_07316 MGLTKVKHIILVLSGKGGVGKSSVTTQLALSLTSAGHSVGILDV DLTGPSIPRMLSIEASKVTQVPGGWAPVLVHEADESKGLGSLHAMSLGFLLPKRGDAV VWRGPKKTAMIRQFLKDVLWDETDYLLIDTPPGTSDEHISLAETLQKDATLGQVAGAV VVTTPQAVATADVRKELNFCTKTNIRVLGVVENMSGYVCPHCSECTDIFGSGGGKSMA EEFNVPFLGSVPMDAQFIALLEEGQRPTYPSGTTVGGKDISTKETETQTQEGNLVDKY QDCSLSHVFKDITDKVLVNVER FPSE_07315 MSSLFARQDPNPELCVQYASFASHLVRWQFKIIYWIMFVSNLLV LFIASWTYIRAQAATEKLAHNPSARIKRIRQSVFMCLGCVTVSTVIVVMEAYSILALQ FCDGEDLISLYWSTWTMIQVGSLIAMIGIILALAHTLRGRQHPPWALALGTPVLVIAG FLHLFHDFTKKRIKNHRRRKNHFDDMMGPPMSQANTISVNPDEEMDDDEYKAQVIGLT FDGGPIIHFIDAVPETLPEHAQLLGYCPKSKPIVMCKREAIQFLMDSPAQVPPSASPY RKGSR FPSE_07314 MAPIPKTQRTIHDDSTSIGRRFVDLAKFLSSLKGDLDLANVTAP PFFLAPSSVVENPGSWAQRPCLFTAPTNELDPAKRSLSVLQLFLAGLRSQLYVAGAPN VSIKKPLNAFLGEQFLASWSEGDSNTRLVVEQVSHHPPITAMHIASEGIRADGYGRVE MTFNGNINIRQMGHAIIHLDRFDEDYLVPLTDVTVRGFMSACLYPEIIGTYTINSSSG YVSEIDFSGTGFFRGKRNSFEARVYHKDQPKKICYKVSGVWSEGWKITDANGLVETYT VEPEKSSINMNPIEKQDPRESRRAWGDVISALQQGNYRDASAAKHTVEEAQRQKRKEE KASGKIWQPALFNSVPGEEHDVFHRLSKVLVALVALLFPQQKDEHPPYVKGKNGTALF LVNQEHGISNVLVASASAMLETYPDIDIHFASFPKLKGKLERVSKFAKRKTPSARDIV FHQLKSPSYADVILNSGRSLDDVPHPPGPAGISHLCKDIQLWISPWSAEEHFSIYEEI SSLIDEIDPAVVVIDTLFRPGLDATRDKNRQHVFITPNQAVDNFLGEQPYGSMFWKYP SMSSGLPFPVPWNKIPENIYLNIRFIYSVLRMPDVTAKRKALRERGLKDPINFFGMYR DDVPWITVTADGASIPVDYLPSNVTTTNPIVLSVAPAIEQDPVLVEWLKKTPTVLINL GSTVSYSESQASMMTQAIANVLDKVDIQILWKFNKVGTYSDDVFLPVKQHLVSGRLKL ERWLTVDPTSLLESGLIVASIHHGGANCYNEAVYAGVPHVILPLWADLYSYAALVETI GIGVWACPATSPKWTVEGLTHAFLRVLDGGEASVSMREKARDLGNKIQATEKGRDVAA RKVAELAYSGV FPSE_07313 MDNTPKARLPPEVIMSIVESLIPETTSHSRPIFPASHLVTKTLL DLRLVSKAVYSIASRLLWQNCLRIESTKTLHLFCDFISRESVTGRMPCEAYGSTRLFL APFNSPSFCLRWGNPTDGEAREEAINPSVDKYPPWFHALKDEKTAEAVKKVLITLAPV LKAIIVDLPLKSLYHENYHPVQNLLREGFEALVNVEELVSIYGELSWDIAWDRSEPEI WTKWPKLQRLALHNVQNGPQLWKNMILCPELEMVVLPHADFRDSQMEPTDVSAVNIKD DWSRAWTEATSQQTMSFEDRIPYQGREVLIAFCESLTGLPSFDAFTDSWERLDPDNRI CITAMPPYQQHHPDYEHNLMILRSTWMKDRALRGLLWDDVYRYAVVNLEE FPSE_07312 MKFSIATIAAVIAASAPTVMADSWQCNGSWGDGGLKRLSFLFDG YCENQSGQCFLSALRGKGLTVHNWQAWDRGDGWWQVDFSTTAGLAWQANNAIEQVTGS WRGCWPNQ FPSE_07311 MATATLNNIKEHRLPTDSYKHMWARYVAKRAFDEDIKSASKRLV EAQKANPDLFSGKISQDMRVATILAKTQEEIGTGLVPRDSKKLDIGIIGAGVSGLFTA LVFDWLNEECKDQGLKINYDIIEAGEEARLGGRLYTHRFSDAPHDYYDLFNYLGMKPG KGGLIPYYMDDVDGVCPTYFNDVRKVGDVWTDKADDPFRINSGLEEKDKIPEKYLKTN PSKLVEAALDVLIKDTKKQFDEVREENDENGTKATALWDRLMRADKLSVRQFLSLGPN DTPSGPGYNYNTIEWLESATYGTGWYDQSLTECVLEQLDFDTPKTPKGEPKVNYWWCV DGGAQTIAQRMAKKIKNPVQYKSQVVAIDAQIPKRKEKNDYTPMKLEISNGESTKEKE YFAVFNSTTLGALQRMNLKDAGLLWGTKQAIRALGYGASCKVAIKFKTAWWQKEPFRI RKGGLAHTDLPLRVCVYPSYNIEANEGAEWDPEKPAVLLCSYTWGQDAQRMGALISSD SPKNEQQLVSVLLHDLALLHAEESGEWDYKNLLKTLKEQYQDHHAYDWYGDQNMSGAF AYFGPGQFSNMWQEIIKPNAYGQLYLVGEASSSHHAWIVGALESVIRAVYLMFEGLQK QEPENEAYKKAVKLLSQAPQVDPKTEGGDVFGGDAGEGPLKPQTMPSGLPFFPLPEEM PDRLLDVSKDDKVLRDPLNENDEYKEGAAILLSVAMIGWSLLESYIDFELDDQKAKPQ FPSE_07310 MSQAGKDGTFHPVPEAQAQNLPGTEKSMNPTSESTKLEGKNEFH EYRAANKLENAKAFITGGDSGIGRAVAVLFAREGADVTIVYLPEEQGDAEETKKMVEK EGRKCVLFPGNLMDNETCKNAVQKHVDEFGRIDVLVNNAAKQIMCEDFKDIDLENVES TFRSNILQIFAITKYALHHMEKGASIINSTSVVAFRGTASMVDYASTKGAIVAFTRSL SKQLVKKGIRVNAVAPGPVHTPLQPASRPADQMEGFGKQSQLGRVGQPSEIATSFVFL ASKDATLFHGQILHAYPLGD FPSE_07309 MKIARWLLRKGTEHTGLVLGNDASGKTTFLYKLLLGEIVQTIPT IGFNVETLECVDGDKITLWDIGGCDMIRPLTRHYMLKDRFVIFIQSCEDLHPDRIEFS MEYLRMAMEMMESFEAKNLFILFNKQDLLSPEDAANAVRDLKSQIEKEIKPYKNKLDI RILNYPGLSALNGTHLHAAMEEIRMALKPAKPTPNVKAEIEQKVKGPSEDELINRIRQ ENATSVDAQTFWKRFLDGSLESWDHYTHLRAGFFVLRDCFARGVGLLECADEFMTHLN RLREGNPERFRNTAHRTMTIFWLHQIQVAAIEYQANNSPGKFPEQEEYADILFSAPHL MNSGLWRVYYTKDSLFTPEAKQDWHLPDIQPLPTISQATSRPPQKTSGNDADRLPRFA FSVVQKTLSSQLRRGGIVKQALEALQSSTMRLRATDSSIPPYSETQAYFWIQIIHAYL GSLQAQTSGSLTFEAFKSLFEVTGDEWRQYYSQSAWESISARMSFVNPDKKTLPNIFG VPSQARMDMARTQMVNAISHRFAEPLDMPPREDLDFLAAVLIDEAKLMPESELNVASH ASLLRFLFNRLSGKSGGSPTSRASSTALEVAQRVGLTQSMFWVQRVQIEVARNKDVGG FEEFVRGNSYLTFEDLPFVYYSPQLWESAEARGDYVPPDRRAVSSIVAGKM FPSE_07308 MSLTTDPDPSDGCPAFIPLEANPELMTTLIHKLGVSEALEIHDV YSLTEPDMLAFIPRPALALLLVFPISPVYESQRMAEDSLVDEYKGKGDTEPVLWWPQT IRNACGLMGLLHAVTNGNARNFIEDGSTLDKVIKKSMPLDARGRAKVLESTPELATAH KEAATQGDTPAPDAEDDVELHYVCFAKGTDNGLWELDGRRKGPIRRGDLDDNEDVLSS KGLALGALKFLEREGADLRFSAVALAGSVD FPSE_07071 MGFFSNIFARKSSAKRASVKGQEYHSTVASIPPIQGTYPVAGNG PNVLDKLQRAARKRSQAQLSSIPRHVGFDDDDDSSAIPPMVPRFRGISQSRPTTAPNQ ADFPTSRPLSWTRSIRSSNSAWSVPERPARGRTERLPPVPSIPTHHRRESSFDSFQNK RNSFVDVLDAQGEFKPSNFRSRVTATGSREYGEDVAERNLGDNGLNLKSAATKALYRL SGSGRLVMPADEHDYEEEDDDCAPYPGVPHGDSGTKRDNWSKSGSSAWRRSYSGPVVN DLPRPSKSHLREKRSESPLATLKPAPLSKQDRRRSFNAFASPQVEEERPKPRPLSLHQ SISSFSYERPSSPPTLLPPVSPPASPPPVQRSRPKTSEGRSRRRSFGRVEIDTVEEDN EDFAPFPSVPSRFRLSEQKSTSYLEPSYSYDRHDSLNARPESYHGGLALSFPRNDMSQ PSRPSSSSSVDKSYNRTRTMTSARSQRLDDINEHIPVRTSSLWRVQPCVTPSTMSSGF SNAFPKSVGQHTPSTSIDASLPPSIKISPEHEQKKILDDISYYNPGDDDFSADTLVPS RREVERHLGHPMNEGLDLDLYLSDASEDSMDSFVAWKEKRRNEEGLLMKDHYGTTGAG LPGLFEPVPIFKAEIEPPSVPQTPAAPVVSKRPKTPKSPRRPSTRSSKSTPQRSQQKS RQSHSRANTPRRTNQTSTLDNQDSDSWEDDVPPAPAIPGFVSLADLGINIRDLGWDQF GLTDADDAKVDMQTALKIRRAMKNKRQQQKEEEEACAADVEDH FPSE_07072 MDTDSTDSEDDRVQYHLTVRPSKHRKNTEKKRLEEQILKQHMIE LNREAYAKDSEKKRRDSFAEASTDITPREYQIELFEAAKEKNLIVVLPTGIFLSLYAS TLDILIGTGSGKTLISILLLKHYIRIEVESRALGNPRKVAFFLVEKVALCEQQYRFLK DQIFGHKTVMFTGDNRGVTKDKKYWDDQFASNKVVVCTAHILLDCLNNGFITMDQINL LIFDEAHHAKKKHDYAQIIRRYYYSTDKNKRPRILGMTASPVDSKAGDVAELALELEK TLDSEIATLSDKMMRQATDFQVHVEETVKYSTLGIPDETKTQLWDSISKLVSRNKEFK ASLDFTKEASTILGPWCADRYWQVLIDDTEIKRLADRTRMAFFGGGEKLLARGDQAEE AVREVQKVVAAHEFGTISPQSQELSAKVKCLHEILVHAFTIDNTKRCIVFVDQRHTAC LLSDLYSQVSMAIPGMNASYMIGQQSSSSALGNMSLRKQCSTLKNFRDGMINCLFATS VAEEGIDIPSCDLVIRFDLYTSVIQYVQSKGRARHESSRYITMLEDGNMRQIRSLKQA ARDATALREFCLRIPADRKLQDDVFDEETEKQIKEIRFNVYKIESTGAQLTFPSSLEI LARFVASLGTAENSHSKAEYHVYKVGTYFTAAVNLPSSSPIVSQAGYPQRSKLLAKCS AAFEVCKKLINGKHIDDHLQPTFKKHFHKMRNARVGISPNKKGEHDMRLRPNVWSIRG EWTHFFPTRITLDRDDGEKNRPLILLSRSPLPGLPSIPLFFGNGRSAIVEVTCSQEPL PITTEEADGLTAFTLKIFADVFSKEFEATCDQFPYLLAPLAKDTNLNEISRIDWDTVN LVRDHDSLEWENAPDDFFFDKLVVDPYDGGRKLIIKGIDKSKKPSDPTPEGVPESRSR AYRSAEQNIKQYSNSLFSKSRLTAQWRDDQPVVKAELLSLRRNLLDEFQVNEEINNDC FVILEPLNVSPLPIDVVSMALKFPAIIHRIDSALIALDACELFDLSIPPALALEAMTK DSDNTEDHGKQQINFQAGMGSNYERLEFLGDSFLKMATTISIFVLKPKSNECLYHVER MLLICNNNLFNTAVDCKLPEYIRSLAFDRRTWYPNLTLRKGKAFKTTTRQCLADKSIA DVCEALIGAAYLSSKDDNLNMAVKAVSQMCKAKYHTMMAYDEYYASFKVPDWQKASPN ANQRRLVQKVADAIGYHFKSAPLLQSAFTHPSYTYSGNVPNYQRLEFLGDALIDMTIV EYLYRNFPLADPQWLTEHKMAMASNQFLGCLCVKLNLHHHLLFNTSQFISKIRDYVAE LELAEETARQEAKEDGTPMRMDFWLNATTPPKAYADSIEALIGAMFVDSEFDYSVVED FFTKFIFPYFEDMSLYDTFANKHPYTFLTKKMQQEIGCMDFCMASTVCIPDAERGMEV MKEYDIFTGFEVHKKVITCHVSKSGRYGKIAAAKGALELLEPYGGDVVAMKKLLGCDC DSTAAAVAEMDHGTAV FPSE_07073 MVIHDRFNLDIVENDTSRDGTFWFGPEELPPTGDLTFSEDVDME FERQLLGNLSISEDVDMDRELEQELPKNDDDMEAESESEEELTESEKKYEAERKRAIR EGKKPDRPNQTFGWFPLYNPNEREIIRQGFSEAPGGMKTIEELHEHFIPGLNCTIPRP PPHPETQSSIDSITVRQNPPPGKRPVGRPRKAVGTAKKPANRHAGNRPVKSNAHQTYN LPPSHPAYQRLDQQRSDRKEDAPDEVRVDGTPNYLSRKANLLTLNHGREDIFDGIDPT VARQVREFWVREGIETPFNNKALYILHHLMMKREEKRDPFFKESEITEIRKRIRFLNM TPLNDAEKAEFNKLFRPDVEWE FPSE_07074 MEDVYGDHPSLAGNTMQSAPYSRRAPSPPFIYIPTTGTCGNVNT PNLLPSFENVDPSQLTLQDVMIITGNVRHIATDCTMDWSYEKRREAQQLVDFLYLGPN IAAKDHDYLRREGITMILVARDARMAGTRLNTIEKAAETLGITLQYIDLEGYENLIQT FSQVVRTVNDHLLSVYHRQAEEITQDGSMKVNPNNFTRGKVLMTCETGNDRSAALAAA YIMAVFGMDMITVLQFINISRFCCVFDEDAKRKLQSWEDLIRARAQVTRQAEMPQNAL HAKRQIDDHYEDPESDMKDITTDRDRFEGRRAFAPFFDM FPSE_07075 MRYLLRTGAVRAPLIPLSISARSLSTTTRLERQPSKGKPSHKKG FGKPGGFRGGLLSHGQDPRSRLRLDHGKKSLGRHGVFRSAVLSRFEKVIENMSRAAVS SAGVGEDEFHRQASEFMNVLDKSFQLAEENVTDRAKNPLFWNLRDAFLNKDMKGLTNE LQYSFQSFIIQQKFSKGLEESHKRLLDFRFPYEWFPATRAMQRKIHVHVGPTNSGKTY RALKALEASKRGVYAGPLRLLANEVYQRLTAKGLPCALLTGEEVRVPSDTDTYFTSCT VEMVPVNEQFDVAVIDEIQMIADEDRGQGWATALLGVQAKEVHLCGEERTVKLIESIC ASIGDECIVHRYERLSPLEPMENALMGDYGKLEKGDAVVAFSRLNLHALKLTIEKKTG RRCAIIYGSLPPEVRVQQAALFNDPDNDYDFIVASDAIGMGLNLEIRRVILETCAKYD GSHNRLLSYPELKQIGGRAGRYKTARNATEGTESEVTEIRKVGYVTTMDRQDLKIVRE AFEANVPDIEYAYVTPPASVVERFSTYFPSQTPLSFILMRIKELASVSKLFRLHISTE KLEIADMIQDIPLTIYDRLTFTNLPIAARAENAVPVLRALASVVAKNGSGDLLSIKEI PLENLDLNMKTFERKPTEYLHKLESLHQAINQYIWLSYRFSGMFRDQALAFHVRSLVE EKLIETLEKLDFTEGHLSSFRRKVREEAQKRFEAQEELGEIGKHDAQEGESDDTPIGD LDWRGPAQTTSG FPSE_07076 MASSSTPSTPLLYSCIAHNTTILSECTTSASSQTSSLASLILPK IEHTNPQKLTYTHGQHQIHYVAESPSEHQDYPSAGGLTFLVIADSSLGRRVPFGYLFE IRKRFLQQFPESTDFSDMPNYGAASFNSELKNLMVDYGTTTGGRDDAIGNAKREIDDV RGIMTKNIESLLERGERLDLLVDKTDRLGGSAREFRVRSRGLKRKMWWKNVKLMGLLA LVIFLIIMAIVIAVKNGS FPSE_07077 MAPYPSDNNGRRPPQQPGNNDDDDVARDLAALDERYPPTSANFS RPRNTRRSYTDPNNDRPPRRSSADDTNVRSASRSRSRRPDDGARRRASGPYELRPIPS RTELSTDLSGPPDYGDRADISVDKASVAYNGNSASREKSSVRADIKKYLRQRREKKIG PSAKPTWKERTRKQLGELHQKVIVEAIFRQKPLQPLPDGRHVPLNPAHRNANGLIDER AGKPYISNFIRSSRYTVYDFFPKQLIYQFSKLGNFYFLVVGTIQMIPGLSTVGRWTTI APLGVFVAFSMAKEGYDDYRRYRLDRVENRSEAWVLTDRAATEKGRIRHAEKMKKRKE KDSRNGEEHMLDDLETGATGAKKVGNDGDWTSVQWQHVRVGDVIRLRRDDAVPADIVL LHATGPNGIAYIDTMALDGETNLKSKQACPLLAERCNTMEGLRATQATVISENPNLDL YSYDGRVTVDGETLPLSMNNVVYRGSTLRNTAEALGIIVNTGEECKIRMNANKNVRAK KPAMQSVINKMIMVQIFIVLMLTIGLTIGYYLWRDRTEDFAWYIRRRGFWDARIPFKE IFFGFIIMFNTLIPLSLYISLEIIKLGQLFLLQDDDMYDPISDTPMVANTTTILENLG QVSYVFSDKTGTLTENIMRFRKLSVAGVACLHDMDVQRDQEEMRRKIEESERPKKGKS KMGSLSASRSAVPTITIDGQQGDLQRPEATRTMSTSHWQSSVSTAQNTDMKTEDLLDY IQRKPNTAFSRRAKHFLLCIALCHTCLPEKTDEGDINFQAASPDELALVEAARDLGFL VIDRPAQAIKLETRDTDGSPHTESYQVLDVIEFSSKRKRMSIIIRMPDGRICVFCKGA DNVIMQRLKLSNLAEEKAKDIGRRTSQRRLSRQDQALRRMSTQQSISPYGSPYGSPRN SFGFSRAESSSNREGLRLSLGRRSTDLKRLSQQLARSPRASTDMMSPISPRQSLGHMP SFENADSKIDESLASNEGAIFEKCFQHVDDFASEGLRTLLYAYRYIDEDSYAQWKAKY REAETSLVDRQERIEAAGELIEQKFELAGATAIEDKLQEGVPDTIDKLRRANIKVWML TGDKRETAINIGHSARVCKPWSEVYVLDATLGELKETITMTLNDVSRGMVAHSVVVVD GQTLAKIDEDDELSLLFYDLVVRVDSVICCRASPSQKTNLVSSIRRYVPKSMTLAIGD GANDIGMIQASHVGIGISGREGLQAARISDYSIAQFRFLQKLLFVHGRWNYMRTGKYV LATFWKEILFFIVQAHYQRYTGYTGTSLYESWSLTVFNSAFTSLPVILLGIFEKDLRA ETLMKVPELYTFGQLNLGFRFSQYFAWMVMGVAGSFIIWYFSWCVYDKTFSDQDTSIF AMGMVSFTVAVVFINVKLLILEVHTKTVITFGGCLVSVAGWFLWMLAISGIKPATIGP YIVRDAFIDNFGRTLQWWTIVLLELITLIVIELVVQAVRRVYFPSDQDLMQRIEKDGN VDKVFGDGKDAEEGDAEAEERVVPEAGERVRERRAGRASHDDYQPEFLPTAEEERENP MEQWRR FPSE_07078 MATDMDQSMALGSVQEVTTPTTSSPSIPTPVSAGMKRESPSPSA NGGNSASRRPPRKSTLTQQQKNQKRQRATQDQLTTLEMEFNNNPTPTATVRERIADEI NMTERSVQIWFQNRRAKIKLLAKKSLETGEDIDSIPESMRAYLAMQAMESGKGLGGSY LGRTGLVPFGHGNMMLGGDQGGQGKVLIHHLTCRSLSIGKWTRVGQNTMDLIIFYSPD KCTMTYYINNEQAGYKIEYPFSSIKNIFLENGEGDPTKLGGIVIELNRPPNFFMDSSP TTNGFFQCGDFTEDLQATQCLVHHLGGNPKVLSGQLAKLVSLESFMNRHNPNPYNDPH ALSVSAPVSPTARPSSQPSFNPHHVGMFQEQQWGIHQMHSGMRAGPGHKRQRSRSVPG PVDFAMFQNQPMPSFYIQPPGEMAPPPPQHNPHIYAPVPQPPGNMAPNLRIDTQAGFG LDMRQYPMSATTASPAEFPQSPGFFPPGPEVPQSNYNTPYGNGFLSPMTNGEGIPTSV SPISFNGGEPSILEQSPPMSMMGRPGSTDLYNPVNDGSCAVSEDGTGLNEMYSKHTIN LPMHTPSPGYVQHQQADLDMEQLVQFDAVDPSSLSPEAMPHAHGN FPSE_07079 MAEEEMSSSSRRVLAVALENETAVLSKLVKDLTGTAPESSDPTL GLAGTTHALSLKTPYYSTTVPIWLDLIGSPSDWSESFLSEEAAEVLAVLGGVIVVFTA GPPVSASTENHPAKDLVEHVGKVLKKGLGGWEWDGVGLAVGIGGDGHEEDWDEICAEA GLEFVSVGGKADTGRNEFGGVARVKEALEANDWSQLDAPLSGSEFGDFETSTATKGDD NDDELDPQKMGFGFDKTDFEGLRRAIWEASQDVEEPEESKNADTADKGASEAPAAGGL EDLDEEEIAKIEKMMRKLQAVREAGEGMGEEQRKRMAARAVEEVMRDL FPSE_07080 MNARVVVSQTPPHLDSESVASSAQTSTSHMPLHHYPEDQQYQPY TDRVEDDDPPPSYTVVDNEGPHANPFDLLPVSTSSLGLHPFKGTSNDKTVCYLDKRLD TDPEFLEQHVNDLAKEPPRPYVRVQGVDRKSGESKNGIDFDIHIELTPLLYQEMATRR SWRRIRAVNNFDKVRRGTAKMTRAPGFGGSGPPEEGTPGVSQWCYRYCTKKAGLKAFV LERRITGWDFALVGSRLENLVRDTGYRGEINITFPTTNARVEIYNYCRTNRWRLTRWI VLFFYFTLLWLFTWPWISLRTRWYETVYVEWSMSRPDRQGTLRYACMSEDQWCRLWRR PIQQAIKARRRGRLSQDDIDDACIAPGAEDSARGMRAGFKVLQRTFGWGGDEESSGRH SPRNR FPSE_07081 MGFARCEGPVWGIDDFSVCFRQDYLQILFPLIALFLSVALIAWT TITRAFSSWSKSKARYQQLSTENRRHSHTDLPPEQVDDSEDDDALEINGGRLALVKTT TRGSIVQSDTPPGQLISQIVEELAIAGLVAVNVIQLSSGSKGRHSRAAAITGLVIWVY VFVLSTLRLILGALKWRGPHLWNHTAFLYSFNWVISVFLFRSAFLQDGISQVDQITTF VEFGLITLLFGMAMTTRKGNKTVLLEWEDGIEPSREPLSSLFSLAVFGWVDAIVWKGW KAPMEMEHVWNLLPKDKAAAVIADYRQMKRTGSLAMHLLKYFKRDLLIQCALAVLAGL FTFAPTLLLKVILEFVENYGTVSENDRPINVLWLYVIGLPVVDLIRSYADNQALWIGR KICIRVRALIIGDIYAKALRRKAAAGKDKVLLDEDKPKSTKGDEAQDGFMGKVKRALG MKDDKKATPNDAESANDSIKDDKKDDSNDEQANLGTIINLMSIDSFKISEVTAYLHFL CASAPTQLIVAIVLLWQVMGLSAIPGIVVMIFLLPINYGLARGFTITSKRILAATDKR TNVTNEVLQNIRIIKYFAWEQRFGRIIDEKRQAELKALRSRFMVWALAVAIWNSVPVL ITFFSFLVYTFVEHKPLKPSIAFTAISLFMLLRVPLDQFGDMFAHVQETKVSIDRVEE FLMEEETDKYIQLGLDNVDEDGVRRIGFKNATLTWGSKETLSEDSTRAFRLMDVDIDF KIGKLNIIAGPTGSGKTSMLMGLLGEMTLIEGGVYCPGGRSREEVHPDPETGLANTIA YVAQSAWLVNANVRENILFSMPFEEQRYRDVIVACALERDLQILDNGDETLVGEKGIT LSGGQKQRISLARALYSNSAHLLLDDCLSAVDSHTAQWIFSNCIRGPLMKNRTCVLVT HNTTLCVPSSDYVVLLDNGRVEIQGPSAEVIASGKLGEEIQKTQPGSANPSRIPSRVP SSVGDDETTVNGQGDALDGVDSSKDTKSKPKKDAMEETKATGSVKWPVLKLYLQAMGP WWFWVVALFVFVAQQVSGLATNLWVREWANQYVEQGGPIGMEVKSNAYSTSQFSKVSF ANAVRFGSADDTPEQLNGAIFMQAGQENVNAMYYLTVLAVIGIAGALAALFRDLWVFL GSLTASEHLHNRLIASVTRAKFKFFDITPLGQLMNRFSKDLEAVDQEIAPVAIGVISC GISLLMTVGLITYITPKFLYAAAGISVLFYLIAAFYLRASRDLKRLEAVQRSPLFQQF GETLSGMTTIRAYGDERRFIRDNLEKVNVQSRPFIYLWACNRWLAFRADLVGDLVAFF AGMFLILNLGKTDAGAAGISLSYALSFTESVLWLVRLYAINEQNMNSMERIKEYLDVE QEADAIMEDSRPPVDWPQKGSVEFVDYTTSYRKELNPVLQNISLRIEPQEKVGIVGRT GAGKSSLALAIFRALEADEGKILIDGLDIGSIGLQDLRENITIVPQDPTLFHGSIRSN LDPFDQYTNDQIFAALRRVQLIGPDEPATSPPTPTVPDSPTAKTNQNIFLNLESPVAA SGTNLSQGQRQLLCLARAMLKSPTVLVMDEATASIDYATDSKIQETIRELTGTVITIA HRLATIVDYDKVLVLDKGQVVEYAHPWELINKKEGTFRSMCDMSGELDVLLKAAKKKW DSGRLVDDS FPSE_07083 MSSLRFLDLVKPFVPFLPEVQQPETKIPFNQKLMWTALTLLIFL VMSQMPLYGIVSSDNSDPLYWLRMVIASNRGTLMELGITPIISSGMVFQLLAGTHMID VNLDLKSDRELYQTAQKLLAFILSAGTATVYVFSGLYGPPSDLGAGIVFLLILQLVVA GMIVILLDELLQKGYGLGSGISLFIATNICESIMWKAFSPTTINTGRGPEFEGAVIAL FHLLFTWPNKQRALQEAFYRQNLPNIMNLLATIAVFAAVIYLQGFRVEIPVKSSRQRG ARGSYPVRLFYTSNMPIMLQSALSSNVFLISQMLYSRFSENLLVRLFGVWEAKDGSSQ LHAASGLVYYMSPPQSMKDALLDPIHMSAYIIYMLGACALFSKTWIEVSGSSPRDVAK QLKDQGLVMAGHRDQSMYKELKRIIPTAAAFGGACIGALSVTSDLLGALGSGTGTLLA VTIIYGYFEIAAKEGDMSGMKGMIMG FPSE_07084 MAARKPPVAPLVVVLGSTGTGKSDASLKLYSPWDVDDFKREATR TIREIRERGRLPILVGGSQYYVDPILFKEVILDDLELDTTKSFPILQESAEVMLHELR KVDPAMAERWHPKDRRKIQRSLEIYLRSGKRASEYYAEQQARKEAAQKDANKEPWENL LFWVYSEREVLTERLDKRVDKMQTSGLMDEVRELYDFKHKKEAEGQKLDMTKGIWQSI GYKQFEPYLFAIDEGQKAAELEKLKRAGLDKMKAATRQYASYQTRWIRLKQIPRIHEV GPEAMGNLYLLDSTDVNAYGKNVVEPAVQLAQQFLRGEERPRPTEISALAQEVLTQVG NPPPKATPCKRVCEVCHTTLLTEEAWKQHLRSSTHRRVVRRKARTALVPVEHKNEKKE KTQVDEADSGPELGSIFSE FPSE_07085 MTHSPATNGSVQDAYPATPTNIDGQTKWKPTGKMVKEEGGVRFM DSYLWANIHDELQAMREIVDTDDPEEASVMGSEDLSPDYNIDLLIPSDASNRSIEDHQ PDPVHIFRLWQLFVDRVNPLTKIIHVPSVQPFALEMATDVNKIPLNYQALLFAIFTMA TVSLSETECLQMLGITRDQALRRYTIGTKLALTKFNFLKNYDMVALQALLLYLLSLQN RYDRHAAWILSGMVVRIAQKMGYHRDGDYLGLNAFETEMRRRMWWQIILQDAKNALVS GLSHSLLPSSWDTKMPQNVNDADLFPGSIEPVQPREGPTEMAFCLIGYQVAKFLVNAE SLHGHPGLEAAVMGDLEGQDPSNVPSIQEYRELVDMLEQNLLEVEARYIDPTAGNVHI AALSIRPMICSKMREILVPMREQPEWQTGEIRDKKDNLFKIVLMNNEHSTDAYGIMDE TGFLWFLKFHFQVDIFTVMTKQLCQRPKGSLADRAWNMVEKIYHYHPELLDMTHKTNC IQAQTVLKAWSKREQARREDGQIIETPNFIFRIRDVIASESRTSEPSPQQPITHAPAS ATQMTDLDPFLGNYLDVSTLNWDLWGTMTQPPPPPQQSQLPIQLYGNFPMGNLG FPSE_07086 MSNTEVLPRMNTADTNVLIVCICAMVFVVFLAGVVYCTWKPSRN VTPEPAPVELGPLHVPVNVGHGNVDRPFDGSAGTTRVTIYSDAPRRNSGTGCRLPFFQ RRRETSLERNTVPLRSVPGTEHPRNNAD FPSE_07087 MKKMSSLTTLISASASATSTSTPKHGSHSDKTAIIGMTLGMVFA FFILIFPCICFQGLAHLQQKREERKEAEERARADAAIGRMIEDEQRRLNIWEGV FPSE_07088 MLAQRVGVNALRSGAAKPFFAQNISKSALAAGISTSSPTRATGS VKVSQEDGQQILVNQRLNRPVSPHLAIYKLEQTWFGSSAWNRITGSTLSVTLYGFSIA YLAAPVVGLHLESLSIASFVAGLPLAVKGGLKFTLGFPFVYHAISGVKHLLYDAGKGF AKSTIIQADKYVWAASVLGAIGLVAFL FPSE_07089 MAAETPKLLWNPDNVKDVAESVGISSLNEEALKCLTQDVEYRVG QVIVEALRFMRASRRTTLTVNDISLALRALDVEPLYGYDSTRPLRYGEASLGPGQPLF YIEDEEVDFEKLINAPLPKVPRDMGFTAHWLAIEGVQPSIPQNPTTSESRSQELLPKG PGANPALSALAGNDNVSMKPSVKHIVSKELILYFDKIQAAVLDDNPDEEVVRLRQAAL GSVRDDPGLHQLIPYFITFIMDRVTHHLDDTFTLKQMMELTNALIENKSLFLDPYASP LSAPALTCLMARKLGTEDGTDALKEQYDLRQLAASLVGQIARKYSASNTLLRPKLTRT CLKYFLDPTKPPAVLYGAIHGLLEAGGPEAIRVLVLRNLKTFDSGILQPLREKMEGSM DYEMLVQGIVQAVASLADHISSDTNGINGSTSVEGEASELKEFIGPIIGGKIVACGNR RLIRTILDARNLE FPSE_07090 MAGLHELREDYLMQSDDSTCSASTDHTSGTIFVGIDLGACFSGI SYGRFDPQDVAFPRCWIHTATLGDVSGSRVQTRIPVNYYDKHFKGPFLEWFKMSLFRK EGLPDNVLRSSKVTELTILHQSLKVSAVTAMVDYLKLLWSDFHGSLDLYVYDFEYNLI IAVPGNWPVYVRRMMLEAIHKANILSDKVHLSPKFISDIEAASIALVLDLSKEHNDTH PILKAGDVVVVCDCGSFTTEFTAYKICLIQSLQMDEITRAECIFAGGALIDNAFMNLL KAKIKKKHPRSIIDLSMEELREIAHDHWEKDMKCNFSGDRREWTFEVPYGGGYTNTSM TISFSAFELALIFDPIVDKITSQIKGLFDHDSIRGTYQPHVIIAGGFGRSPYVQTRIK QVVYKHNSPVTVHCPPGDQGCTAVSRGAVLRELQGFGSASLNHRKTQLYVTSRIVRAS YGVALYPELDTIWLLKEGERLSAVEHHRVSLPPEAISTTDTIDGLSNTVWLFYKSRDN SYKQPFCKIFWPLTGPKTELVVDFEWDSIHMEVSILHEGRKLDGLSTEYCPRA FPSE_07091 MSIELPVKTLALRSNSISSLAMALQSCRSGLLRSRQVARTLMPC VRTYATDSTPESFKSENPSTSAPVPRWSQTPPAMKAPIQLDFAKNPQNKVWSVNNDPK KLDEVYDRLLGQGGSKLLPEEVKWLAVTHKSFDQGRRGRMTLIMETTKTIVAKDPMSQ PKKDEYNREPFTHPSLMSVDNLSTQGAKDIAGKSNLSALAADVGLIDVVRWKPRNVQK LKHSGVDVVLNGAIMAIIGAITLQHGGVVASQVIRERILSKLQEE FPSE_07092 MPRQAPIHHFSYRSLIIPPILLAAATIVALFLHSDVNAALLWSQ CHSHARIPGLSRIPAIGTPLCYLISFFRAALDSLRSTAVMAVVLSFLGGLLTVSTVEA ARICNAPNVLIAYPTGPWLVFDLVGGAVVWELVIIPAFLHRARSVLNARQRDDGHGEV DQIFTSRHLPDSELVAIPISVAIGYFVPSILMLFKTTPATVGVWLFFPIYVTIVRQII RNVIAVIRRVSPTNIHLTSNRRSFLFVYLLPIVCSILAHAFFIWSLTQPDDRKEMTRS TIVFIEVDTQFIFWTVLYWMLVEVGWRVPLTTIVTSIVVGPGAGTCVGWMYREKLIHH DNEEADENAQPADEETPLLQ FPSE_07093 MASHMLRPLASSAVPASASELCASSFFFQKQLKGYRVKDGRLSS RKQWHSTLKASSQRALVADISKPLALIRHVSTPTPRNYSTVVLPKTTPYENLVVGVPI EIFPNERRVALTPANVAILKKKGFKQVLVERGAGVHADFLDAAYEKAGATLVDSPREV WSNSDIVLKVRGPGLEEVGSMKEGQTIISFLQPSQNKELVEKIAARKATSFAMDMIPR ISRAQVFDALSSMANIAGYKAVLEASNVFGRFLTGQVTAAGKIPPCKVLVIGAGVAGL SAIATARRMGAIVRGFDTRPAAREQVQSLGAEFIEVELQEDGSGAGGYAKEMSKEFIE AEMKLFKDQAKEVDIIITTALIPGKPAPKLLKNDILDVMKPGSVIVDLAAEAGGNCEA TKKGELAIYNDVKVIGYTDLPSRLPTQSSTLYSNNITKFLLSMTPEPKAFGIDLTDEV VRGAIVTQEGDIIPPAPRAAPPPPPVKTETAQETPEAVALTPWQKKTREVAGVTAGMG SILALGKWTSPLLMSNAFTFALASLIGYRAVWGVAPALHSPLMSVTNAISGMVGIGGL FILGGGFLPATIPQAFGAVSVLLAFVNVGGGFVITKRMLDMFKHTGPTDPPEYPWLYA VPAVLCGGGFLAAASTGAAGLVQAGYLVSSVLCIGSISSLASQATARMGNAFGILGVG TGVLASLLAAGFTPEVLTQFGGLAAIGTIAGMLIGKRITPTDLPQTVAALHSVVGLAA VLTSIGSVMADVMDPSTLHMVTAYLGVFIGGITFTGSLVAFMKLAGRMSSKPKMLPGR HIINSGLLATNAATMGAFITMAPGSPMIAAGALAGSAALSFIKGYTTTSAIGGADMPV VITVLNAYSGFALVAEGFMLENTLLTTVGALIGVSGSILSYIMCVAMNRSLTNVLFGG LGTPTQVQEYKPQGEVTTTSVDDLADALLNSEKVILIVGYGMAVAKAQYAISSIVSTL RAKGITVRFAIHPVAGRMPGQCNVLLAEASVPYDIVLEMDEINDDFPDTDLAVVIGAN DTVNPIAMEKGSSIEGMPVLHAWKAKQVVVMKRGMASGYADVPNPMFYMPNAKMLFGD ARVSCEVM FPSE_07094 MSTVGRTLTNLRKVGIKDYFKQMLYIGDTKAGVLIGTDRAGNKY FENNEELPLRTRWVEYAVHDYDAAHIEPGWHAWMSYSVDKPPTQDAMLNAGTRAFEPS RAIPNFTQTRGAFKTYNTAKPKMATWQPVAAPRE FPSE_07095 MPAGGASASNSNGAGALAPIVTELDRLAPSFDIRGEQIRIIQTP AQFYETLKDRIRNAKRRIFLSTLYIGKSEKELIETLQESLRKNPDVKLSILTDALRGT REAPNPSSASLLAPLVEEFGADRVEIRMYHTPNLTGLRKKYIPTRINEGWGLQHMKLY GVDDEIIMANLSTDYFTNRQDRYHLFSSKEVTDHFWSIHSGVTSFSFLVQPSKEPAGF TLAWPENNSAPSPLEKPESFIKSTTSTLQTLLHPKSRPENDISDTRVYMLGQMSQVMK PDTSTELPIITHILKTLALPAYRDSSWTFTAGYFNPAPSLTKLLLGTASSSNTVITAS PEANGFYKSKGVSGLLPDAYTLLARRFVHRVHHEGRDNDITLKEWRYGVVGQPGGWTY HAKGLWVTMPGDSNPAMSIIGSSNYTKRSYSHDLEAGALIVTRDEGLKTRLGEEQLWL QEHATKATRDDFARTERRVGLKVRVAMWIVSLVGGAL FPSE_07096 MAGKGIGCLAEAMGALRVSAKPATISRAFTRSMATQVSSSDAST ETTKDTSTTQTILGSWKPIETVPVTIHNFPSLEPTALERWSVDHLYLPLRRDLLHLAV VYEGDNTRQGTASTKTRFDVHGSHRKMRPQKGTGRARMGTKQSPILRGGGKTFGPHPR DFGTHLNRKVYDKAWRTALSYRYRQGDLIVCEDGMDLVLPTDYEMVAGKYLKDGLKEA YLKRYMTGVMSNLGLGRAAGRTLFVTGDRREALFDAMEQVPWDGRALGIEDVDVKDLL ETGKVVLERSVLKELIEKHQSDLVSRVVMQGLVKGGPEAGTRVIGA FPSE_07097 MSRCQVAMRPISRQLRPQCPSIVRPFTSAAIRRTPAAETTTATA TEADLDPNTVLPEFEEQLMKSGKMPIGSRRRRLAIRSTGDLPFEHLPYQAFQEARKIL SVDREEKLGKIQKEIEKISRLEATKPEDIKGGQHIKDMRLRGLRKYVEELKILADAND PVVKKRFEDGTGDMNKPIYRHYAEAKWRSYDQRLINQRIHQFSIVPDVLPKLEPTADV QLYFRQLKVPPGQIVDSIVSEKAPRLRVQVFDKGERLVSIVVLDSDVPNPDSDTFNKR CHFLAANIPLSPNDTSLPLSRIKAEDQLALPWMPAFSQKGAPYHRLGIYLLEQEPGQK IDIAKLKKLYSDRDGFSLKSFRDKFNTTPFGFNMFRSVWDENTAAVMARSNIPGSDIE FRPTRVHSLKPPVKPRGWEAKRQGPKYRHLWKYTKNIKGISNSRGWIKRR FPSE_07098 MNRSTPNFGHEAREFQDNYTLNGGQEDAVIDPLLNDCSPTATMN TGNSIDNQLFMNSGMWNRPLNNPVDFYPPAMPGMGHTTLTNSPIQPSDTHSSPETPFD GFAFTASRTSSKSSVPSTKSPDADADAKPRRSSRTTRTQTQQLSTETATKPSRQRRAS KAVAVKTDPEEEEDQDEDEDGGLDESARRDKFLERNRVAASKCRQKKKKQNKELEEHL CRLEIEKELLHKQCNGLVDELSTIKNQLMEHASCNDANINQWLDNEARKFVQRIASQS KAQIPPHQNTGDCCDMHRRSSSVARSIKSEINFDHMPDSMINSNP FPSE_07099 MSEQLSTPRITAAYLDNFVGRVVMLVGEVTQLRGDQATVESDGT VTVLLNRDAHLSNGNYVQVIGKVNPDLSIKVLTSRDLGNSVDHGPFQSSQAYPPRVAM IWTSPKRSDAHRSATRSGQASFDSKNRKSNATSPNSDTETATSRPLHNTHAAAQRLQS RTTSNFGPSRPSTAMSYTTYSSDIRDREIESRSTSPLYPSHISQTPNPSMGTLLPSPP EEITVCRSYSPETTEPFSTDTSSRAYSSSQQTYDQDSQLSHIPSAQPYTPPGWSLPSL SSLSLLPSPPMTQSIDERFRSYQPPVSPTFSASSRRYPSRAGRRFSAADESTVPDSKS EDLELEESELFDSVLEGIGRIHVSMGRDDAGRWRIKRTTDERP FPSE_07100 MPAGTPRTESLYDESTPWFSLPKRNQRLSKRPSISPTENQRGVR ALAAKFEGGKPSERSPTPPSSATKTQALISQFSQEPSTRGVRSRSVSVSVRRSIPHDP VNQNVSPSRNSSAAPNAVGDGISGQDLRVSIGEEAAIKAIELQQADKERKPSPSFHGQ PETLTLRQTVPRRKPVPAENGIEASLKNPGSLGTMMPYPEQPPIAQHLNFVRPSSTID AQGTDTLAVPYNTVTPIQRPGSTTTLHTQIRNLQRRLDLKTEEAVQLRRQLEVQEDAD ISTLSQQLREAKREAQMWKERAESAERRIKVFERFIARLKGIQVAGETADGKATTGKT IAGSEYLQNAEQDNTAFLENANGYESDSSGAEDARVVSARMQSCLDGLTDAPSDFSQT HTRERDISPGDAEIWMAAQNLLDNEGQVQIDGGGSAK FPSE_07101 MEGNPEPEPVRGEPPLETEAKAPADIDLPSEPLGTQGQLETGET PVEDPAQEQVKSWIESQTETEPQQPPIESSTVDAEGDDTAGELPRVEADAPSTETEVP GMTGTAAESASTTASAPPQGTDATAPPAGVGVVTGPALITPRRRAASASTGPSSSTSA AGHGHVPHFVAPSSYLRRRTSIRSPMAPTEPKPLSPLDRDQLQGLNAIRDFLRVRTSY DVLPLSFRLIVLDNELLIKKAINILTQNSIVSAPLWNSKTSRFAGILTSTDFINVIQY YCQYPDEFHKLDQFRLSSLRDIEKSIGAIPIETVSVHPSKPLYEACRRMLKTRARRIP LVDVDSETNKEMVVSVITQYRILKFIAVNNEHNTVLLKKTVREIGLGTYSGIATAKMN SSVLDVVHLMVKYNISCVPIIDSHGRVLNVFEAVDVIPCIKGGAYEDLDGSVGEALCK RSDESPGIYTCSEGDRLDSIFDTVRKSRVHRLIVVDDDNKLKGIISLSDILKYVLLYG VEDTSNWA FPSE_07102 MGICSSTCCGGRARDGLYEPVLADSEREAVADLLQYLENRGETD FFSGEPLRALSTLVFSENIDLQRSASLTFAEITERDVREVDRDTLEPILFLLQSPDIE VQRAASAALGNLAVDTENKVLIVQLGGLTPLIRQMMSPNVEVQCNAVGCITNLATHEE NKAKIARSGALGPLTRLAKSRDMRVQRNATGALLNMTHSDENRQQLVNAGAIPVLVQL LSSPDVDVQYYCTTALSNIAVDASNRRKLAQSEPKLVQSLVNLMDSTSPKVQCQAALA LRNLASDEKYQLDIVRANGLHPLLRLLQSSYLPLILSAVACIRNISIHPMNESPIIET NFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKALVLDAGAVQKCKQLVLDVPI TVQSEMTAAIAVLALSDDLKSHLLNLGVCGVLIPLTHSPSIEVQGNSAAALGNLSSKV GDYSIFVQNWTEPQGGIHGYLCRFLQSGDATFQHIAVWTLLQLFESEDKTLIGLIGKA EDIIEHIRSIANRQIEPDNEFEDEDEGEVVNLAQRCLELLGQSMSKAHIEG FPSE_07103 MASFHFARHPVRTTASFVKAACLVHLGITYGYTVSPAQGPSMLP TFTVDGDWILCDHTRRYGRGVSVGDLVVYRIPIFNNQWGVKRVTGMPGDYVSVGTPGE QGEDLMIQIPEGHCWISGDNLPASRDSRHFGPLPLALISGTTIAKILPWNERQWIKTG LEKVDELD FPSE_07104 MSSFACLQSSRRALYRVFVEREALTRQFIPTQRILPVYHNRRFS VSPLHLKGKARRGKAGQDDFETEPNDEENKTFDRRYTTKEDFIKSGRDRLPIDFEITD PKIMVLDNGVLDGPLVTRNVMSRIDTSTDSLRMATPYIPADPKNNKPVQYALCKIVNK REEYERQRELQQRRRVSKQTSSKFKEIEMSWAIDENDLKIKTKQLIGFLSKGWKVEVI MGFKKKGQKKRTSEDTAEEAYVKVQNLVKELGTKEYKPREGEVGRTMRFYLEGIDKEL NTERQAEAAKEQPSATAEMEQEESPVEEDGSVQKEA FPSE_07105 MAKKRAISIHSRAARRATDIDIDTDKSLKEVQPPPRDAPHRPSV LAAQHSAGISKKAKRGRKDKMSAKARQRHEKGLEMAAAVAERTQNKIEKSKGRSRNIQ TRAKNWEEINRAAEEAERAAEEADKARDIANEEAKKDEGDEDMDGADEKTLTAPVTDD SAAIPTNSVQADEDGDEIL FPSE_07106 MAEPANTPVPASTPRATSPAPHQSLFSQSGSFLSPKLSMPPSKP RVLHIGDPVKYNPETFLRFSIQCEIVRPSAEERQRPELIKALKDKRWGDFDAIFRPFW STGGEMGKWDAELINLLPESVKVFASAGAGFDWADTKLLGERGIIYCNSGLAAAEAVA DFAMAGIISTFRVLPWCISSAMSGDEEAFSTNHRDATMQSRNLRNQALGLIGFGNIGQ QIAARARHGFGMDVHYYDILPKPSSVVAPLRATHHENLESLLAKCDCVVLCTPAGDGT LINATTLPLFKRGSRFVNIARGSLVDEEALAAALRDGTLSNAAIDVHANEPKIHPGLM ELAKQGRVLLTCHNAGGTVDTHKGFEELSMRNIMAILSGGEAITPVNLQFLK FPSE_07107 MANTSNDLGARLASVLPKGHKFTTLHISTPPTKTDPLYSPPPNE RPERTYCENHFLAVSIDAPNEQGKQVLVLGIEVFIYTTARSTTLFVSKADSTGYLALL NLPKGTPSPIREICATFVGFLVEKRRRKDIQFVVNLFARAQDQYLFPGSVDNEGKHVL DDRGLIKWWCRVLDPLLGPAPKSTDAPWKSANGYIVVPGLETHETRALTPRKAHSAWE FTHPLERISHYYREFDWVPPRCLIPHFPDDPKSRFRDELDEEAASSQAMKNQGSWKSV KTLDMFWEMMAYRQECSSGRMTGFIWLVFDDQEPASKLDDSQSTAPPETPKKQRIVQI TPTTTPRKLFPSKEKKDSRIKKKKTKKTLKGPIIARAPRIKRAKHNYLLDRPANTAYY SWTPQGRGERIFDEAAYKRIVGLLLHLDFATLNKAVGSTRRWLSEGGGGGKWGVVVFG TRETPVPASRDTIGVNNLTNLVKRKRTDSTVDDNQSKVNVLGAGLVKKKPKEESKDVN VLPMGLIRKKPKE FPSE_07108 METQPKRERSRVAQREYRKRHASRFNTLKDENQRLRDALKRIEK LAQKRGGKDQELETALAEARETVGDEGTSDGNGQRATSSSSGASDPITSERTSDISEL LSSDIHLHTQALGQDTAQKLSLAQPLWTDIDHLARIFEAPSDARRYLGEGLYTFAGSL YWACTRNTVSLWETHKLNMLGKPGPPDMNPMDRLFNHSKHLTDRRFMLSLALARLEYK QKGFIEHPHAETEMIYRSVLPELRKKMEQELVAKGQGMEWWKTPREVESHLMKYLEPS EVDELQALIEGRGSAAVLTKYKPLMDMMIDEFVCFADGPRWNLIHVAMAVGSWRSDHP KPSEMGIGA FPSE_07109 MPSPIHKTTYPAISPLRPELSTKGKNTLITGGGSGIGASIAKSF AKSGVTKLALLGRTGKTLLANKTEIEDKHPQTKVWTYTVDISDSESTRNALEAYAKAV NGKIDILIANAGYMPKAERITVADPDDWWSGFEINTKGNFNLLRAFDPLAAPGARVIH VSTRATYIEYLEGFSGYRASKLAAYKLFSWYANENPDKVVHQFDPGLILDTGMSAPLE GLIKEIGLVGDDVELPSDFSVWLASDEANFLSGRFVECAWDVGDLKAAKEELKASWNK WTIGLLV FPSE_07110 MWNDGATEKRTPPNRTVATAASRIKTFAIRQSPPSPRHQQHLQS SKASARTSHSARGNDWSTVNWQNMANPGTLWVKMEIEVSDKPDTTWYEQLSAYSLKQQ YDSWSQWRKIMQNHKSILQKRLGTGRQAFVQLFAASKVRNVDR FPSE_07111 MAAAGGLGSPGPELSNDYDSINVNPAGSPPSQLPPLNDYTIKKN EVEEKENIINETPSEDETAEEPSNKGSFTIDPEGELTHDQTTVDIVTVPCPGANSLRT WSRDGRMGRFFGALSMRDAEGQTAPDPDRPAPSWVRQGIRREADRARILLYEHPSTAE GMRLSTLADALLEELEELRKREKQARPIVFVGHSIGGIIAKMVLTKASRDTRYEDIYR QCYGIAFFGTPHQGSSYFAMPNLATGIQSLLQLSAPLPCSITDDMRVGNPLLLQLDDD FKSIAHDFRIWTLYETIDSRLSGSTGDVYFTAPLTSIKSAILGMRQETILPLQSDHAN IASFGRHNVHTMRLFMKQLSSLIDRADEYSCEDRNWTLDLEQKVNVEIHGFFEDSPGP LDSATIRAWSTRLPLREFLRKGPQECLADRLNEVETVDEGRFLRERGKTARLPTQRGD MDGAGNNALGISQDGSFHASPPASPIIRPIDAKNTRSESAPQVISRSPITPLSPVAIS PPTHYSTPMQRPSPLIRANFDQDLAVDRLSPPPSGRMGRTLSRSTSLGTQASQYEYRD FPPFSQRSRSTIEGFSDEDDIDASPKLPEAIVAIRKVVENGDKRTSETVIVDEVPVAF SKPQVEARKFIWVHLPYNNPSWVTKIFETLQISDHRNYAPLFNNDFWATRHSRGRHSQ HYAYFAKPGCYFSAPRHMSPRGRSSKQSSMTRSDGGVYTCLFLPYLHFDSYKRLIRRR ELILQRLNHGRARPVPESVAKSDSLEAQVIWEYLGHDPPINCRRTLDQYGYPSLRDTR SRDDDQMLYKLTKERSFAPGLGPGFHGQMSNSSDGSSGSGSRRSKTSSQDGDNEDIPE ESILNGNVLMVDQLWLWVIDSHTLLSFFPKREGDAIEGPLYQQADLRDSIFNEVNVDL TRQCENALDLAALSVLHAVTVLLDRASHPDLEVFRIFEEAISVLTEKLTFSLKSFRAE GFRDKASAYEPVENKARSIRARHKAEGRRSEEDNRDNTSALLELRDIEDELLILVHLF ERQSKVVSSMLSTYARPELRERTANGRIFLSEALKKLSEYAHQGQEMIERVRNTRDDY DKLLQMVQRQAQVDEVRLSRLHADLASAQSRSVMIFTTFTVIFLPLTFFTGLFGMNTH EWGGENNLSLKTIGAISLPSSLALVIFSLVAAWSTRARLFAKWLTHLYRIAMSWFWRT LCKPVVSGVAGMVPQRDERQRDERDLRGKKGMLREEMSDFWERHRLERDRGYTVPEKN KKTTMGGKGSWRSKMK FPSE_07112 MLDSFGVAIFSPEEIEQLKRLEQSHPRWGTDNNPKESDPEPARV VSDIQSDGALKTPATGLSSMLASNPKIKAAQSEWEKKNQPQSRPYIPDTSRVPGMIIP TEYSSYKNPSGKPPQTHRKRDSPASGVAVPAAKRQNSDVDVAQARRDAPRFTEEEVAR QMSELSNNMSTIKTNAIPQATHFATPGELLQKYVGQGSVPTPVPTPVQATSTQAQNLP VVGDTTVAITIAEGTRLYTTYNHPEEGPISGRGAVIPPKYKLHHDPQLQYICPVRDCR RLFKGLNGLGGHFSASHCSTTFNDNGDGTLSKVGKYARNGGPGGTPGIVVSRNPLPPG APPPVNPGLPVNAPPKNRVSPPEQSSKKPIRWQETPVLPPAPLVRSNTSGSDVKSFLH RHLSTNQPEHHREDIDFMLSLPRMRDLSESWKQGHGGKILDVNHYACALAYLTGRAVT GTEQCRANAYRPTARLSAPCVALPTGMPTSAKQAFSSLDTCVGCRYWCILQRRSNSCA WCPYPQARQQRTTSGGSARSSSSEDVIPTMDVDEDEFEGPIVGTVTENINEAKRPKRS QSAHTPVEATGEVLGRPNPMGETELEMEDWEIAPGRMKDSSSDNIAFSNSYLTSGQPV TVSEDISFNVIVLKPGTSSHWNVEDNKVRTCSVAAGKVCVTMGEQNFNLGPNGMFVIR PGQACRVANRLYLDSVVHCTTICDFALQ FPSE_07113 MRFLTIQRPILAAVAGLARPAFTPVAPLGVQLANSLVQGQRFAS VKSQGAYKKKPKRGIPNKLGAKRTGDQFVIPGNILYKQRGTLWWPGENCIMGRDHTIH SMATGYVKYYRDPARHPDRKYIGVVFDKSDTLPYPQHAERKRKLGMSVFPIKEAAAKP EISPSGIPFQVTRIEAGEPDRLLKLRKDYSYREDNWAIGKLARTTGLKTKRFRTRKQW FRHRRWRRERELEGQRKAEQKRAESGGEIKAVKMISKKQAKKAAKKAGKKK FPSE_07114 MRYIIAFLSLLTLVNGQFGGFFDQMFNQQGGHERHQAQSQNNPS DANHYKQNYENSVCDKYLCPDTLACVHFPHHCPCAWDAQQEKVELAEGQRICVSRGGF RPGETARKIELARKGLL FPSE_07115 MASAVESPPAHSPPTNPPQRPRGILKNSYRKSPPASPEQEQAAS EKELTIANTHINAGHRRSSSGARPTGSRRQSGVNSSSDGLEQSQRLKWDEANLYLTEQ ERSSTMKITEPKTPYAKHYDPAEDPSDDEDPLADAGARLKDSRPSREDDIPGLSLGEP EEEIPEAGAPSPRSEKSVHVDDESSIGHDAEEDLAGMSPEEREKHRKFEQLRKRHYEM KNVAQLLGHPDDLPEDEDEDDSVPPMPKVPQVPNGSS FPSE_07116 MSQNPTEETPHVSGQSNTPMSAPAHALTYEQVAKELNANLEDGL TDQEAKGRLETAGRNEFGEQEGVQPLKIFIGQIANALTLVLILAMAASFGIQSWIEGG VVAAVIILNIVVGFLQEFQAAKTMDSLRSLSSPTASAVRNGNNQTIPTAEIVPGDMVE LKTGDTIPADLRLVEAVNFETNEALLTGESLPVRKEINKVFDNDTGPGDRLNVAYSSS TVTKGRARGIVFATGIYTEIGQIAVALRGKSSKRRQPKKNAQGKVNAGRHIEAWTLTG SDAVGRFLGVNVGTPLQRKLSKLALILLGTAIVCAIIVMAANDFKSQKEVIIYAVATG LSMIPASLIVVLTITMAAGTKRMVQRNVIVRNLKSLEALGAVTNICSDKTGTLTQGTM IVKKAWIPGRGTYSVGQTSEPFNPTVGTISLAEDQPKNIDFRDEKAEGNSIDASAQTS QDPGLMEYLNVASLANLATVHQIEGEWHARGDPTEIAMQVFASRFNWNRMRLSGGENP RWHEVAEFPFDSDVKKMSVIFMDSEAQKQWVFTKGAVERVLTSCPTYAAGNEILEFTD AIKDDVIANMESLARLGLRVLALASRTDIPHVEDNEAELDRGLFEKDLVFRGLIGLYD PPRPESAPSVQKCHEAGVNVHMLTGDHPETARAIALEVGILPSRINEIPKDVAKVMVM TASEFDKLSDDEIDALPLLPLVVARCAPQTKVRMIEALHRRKAFVAMTGDGVNDSPSL KRSDVGIAMGQAGSDVAKEASDIVLTDDNFASILNAVEEGRRMFDNIQKFILHVLAEN IAQACTLLIGLAFKDRNNLSVFPLAPVEILWIIMITSGMPDMGLGFEIAAPDIMQRPP QNLKQGVFTPELMIDMVVYGLWMSALCLSSFVLVLYGFGNGAADIGNDCNSKYSEECK VIFRARSTTFACLTWFALFLAWEMVNMRRSFFRMQPKSKKYFTQWMHDVWRNPFLFWA IVAGFVTMFPIIYIPGLNTVVFKHAPISWEWGIVFVEAVLFFMGIEAWKWAKRIYFRR QARKSTGGVSDLETRIFGKYYNMAGDSRDEESGGEKSSK FPSE_07117 MEPVGIILLVLFLFIIVMGPIACVRINARRNTAEKVHNPQPHQM DTARRKLSTVTTSTIMPRPNDVPATDIEASIPPSEFGECPICIGPLVPEPTYTVTQDP RPVLEQVSTVTSHETTSQAKSQATAGQTEDVNDTNDEVMTINACGHSFHSKCLSSWFL IDRYDCPVCRVPYYKGMPRHQQSLNVSPFW FPSE_07118 MTSKRKRTGAHPPPPIPDMPDTVPRRSSQRLKESTDERTPKIDV TKMASNPMKPTNTKKAKAVWDTGEGVEEAMRELSEMEHKLQDAVRTQRLAVESSDLHV KKEIAQEPGIRPKVHAPNNNTVTARGKLNGKPKGVKTAPLDEHDAELAADDMAEAKEE NGVDRGANRPPPVNSDTLPLPWKGRLGYACLNTYLRNATPAVFSSRTCRISSIIEHRH PLANPDEPEHATKNRPDKSQPADNERGLKYVQELGLANARDIVKMIRWNVKYGIKFMR LSSEMFPFASHQEYGYKLAPFASEVLAEAGKVAGELNHRVTTHPGQFTQIGSPRKEVV TAAIRDLEYHDEMLSLLKLPEKLNRDAVMILHMGGTYGDKAATLDRFRENYAKLSDGV KRRLVLENDDVAWSVHDLLPICEELNIPLVLDFHHHNIIFDSSIREGSKDIIDLYDRI RATWTKKGITQKMHYSEQTSAAVTPRDRRKHSARVKTLPPCAPDMDLMIEAKDKEQAV FELMRTFKLPGWDTFNDMVPHERPDESRKAIKKKPKKGKKKTAEVNGEAEDDIEVPEK IVSAEDFGMGGPQGRVYWPEGMEEWLRPKKREIKKAKEGKDESPKMKEEGDED FPSE_07119 MNSAIFDGASEKFLQWFKSLPGSTFSDDIKIVDLRDRNAGRGII ALRDIPAETTLFTIPRKGSINIETSELPQKIPDVFDLDKPDEDDVPGLDSWSSLILIM IYEYLRGDSSQWKSYFDVLPSSFDTPMFWSENELDQLQASHMRHKIGKADAENMFKKT LVPIIRSNPSIFNAENRSDSELVEIAHRMGSTIMAYAFDLENDEEEEEETEEWVEDRD GKSMMGMVPMADILNADAEFNAHVNHEEESLTVTSLRPIKAGEEILNYYGPHPNSELL RRYGYVTEKHSRYDVVEIPWDIVESVLTNFGISSKILKQIRGEFEGEEEFEDTFVLER DTGEINSDGTFAEPAKFEGMPEDLQEQLKSFLKGIKKVQSDTIPDKRKRDEIHQAVLV KTLEALAARYPTSISEDQTLLNGQNLDQRARMATVVRLGEKKLLQEAIATFSEDVEMT MDDESGPAKRTKRSG FPSE_07120 MSKSSKSTKHSSTRKGSSSSSQPIYPGFLFITNKLIINEPDRDL YRHHVPPRSPSQYSGEIPSKVMRYRNGDVTEAPGFYWLRDTNSGPHGQLLRLDGQGGH VLDQSNMIYTGEEYKTFGVAACNPLLPIMVAEHDPLVSSGHWDLLRIFHPTNRPGLSQ VATDNSRMGVGGGPVPYVAGSSPSWMPGLVPRTYRSPRSGAPRSAGLGGELPIILGLM ALNAPREPGNTSVHNVFLGHNRIWKHGQWISTDAPRGHPPTASDDPTGFVVKVFLDPD DPYSTPEWLFNLEWENAVVRE FPSE_07121 MASALFFLDLKGKTLLARNYRGDIPMSAVEKFPVLLSEAEEDSS AVPPCFSHEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKVVEVFTEYFKALEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEIQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSANGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FETTGRATRGKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECVVESHSGSRIEYMLKARAQFKRRSTANNVEIVVPVPDDADSPRFRTNIGSVHY APEQSAIVWKIKQFGGGKEFLMRAELGLPSVRGDDEQGGGMMGGFGGSMGGVGGVGKG AKRPIQVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRLPDAV FPSE_07122 MECNHMLPSDRETESRHPLGPPPARPSPSLMALSPPAYSNLALP SPRDFPDVPATDPSTFLPPLARVGADNDIKLPSISSLRSEMALEPPRTWGLLPPMPPN HAMPMGLPSIDSPTRMDLDASSNSVISAASPDRLLDARAGSVSLDDPDVRLAAEALGD LRADFISSPPHTNSSLPVSPPSHGLHNGSQPPQPEPLLSLLTTTHPLLASTIEGATSA YGGAKNFSPRFRSGAEYVEGYLTPIANTVGSVGRVTGVEGGVRWFLGAGRRQNSSTSD LETGNSKKRRKVDSEDEAVSNKRFESEGMQQMSDEQLDDAFSPSSRTLSRRMSTTSTV DTLPAYDEMRSPAYTETDAQDSPRSTPRPNSAWQSRLIMSTSGLSVAMSEESLRSLKY CLQWLRWANDHISRVIMALKTTLEQYEKVQPQEGSEKQTGQGSETESRTLLAARISSL KSDVLKTLRDAINTVSKYAGGALPENARILVRRHLTSLPQRFRIATMSDRNPNSQQDS ESALTESAQKVLVLAKEGLDMVVQVSGVVDGTIVSAEQWLDRMGSKRRAPDEKPVLPQ TESNGDVKMG FPSE_07123 MGYPDTFEGFCVDSPKSWNKFEKQTLTPKTWGDRDIDVEIECCG VCGSDVHTVTGGWGDFEGPLCVGHEVVGKAVRVGDKVSEIKVGDRVGVGAQVSSCLEC KLCNNKNENYCPDMVDTYNCKYPDGSVAHGGFASHIRAHEYFTFKIPDALKSEQVAPL LCAGITTYSPLVRAGVGPGKVVAVLGIGGLGHMGVQWAKALGAEVYAMTHSPSKVEDA KKLGAKEVIVTSEEGWADKYKFTFDFILNCADMTHKFDMNAYMGTLNIGGEFHNVGLP DEPLPQFTAGTFAGNAGKITGSHLGNNQEMNAMLKLAAEKNIVAWVETIDISEKACAE AIERVKENKVRYRFTLTGFEKVFKKD FPSE_07124 MAPKVLVVLTSQSKMNNGNPTGWYLPELAHPYYDLVNAGVEITV ASPAGGEAPLDQGSVQMFKEDEESVKFLNEKKQVWEQTTPLKEFLGKSSEFDAIFYPG GHGPMFDLVNDETSIKLIEEFYKAGKPVASVCHGPIVFTQVKIDGKPLLEGREATGFS NSEEDAVGLTSAMPVLLEDEIKRVGGKYVKADDWAEKLVVDGQLITGQNPASAHVVGK AILKAIGA FPSE_07125 MKLQLSLLGLVVLAFAKPQDPSDPAPAPPVSSAPAEPPAPVPVP SSQPTPPTSPVPAPPADPAPAPPPADPTAAPTPEGPICECGYTYCAAVLKAMPEKPWS DDQLSSAYCKTPNAVCNGQSPASKVDNALFICLCAEPDQKVGDHIELLCGCDACLNVG PDFRGRCKSPCRAGHGKGGSGGGKGGGKIRGNNRMGFW FPSE_07126 MAEIQSPKGVVNVGTRKSPLALAQTQIVVDALKQRFPDHDFPIH SMTTTGDRDQNTALYNFGGKGLWTSQLEERLVNRELDIVVHSLKDMPTVLPEGCVLGC VTSREDPRDTLVIKKELQDKHGWKTLADLPAGSIIGTSSVRRIAQLVRRYPTLKFKDH RGNIQTRLRKLEEDPDLTGIILAAAGLQRMDLGHHITQFLESENGGILYAVGQGALGV ECRGDDEKVLGFLKTIENEQTALACEAERALMRALEGGCSVPIGVETKWIDDKLRMKA TVVSLRGDEGVDCEISEAIKTHDEADKFGKKVAETLVEQGAQRILDAINETRQVPETV K FPSE_07127 MASIARSLRFAAPLTRGIAPRAPIARPIRAFSSTKLSLARKYTK DHEWIDLSADKKHGFVGISEYAAEQLGDVVYVELPEAGQWVEQGDAVGAVESVKSASD INAPVRLRVIQVNDNLEEKPSTINKVPEDDSAGGGWIAKVEVDEEGAKQFEKLLDAEA YKTFAGAD FPSE_07128 MQNLVTICGGVNSNRLVCYATVTVVLLNSRE FPSE_07129 METEIPPHEVPLPIQAQDDTMGGIEESPHPGSSNGFHHQQSTSF DQGLASPQRDDPSSRYTPPVQPAPPLSRPASGLSNPAHQAYNDYRQGAGEPSSNGRNH VVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVNFMEKTISIRNTEITFSIWDL GGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKTAIPILVGTKY DHFVNFPPQDQEEISNQARRFAKAMRAALIFSSTSHSINVQKIFKIVLSKAFDLKCTI PEIENVGEPLLLYQSV FPSE_07130 MFRCLPWRKPVSNDGKELQRGMLVEVVRIHKDGEEVYSKENAPP DKQLYDHPSFASCESRLTSSKTTHEDTRKQQPTPHKSIRQLRAELIQGFNQVEKLFD FPSE_07131 MSNRTYLPFSPFAWPIVRRDGQSKTDKAVTIAIVCGISALFLAP LVWYVAARIITVRRERAKKASGDVEQGVY FPSE_07132 MPSNYERLSRPSGDTTLAVPEKAASTTLTVNETPRVSTTSTPQT DKLNPFDTDIEVMVTNTRTESNMHKTSSSPLARKTDCQVWPGKDHWKQQAKAAKAKNR CTCMAHLSKRNRWIVKGLIILLVVGIAVGVGFGVSKPLNAPIWGDKDDK FPSE_07133 MSGGSRSRDGCFNCRKRKRRCDEEKPICQRCRKIGDDCVFPPSS NVLKFVVVAPSDHYMVPIAQNISFLNLSTQELVAIYNSQGGVVQRPLPRVLSPFPHEM TGIENALVQYYVEVISASRVYVDTTRNGFRTSVIPRMFYQHSLLYAVLSMSAAEWSQN GSPDYSGLSMQYKVRALHQLQQALLDSQDSEGNLLTCVLLSSLEIAHGSSMWLHHLQG ALALLKSFGASIDSDVAEFVLQYFRFRYILMETTQPTHDDTVSQAMTSLARIEASLSN DRNLVDEQIGCSMELVDIINEISSLAYISKDQLYTKGQEIEQRIEEPLQGSADYYLSR SAESFRIAAQIYLRFVCYDTSITHPSILELHEQLLLCLSSIIVKGQTRRSFPMWPLFL AGCACSSDQQRKVVLDHFILLDSKWPISNISAVWNALKLIWHTRDLQSTNQDWRKVIR KFGWKLSLS FPSE_07134 MANDHILTLSCPDKSGIVYAVTGIFADNKHNILDLQQFSDPVSE RFFMRVHFGPTETESTEHLTEAFDKLAAEYKMEYDIRPVARKTRVLIMVSKIGHCLND LLFRMKTGQLRMEVPVIVSNHPEYAALAESYGIEFHHLPVTKDTKAQQEGQVLELCKK HGIELIVLARYMQVLSPTLCEAMSGRIINIHHSFLPSFKGAKPYHQAYERGVKIIGAT SHFVTADLDEGPIIEQRIARVDHAMSPKDLSEEGSNVESQVLAAAVRWYAEKRLFLNG HKTVVFN FPSE_07135 MSSTTDLATAAIAGNAQDGAGNRGAFIVLEGLDRSGKTTQVKLL EQRFVEESKKVKVMRFPDRTTPIGQMIDNYLKSNVEMDDHVIHLLFSANRWEAVKQIQ SLLASGTTILCDRYYHSGIVYSAAKQNPSLTLPWARAPERGLPRPDLVLFLDLTEEQA QARGGWGGEVYERSGMQKRVRELFWGLSMGGKDVAAQGLGLDEGEGWTQEEEDLVVVD AGGSVEEVNEEVWKKVRARVEQVERGEVGRSVRVVR FPSE_07136 MAAVTRQPFAPLDGSRLQSLTSIKNRQNAIAPPTASKGKRKADL IDTDDFENVDPSAFAKRSKGSKDLTRDILKPSAFHLKTPSLPALPALSAPSPIPSRLS PTKSRRTLQVKSPAKTNSGISKSTPVHAPAGRSPTRTTKRSGLGPSRRRTLGRIDPPT LLGAAAPFSLDAALKGTIPGYAAKPAPKEPVLPDMKASWFFEIHEDTPEQEMTNLLQH STCTLDISSDEESERKRNRDRAEGRDKENVPPPDDVSQTRRSPRADDMMVEKERVALG EMSPADFYAEGCDETSVIIVPADEPESSVVESNVPELSTIPESNLESLESSSLPELEA VATQEDIDSLMAKPTEGTSKAAVLEPIEGTGESFELWESGSAHDEDTTS FPSE_07137 MGYLYLRRGSRQRVVLLVIGILVSFGLVMHTWPFYSSSTRFKSS FSTLPIERAGNTSGLMHHLWEPFLHDINATHFVTREGYQYTIKESNHRWREPLKKKLL ILDVDTRLDTGAGTLLNKSPLNPSELTGRSGGMMNHYLYAMIHGYDYQFIRAPDYYKR HGTWVKVPMIKQALGTYETVVFLDADAVFVYPEIPFEWLMSLWNITDETLVAMSNDPD SPRNRDGKGLVMMNTGFIIARQSTRTLSLFHDWNQCPTETKYEGCKRWMKDWAHEQAA FSNHVRYDYSTDDVRTIPRKDGNGGDGVFIRHNWFHKDSPAKDLRQLLLDILSLSCQE RFNARIATFPEIRNYLDLQYVTHLPSIASRSATRSTTLKSASSGPDSNRNAPPGVVPD YNHPEDVYWTLNIVLAVICVFFTTAFFLVRVFVKRTINRNIGVEDCLCNYVLYNGLDE YIVARYGAGYHAWEIRKPEYYNWLKWFYASTVVYIPASFFTKATILLLMARVFAVEPR VSKGIRIFIWALLVAYVPIQILRIVNCYPIRTYWDPKVHNAHCLNQRKIFFSDLSLSI VTDLIILLAPIPLTCRLNLSVGKRIKIVLLLGAGGIATALTLFRVVKAVDFLNSDDIT VDYTPIAILTNLEITIGFVCACLPSLNMVIEHHIRRRRRQRETQTDRRDRSRASMIKR HFRWMSSTTHASPPPSRPPNRASGGMIDLDVEMAMLTGQPVRLRSERLSSTESIGLRP LGHRLNSGDGRREGWLSQGQDDQDELHDNLWATMFDLVDVLAIKFAVSLVKLASTKQN THEAILGILVYGGLITDLRGDVRQFYGIDGNDNRDCFAGCCKPCCTLIRVENEIIGRE KCRKPSDSSGYTSQPPMSSSSSSSSSASIVCIESPCSDSESDECLPCIPEDQSEKCPS TRNSRRSSKNRQRSIALDPVAPTDATLVHDHDLDKDPMGPTPHLHDHDLGQDPKGPAL HLHGNHRLSKDVTTTASYPPSIHQLRTDTKASASPPAILRHDLFDDATTSTSQHSKHD LGFDQVNTYRASPEHQIHQDEIVPGAFPSSSHDLGQDLASDQARSAHPHGLGEDDEVT RRPTNHGLHHLHEDK FPSE_07138 MGRHNLQYPKDSINTIKRYKVHGVYDLETIHTIVNTCPVLHVSF NSPSQPFPVVLPMIGQLGSFERPSSDLGDPLELYLHGYVSSRIMNLAQSSTVDGEEVQ GMPVCVAASHVDGLVLTLATFSHNYNYRSAMLFGYATTVKSDEEKLYAMELISNSVVT DRWRHTRQPPLASEMQSTNILKVKIVSASAKISAGSTTDDKSDMENNELVTSTWTGVL PVYQLIGDPIPGPYNTAQVPDHINNFVADTNDERKQRSLRAAEGERRAS FPSE_07139 MVLQDLGRRINAAVTNLTREQNLDEKAFDGMLKEICAALLEADV NVKLVGQLRKSIKTTVNFKELPPAVNKKRLIQKAVFDELVKLVDPHAEPFRPKKGKSN VIMFVGLQGAGKTTTCTKLARHYQSRGFKACLVCADTFRAGAFDQLKQNATKAKIPYY GSLTETDPAEVARAGVDQFKKERFEVIIVDTSGRHRQESALFQEMVDIQEAIKPDETI MVLDASIGQQAESQAKAFKEAADFGAIIITKTDGHAHGGGAISAVAATHTPIVFIGTG EHMLDFEKFAPQQFVQKLLGMGDMAGLMEHVQSLNLNQKDTIKHIQEGIFTVRDLRDQ LSNIMKMGPLSKMAGMIPGMSNMMQGMDDEEGGAKLKRMIYICDSMTDKELDSDGKIL IDQPTRMTRIARGSGTSVREVEDLLTQQRMMAGMAKKMGGNMKNMQRAQQAMGGGNKA QQLAAMQKRLQSMGGAGGAGGMPDMGSLMKMLGGGGGGGMPGMPGGMDMQAMMRQMGM GGGGGMPGMPGMPGGGRGKR FPSE_07140 MATRTSLRHVGCVPRHLFTFRRYISTRDMTATDLKKLKVDQSRL MEALHDTCKWGTGLKWGDKPTDTGMSRLALSDSDKQARDWFVETTEDLGCKVTTDSMG NIFAVRPGRKEGPPTLAGSHLDTQPSGGRYDGILGVHAGIEMLKVLQEHDVETEYPVG VVNWTNEEGARFPISMMASGVWAESITQERAHSLKEVAGNATVKSELERIGYLGETPA SYKSSPIGAHFELHIEQGPILERAQNKIGVVQGVQAYKWFTIDITGRDAHTGSTPFSD RADALLLAARLITHSHRLATKHKALASTGILNLTPGSTNTIPGHVSFSLDIRSPSNEI VEELEKDLRRDFDLLASGTDVDGLLAGSTPALPLSLTWRTDTISTATKFHPDCIQAVR DSAESVIGKDAAVDISSGAGHDSVYTNKHCPTTMIFIPCKGGVSHNPEEYSTPEECAI GAEVLCQAVVRYDQKRVQ FPSE_07141 MSHKTQEPVITKTSDLSLQEAKWITLKKIEYVDQVGKERTWEVA TRKTRGKSGVDAVAMGNILLHPSKPASTLLVIQYRPPLDAYTIEWPAGLIDAEETAEE AAVREFKEETGYDCKVLSVSPAQAADPGMTNANMQLAMVEVQLSENEEEPEQRLDDGE HIQREIIPLAELYERLVEYSKRERTVVAAKLFHFAAGRQWCRDRLNTYPREQSESATG CWIHTDKTSGPNGTGYVRDNMRNTPMPGGEPGEKIGEQFNIHQVAIASTCRRH FPSE_07142 MALVGLGGVGKTQIALRFAYRIKEKRPEYSIFWVPVLSVETAER AYGDMANKLDLQKSSEEEDVKNLVRQHLSSDKAGKWLLIIDNADDEELIFGSAEKPGL EEYLP FPSE_07143 MASQGPPTDRRSFRVAIICALPREADAVSLLFDHFWDRERGPYG RADGDTNRYITGRIGGHDVVLVVLPNMGSNNAAAATASLRSSYTGLNLALLVGICSGI PRIANFDAYLGDVIVSKVIVQYDYGRQYPGHFFVKNNVEDSLGRANKDIRSLLAVLET EFVRKWLKDDASKYLKDLQEAAKRERRQANYQYPGTNEDKLYPTTYTHRHRQGCSVCD DPNAFCESASKALCTATGCDPAHLTVRERLEEIISGGNFRPGVFIGRIGSGNTVMKSG ADRDQIAAQHNLIAFEMEGAGAWDEVPCIVVKGICNYADSHKNKVWQDFAAATAAAVA KAILGQYAAHDGHQGLIQKNGTPSSSEEVS FPSE_07144 MRTRLNSPDFYTIGWITALPIERAAATALLHDRHDAPEGFDQHQ SDTNSYTWGRIGEHNVVIASLPAGVYGITSAATTASNLIHSLPHIRIGLLVGIGGGIA RPDEGRDIRLGDIVVSQPDGTTGGVVQFDLGKAKANGSWERKGALDKPPSVLLHALAS LQAEHEIEPSKVPDLLQVMLEANPGMTRPKRDFTYQGAENDRLFDSKHDHVGGSNCDK CDSAWEVKRDQRESPDPEIHYGIIASGNKLIKDAATRDSILEDTGHQCLCVEMEAAGL MDRFPCLVIRGVCDYVDSHKNDRWQRYAAATAAAFAVELLEYIPTVQLEATQKVVEAI QTLDRKVGHLKNYMNDMNNRIILDRLPNAEGASFDSQAEEHNPTCLPGTRVELLEEID QWIDNPKSKTIFWLNGMAGTGKSTISRTVSQRRHKERDLGASFFFKRGEMDRGNLAKF VPTVVRRLAEKIPEVSNSLANVDSTFFDKAVRHQFGNLIHDPLSKAATIASHRSSVVI IVDALDECEKDEDIKLLLELFSTLRSVGSLYVRVFVTSRPELPVRLGFSAIGDTHQDL ILHKISQSIIEHDISVFFRHEFANIRNIFNNEAAEERNLPVEWPGEASLKKLTTAAVP LFIFAATLCRFVNDSCLGSPDVLLQSVLHTSNGYASKLDMTYSPVLKQQVVGRSKREK LNIIESFRLIVGTIVTLASPLSAQALALLLDVDIDDVTTRLKMLHSVLDVPKSPDALV RLFHLSFRDYLIDPENEETTEFWVDEKIVHQKLAKECLRIMRDSLKENICDLSFPGMR QSAVDLQHLQKCVPSQLQYACMHWAYHQAKCDPKPDNEDENRKDSSLLNFMADAVRFV QAYSTVIAEAPLQVYCCLAFTPSESIIRRTFESVIPKWIRSLPKVEENWDACLLTLEG HSDWVISVVFSHDSKKVASGSSDKTIRIWNAETGECERVLEGHSEDIRSVVFSHDSTK VISSSYDKTIRIWNTKTGECERVLEGHSSPVHSVAFSHDSKKVASASDDKTIWIWSAE IGKRERVLEGHSNSVRSVMFLHDSKKVASGSNDKTIRIWNAEIGKCEQVLRGHSDWVK SIVVSHDSIKVASASDDKTVRIWDAETGKCGRVLKGHSEDTRSVVFSHDSAKVASSSY DKTIRIWNTETGKCERVLQGHSSPVNSVAFSHDSKRLASASGDKTIQVWSAETGDCKR VLECHSNSVRSVVFSHDSKKVASASDDKTVRIWDADMGECERVLEGHSDLVTSAVFSH DSKMVASTSYDKTIRIWNAETGKCEDIVLLDSYVDVLSFTPDGRGVATNHGIFRLTDG PESRGRSAMSGQSSDAPMLACTDSTWVMAAGKDLLWLPPECRNGQVAVSGSTVVIGCR SGRVVFLRISMANVEQWMDITTEKMRVP FPSE_07145 MVEYAEQGNKLRTHRDVPPYLLDMIYEKEGLDGERRAKQKAASS ESNRPIKIVNVLPSPYSHPAAEGCTPPLSNSSIVSTTSVVDLTDLVIPKPIDRAVDDY TEWLCDQVEDKNWKAGFRSAGSITKADCLDLRHVYQDRDIGYHVNQGVKAGIARSFVQ DIKTWANSL FPSE_07146 MAPRLSDADHKKIQAMIQRPRARYRLFESTKAPSNRVGCYKKVT PYKRDALLDRLADHPTTDRSEMITFLRDEFEDDVLLSTISRSLKDARWTRKNCHCVAQ QRNLQLRDLYLYKLLKYKSYQMIFIDESGADRCVCYCNKGWALSGVIPVQVSRLNREQ RYQILAAYTQEGIELACVYPGSTDAALFKDFIEQLLYGCGRWPQPKSVLIMDNASFHH KDELESMCAEAGVELLYLPPYSPDFNPIEEYFAELENFIKKPGLELSEPFRKDF FPSE_07147 MVASKVPSPEHEPVETIVVISVDKRSERNLRKQFPGLSIQWKAI EDKIQSWSPLFCEGHKLRLDISFIYKATDQVTQLANTGGWQSRRGAIGRQFAARDRLL AEQEDTSGSRPVWNDVYEVFHCTGVPCVNAGFYCWRDPVSKKHFKLDSTLLNKLVDYA EEGRLMRNHDHVPETIRERIFKQDQENLERKQLKRKRGDSLPLINISVSCLGSHGEAQ QDPPTGAHVTAAGSRVGFSRRAVDIVIPLPIDRAGIAYCEWLCGRVESDYWEAGFRQA HRITMEACFDLKYVYQVQDIAFFTDKGIALGIALSYIGDIPVWADTLK FPSE_07148 MESSYHLIFIDESGMDKTVGLRRKGWAPKGITPRQTATFQREER YQVLSAYTQRGIKLSRVYRDSTDTETFVDFIKQLLRHCNRWPEPGSVLVMDNATIHCS KEIEEMCERAGVKQVFTAPYTPITNLIEEHFAELKAYAKVRWDKHIDLFHRDFGAYIK SCVAAGGRQDSSGGHFRNAGLTVKHPPSSGYADNDDQLS FPSE_07149 MANSLLTDRDASPVAKCEDPTIIRSWFRLVQNTIAKYGIRSDDI WNFDETGFMMGIIQSGMVATGSEREGRPKSVQPGNREWITAIQAINAEGQLILPFLIG TGQYHLANWYRECDLPGDWVIATSQNG FPSE_07150 MSSNNVNNAPAPPYTRPPPSNAEMMAELQMLRNTVRTLQARVNE PPAAAPPAPSVDNQRDLGEAIKPTTPEPFKGKAADVIPFLTRMKGHFRLFPRKLDTAA KKMLYTAPLIQGDAKDWFEPIWKDYLENDYNQQDQETQNIFADWLNFEALLKDNFRVI NEERQAAAEILALKQHGSCTAHSAKFRQLAAKTEWDDEALMGIYYRSIKEEVKDELYK ADRPDNLTEYITIAVKIDERQYERRRERTNAGRKGSNYNPYFPNQHRNNNSGNNRNSQ PRQRNYGNNISYGTQPGPIDLGAAQTPGQQRDLSKCLVNVFDK FPSE_03467 MTSQKPFKPALIIVDFQEDFCPPNGSLAVPEGRTIAPTINTLTA LPFHLILATKDFHPPSHISFASNHPSSTPYTSTTTITHPRDSSRSYTTTLWPTHCVQG TPGADLVPELDVSRLHAVIEKGQDERVEMYSAFYDPFRVSDSGLAGMLGEQNVTDVFV VGLAADFCVKATAEDAVKEGYSTWIVNEGTKPVMPDKWDECRKGMEDMGIKFTSVASA VDKFK FPSE_03466 MASILLPKRFRGETAPAEKTTPSWASKRLTPIAQFISRLACSHP IHTVVLVAVLASTSYVGLLQESFFSTDLPTVGKADWSSLVEGSRVLRAGPETAWNWKA IEQDSVQYAGADADHLALLTLVFPDTHSAESSSTAPRSSHVPIPQNLSITPLPSTKNS FTAYSQDSILAYSLPYAEGPEFLAATQEIPSEDAVETETEHGREKKTWIMKAAKVNTQ NSVVQWANNAWTEFLDLLKNAETLDIVIMFLGYIAMHLTFVSLFLSMRKLGSKFWLGI CTLFSSVFAFLFGLVVTTKLGVPISVILLSEGLPFLVVTIGFEKNIVLTRAVMSHAIE HRRQIQNSKSGKGSPERSMQNVIQYAVQSAIKEKGFEIMRDYAIEIVILALGAASGVQ GGLQHFCFLAAWTLFFDFILLFTFYTAILSIKLEINRIKRHVDMRMALEDDGVSRRVA ENVAKSDGDWTRVKGDSSLFGRKSSSVPTFKVLMILGFIFVNIVNICSIPFRNPSSLS TIRTWASSLGGVVAPLSVDPFKVASNGLDAILAAAKSNNRPTLVTILTPIKYELEYPS IHYALGSAINGNNAEYTDAFHHHFQGYGVGGRMVGGILKSLEDPVLSKWIVIALALSV ALNGYLFNVARWGIKDPNVPEHNIDRNELARAQQFNDTGSATLPLGEYVPPTPMRTEP STPAITDDEAEGFQMTKVRSDKLPNRPNEELEKLLAEKRVQEMSDEELVSLSMRGKIP GYALEKTLGDFTRAVKIRRSIIARNRATSDLTHSLERSKLPFEKYNWERVFGACCENV IGYMPLPVGVAGPLVIDGQSYFIPMATTEGVLVASASRGCKAINSGGGAVTVLTADGM TRGPCVAFETLERAGAAKLWLDSEAGSDTMKKAFNSTSRFARLQSMKTALAGTNLYIR FKTTTGDAMGMNMISKGVEHALSVMSNEAGFDDMQIVSVSGNYCTDKKAAALNWIDGR GKGVVAEAIIPGEVVRSVLKSDVDALVELNISKNLIGSAMAGSVGGFNAHAANIVAAI FLATGQDPAQVVESANCITIMKNLRGALQISVSMPSLEVGTLGGGTILEPQSAMLDLL GVRGSHPTNPGDNSRRLARIIGASVLAGELSLCSALAAGHLVKAHMQHNRSAAPSRST TPAPMTPVSLAMTNGPERSASTTSMSAAAIQRSKR FPSE_03465 MEDNHGDPKVTPDEDNGVISLSNSPGSSNESDSDSPHIWLVGGG IASLAAAVFLIDDANVPGSHIHVLEASSKAGGSMATFAKPSWDTGYRVSAIRKMSLTY HCLYGMLEKVPSETEGETLAARLRRFNQESKKLGASGSRLVRQVFGENGAEKPEAVDV STMGLSVKNQCDLMRVVLETEDELEGLEIQALFEPDFFETNFWDLWSSLNRFHPWCSA VEFRRCLHRMLHEFPNMGTLSGVEQAPEEDFQAIIKPLTQYLKASDVEFRHGILVSGL EIDDIGSDFRVTALKTYQDSKHVQLTLGSDDIVLLTLGSLTSGMGYGTNDQPPPTMEL RDSAPHALDPSWAFWDKLALERPQTFGNPEAFFDRPSKSTWLSFTVTLRDPAFFEHLC KWTGTLTGAVPLLTFRDCPWMLSLTIPQQPYVKDQPDDVFVFWGYGLFPDQQGRYVRK PMLECTGAEILTELLHLMAFPLQPTLERSITVPCLMPLIGSPFLTRKKGDRPKVIPDG SKNLGLMGQFVEIEREVTFTMEYSVRSAQLAVYGLMGLDKQLPGVQGEDHEVLTLGMA LKTMMT FPSE_03464 MEASEDPSTREAAASQLSYLDQWEAAYTGENAEFPLPQQIVELR IIRPYLEAVASGFELWDADRVGRLINESLLAAMQPPFVAEATESAAKVGGTSNESVVK VERQLGFGDRIAEISQSKLAKYTNNAWEFLQNGSRAKVTQPDKSENKLCCHSDPSEED LISFENDPVARPADIKPVNITIPHDTLTDDNVDVKDEPIDACLIVDQCTSLKIEDDHA SVASTHLVEEAVKCFTCGTTPSAEALVMCPCQHHYCSGCLCCMVKSSIRGDIPFPPVC CESPVPIDVNTSVFDQNTLCDFFSRKFGISYMNPDGSPRKRKAIGAPSQDKAPSQLHG VTVRMSRNGVQGSCYLCKRINEKDSFCPDCCHRCNRSRASCTCAWWEERQKRMEAQKV INDNRFNPNAPTFCPTDGSGKSRKMPLNGTDWGGALSMHAPSHAIYGYVLSAATRSVE SVRGRGEYEFCNP FPSE_03463 MSRVPISQSYASGNCHDQFYFYEDLAPSRKSYSEYYTGGQEVEP VFYEDKTPRNLSSSHYNATGQGNDQSSFYQDLKPSYSSYSEYSVYGQEVESVSCEATT SYTSSYSDYYATGQGDDQPRFCEDSGPSYSSYSEYNAYGQEVEPVFHEVAARKMTPYF EDDGVGLGIMVPDYSEYYDTTPTSDKQSEVMPPALSLANPHNNSGIKNIWYGKESHLG GFYYCPESEGPEGAATIYYSLDNSSTSTSTLCPPDLDLRYLDEEDSISSLFNLDEGRE EQLIDSYAAGEVEECKFLPQDSFFSNVTESVLPPSPEPAYHEPKAFSPSRFRDEYLSL YYGDMASVTSGTVTLQHAAEVAQVAEIAHINDDDELTHRDSGGSFTRKCLEKLTSPQT YSKLFKRAEQKLVSRSKKRLQRVGNRRR FPSE_03462 MVYLTDLPNELLEEILLLATNGDQECLREVKWRRECESEVALLA LHLLRNPNHRPLVRTLEFNSLSTLYSVGRVPPWSLIAARVGLRPETLAELAEAADRTF PPDLASDWSYRIRNGCADAIAALILAWSTRVTEVEFALSPLTREDDGLDPSDDEVYQS DDEFALTPPNREDDDMEHLNGMIMQFIDWAAHNVSTKQNEDLPLSQVQHLRMRTWMNG HGETEVASSAFQLPKLRILETLGLKISRDESPIFPERGLTIEKLYLKDFEITGNGIWH IIGGCRQLRVLNIDLNNSPNFFGSKTQMSNAIIRQAASINEIHLKLHKWGVRWDDTQF LSDYEVPLDRCFARLSQLHTLTVDVKELLPSSEGPITPDFLVTRLPVSIRELTINWYT AEMGSSLETIAIGTGEVDIWLGIVEALKVLLREARPGYKFSNLRYVDASEILFGSDEL QKVVEIGESNGVHVEDF FPSE_03461 MATITPSNANTTVSTVTTVIEVKDLGDGKYELNEIQSDPFVPPE AHSNNRKQVDVPLPVPGLGITVFLDIDDKEIGAYATMFGSQIGSSYRAGLDSGIEIGI NLLLAKGSVRVFAKSSRVKLAYSLSAGFGPFATSHNDEVDLFGY FPSE_03460 MGDVTPPRNAQVIEVNGITVDPKKHYAENAKHTDHIIITLKDIL TQEQQITLEDLGVDIMEDLGNLNYLCHYDPPDLKPIRDLTTFVRQVDVYRNIMKIPKN LRDVLDNVLKSRSEKEVIPCTLDVMIHNSEVDEGTVADYIAANTDLNRAEFELSGGKI RLTATWQQLQTIVQDDNVRIIEEVIVPELHDSNAVEIVMGGVHSNEAAMTLSSYQGKG QTIAVNDTGFDLGSRSDCHPAFRGSIERLISVGRAKGPTDTSPAAGTVDDPQGHGTHV CGTIVARGIHTDHGLVTGVAPEAKLVMTSLLEDTRTLKAMIDVKTLFEEPYQNHKAFI HSNSWGDPLGFYYGQRAYGDSASAIDRFVCNNPQALIIFSAGNNNEKMLDKHPKNQIK PSIGSQAAAKNCLTVGASGTTRKLTPETAVTADSAKTAQAELAVFNPDMVLSDSSRGP TVEMRTKPDVVAPGYNIFSAYSRHPRVTYSAAQAKSEEYANALWKVRSGTSHATPLVA GCAAILREIYQEKNGGLPPSSPPAALLKAIIINGANRLPNVDKEAQGFGRVNLQNSAS MLKTRAVHHETLSSTTTLPSYPGGTLIGPPLKHLETLSFSLDPPSPDLFGNEDRSKFE LKVTMVYNDLPGAMMQNNLNLAVIDHSADALHHGGVSEDDMSKQNNVEQVTLCPVPRT PVTVRVVAQKMINPAEGTQDFALAWSVTRVYAGFEE FPSE_03459 MSRLLELPNELLHDIALFASYDGYSHSCLKSLTLTNKRLREIAF PLLARHWDNCVCATMFQRLVLHLFTYPQHRSQLRSLQFTNIFWYADPMVRERLIQFRV SLQEEILNELAEEAKRTLPHLAESNEDWIDRIRQGSIDAIMVLILAWATSLTKIRLWF REICMSDDKDLLSHCLSSHPRLKYIHIDLEYFLPLPKNVDSFAPDSLVSLLPASLTGL NISWLARYDMRNRPDPPLPRRYNGSRTCSRIREAIEMLLQEAGPERKFTAVTVAQTA FPSE_03458 MVEPFWGPQTSYLNFCEEDYTITRYIAEFINTISSLTYVAYGLY GLLTSPKFPTGPRLISYCGLMGVGICSAGYHMTLKYHTQMSDELSMHLLTTPLIYRLL TFKASPEKTRLIGIILSIIFTTVMVTHMVMDEFILHATTFGLGVYIIATRVLKVIPQQ VKDPVTMKKLQNIAILGLGSFVFGYVVWLIDEFACRYLTSARHTIGLPFAFLLELHGW WHVFTAIGGYTAVAVIDVVTTGEVTDDPTDTFAWPVPLAVKLMSGKSSSVKQG FPSE_03457 MQKMTIDVSSPAAYSPAETAELICRAGVKKGRSRPDKVFLSGIS GGCILSFGCAVSLTVLTAPWYQENAPGLIKIIGAMVFPLGLVTVILTGADLFTSTNLF TFVAVLNGRLSVWRMLLHWFLCFFGNLGGCLFVMAIIVGYGGILDGEAYREEIIAYTT KKQITPEAHQIFIRAIGCNWLVCLACFLGVQAKDLTSKVIGMWIPVFAFVALGFDHVV ANMFFIPLGIWMGTPGLTIGLYIWKGMIPALFGNILGGSLCCGVYFWWMYLADVDDEH EPQDKGILSHSGHDNPSDEESQMESRFRQKRSKE FPSE_03456 MCCLNDIRTLGLPSYHYNDENGSSRYVAGPTWLFSKHMHSIDSL EDKLGGEYWRPQPDTYFMALTGQARKIDSLFPDITSDYYTNNQTIAGFFDRHFDDGSE HAAKRPRISEPALGTPTKAEIETLMARAVALSRDWDALTVYLENDEPTTQEARRLLSK VHPFVASVEVVSTNASDGIGSLEDVLRLAYGAAGLDPQSALIRHEKNWASQKTVFYQF GPSVEQAIKDITVLKPLEDGFRFALPSIGILMRSALIQDQIRIYKDIKALLDEEA FPSE_03455 MPRRPAAIQEARPTVRLKNEDGTYKETQEVIDFEASGGSLSDLL DDEKYICSNRRRKTKYSWSAFSSPGTGFTINSTILKDSFLWNPGPPNARPPTVPFSSR TPTRLQKVFNLVARACKIEGGEIGDITREFRDDLGMSSSDTTVESDIKGLYVGISASE CLPVVLFKGASKNTVGRDDFSLGVDWDGNLGDREIIWLQNMLIPGNIQYLKSAIDNKI TDQEKKQFLQIMKRENRLLETGLNPAGVADTPFQRVRSAEALDMSTYPRGPRLRHPAF QIAVASNGCIGVSFRNDRRNGGFLVPTPPRQCAIEHCTGCNDYLY FPSE_03454 MNLGILAAFAATIGKYSDVVTRSLVAHDVNSELPAVRSFFYTGG QYVDDGQGGHVFRGQMYVEKLVPPKGPSKDTPIVMIHGVAQTGTNFLNKPDGGRGWAS LFISQGYELYVVDQTFRGRSAWLPTPGGQEPIILSTEAIEAAFTNSKDHMLWPQAVNH TQWPGSGVRGDPIFDTFYASNVQFVDNSVAQQTAMQAAGATLLDKIGKPAIVLGHSEG SFMPTLIADIRPNLTKAIILVEAAGPPFKDEIFHFGGDNPRPWGLWDVPITYDPPVKD PKTELVKKVHPPRDNLSTECTLQAEDPKPRKLVNLEDIPILIVNGAASYHQPYEHCTA SYYRQAGCKKLDHIELGTIGIQGNGHMLFMEKNSDEIQAVVHEWIDQI FPSE_03453 MSDGYRIFNELSTPSCARSAKPVRLRLACDACTTAKVRCSRTHP CERCEDIGQERNCSYSASRRHGKRARHRKITETQTQRASSSSNTTASSSTLTAFSNGL EGISISDLAWDYKASEYSGSSDFEALDGWKSHIDVPINFETLNTISWVDPWTSLGFGL DASPSGSSGILSPGPLRSIEPTDEITATKMQVLKQPHECEEAALRLLQSLQCNDQTTE FCKQAHESLPSHLMPSIDTVLSVNKVALTNIIPLLQCDCARKPHIAMLHGAILSKVVF WYKVAVTARYETEGVALRPIKIQLGMLDLDDEDQATLQRTVLLRELSKAKAVMETFGS SYNAKGETASWNASAVRNMTEELQDIIWKIKNGHGDLT FPSE_03452 MASALGISKLAGSRVLVIGGSSGIGFGVAEAAVQNGASTVFISS SSQSKISAAIERLKEKNQSAKVQLHGIPCNLGSPETLNSEVDKLFTEVSKSGKLDHVV YTAGDKLAVGKLEDFSLKDIQQAGTVRFFAPLVVAQQLRKHLKESESSSFTVATGGAV EHASKDWTVMYSYLSGIRGMVRGLAVDLAPIRVNAVAQGPIETELWDSVKQAGYWDAV TGRLKARMTTGSIGKVEDVAEAYVYLMKNKNASGSIVETTGGTLMS FPSE_03451 MLSRLPNRVALYRLQALSRQVRNIGMMKEAIVSSGPKVEIVDSP VPKAGPGQVVTKIAFAASNPKDWKRPLYWGAKGTGNQGDEHAGVVHEVGEGVYEFKPA DRVAAMHEMKTPGGSYAEYGLSPAYTTFKLPDNTSFQEGAAIPLTALTAACALYARLK LPEPWLPVSDSEKIPLVIWGASSAVGSYAIQLATRSNIHPLICIAGKSQEHVESLIDR SKGDTVIDYRKGRNAVIQEMKHHLGDKKLEYAFDAISEGGSYQTICDVLDQTTGKITL IIPAQSYSDIPKTITKSVTTVASIHEDLKDFGYVYTRYFSKGLEDGWLTAHPQEVIPG GLEGIQQGLENLENGKASAVKYVYKISDTPGVVS FPSE_03450 MAAYDTYQTSLTGRYCSQELSHLFSQRSRHSTWRKLWLYLAESE KELGIPTITDEALEQMRANLVVTDDDFETARVEEKIRRHDVMAHVHAFGQVAPAAAGI IHYGATSCFVTDNTELILMRDALDLLIPKLAKVLSNLQSFALEWKNEPTLSFTHLQPA QISTVGKRAAAWAQDLLMDLNEFERVRADLKFRGAQGTTGTQASFLEIFAGDHDKCDK LNELLCQKAGFEECYDISTQTYTRKVDCLVANAVTGFGTSATKIASDLRHLATMKEVG EPREKGQIGSSAMAYKQNPMRSERIASLARVLQGKAANFQSTHSTQWMERSLDDSACR RMDIPEMFLLADAITITLQNVTEGLVVFPLKIHSNIMAELPFMITENVIMRLVAMGVS RQEAHEQIRVLSFEASHQVQSLGKPNDMVERIKKTDFFKPIWADLDDMMKPELYIGRS AQLVDKFCGPGGKLEKKLQPYQEVIQKAKAAELNV FPSE_03449 MAIEEQKEMTIVSSSDSGLGIILSSATNSKFLRTTTIPDMFPEM IPVSI FPSE_03448 MEKPHTNGVGEVPPTANQPLNRDTNGISINGDTQTKRIRTNEER LEDSVKRGIDLQVMRYPETGISVLIAGAGLGGITCALECWRKGHTVRVLDRSPSPLWT GDNVQIMPSAILLLRHWPDMGYEIEECQYDVAMSYYKQTGERIWGPAPPMFNDPENLK GRRGFPSVNAHSRIKLYRAFLRQAERVGIQIEWGCKVVEYWEDLGQGAGGVTLENGEK RTADIVVAADGLRTKSNTIVPMPETLTTSGRAIYRAGYPVEHALKDPLVKEMWNFDPE GQPIWQFWLGNGSHNMIALTHDIAFWSFIHSHDESANESWVPDVDPAEVIAAMEKNNA VHPAVAAFIKTAPKGSVVNWQLKFRDPHEQWTSPGGRVVQVGDAAHAFLPTSGNGATQ AIEDGVTLATCLQLAGRSQAANATKAYNKLRFQRVSCGQKMGFVNQQLKQNTDWEAIA KNPALIRSRYPKWIWSHDPEAYAYEKFCEALHHVVSDGKIPLNNTNFPKGHQYRHWTM KEVLAQIKAGEKLEDLQDGDWA FPSE_03447 MNKTDRDSVIEYATQLKQLVHDPHSFLTELVVQQQQYYCIRWLC YFDVLSFVPLPPKAISYDQVAIQAKVPLSTLQSVARMATTAGFLCETKDGRLSHNELS CHFATDVHMRTQLLYMFNQTVPVMAALKDATQRWGSTSATNETAYNIVYNTDLPFFQY LKTRNDLNEGFHAYMKSRAVSHTGSNVEHLLGAFDWNNLGQATVVDIGGSSGSTCVML ATAFPSLNLVIEDLPEPIQNARTRMSDLPEDIKSRIDIMEYDFFTPQPIRQADVYLLR TILHDWPDADAVKILQGVVEAMGPSSRLLIMDMVLPQPGSGSKTFEAALRQKDLTMIQ TFNAKEREVAEWAALLAQTDPRLKIRAIERPAGSELSVIEVVLEELIVDELSWHAFSG FPSE_03446 MASHLKLYLFGDQTFEIQPHLKTLFQKRDNLFLQDFLNKAYHAI RVELFKVPYSIRRDLPRFTCLEDLLLWDQSGQRCIALDMAMTTLYQLATFISQAGISS YDAQSTRVVGLCTGAFAAAALSCSSFTADLIPMAVNAVVASFRTGLLVTDTARRIDPS QNMDQSWALLVPGQKASAGVEEFCNESDLPLTSRPYISAYAPNGITVSGPPQRLVELA QYLAGKSITSKSIPIHGAYHAPHLYSQENARAIIASLMLNKATALSEQITLLSSTGLK PDERSFTTLLEDAVAQVLLHPLRWTSIFEDVQTSLEDASFEKFSVVPFGTNAEHLIYT ALKKTSLRSLVPDTIAPSQKVPVGDIPDSGTAKPKLAIVAMSGRFPGAKDNEAYWDLL YKGLDVHKPVPSLRWDQKTHVDPTGKAKNTGATPFGCWLDEPGQFDARFFNISPREAP QVDPAQRLALMTAYEAIEQAGIVPDATPSTRPDRVGVFYGVTSNDWMETNSAQNIDTY FIPGGNRAFIPGRINYFFKFSGPSYAVDTACSSSLAGIHLACNALWQNDVDTAIAGGT NVLANPDFHAGLDRGHFLSRTGNCKTFDDGADGYCRGEGIATIIMKRLDDALAENDPI LGVVLGAYTNHSAESESITRPHAGAQQAIFNKILNQADVDPYTVGYVEMHGTGTQAGD ATEMSSVLETFAPPVSGTKPSRPNDQKLYIGSAKANIGHGEAASGACSVIKVLQMMQK NTIVPHCGIKTKINHRFPTDLEERNIRIAFEPTPWERINLDTPRRVMVNNFSAAGGNS ALLIQDAPLKKQLASGKDTRVQFPIAFTAKSGVSLQGNLRSMLKFLAGTPDVSLAELS YTTTARRIHHQHRVLISGSTPSEICKKIETALENNTGVNRPKSAPKVVFSFTGQGAQY PGMGKQLFEENTFVRNELLQLDQIAQNLGFPSMLPFIQSEEPDVAKFAPSLVQLASVS LQITLSKLWASWGIVPSAVVGHSLGEYAALNVAGVLSDTDTLFLVGGRAQLLETKCTR GTHAMLVVKGSQDEIAETLRGEEHETACINSPIETVLAGPNDQVAKVKEILAAAGFKT TLLKVPYAFHSSQLELVVVEIEKLASKVSFSAPNIPILCPLDGTVVEDDDVFSASYLA KHSRQPVNMLSALATAYRDGTISDRHMMLEVGPHPAVTGMVKPTLGQQITCIASLQRG RAPWEMLSAALKTLYDAGSSINWAEYQSSFPGSHSVVALPAYSWELKDYWIKYVNDWS LRKGDPPLVVNNAPKLESTTIHHVVEEDGDSNKTHIVVEADIARKDLSPLVQGHEVDG IPLCTPSVYADIGLTLGKYLLEKYQPQNRDNMVVVSDMTVSKALILRGDGSKQPIQAH AEADWLSQSVAIKFMSFDNKGNLQEHSACVVRYKDRTHQEALQSQVQQTKRKMQNLRD QITTGESARFNRPMAYRMIRPLARFHDDYRAIDEVVLNSETLEASSKISFGTVNREGD YHTHPAVIDSLTQSCGFAMNCNDHTDIDVDVYMNHGWGSLELFESLDFEKEYTTYTQM HAGEDKLWYGDVTVFDGDRVVAFFGQIAIQGVPRRVLKVILSIESGKKGQPQRPVQDK PQTASKPSATPSPKPTQNRAAAKMEPTKFSTALRIISEESGIEIADFTDGTTFSDVGI DSLLGLTISARFQEELDIDLDFNALFFEHPTVKDLRNFLQGPEDDVSGSSSSAASDSG RDTNTSGSATPELREEFVKTIDVEFERALEIISEESGVARDDLDDDTNFADCGVDSLL SLVIASRFQDAFDLDVGHEQLFMECQTVADLRTVLAKEMGIAEAPPVVAIEVPAPVVS EAVAKETAVTHSDVSALTSREKAITDLVHKYTAGFSAPTSTPSASPLGKNDSVVIVTG ASGGLGSHLVYALAQLDDVRTVICLNRTNREDPEIRQYKAMRDKGIRFPENLKSKLRI FQTDTSKPKLGLEDSEYASMVQNVTHIIHNAWPMSAKRPLSGFESQFQVFRNLLDLGR ECASSRPETFKFSFQMVSSIGVVGQWGLAAGQTGNIVVPEERATIDSLLGNGYAEAKW GCERMLDETLHKYPNRFRPMVVRLGQIAGSKTSGYWNPMEHFGFLIKSSQTLNALPDV DGNLNWTPVNDIADTLTDLVLSDQVPHPIYHIDNPVGQQWRDVNDILSDTLRIPNKVP FKEWLDLVRKAPQQDNPAALLADFLEDTYLRMACGGLVLDVKNTLEHSKSLAAVGPVS ETVVRKYIHIWKEIGFLKTTAEDKAGFEAERLRLWGPRK FPSE_03445 MADEPSHNQQDQGDGDSDEYVADGDETETSSLHEEEFTDERAIA SGYHEQKFIKFFQILNADIVSKHNAPWPGLIPGLRSTISLFHHQQRAVAAALYAKDSR FKGMILADPPGFGKTLSALATIAVSQAKSGSLGGPCLIVAPLSCCRQWMTEIGNFFEH VCAAGSVQAGISFALSSVTCAGWHHKG FPSE_03444 MPIGLGIYGGHLPNGRCEADKSGKSKKQTEQEKLVNAVVEKMKG KLEEEAKKLAAKSPARSNSINMKPLPSTPAHLFSLMDEFRATQMHPIRRAASFSYAEQ PPLYGQPYAGSSSRFRYDEQPEYSHAPYPWSPSASQYSAASPHVPPPPYTHTSAFNNS SYQPRMPGSTPYQSFPNRPPSPRHSYYAPTSTARYPSDLKHEASFYTQSYSRRHGASA PQIVISSRPYLHSRYPSGHEYLRTDVGFYPYNPDPNVNGLAWLSKKGFETQQDITVNF EDYDGFIRSHCPDARLLVPYQDLP FPSE_03443 MLGTVLLALVVGVASANSPVVQLRNGSYYGTHNELYNQDLFLGM PYAQPPLDNLRFRHPQPLNETWSDVRNATDYQSKCYQYGYPSGPLSGGSDDCLHLNVI RPAGAEEAKLPVLVWIHGGGLVGGYSGDPYSNLSWIVDESVKMGSPIIGVSINYRLGA WGYLWSSAVEKAGQGNNGFRDQRLALQWIQENIAAFGGDSEKVTIWGQSGGARGVASQ LTAFGGRDDGLFRAAILESATGFHTNFREEEVDDAPNWDKGYKSLLKSTGCDTVNDSL QCLREVPSLELAEVIGNVSFPVYLDIVDGDFIQDDRSELVRQEKFVHVPIINGAATDD GDFFAQRGINTTQEWEAYLRREGASNNTIEAISALYPDIPRVGLPATFKGRPSGELAS YGAQWKRAVAFGGDRAMHAPRRAWNRKWADTNLTAYSYRFDVVSGDRNPVQGAGHSVD LPFVFRNTERLAQLNATEPRPGSFDGLSVLMSRMWISFASNLDPNFDGMQSSEWPKYG LDEGSNMVFHIDNSSVAYVEEDTYRTEQLEYLDRKLWKTGLQSGMPNI FPSE_03442 MATEGPNPRVGVAALIYARDGKFLTGKRMGSHGAGTIQLPGGHL DYGESFLECAARETLEETGLQVRATKVVAVTNDVFESEKKHYITIFVRCEMVDENAEP QILEPKKCVGWYWKNWDDLKEILAGAEEEKLFLPLVNLLGQTNDLESLRL FPSE_03441 MTNPNKIVKRQSGRESKGSAGGALCKTCTPCRQKKVRCDGTRPQ CVECSTNSLPCVYPHDARREPRPSRARVQSLEATVAAMLDHMKAAGILSSEMQSAWTA ELMDADHPSRASQDYTTHGLSRLASTAAIASPLPTPTASTVAQDMSASFLSSPPRSSV GPTDGETKPRLDSFNTILSRASATQLDSAELAPVKSVPRPISNDGNGDGTGLSPSEAR VAGVFHENGYVSAVHGLASIMNPTSRAQHRENINKMTRKGDDAISASKARLISNAALQ RQREARMFRNPRDLMDLDGVDPELAKHLLDLHFNRQHYAYLISYRPAIMDSLASGGGP FANKLLLNAIFYSTSLYSDRACLRADPDDPQSVGRRFYDRFRELLIDELDKPSIPSAL ALLLTSVSLVSQGKPSAGWSLSGTAHRMIIDLGCHLMLGPDYESTGGVNEQRVLRTDL EQEMRKRLYWAAFTTDATQALYLGRPCMFASAQARVPLQLLDTFEELEEWEPYIDPKS PGSAPPPYGRQPAHAVSTFSSLVRLLQISTRINDLYGIQCVKYTTEYLLNKKESIERE FEKWQTSLPSHLRFDPDDNTTITPPPHQITPHTTFHALTILLHRAFLEEGHLRRHTDE TMKRRGEEACIQSALMIQKVVRRYRESFTLRRAPFLLSYAVYSAVIVILRQERHERGQ FTEPISFFWTCLSELQVGCNFGLKKPLSVLQDMVREFQTSIKESGSTGTEQPHPAGLD ESFFFPLAMAPSSNTIAPNTSTPTGAYMSASASDYIPNMDHFDPTFGHSPGLLDFLND QEKDISQDALYGLFAPSQPFP FPSE_03440 MAEDQSDKDDESYGEHLFRYTFDEGRQEPRFLIFRGLQLMNIYN MQNDLAKLKHDIMTTKTAETQQTESLAKTLHNYTNAIRDYEYLTRLTPITGSQARRGR LDLERVFGDESYQFIDEGTGYRRFDDPSLLPTDNLREVLKRRLPRSMTYTNYDIRNRT EEYFNNEPPEQVSPLVDRLARFLVAFLGGAMLVVPMMVMRLPEVTVVKSLVTTEDCRF DIRAFGGKLIPELVAQIGYNAALDSCVAAMVTLYRSHQCPSLRVEGLTHYGDALAATR KAITDPKEPIMMKMQVVSVMFVCHYWIDRKSVEQHREIISVLFREAVLKKQLDDLEPY MVGLTQLAVMASFLNPQFELGPWFWEACETIGTPRPVKYHQGSFISLESGTLAEVSIF MRSPKKHIHQLQCIYSVVQFEMPKVRRLISLATAAAAAPNAQAMSKRVCSSYRFAYAI LLAMTAVINHTLQIWDKNLDLVRDVHDCVDESIALVQQCESARPYGAMFVPEFLTMVY AAATDGYRNDEMMSILLDYESDCIGADYLGQALSVRERLYAMEMRETVEEIKTGLDVG VEAGTQTTTEVEQDYQAVSECTIL FPSE_03439 MSILLSCLTCGRRNRQNVSPDPYAVPQGTEMEVPDIDDTAIVPA KGFLGVPVNGLPTYQPKNEQPQFFSPANWVVLTISDVLLTMRNECHLARCVLFETARL QKSLLSVGLLKLSDDARVVDMQLIELDKTLVHGDELIHGSWGDEDIEKQNVILKGDTF QKALRMKVPFLSFHFALAVTNDTNNNVAKIGAAKRSLRESDKTFNDQILGELKNGMQS KNSIDYRQIDERVRNLNNEIQQIYYRVTTTFSEEERKALGR FPSE_03438 MNQHQQLPGSDGLQVDMNEQAAKAPEVVHDKNSHYYTQIGYEAP TPAGYEALGPKEQRPPFGLGLWGFAGLIALLTSIIVGAAVGGGLGAALANKSSDSSAE PTSCPTLDNSTSDTAPYVPKAASDVTNLQLNCPKTLSDEQSQSFDMNYHFRWWCGVNA PLSEKAKEGGTIFDYVAFFSYSIEDCMGACVNMNTMDDKTHTGLRCRSVVFTTNMSAV ITTKQYGNCWLKNGTKAKGSNWEFKKRSIAYAELID FPSE_03437 MIFPPFVPSLEDNFNLESSSSPSTPGTPSEISDQVLSIGFPQAM QLTWTNSSSTMALFISEPGSSVLYAALMPRGLQGPVYLYPGSEFAGDAIASCNKSGER FIFQLPGVPSCSIASNQVTMSFHRSRSNPRYSFSMRVEHEGSCRTESFEWRVSSQAQS GLYTTVWELVSLGRSSKSSSHRPRSSEVVAMVYENNGSSMQRSGGFQFLGRPTTNYMG YHWTLMALMSGIAVWQHASSG FPSE_11243 FKVNKRFLKIISYSLLLLIVLFKIRKVLKAPFRPLRHYRLLYYK LTLNLDLLG FPSE_07761 MTRACRAPLSQQIRQFTLVKFEVYHLGSGARGYNGSPSKQHQCM TRGSSDLQPEAVSQAFTRKRSPLELDPEADALLICSVLTCNKFM FPSE_07762 MSMTSSTIYPTPATTTPDIDFQAYDDMTSFKSVPTVGFWFIIRD RLSGGYLSVINGKPLLQKTPHHWGGYRWCCTELNGWVGLYEWTSGRFIGRDGNDKVVA RAPKQGHEQHIAVSHQAGGGYHISIFHTANKYPLPQIYELLPLKVEEDAYLLVLGSKG RTVLWEFGQIEPPPQ FPSE_07763 MENITDAKPDTIVEIAPDGDLILVVGSEETKLRVRSMLMMAVSK PFSVMLGPDWKEGHDMRDHDGLSELSLPDDDAAALEIICSVIHYQNKKVPRTLPACDV LAVAVVADKYGCVNALKFASETWLRISGDEPDNLMLLTAAAYLFNNSQAFNKITGALV VDYDGPYLALCADEIESVIPWKVYWNSREDSHDWRYRKFL FPSE_07764 MSDPLPVIRSPFAALSGFTDEFDSIKEMCATVREGLFLEESAIP YLPVCLNDTSVELNAYLYDFFKHGSLKVLVRDNLIKRSDVWFHLKDFSLVLKTIVTSL KGVLDSGGDFYMEDLDNDDNMSDAGEEDDGGILKAARVQKPDKSSEKVAQALAKDHVS AEVPDSWEDESNASESESKESVPGSDIFGSSAAEDTIGMESELDKGYGLLQVLRAFEL LETEFAAKFYKIGA FPSE_12449 YINRKRDNNKLVLKTFKGKLGGLIRSFFIARKVLKAYKVKLPL FPSE_11266 IFIKKNIKLISKWTGQYKLIYIVILKVFKEKYI FPSE_06353 KLKLINRVLSLRPINYIFKYINYLKLKLYNLFIL FPSE_06340 MASSVIAEIDRCNIGDSSICTTASITNVRHLSSYNWIERPTATI AVPGSPPLWSAPKAPKQLQKDSGLYYINQNQARYPESPLEPLFRALYLTNPSFDIRSV DVVTDRNNIRKLLAFINSDLAPGDLEPFTIGVEVIGTTALFRRDETSVTRFIAQDEFR GFGHEFEKEYTIEQVNNSTGHHRIIAYRFGGLHFVVRYEADGYVATDKTDSVKTESPQ EDPLLAVMRGLSLSPATGVSNVNPVTSKLAITEDGRVVPPESILEIKTRATSRPLPIQ EVAAQLWVSQTSKLVRAYHYRGKFQVSQVEDVEVHIKRWEELNQNDLKRLASLIKTIS NLARQSGGKATVRYEGGSKLLLYRADKGDMLPHFLYSKWEERGNTQATTIVSTHEEAE VSDTLDRGQGKTIETTGAKYGDVPYSEVISYGIDKGFRQFFRRMPMQLSQYHLLCDTL DSLAIDVTDGRTIRDIMYDMRRGKDEWDPEERREIRGFKNIARDSAFRLLYVFLQSNI VDTNMAYNAALFVVSHRRIFRSRTRKMVREALEENCPVSAKQRAGLNKWPVEESSTTG SEEDTTTESEDIFFDSDSSF FPSE_06341 MQLYIIYIIINRPDLLPCGLTVRCNRYSIVIKKVGLSLSLTDKK CYLE FPSE_06342 MLIVNRVLTKDINIRVKISNKPEEINRLETYKAK FPSE_06352 YIYTISSFSYIALGLYKRFLLGERKKGLYNYNLKKFIS FPSE_11117 MLIIINYKLEVYKVEKNYLETTPKENIISLEIFK FPSE_01344 MSSTYNLRPRPLLKPTIFRFLNEERFPTLTAAIDACPDWTNPSH TIEDSSFYPTDSSSSTTQTTTSDVTDELSEASSTELWGLEVATREIEHDGRVVNPDAT EITSDTSDTMSEIMSIDGMNDIPLAMSTEIDNTRSDMSDMSISDNEINHIPVEMSMSH SLTQQRIETQPHFHMNQDTPSTSDDEAEDVSSHEHVDTPPATPLRYLDNNLGIHHPLD QHLNDKSDKMSLKTTEHVIGLNSVSPLEGPSDWSKWKRAIRITLGVAGYGDLFDLTTT TTTPENMSRQTQATYMILSRCGYNAQEVAEGKTTVADMMETLRIQFEPKGSVEFTDLA RKWRDTSLSQFDNVSAFGQEIRDIAAGFARLSQECKLTEPHIVDKFLTSLGPTYDTFL TVFYQNHDIVSTYTRTTITKPGVTLNDALRLAEQEERRQKQQNENKTTQTALLARRGN FRHNSRPRFPPCRYCNKTGHKEDDCWVRHPEKRREWERKFPERAEQSSRLRKSQWRNN NNTNTSPTRTNSPDTEAAMTATQFLDMAFISVLDNFAGTARSRDEAIQYLSKVHILDS GATSHMMCKSENFDTLTKTDESVTGLGGSKTTTQGRGTYRLRAKNESGRAITLSNSLF IPDGHVNLVSVSQLSKTGAQVVFNDKRAIVIRDNKTVFTATLRHNMYIIDEEGIDHDI ALSAHTIQDQDLAIWHKRLNHLSEQGVKKLSTMKGTRPFESLHADIAGPFPTTGLNGE RYWVTFTDDFTQMTWVFPLRQKSEFTVKLKFLINHHKSPSRQCKFLRLDRGGENISQE VQLFCQGEGIEIIYTNTEQHQQNGIAERMNRTILERLTTTLVDGKIPHIYWPYVLKGA VWTRNLSPHSTLPTTPYEQWTHETPDIQHLRIPGSHGFVRLTDSKKRKMNPVSVPCKL LACSGFSTYIVLTHDNKVISSNDVVFNEQPLMHLHHHPVTTNDNEAKRRKLDPQMEII TIEQHRTDNDDASRQLQEEHERQIQPDNNEDPIQDTIIVQTDTRVPQIQDAQVDNHTP AQAALERHHELQIPRQTRSSRGQPRYALISDTNPHRDNMTDRLKILTTHIAMAAKSID LSEPRTHKEATKSASFNQWNKAMKDELQSLEENKTWILVDAPDNVNVLRGKWVYKLKR GGKGEILRHKARFVVRGFEQEEGIDYHETFASVVKPMSYKAIFAIAAALDLEVEQMDV KTAFLYGDIDEDIYISQPEGFHDGTERVCKLNKALYGLKQAPRIWYNTLSSYLAELGF KPLYSDIGVFIKGSTFIAVYVDDLLLVGPNKKEIKKIKSMLSDRFKMTDLGPCEYYLG MSVRRDRPNRAIYLSQYAYIQKLLEEFELWDCNPVSTPVAISKFNPVDDRYRVTPELK TWFARAIGSLMYAMLGTRPDIAYGVSLCSRYLGNPTDEHQTAVKRIMRYLRGTIHFEL RLQGPIQPPQGYTDADWGGDQDTRRSTGGYIFNLGSGAISWSSKRQPTVALSSCEAEY MAQTQATKEAIWLRQLFHELMAPSNIKLGATIIFGDNQGAIAMAKNPTQHAKSKHIGI QHHFVREQVTLGHVELKYISTKQQVADGLTKPLPKVDFLRFRSALGLIDTSPSHDTLR K FPSE_01386 MEFVAGSDHHQWESDSVVAQASNYGQLCTLILLTFKDVDPFLNC KLKRNGKRPRENLTCPNITISQLTLTSPDRLRKIDQLRERNISTYLALPQLVAVSDKS SGKSSLLENLTGIPFPRGQELCTRYSTQITHRRDAASHITISIIPGPTASLEHKEKLQ DFIIEVENTDQLHTEFPDILNKVNTLMDIKMPRNPSRNRTFSEDILKIERYRLEEDYL TVIDMPSIFRITTQGITTDQDRLLVERIVKNYISDNHTIMLAVLPYNVDIATQEILAF TEKADLSDIFKEEPWTSLPDNKARVNTLQACLQELLSQIADRSFPKLRSEARRRLNEK QDALDADYLTHELFADDDLRLIRKVVNTTEDFSHDFEACAHTYSFEGEPSKSLQPMPS PPLFSDGEEDAQEEEARISKKSCNILDLNLYPDLEGLLSQGCTSHQPAQGIMSWITQI HLHSQGVELERQKKPYMLNHYFNENLQKAHNTRTFQGLKEKVWKENEAKRIVVNVNDI SLLIQNRSNREHTAEEIHNILQAYYKVARKRFVDNVYYQAVDHCLLSGPSNPLGLFCE QWVLDLSDERLRSIAGETRVIQEKRQNLQTALQDLAQALEILG FPSE_01387 MHRTHVSANSTDLASSKATHGQHKYGKKDA FPSE_01388 MHSTAVDFSKTGIPVDVKKLPKPPFYRPDFLATVPPLKVYDIDQ IDLIVDHQEPVHEEDTMGQAKHKYYRSDKILGRLYRNVNEKKIWDEDIHRSTTMDGPS IWDQLLTTVKREVVGYNLGIDWTRKSEQAWKIRNLYESTMVDKMWHFSENPRSCLTEV EVFCGFILNKKGSQTARQRDSSIKLKEEIDRIMTWFVKMIRDQGQRNDLETFGTRTET SSAPDRRREDVIELCWACVAVACLKKEDAPVTYHATGELQSFRVLAACCLLKELNNLT RKMNSVSGGGFTGVGSGRRGRQQTALAIRCLNRPFQLILATTTADLPLRQMATESGVS SSLTSRPMCPDVAPNGTSDSLVPEGNALADMDTSDDDCRRFVVSQEKPSTITEKKRLD NAAFRDWVVNTQREAMRNSLTAPDDLRNQSVSHLITASENRMIITTPREYQIELFERA KRENTIVVLPTGSGKTLIAALLLRHCLEQELEDRAAGKPKRVAFFVVEKVALCFQQFA VLNCNLGAYPVTKFWGKMSGTKTKDYWNKQFSDNMAIVCTAQILLDCLNSNFINMSQI NLLVFDEVHHAKKEHPYARIIKNHYVHHEGDKPRILGMTASPVDAQTKDFEGTAVEIE TFLCSKIATVSGDVLANSMDLRKQLQEKAYYDALRFPEESKTALRELIVGQILHNALF KASLEFTEEASSTLGSWCADRYWHLLITEDETMQLAAKTDREFAKSFASIKADGATDA VRRVRDIVKNNVLGIVRPSSPGLSVKVKKLHEILLNAFTFNGTKRCIVFVEKRYTACL LSDLYQQPEMGIRGMNASYMIGRQSSISNIGGMSFRDQVITLQRFKRGDVNCLFATPV AEEGIDIPDCDLVVRFDLFNSVIQYLQSRGRARQQRSKYITMLEHGNSKQIRSLKQAE RDAASLEKFIMSLPSDRKLQDESMDPVTATQNELTTQKVYVMPSTGARLTFSISLGVL AKFTSSLSSSDNGNLEFVVSKQGPTFIADVILPDSSPLRSMSGIPQRSKALAKCSAAF EACVLLIDKKYIDEHFQPVFVKALPVLRNARLAADSGKKADYNMRLRPEAWSVRGACK TLFATMIILENPGALGENRPSRPLMLLSRNKLPKLPEIPLFFGNGCSSVVKLIASENP LELSPCEIQGILRFTLRIFGDVFSKEFNAGTDQMPYFLAPCTSIQSSTLIRARIDWQI VEIVKEFESLSWWGAPDEFFVDKFVTDPLDRGRKLIIRGIDKSKKPSDSTPVGVPEPR SRSYKSVQPTIKEYSNSLFLNSRRKAQWQDDQPVVKAELLSLRRNLLDEFQTDENLNK DCFVILEPLRVSPIPIDVVFMTMTFPAIINRIESILIALDACASLDLTIPPALALQAL TKDGGGTGDLDVKQSNFQPGMGDNYERLEFLGDSFLKMATTISLFTLIPESNECGYHA ERVLLICNQNLFNHGVEHNLHQYIRSKSFDRRAWYPDLPLKKGKVTKTTMTHDLADKT IADVCEALIGAAYLSGEEGNMDMGVKAVTRMVKSKNHEMEFFRDYFAAFKVPPWHTAK STTLQRRLVDCVAKVTGYRFNSSALLQSVFKHPSWPYEAVPDYQRLEFLGDALLDMVV VDFLYKRFKLADPQWLTEHKMAMVSNQFLGCLCVQLNLHKHLLFTTSSLTGQISEYVA ELELAKEDAHKVAKANRTPTRMDFWLHASLPPKVLADVAEALIGAMFVDAKYNYSVVN QFFTRFVEPYFTEMVLYDTFASQHPVTVLCKKMQQELCCVNWRISVGSVPCTVEEGMA ALRDSDVIAVFMAHQKVILSTTSKNSRCAKIAVAKQALKKIDSFEGGVVATKEALGCD CQLKKFQDEWRLDYGTAV FPSE_01389 MEVFCRNVPPDLSEEGLRGELEPFMKALGISDWACDKPRQKPQA WLKFLHASNGQAFLKKHGKISAETAQRREDIFLGNPLNLRIKPRDIARLHILKTAIYA EKSTRALDKHTVAHLNHNRDQRLRIPARDPEAPDYPFWVQAVSCGGNVFLGDDEILTY IEHNALRVPRSIKGKFTRLRLTVWLDGGNRMDFHNETIQDLIVGRHDNSFTLVLAEPP RLYRSAVTLENKNAKWQRVPELEDWGLIRAYSSTCLVYRIQVYDTKQFDIILRSIHSQ DVLAITSHTIPSVPWNSVQVEINLHQGRQQFMARTKRLLSSGNIPFAILFQVQALV FPSE_01390 MSSGHRGGGKGDRGNYGPRGSSGSFRGARGGRGGRGGSGRGGYG GPTGSGQQTTQVFTNSQAQNPQVTKVEDALHPATKYKPDLGSLRLTEGFPSRPGYGTR GAKVQLTANYVELLPPSNFTLHRYDIQISPVAAGKKHFRVVQLLLQAPELAPQRGDIA TDFRSTLVSKTKFPRDEILIEVPYRSEGDDEPTARATIYKIRVQYTKTLNIGELVNYL NSTSLSQSLVDKQELTQALNIFLNHYAKSAKNLVTIGSTKSFSLSSDAARGDIGSGLE IIRGFFSSVRVATCRILVNINVSHGAFYHAVPLPGLMKSYGLQSTVMLERFLKLVRIQ TTHLPEKRNKANEVIPRVKTIFGLARKDDGHGLAHPPRVRQHGAGAKDVEFWLDGEAS SSGAPKPVAKGGAKGKGKGKGKAKAESSAASTPGRYITVFDFFRITYNRVLQDIQLPL VNCGNRDNPMYLPAEVCVVLPGQPSKSKLDGAQAQHMIRLAVRKPWENAAAIVREGVQ TVGLDENSNLFLPSFGMKITPGLIKVPGRILDGPRVVYKGNKAAGPRFGSWNMMDIKF NIGASLTKWSYLMISLPGAHDSFDQQSLGVVMNEFYQALGKIGVNAAQPLSGQRIQLQ HPDDPALGSTLQRAARALDLLFIILPGANIPLYKRIKTVADKNYGIHTICSVGSKLAK DRGRDQYMANVALKFNLKLGGINQMVENKNLGIINQNKTMVVGIDVTHPSPGSSSNAP SVSAMVASIDKFLGQWPATLRIQRPRQENVDDLTEMLKSRLGLWKTKGKDAALPENIL IYRDGVSEGQYDMVLSQELPQLRRACEQMYPAADTKKGLPRFTIIVCGKRHKTRFYPT TEQDCDRSGNTKPGTVVDRGVTEARNWDFFLQAHAALQGTARPCHYYIVHDEIFRQTY AKSIPFPFQSIADIVEDLTHNMCYLFGRATKAVSLCPPAYYADLACERARCYLANLFD TPSPSAAPSVTGTSAAGGTGQPTADDVQIHQKLRDTMFYI FPSE_01391 IVSIDMMDTSFESPEPALSAEQRFINSKTGLPNFRRFTEHNIED RTIAARALYREGFYPSIFAATHTWKCWRRIAQGHHIQQRALRQYANSVLKATYRQPHV SRFWALRFLRRYHEVFHRRKSCTLAANRKAMSDRANVEEWFTKWTRFVQENDINFSNV WNFDETGFMIGYLMNGIMIWTFIEIDNPILTDAHTTISVTVTESISAKGEIIAPFIIM PGVQIPSRWVDNDLEDEATIVTTPKGYIDDVWAQDFFDHFERLSRPQNRSGTRVVLLG GCESHFTKELYHKAKEAEVILYSFPPCYDQVIPTPLSHFSTFTLPTVTLTLHQSHQDR NVNLRSLRINQSRVDRRTSYRSRTNNFTSRSKNSTRTIPIVLYL FPSE_05755 MQSQDSVYHYPASFVIVLGFHHSWLGITVSTEVLSREGNDESAL ILVRNQEKTKRSEAIVRTRLHCLTQAKVVDTDKSATGHQQKTKDGQTVPCRNVKAGAG DPGNCPNRKHQNKTCRMDVCALDEYGITSSVDPESKNKYGRKNPHGRLPGLGDTY FPSE_05756 MSYMTFKPDTSHDRSGGLYINDRGYVDFSPEDKENPRNWSKKRR WCITCVAVFLAMNGNFASSIFSGSVDSVVEEFGVSTVAASLTTSMFLLGFCAGPFVFA PLSEFYGRRWIFYITFIVYMAFNFLCAFPSNFGSLLVGRFLAGVFISAPLSTTPGVLV DLWDPIERGNATGIFSLASWVGPSLGPIVSGFVQLKKDWRWGMYSVLWLGGATIFLMF LIPETHSPTILTQKSKRARQSGIKEYKHVVTEGEADAPGLAHVYKLALTRPWILMFDL ISFLCSIYTCIVFTLQFMLFSIYPIVFRDMRGWNAGVSQLPLLGQVVGAVLGTIVIFV DSERRRSKDAAGKVLLPEDRLLMAMFGGVAFPITMFWLAWSANYNTVPWIVPTLAGTF LSAALMLVYVAIINYLTDTYASYAASVIAANTVARSAGSAAAPLFTTQMFTALGVGGG GSLIGGVATLLAFIPFIFFWYGARIRKKSNYALVEPDQMDKLDEEADPTDYGVDTEET QHVGDMDQPQHKRFDSA FPSE_06386 KSINNTILNKNIKSFYIILLYIKVYKEVLIAANSYYLKSL FPSE_06387 LITIYSSSLKAFNLKDIEIIKFYLKLIVKTNKKIRV FPSE_06389 IKIILKGLNIDISLKYINKTKYYIFLYNNF FPSE_06393 VNYFSFLKGSIIINILKYYILYLVRFLKYLLLLYRVFYIRLSIS FPSE_06421 ISNSKGFLRYLLFLYKSSLFKFLYNKASYKIGFIIIVLLISSP FPSE_06506 KVKYKSISKINKNNIKTIYTRKSINNIKYIII FPSE_07601 IANRILFFLNNIILIAYSYKVNRSSLNRVKV FPSE_07602 SRGYIRDKAIVIRLLSLGILLTPRMQLLALLTNALVLLTFYK FPSE_07603 KGLNRLISLNRLIKGSYYLAYKKLKLVKYYINILNN FPSE_07663 LLSLRVIRVYKFKVRKSLKYPYNSKSITLLVEKKEKQ FPSE_07799 KKIYLIRIKVDNIVRGLNCSKGAIREKRLKELLIK FPSE_11122 MSNRKVIKEKYLIELVRFLNRVIRIFLKGKYKYIKIII FPSE_11251 MDTATKALDANVPATSDILDCLPIPALVVSPSYRIQRASTGLLE VWGRRREELIDQDLFVALYQGSPTERFDRIPFVYAIETALAARALRLCYAAYIANGIS WTARIIPVHRDDELLCLILEWEKAELHTTVVDDGITQSWLPIDDTFRILVQAVKDYAI FLLDTCGNVMTWNTGAELNKGYKKEEIIGKHFSTFYGEEDIRSRKPERELEICLREGR VEDEGWRYRKDGSRFWANVIITAIYKNDIHVGFGKVTRNLTERKEAELRLIAAYEEST KLKNDFLANMSHEIRTPMHGLLSACSLLLDSRLTEEQRETANMIEESGLVLLRILNDI LDYSKITAGSFSIKNDKVDIASVVTSVVHSVQTALQPGVSMRLILSPTLPKWAEGDPL RYRQIFENVVSNAVKFTEKGYILVRVSLLAEDVSTYTILTEVYDTGQGVTEDDVQKLF KPFTQLDTPHQKRRPGTGLGLSIAKSLTELLGGNIGYKPNPERQGSIFWFSVKLKKLN SLQQIQTVDALPDPSSDLSRPEVSQDNDLAAQLTQLMKISPTTRILAAEDNIINQKVL VEMLHGFGFQHITVVSDGAQAVSSLSAAAGAFDLILMDISMPVMNGYEATVRIRNSGI RLPIIAMTAYALKGDMERCMEKGMDDYIDKPMNRQLLMKKLLKWLVRPADTVLATTAR E FPSE_11259 SIYLLTILYYKYYYNKNYKFKGSIIKGKNNI FPSE_11265 LTISNLIKFNIIKRLYNLATIELDWVSIGKDRPSSDQDNVALAM FSDYFPRCVKTL FPSE_11270 MTTGRINQVTIVCRGWPPALEAPERFKLLVARQSSRRSQRIWQG QKRRGGNPLSPSRFPRASFRRTEPAVGGVAWGPQEEDLARCFYHSGVRSEWLPPDAQF QA FPSE_11271 MFSDGFEVKPEETLVEARSGSDVQIDRQTWAWGRKTNRTF FPSE_11272 NKLEDKKIGLYPKGANKVKVNIKYNTFIIKITYKRDIITINSYY LKSL FPSE_11277 KKALSISKGIILLIIIKKGLLIKISYFKELSTLRIIYYNLNIGF